refermodel_v1.0
This commit is contained in:
parent
77a87722e7
commit
b6cf93542a
Binary file not shown.
|
@ -1,7 +1,7 @@
|
|||
Command: vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all \
|
||||
-debug_region+cell+encrypt -f files.f -l com.log -fsdb +define+FSDB
|
||||
Chronologic VCS (TM)
|
||||
Version O-2018.09-SP2_Full64 -- Mon Oct 28 23:57:53 2024
|
||||
Version O-2018.09-SP2_Full64 -- Thu Apr 3 13:13:31 2025
|
||||
Copyright (c) 1991-2018 by Synopsys Inc.
|
||||
ALL RIGHTS RESERVED
|
||||
|
||||
|
@ -9,377 +9,7 @@ This program is proprietary and confidential information of Synopsys Inc.
|
|||
and may be used and disclosed only as authorized in a license agreement
|
||||
controlling such use and disclosure.
|
||||
|
||||
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
|
||||
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
|
||||
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh'.
|
||||
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
|
||||
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'
|
||||
Parsing design file './tb.sv'
|
||||
Parsing design file './hilbert_fir_dpi_pkg.sv'
|
||||
Parsing design file './hilbert_fir_dpi.sv'
|
||||
Top Level Modules:
|
||||
uvm_custom_install_recording
|
||||
uvm_custom_install_verdi_recording
|
||||
tb
|
||||
No TimeScale specified
|
||||
Starting vcs inline pass...
|
||||
9 modules and 0 UDP read.
|
||||
recompiling package vcs_paramclassrepository
|
||||
recompiling package _vcs_DPI_package
|
||||
recompiling package uvm_pkg
|
||||
recompiling package _vcs_msglog
|
||||
recompiling module uvm_custom_install_recording
|
||||
recompiling module uvm_custom_install_verdi_recording
|
||||
recompiling module tb
|
||||
recompiling package hilbert_fir_dpi_pkg
|
||||
recompiling module hilbert_fir_dpi
|
||||
All of 9 modules done
|
||||
make[1]: Entering directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \
|
||||
The design hasn't changed and need not be recompiled.
|
||||
If you really want to, delete file simv.daidir/.vcs.timestamp and
|
||||
run VCS again.
|
||||
|
||||
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
|
||||
g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \
|
||||
-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
|
||||
g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \
|
||||
-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
|
||||
if [ -x ../simv ]; then chmod -x ../simv; fi
|
||||
g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ \
|
||||
-Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib \
|
||||
-L/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib uvm_dpi.o uvm_verdi_dpi.o objs/amcQw_d.o \
|
||||
_64535_archive_1.so SIM_l.o rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o \
|
||||
rmar_llvm_0_0.o -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs \
|
||||
-lvcsnew -lsimprofile -luclinative /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o \
|
||||
-Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o \
|
||||
_vcs_pli_stub_.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o \
|
||||
/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm \
|
||||
-lpthread -ldl
|
||||
../simv up to date
|
||||
make[1]: Leaving directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \
|
||||
|
||||
CPU time: 6.767 seconds to compile + .302 seconds to elab + .861 seconds to link
|
||||
|
|
|
@ -0,0 +1,533 @@
|
|||
{
|
||||
"MlibObjs": {},
|
||||
"cycles_program_begin": 46912901323786712,
|
||||
"NameTable": {
|
||||
"_vcs_DPI_package": [
|
||||
"_vcs_DPI_package",
|
||||
"uM9F1",
|
||||
"module",
|
||||
1
|
||||
],
|
||||
"std": [
|
||||
"std",
|
||||
"reYIK",
|
||||
"module",
|
||||
4
|
||||
],
|
||||
"vcs_paramclassrepository": [
|
||||
"vcs_paramclassrepository",
|
||||
"hEeZs",
|
||||
"module",
|
||||
2
|
||||
],
|
||||
"_vcs_unit__3504648197": [
|
||||
"_vcs_unit__3504648197",
|
||||
"eQdaR",
|
||||
"module",
|
||||
3
|
||||
],
|
||||
"uvm_custom_install_recording": [
|
||||
"uvm_custom_install_recording",
|
||||
"nhiwz",
|
||||
"module",
|
||||
7
|
||||
],
|
||||
"uvm_pkg": [
|
||||
"uvm_pkg",
|
||||
"zr7M1",
|
||||
"module",
|
||||
5
|
||||
],
|
||||
"_vcs_msglog": [
|
||||
"_vcs_msglog",
|
||||
"zQBzV",
|
||||
"module",
|
||||
6
|
||||
],
|
||||
"tb": [
|
||||
"tb",
|
||||
"g7hgQ",
|
||||
"module",
|
||||
9
|
||||
],
|
||||
"uvm_custom_install_verdi_recording": [
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"EbjT3",
|
||||
"module",
|
||||
8
|
||||
],
|
||||
"...MASTER...": [
|
||||
"SIM",
|
||||
"amcQw",
|
||||
"module",
|
||||
12
|
||||
],
|
||||
"hilbert_fir_dpi_pkg": [
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"RFT57",
|
||||
"module",
|
||||
10
|
||||
],
|
||||
"hilbert_fir_dpi": [
|
||||
"hilbert_fir_dpi",
|
||||
"fhaHS",
|
||||
"module",
|
||||
11
|
||||
]
|
||||
},
|
||||
"perf": [
|
||||
{
|
||||
"stat": [
|
||||
"main",
|
||||
"entry",
|
||||
0.017125129699707031,
|
||||
0.035784999999999997,
|
||||
0.033797000000000001,
|
||||
216488,
|
||||
216488,
|
||||
0.0,
|
||||
0.0,
|
||||
1743656994.1351631,
|
||||
46912901324009902
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"doParsingAndDesignResolution",
|
||||
"entry",
|
||||
0.1459190845489502,
|
||||
0.040945000000000002,
|
||||
0.043869999999999999,
|
||||
276604,
|
||||
277404,
|
||||
0.0,
|
||||
0.0,
|
||||
1743656994.263957,
|
||||
46912901658844552
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doParsingAndDesignResolution",
|
||||
"exit",
|
||||
1.2556860446929932,
|
||||
1.1150910000000001,
|
||||
0.078794000000000003,
|
||||
317052,
|
||||
317700,
|
||||
0.0,
|
||||
0.0,
|
||||
1743656995.373724,
|
||||
46912904544338514
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doPostDesignResolutionToVir2Vcs",
|
||||
"entry",
|
||||
1.2857940196990967,
|
||||
1.144398,
|
||||
0.078820000000000001,
|
||||
317052,
|
||||
317700,
|
||||
0.0,
|
||||
0.0,
|
||||
1743656995.403832,
|
||||
46912904622569362
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"doUptoVir2VcsNoSepCleanup",
|
||||
"entry",
|
||||
1.9180049896240234,
|
||||
1.770546,
|
||||
0.084881999999999999,
|
||||
318340,
|
||||
318344,
|
||||
0.0,
|
||||
0.0,
|
||||
1743656996.0360429,
|
||||
46912906266341590
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doUptoVir2VcsNoSepCleanup",
|
||||
"exit",
|
||||
4.0824229717254639,
|
||||
3.8657560000000002,
|
||||
0.12689300000000001,
|
||||
326476,
|
||||
341920,
|
||||
0.0043870000000000003,
|
||||
0.022234,
|
||||
1743656998.2004609,
|
||||
46912911893868346
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doRadify_vir2vcsAll",
|
||||
"entry",
|
||||
4.0825209617614746,
|
||||
3.865853,
|
||||
0.12689600000000001,
|
||||
326476,
|
||||
341920,
|
||||
0.0043870000000000003,
|
||||
0.022234,
|
||||
1743656998.2005589,
|
||||
46912911894040520
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doRadify_vir2vcsAll",
|
||||
"exit",
|
||||
4.3128211498260498,
|
||||
4.0881759999999998,
|
||||
0.13487299999999999,
|
||||
348396,
|
||||
348396,
|
||||
0.0043870000000000003,
|
||||
0.022234,
|
||||
1743656998.4308591,
|
||||
46912912493037494
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doPostDesignResolutionToVir2Vcs",
|
||||
"exit",
|
||||
4.3129291534423828,
|
||||
4.0882810000000003,
|
||||
0.134877,
|
||||
348396,
|
||||
348396,
|
||||
0.0043870000000000003,
|
||||
0.022234,
|
||||
1743656998.4309671,
|
||||
46912912493096956
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doGAToPass2",
|
||||
"entry",
|
||||
4.3129501342773438,
|
||||
4.0883019999999997,
|
||||
0.134878,
|
||||
348396,
|
||||
348396,
|
||||
0.0043870000000000003,
|
||||
0.022234,
|
||||
1743656998.4309881,
|
||||
46912912493142718
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"DoPass2",
|
||||
"entry",
|
||||
4.3739960193634033,
|
||||
4.101254,
|
||||
0.140871,
|
||||
341388,
|
||||
348396,
|
||||
0.021333999999999999,
|
||||
0.048422,
|
||||
1743656998.492034,
|
||||
46912912651928172
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"DoPass2",
|
||||
"exit",
|
||||
6.9016270637512207,
|
||||
6.5386819999999997,
|
||||
0.223886,
|
||||
352792,
|
||||
352820,
|
||||
0.021333999999999999,
|
||||
0.055456999999999999,
|
||||
1743657001.019665,
|
||||
46912919223791488
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"doGAToPass2",
|
||||
"exit",
|
||||
6.9089879989624023,
|
||||
6.5440659999999999,
|
||||
0.22586400000000001,
|
||||
352792,
|
||||
352820,
|
||||
0.021333999999999999,
|
||||
0.055456999999999999,
|
||||
1743657001.0270259,
|
||||
46912919242906852
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"stat": [
|
||||
"main",
|
||||
"exit",
|
||||
6.9102671146392822,
|
||||
6.5453250000000001,
|
||||
0.22587299999999999,
|
||||
352784,
|
||||
352820,
|
||||
0.021333999999999999,
|
||||
0.055456999999999999,
|
||||
1743657001.0283051,
|
||||
46912919246223126
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
],
|
||||
"rlimit": {
|
||||
"stack": -1,
|
||||
"data": -1
|
||||
},
|
||||
"cpu_cycles_pass2_start": 46912912651950570,
|
||||
"PrevCompiledModules": {
|
||||
"_vcs_unit__3504648197": {
|
||||
"eQdaR_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 15256,
|
||||
"out": "eQdaR_d.o",
|
||||
"mod": "_vcs_unit__3504648197",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"uvm_custom_install_recording": {
|
||||
"nhiwz_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 546378,
|
||||
"out": "nhiwz_d.o",
|
||||
"mod": "uvm_custom_install_recording",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"...MASTER...": {
|
||||
"amcQw_d": {
|
||||
"checksum": 0,
|
||||
"bytes": 9934,
|
||||
"out": "objs/amcQw_d.o",
|
||||
"mod": "...MASTER...",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"hilbert_fir_dpi_pkg": {
|
||||
"RFT57_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 10938,
|
||||
"out": "RFT57_d.o",
|
||||
"mod": "hilbert_fir_dpi_pkg",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"uvm_pkg": {
|
||||
"zr7M1_d": {
|
||||
"archive": "archive.5/_28707_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 7322172,
|
||||
"out": "zr7M1_d.o",
|
||||
"mod": "uvm_pkg",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"uvm_custom_install_verdi_recording": {
|
||||
"EbjT3_d": {
|
||||
"archive": "archive.5/_28707_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 1601410,
|
||||
"out": "EbjT3_d.o",
|
||||
"mod": "uvm_custom_install_verdi_recording",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"tb": {
|
||||
"g7hgQ_d": {
|
||||
"archive": "archive.5/_28707_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 35194,
|
||||
"out": "g7hgQ_d.o",
|
||||
"mod": "tb",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"_vcs_DPI_package": {
|
||||
"uM9F1_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 22612,
|
||||
"out": "uM9F1_d.o",
|
||||
"mod": "_vcs_DPI_package",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"std": {
|
||||
"reYIK_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 48218,
|
||||
"out": "reYIK_d.o",
|
||||
"mod": "std",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"vcs_paramclassrepository": {
|
||||
"hEeZs_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 5817894,
|
||||
"out": "hEeZs_d.o",
|
||||
"mod": "vcs_paramclassrepository",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"_vcs_msglog": {
|
||||
"zQBzV_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 11424,
|
||||
"out": "zQBzV_d.o",
|
||||
"mod": "_vcs_msglog",
|
||||
"mode": 4
|
||||
}
|
||||
},
|
||||
"hilbert_fir_dpi": {
|
||||
"fhaHS_d": {
|
||||
"archive": "archive.5/_prev_archive_1.a",
|
||||
"checksum": 0,
|
||||
"bytes": 21150,
|
||||
"out": "fhaHS_d.o",
|
||||
"mod": "hilbert_fir_dpi",
|
||||
"mode": 4
|
||||
}
|
||||
}
|
||||
},
|
||||
"CompileStrategy": "fullobj",
|
||||
"stat": {
|
||||
"ru_self_cgstart": {
|
||||
"ru_stime_sec": 0.140873,
|
||||
"ru_utime_sec": 4.1013250000000001,
|
||||
"ru_maxrss_kb": 142844,
|
||||
"ru_minflt": 56249,
|
||||
"ru_majflt": 0,
|
||||
"ru_nvcsw": 56,
|
||||
"ru_nivcsw": 63
|
||||
},
|
||||
"nQuads": 177795,
|
||||
"mopSpeed": 221665.81289043897,
|
||||
"ru_self_end": {
|
||||
"ru_stime_sec": 0.22587399999999999,
|
||||
"ru_utime_sec": 6.545369,
|
||||
"ru_maxrss_kb": 153896,
|
||||
"ru_minflt": 75767,
|
||||
"ru_majflt": 0,
|
||||
"ru_nvcsw": 57,
|
||||
"ru_nivcsw": 73
|
||||
},
|
||||
"ru_childs_cgstart": {
|
||||
"ru_stime_sec": 0.048422,
|
||||
"ru_utime_sec": 0.021333999999999999,
|
||||
"ru_maxrss_kb": 80656,
|
||||
"ru_minflt": 10655,
|
||||
"ru_majflt": 0,
|
||||
"ru_nvcsw": 25,
|
||||
"ru_nivcsw": 22
|
||||
},
|
||||
"cpu_cycles_end": 46912919246301100,
|
||||
"cpu_cycles_cgstart": 46912912652065984,
|
||||
"nMops": 541761,
|
||||
"outputSizePerQuad": 52.690941815011669,
|
||||
"quadSpeed": 72746.235337825347,
|
||||
"Frontend(%)": 62.78126176865316,
|
||||
"totalObjSize": 9368186,
|
||||
"ru_childs_end": {
|
||||
"ru_stime_sec": 0.055456999999999999,
|
||||
"ru_utime_sec": 0.021333999999999999,
|
||||
"ru_maxrss_kb": 81072,
|
||||
"ru_minflt": 11249,
|
||||
"ru_majflt": 0,
|
||||
"ru_nvcsw": 26,
|
||||
"ru_nivcsw": 24
|
||||
},
|
||||
"cpu_cycles_total": 17922514388,
|
||||
"CodeGen(%)": 37.218738231346833,
|
||||
"mop/quad": 3.0471104361764954,
|
||||
"peak_mem_kb": 352820,
|
||||
"realTime": 6.9103319644927979
|
||||
},
|
||||
"incremental": "on",
|
||||
"CurCompileUdps": {},
|
||||
"SIMBData": {
|
||||
"out": "amcQwB.o",
|
||||
"archive": "archive.5/_28707_archive_1.a",
|
||||
"bytes": 408824,
|
||||
"text": 0
|
||||
},
|
||||
"CurCompileModules": [
|
||||
"...MASTER...",
|
||||
"vcs_paramclassrepository",
|
||||
"_vcs_DPI_package",
|
||||
"_vcs_unit__3504648197",
|
||||
"std",
|
||||
"uvm_pkg",
|
||||
"uvm_pkg",
|
||||
"_vcs_msglog",
|
||||
"uvm_custom_install_recording",
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"tb",
|
||||
"tb",
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"hilbert_fir_dpi"
|
||||
],
|
||||
"LVLData": [
|
||||
"SIM"
|
||||
],
|
||||
"PEModules": [],
|
||||
"CompileProcesses": [
|
||||
"cgproc.28707.json"
|
||||
],
|
||||
"Misc": {
|
||||
"vcs_version": "O-2018.09-SP2_Full64",
|
||||
"vcs_build_date": "Build Date = Feb 28 2019 22:34:30",
|
||||
"master_pid": 28707,
|
||||
"csrc_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/csrc",
|
||||
"VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2",
|
||||
"hostname": "cryo1",
|
||||
"cwd": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir",
|
||||
"csrc": "csrc",
|
||||
"daidir_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir",
|
||||
"daidir": "simv.daidir",
|
||||
"default_output_dir": "csrc",
|
||||
"archive_dir": "archive.5"
|
||||
},
|
||||
"CompileStatus": "Successful"
|
||||
}
|
|
@ -0,0 +1,3 @@
|
|||
zr7M1_d.o
|
||||
g7hgQ_d.o
|
||||
amcQwB.o
|
|
@ -0,0 +1,9 @@
|
|||
EbjT3_d.o
|
||||
eQdaR_d.o
|
||||
hEeZs_d.o
|
||||
reYIK_d.o
|
||||
RFT57_d.o
|
||||
nhiwz_d.o
|
||||
uM9F1_d.o
|
||||
zQBzV_d.o
|
||||
fhaHS_d.o
|
Binary file not shown.
|
@ -1,33 +1,89 @@
|
|||
{
|
||||
"cycles_program_begin": 46913067006791720,
|
||||
"CompileProcesses": [
|
||||
"cgproc.30843.json"
|
||||
],
|
||||
"stat": {
|
||||
"ru_self_cgstart": {
|
||||
"ru_nivcsw": 64,
|
||||
"ru_minflt": 56261,
|
||||
"ru_utime_sec": 4.0316229999999997,
|
||||
"ru_stime_sec": 0.129051,
|
||||
"ru_majflt": 0,
|
||||
"ru_maxrss_kb": 142848,
|
||||
"ru_nvcsw": 53
|
||||
},
|
||||
"ru_self_end": {
|
||||
"ru_nivcsw": 70,
|
||||
"ru_minflt": 76745,
|
||||
"ru_utime_sec": 6.6901710000000003,
|
||||
"ru_stime_sec": 0.21506900000000001,
|
||||
"ru_majflt": 0,
|
||||
"ru_maxrss_kb": 157556,
|
||||
"ru_nvcsw": 55
|
||||
},
|
||||
"nQuads": 208661,
|
||||
"ru_childs_cgstart": {
|
||||
"ru_nivcsw": 22,
|
||||
"ru_minflt": 10655,
|
||||
"ru_utime_sec": 0.012933999999999999,
|
||||
"ru_stime_sec": 0.048105000000000002,
|
||||
"ru_majflt": 0,
|
||||
"ru_maxrss_kb": 80664,
|
||||
"ru_nvcsw": 25
|
||||
},
|
||||
"nMops": 651808,
|
||||
"totalObjSize": 7764404,
|
||||
"outputSizePerQuad": 37.210614345756994,
|
||||
"cpu_cycles_cgstart": 46913078098391944,
|
||||
"ru_childs_end": {
|
||||
"ru_nivcsw": 24,
|
||||
"ru_minflt": 11249,
|
||||
"ru_utime_sec": 0.014881999999999999,
|
||||
"ru_stime_sec": 0.056870999999999998,
|
||||
"ru_majflt": 0,
|
||||
"ru_maxrss_kb": 81080,
|
||||
"ru_nvcsw": 26
|
||||
},
|
||||
"mop/quad": 3.1237653418703064,
|
||||
"cpu_cycles_end": 46913085264808758,
|
||||
"cpu_cycles_total": 18258017038,
|
||||
"mopSpeed": 244994.91824080914,
|
||||
"quadSpeed": 78429.360540290203,
|
||||
"Frontend(%)": 60.321029528029072,
|
||||
"CodeGen(%)": 39.678970471970928,
|
||||
"peak_mem_kb": 358488,
|
||||
"realTime": 7.047152042388916
|
||||
},
|
||||
"perf": [
|
||||
{
|
||||
"stat": [
|
||||
"main",
|
||||
"entry",
|
||||
0.020707130432128906,
|
||||
0.039099000000000002,
|
||||
0.036090999999999998,
|
||||
0.024910211563110352,
|
||||
0.045830999999999997,
|
||||
0.023911999999999999,
|
||||
216488,
|
||||
216488,
|
||||
0.0,
|
||||
0.0,
|
||||
1730131073.195446,
|
||||
11745225862042164
|
||||
1743657057.8589971,
|
||||
46913067007045896
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"doParsingAndDesignResolution",
|
||||
"entry",
|
||||
0.15212321281433105,
|
||||
0.044595000000000003,
|
||||
0.046533999999999999,
|
||||
0.15891218185424805,
|
||||
0.054503999999999997,
|
||||
0.035992999999999997,
|
||||
276604,
|
||||
277404,
|
||||
0.0,
|
||||
0.0,
|
||||
1730131073.3268621,
|
||||
11745226203685144
|
||||
1743657057.9929991,
|
||||
46913067355413302
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -35,15 +91,15 @@
|
|||
"stat": [
|
||||
"doParsingAndDesignResolution",
|
||||
"exit",
|
||||
1.0939841270446777,
|
||||
0.96159300000000003,
|
||||
0.070749000000000006,
|
||||
1.3002250194549561,
|
||||
1.1659710000000001,
|
||||
0.065138000000000001,
|
||||
317052,
|
||||
317700,
|
||||
0.0,
|
||||
0.0,
|
||||
1730131074.268723,
|
||||
11745228652625968
|
||||
1743657059.1343119,
|
||||
46913070322933126
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -51,30 +107,30 @@
|
|||
"stat": [
|
||||
"doPostDesignResolutionToVir2Vcs",
|
||||
"entry",
|
||||
1.1145992279052734,
|
||||
0.98216300000000001,
|
||||
0.070794999999999997,
|
||||
1.3278160095214844,
|
||||
1.1935629999999999,
|
||||
0.065138000000000001,
|
||||
317052,
|
||||
317700,
|
||||
0.0,
|
||||
0.0,
|
||||
1730131074.2893381,
|
||||
11745228706154144
|
||||
1743657059.1619029,
|
||||
46913070394624256
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"doUptoVir2VcsNoSepCleanup",
|
||||
"entry",
|
||||
1.5995831489562988,
|
||||
1.4648889999999999,
|
||||
0.072844999999999993,
|
||||
318276,
|
||||
318280,
|
||||
1.9573922157287598,
|
||||
1.8191920000000001,
|
||||
0.069083000000000006,
|
||||
318340,
|
||||
318344,
|
||||
0.0,
|
||||
0.0,
|
||||
1730131074.774322,
|
||||
11745229967166934
|
||||
1743657059.7914791,
|
||||
46913072031523952
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -82,15 +138,15 @@
|
|||
"stat": [
|
||||
"doUptoVir2VcsNoSepCleanup",
|
||||
"exit",
|
||||
3.2459311485290527,
|
||||
3.0216460000000001,
|
||||
0.110803,
|
||||
326388,
|
||||
341816,
|
||||
0.011849999999999999,
|
||||
0.038986,
|
||||
1730131076.42067,
|
||||
11745234247658520
|
||||
4.0247271060943604,
|
||||
3.8195519999999998,
|
||||
0.108043,
|
||||
326436,
|
||||
341880,
|
||||
0.0040509999999999999,
|
||||
0.023310000000000001,
|
||||
1743657061.858814,
|
||||
46913077406627122
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -98,15 +154,15 @@
|
|||
"stat": [
|
||||
"doRadify_vir2vcsAll",
|
||||
"entry",
|
||||
3.2460072040557861,
|
||||
3.0217200000000002,
|
||||
0.110806,
|
||||
326388,
|
||||
341816,
|
||||
0.011849999999999999,
|
||||
0.038986,
|
||||
1730131076.4207461,
|
||||
11745234247780974
|
||||
4.024813175201416,
|
||||
3.8196370000000002,
|
||||
0.108046,
|
||||
326436,
|
||||
341880,
|
||||
0.0040509999999999999,
|
||||
0.023310000000000001,
|
||||
1743657061.8589001,
|
||||
46913077406776834
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -114,15 +170,15 @@
|
|||
"stat": [
|
||||
"doRadify_vir2vcsAll",
|
||||
"exit",
|
||||
3.4042630195617676,
|
||||
3.1709719999999999,
|
||||
0.11981,
|
||||
347888,
|
||||
347888,
|
||||
0.011849999999999999,
|
||||
0.038986,
|
||||
1730131076.5790019,
|
||||
11745234659423574
|
||||
4.2390851974487305,
|
||||
4.0158969999999998,
|
||||
0.126059,
|
||||
348396,
|
||||
348396,
|
||||
0.0040509999999999999,
|
||||
0.023310000000000001,
|
||||
1743657062.0731721,
|
||||
46913077964111682
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
|
@ -132,15 +188,15 @@
|
|||
"stat": [
|
||||
"doPostDesignResolutionToVir2Vcs",
|
||||
"exit",
|
||||
3.4043512344360352,
|
||||
3.1710579999999999,
|
||||
0.119813,
|
||||
347888,
|
||||
347888,
|
||||
0.011849999999999999,
|
||||
0.038986,
|
||||
1730131076.5790901,
|
||||
11745234659472496
|
||||
4.2391960620880127,
|
||||
4.0160049999999998,
|
||||
0.12606200000000001,
|
||||
348396,
|
||||
348396,
|
||||
0.0040509999999999999,
|
||||
0.023310000000000001,
|
||||
1743657062.073283,
|
||||
46913077964158736
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -148,30 +204,30 @@
|
|||
"stat": [
|
||||
"doGAToPass2",
|
||||
"entry",
|
||||
3.4043660163879395,
|
||||
3.1710720000000001,
|
||||
0.119813,
|
||||
347888,
|
||||
347888,
|
||||
0.011849999999999999,
|
||||
0.038986,
|
||||
1730131076.5791049,
|
||||
11745234659505566
|
||||
4.2392129898071289,
|
||||
4.0160210000000003,
|
||||
0.12606300000000001,
|
||||
348396,
|
||||
348396,
|
||||
0.0040509999999999999,
|
||||
0.023310000000000001,
|
||||
1743657062.0732999,
|
||||
46913077964195446
|
||||
],
|
||||
"sub": [
|
||||
{
|
||||
"stat": [
|
||||
"DoPass2",
|
||||
"entry",
|
||||
3.4561002254486084,
|
||||
3.1891539999999998,
|
||||
0.12181400000000001,
|
||||
340880,
|
||||
347888,
|
||||
0.022261,
|
||||
0.060856,
|
||||
1730131076.6308391,
|
||||
11745234794108230
|
||||
4.2907390594482422,
|
||||
4.0315450000000004,
|
||||
0.129049,
|
||||
341388,
|
||||
348396,
|
||||
0.012933999999999999,
|
||||
0.048105000000000002,
|
||||
1743657062.124826,
|
||||
46913078098243200
|
||||
],
|
||||
"sub": []
|
||||
},
|
||||
|
@ -179,15 +235,15 @@
|
|||
"stat": [
|
||||
"DoPass2",
|
||||
"exit",
|
||||
6.7180230617523193,
|
||||
6.3723450000000001,
|
||||
0.20042199999999999,
|
||||
352340,
|
||||
352368,
|
||||
0.022261,
|
||||
0.060856,
|
||||
1730131079.8927619,
|
||||
11745243275107548
|
||||
7.0367300510406494,
|
||||
6.6818039999999996,
|
||||
0.213057,
|
||||
358460,
|
||||
358488,
|
||||
0.014881999999999999,
|
||||
0.056870999999999998,
|
||||
1743657064.8708169,
|
||||
46913085237830520
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
|
@ -197,15 +253,15 @@
|
|||
"stat": [
|
||||
"doGAToPass2",
|
||||
"exit",
|
||||
6.7252471446990967,
|
||||
6.3771279999999999,
|
||||
0.202845,
|
||||
352340,
|
||||
352368,
|
||||
0.022261,
|
||||
0.060856,
|
||||
1730131079.899986,
|
||||
11745243293890332
|
||||
7.0455482006072998,
|
||||
6.6895790000000002,
|
||||
0.21408199999999999,
|
||||
358460,
|
||||
358488,
|
||||
0.014881999999999999,
|
||||
0.056870999999999998,
|
||||
1743657064.8796351,
|
||||
46913085260761646
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
|
@ -215,113 +271,147 @@
|
|||
"stat": [
|
||||
"main",
|
||||
"exit",
|
||||
6.7265701293945312,
|
||||
6.3784410000000005,
|
||||
0.20285500000000001,
|
||||
352332,
|
||||
352368,
|
||||
0.022261,
|
||||
0.060856,
|
||||
1730131079.901309,
|
||||
11745243297312992
|
||||
7.0470759868621826,
|
||||
6.6901209999999995,
|
||||
0.21506800000000001,
|
||||
358452,
|
||||
358488,
|
||||
0.014881999999999999,
|
||||
0.056870999999999998,
|
||||
1743657064.8811629,
|
||||
46913085264713144
|
||||
],
|
||||
"sub": []
|
||||
}
|
||||
],
|
||||
"cycles_program_begin": 11745225861787568,
|
||||
"incremental": "on",
|
||||
"SIMBData": {
|
||||
"out": "amcQwB.o",
|
||||
"bytes": 433114,
|
||||
"archive": "archive.0/_64535_archive_1.a",
|
||||
"text": 0
|
||||
},
|
||||
"PrevCompiledModules": {},
|
||||
"MlibObjs": {},
|
||||
"stat": {
|
||||
"realTime": 6.7266380786895752,
|
||||
"ru_self_cgstart": {
|
||||
"ru_utime_sec": 3.1892330000000002,
|
||||
"ru_stime_sec": 0.12181699999999999,
|
||||
"ru_nivcsw": 57,
|
||||
"ru_nvcsw": 57,
|
||||
"ru_maxrss_kb": 146584,
|
||||
"ru_minflt": 54218,
|
||||
"ru_majflt": 0
|
||||
"PrevCompiledModules": {
|
||||
"...MASTER...": {
|
||||
"amcQw_d": {
|
||||
"bytes": 9934,
|
||||
"mod": "...MASTER...",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "objs/amcQw_d.o"
|
||||
}
|
||||
},
|
||||
"ru_childs_cgstart": {
|
||||
"ru_utime_sec": 0.022261,
|
||||
"ru_stime_sec": 0.060856,
|
||||
"ru_nivcsw": 22,
|
||||
"ru_nvcsw": 23,
|
||||
"ru_maxrss_kb": 84332,
|
||||
"ru_minflt": 10649,
|
||||
"ru_majflt": 0
|
||||
"_vcs_unit__3504648197": {
|
||||
"eQdaR_d": {
|
||||
"bytes": 15256,
|
||||
"mod": "_vcs_unit__3504648197",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "eQdaR_d.o"
|
||||
}
|
||||
},
|
||||
"nQuads": 135677,
|
||||
"cpu_cycles_cgstart": 11745234794244292,
|
||||
"outputSizePerQuad": 117.14855133884151,
|
||||
"ru_self_end": {
|
||||
"ru_utime_sec": 6.3784869999999998,
|
||||
"ru_stime_sec": 0.20285600000000001,
|
||||
"ru_nivcsw": 70,
|
||||
"ru_nvcsw": 57,
|
||||
"ru_maxrss_kb": 157516,
|
||||
"ru_minflt": 70890,
|
||||
"ru_majflt": 0
|
||||
"vcs_paramclassrepository": {
|
||||
"hEeZs_d": {
|
||||
"bytes": 5817894,
|
||||
"mod": "vcs_paramclassrepository",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "hEeZs_d.o"
|
||||
}
|
||||
},
|
||||
"nMops": 419480,
|
||||
"quadSpeed": 42541.923597179783,
|
||||
"totalObjSize": 15894364,
|
||||
"ru_childs_end": {
|
||||
"ru_utime_sec": 0.022261,
|
||||
"ru_stime_sec": 0.060856,
|
||||
"ru_nivcsw": 22,
|
||||
"ru_nvcsw": 23,
|
||||
"ru_maxrss_kb": 84332,
|
||||
"ru_minflt": 10649,
|
||||
"ru_majflt": 0
|
||||
"std": {
|
||||
"reYIK_d": {
|
||||
"bytes": 48218,
|
||||
"mod": "std",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "reYIK_d.o"
|
||||
}
|
||||
},
|
||||
"cpu_cycles_end": 11745243297408728,
|
||||
"cpu_cycles_total": 17435621160,
|
||||
"mopSpeed": 131529.19146609207,
|
||||
"mop/quad": 3.0917546820758126,
|
||||
"Frontend(%)": 50.173729695341855,
|
||||
"CodeGen(%)": 49.826270304658145,
|
||||
"peak_mem_kb": 352368
|
||||
"tb": {
|
||||
"g7hgQ_d": {
|
||||
"bytes": 35284,
|
||||
"mod": "tb",
|
||||
"out": "g7hgQ_d.o",
|
||||
"archive": "archive.6/_30843_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0
|
||||
}
|
||||
},
|
||||
"uvm_pkg": {
|
||||
"zr7M1_d": {
|
||||
"bytes": 7322536,
|
||||
"mod": "uvm_pkg",
|
||||
"out": "zr7M1_d.o",
|
||||
"archive": "archive.6/_30843_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0
|
||||
}
|
||||
},
|
||||
"hilbert_fir_dpi_pkg": {
|
||||
"RFT57_d": {
|
||||
"bytes": 10938,
|
||||
"mod": "hilbert_fir_dpi_pkg",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "RFT57_d.o"
|
||||
}
|
||||
},
|
||||
"uvm_custom_install_verdi_recording": {
|
||||
"EbjT3_d": {
|
||||
"bytes": 1601542,
|
||||
"mod": "uvm_custom_install_verdi_recording",
|
||||
"out": "EbjT3_d.o",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0
|
||||
}
|
||||
},
|
||||
"uvm_custom_install_recording": {
|
||||
"nhiwz_d": {
|
||||
"bytes": 546378,
|
||||
"mod": "uvm_custom_install_recording",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "nhiwz_d.o"
|
||||
}
|
||||
},
|
||||
"_vcs_DPI_package": {
|
||||
"uM9F1_d": {
|
||||
"bytes": 22612,
|
||||
"mod": "_vcs_DPI_package",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "uM9F1_d.o"
|
||||
}
|
||||
},
|
||||
"_vcs_msglog": {
|
||||
"zQBzV_d": {
|
||||
"bytes": 11424,
|
||||
"mod": "_vcs_msglog",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "zQBzV_d.o"
|
||||
}
|
||||
},
|
||||
"hilbert_fir_dpi": {
|
||||
"fhaHS_d": {
|
||||
"bytes": 21150,
|
||||
"mod": "hilbert_fir_dpi",
|
||||
"archive": "archive.6/_prev_archive_1.a",
|
||||
"mode": 4,
|
||||
"checksum": 0,
|
||||
"out": "fhaHS_d.o"
|
||||
}
|
||||
}
|
||||
},
|
||||
"CurCompileModules": [
|
||||
"...MASTER...",
|
||||
"...MASTER...",
|
||||
"vcs_paramclassrepository",
|
||||
"vcs_paramclassrepository",
|
||||
"_vcs_DPI_package",
|
||||
"_vcs_DPI_package",
|
||||
"_vcs_unit__3504648197",
|
||||
"_vcs_unit__3504648197",
|
||||
"std",
|
||||
"std",
|
||||
"uvm_pkg",
|
||||
"uvm_pkg",
|
||||
"_vcs_msglog",
|
||||
"_vcs_msglog",
|
||||
"uvm_custom_install_recording",
|
||||
"uvm_custom_install_recording",
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"tb",
|
||||
"tb",
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"hilbert_fir_dpi",
|
||||
"hilbert_fir_dpi"
|
||||
],
|
||||
"NameTable": {
|
||||
"hilbert_fir_dpi_pkg": [
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"RFT57",
|
||||
"uvm_custom_install_verdi_recording": [
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"EbjT3",
|
||||
"module",
|
||||
10
|
||||
8
|
||||
],
|
||||
"_vcs_DPI_package": [
|
||||
"_vcs_DPI_package",
|
||||
|
@ -329,29 +419,17 @@
|
|||
"module",
|
||||
1
|
||||
],
|
||||
"vcs_paramclassrepository": [
|
||||
"vcs_paramclassrepository",
|
||||
"hEeZs",
|
||||
"_vcs_msglog": [
|
||||
"_vcs_msglog",
|
||||
"zQBzV",
|
||||
"module",
|
||||
2
|
||||
6
|
||||
],
|
||||
"uvm_custom_install_recording": [
|
||||
"uvm_custom_install_recording",
|
||||
"nhiwz",
|
||||
"hilbert_fir_dpi_pkg": [
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"RFT57",
|
||||
"module",
|
||||
7
|
||||
],
|
||||
"_vcs_unit__3504648197": [
|
||||
"_vcs_unit__3504648197",
|
||||
"eQdaR",
|
||||
"module",
|
||||
3
|
||||
],
|
||||
"std": [
|
||||
"std",
|
||||
"reYIK",
|
||||
"module",
|
||||
4
|
||||
10
|
||||
],
|
||||
"uvm_pkg": [
|
||||
"uvm_pkg",
|
||||
|
@ -359,64 +437,96 @@
|
|||
"module",
|
||||
5
|
||||
],
|
||||
"uvm_custom_install_verdi_recording": [
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"EbjT3",
|
||||
"module",
|
||||
8
|
||||
],
|
||||
"_vcs_msglog": [
|
||||
"_vcs_msglog",
|
||||
"zQBzV",
|
||||
"module",
|
||||
6
|
||||
],
|
||||
"tb": [
|
||||
"tb",
|
||||
"g7hgQ",
|
||||
"module",
|
||||
9
|
||||
],
|
||||
"hilbert_fir_dpi": [
|
||||
"hilbert_fir_dpi",
|
||||
"fhaHS",
|
||||
"vcs_paramclassrepository": [
|
||||
"vcs_paramclassrepository",
|
||||
"hEeZs",
|
||||
"module",
|
||||
11
|
||||
2
|
||||
],
|
||||
"std": [
|
||||
"std",
|
||||
"reYIK",
|
||||
"module",
|
||||
4
|
||||
],
|
||||
"...MASTER...": [
|
||||
"SIM",
|
||||
"amcQw",
|
||||
"module",
|
||||
12
|
||||
],
|
||||
"_vcs_unit__3504648197": [
|
||||
"_vcs_unit__3504648197",
|
||||
"eQdaR",
|
||||
"module",
|
||||
3
|
||||
],
|
||||
"uvm_custom_install_recording": [
|
||||
"uvm_custom_install_recording",
|
||||
"nhiwz",
|
||||
"module",
|
||||
7
|
||||
],
|
||||
"hilbert_fir_dpi": [
|
||||
"hilbert_fir_dpi",
|
||||
"fhaHS",
|
||||
"module",
|
||||
11
|
||||
]
|
||||
},
|
||||
"cpu_cycles_pass2_start": 11745234794132494,
|
||||
"CompileStrategy": "fullobj",
|
||||
"CurCompileUdps": {},
|
||||
"CompileProcesses": [
|
||||
"cgproc.64535.json"
|
||||
"PEModules": [],
|
||||
"cpu_cycles_pass2_start": 46913078098268404,
|
||||
"CurCompileModules": [
|
||||
"...MASTER...",
|
||||
"vcs_paramclassrepository",
|
||||
"_vcs_DPI_package",
|
||||
"_vcs_unit__3504648197",
|
||||
"std",
|
||||
"uvm_pkg",
|
||||
"uvm_pkg",
|
||||
"_vcs_msglog",
|
||||
"uvm_custom_install_recording",
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"tb",
|
||||
"tb",
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"hilbert_fir_dpi"
|
||||
],
|
||||
"CurCompileUdps": {},
|
||||
"SIMBData": {
|
||||
"out": "amcQwB.o",
|
||||
"bytes": 406424,
|
||||
"text": 0,
|
||||
"archive": "archive.6/_30843_archive_1.a"
|
||||
},
|
||||
"LVLData": [
|
||||
"SIM"
|
||||
],
|
||||
"PEModules": [],
|
||||
"incremental": "on",
|
||||
"CompileStatus": "Successful",
|
||||
"Misc": {
|
||||
"daidir": "simv.daidir",
|
||||
"vcs_version": "O-2018.09-SP2_Full64",
|
||||
"vcs_build_date": "Build Date = Feb 28 2019 22:34:30",
|
||||
"master_pid": 64535,
|
||||
"cwd": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir",
|
||||
"default_output_dir": "csrc",
|
||||
"master_pid": 30843,
|
||||
"VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2",
|
||||
"daidir_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir",
|
||||
"cwd": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir",
|
||||
"hostname": "cryo1",
|
||||
"csrc_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/csrc",
|
||||
"daidir": "simv.daidir",
|
||||
"csrc": "csrc",
|
||||
"csrc_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc",
|
||||
"daidir_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir",
|
||||
"archive_dir": "archive.0"
|
||||
"default_output_dir": "csrc",
|
||||
"archive_dir": "archive.6"
|
||||
},
|
||||
"rlimit": {
|
||||
"data": -1,
|
||||
"stack": -1
|
||||
},
|
||||
"CompileStatus": "Successful"
|
||||
}
|
||||
}
|
File diff suppressed because it is too large
Load Diff
Binary file not shown.
Binary file not shown.
|
@ -1,15 +1,26 @@
|
|||
PIC_LD=ld
|
||||
|
||||
ARCHIVE_OBJS=
|
||||
ARCHIVE_OBJS += _64535_archive_1.so
|
||||
_64535_archive_1.so : archive.0/_64535_archive_1.a
|
||||
ARCHIVE_OBJS += _30843_archive_1.so
|
||||
_30843_archive_1.so : archive.6/_30843_archive_1.a
|
||||
@$(AR) -s $<
|
||||
@$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_64535_archive_1.so --whole-archive $< --no-whole-archive
|
||||
@$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_30843_archive_1.so --whole-archive $< --no-whole-archive
|
||||
@rm -f $@
|
||||
@ln -sf .//../simv.daidir//_64535_archive_1.so $@
|
||||
@ln -sf .//../simv.daidir//_30843_archive_1.so $@
|
||||
|
||||
|
||||
ARCHIVE_OBJS += _prev_archive_1.so
|
||||
_prev_archive_1.so : archive.6/_prev_archive_1.a
|
||||
@$(AR) -s $<
|
||||
@$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_prev_archive_1.so --whole-archive $< --no-whole-archive
|
||||
@rm -f $@
|
||||
@ln -sf .//../simv.daidir//_prev_archive_1.so $@
|
||||
|
||||
|
||||
|
||||
VCS_ARC0 =_csrc0.so
|
||||
|
||||
VCS_OBJS0 =objs/amcQw_d.o
|
||||
|
||||
|
||||
O0_OBJS =
|
||||
|
@ -20,6 +31,12 @@ $(O0_OBJS) : %.o: %.c
|
|||
|
||||
%.o: %.c
|
||||
$(CC_CG) $(CFLAGS_CG) -c -o $@ $<
|
||||
|
||||
$(VCS_ARC0) : $(VCS_OBJS0)
|
||||
$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//$(VCS_ARC0) $(VCS_OBJS0)
|
||||
rm -f $(VCS_ARC0)
|
||||
@ln -sf .//../simv.daidir//$(VCS_ARC0) $(VCS_ARC0)
|
||||
|
||||
CU_UDP_OBJS = \
|
||||
|
||||
|
||||
|
@ -27,7 +44,7 @@ CU_LVL_OBJS = \
|
|||
SIM_l.o
|
||||
|
||||
MAIN_OBJS = \
|
||||
objs/amcQw_d.o
|
||||
|
||||
CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(CU_UDP_OBJS) $(CU_LVL_OBJS)
|
||||
|
||||
CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(VCS_ARC0) $(CU_UDP_OBJS) $(CU_LVL_OBJS)
|
||||
|
||||
|
|
Binary file not shown.
|
@ -35,7 +35,8 @@ void hilbert_fir(const double data[8], double i_data[8], double q_data[8])
|
|||
}
|
||||
for (k = 0; k < 8; k++) {
|
||||
double d;
|
||||
d = floor(q_data_temp[k + 16] / 32768.0);
|
||||
d = round(q_data_temp[k + 16] / 32768.0);
|
||||
// d = floor(q_data_temp[k + 16] / 32768.0);
|
||||
q_data[k] = d;
|
||||
if (d > 32767.0) {
|
||||
d = 32767.0;
|
||||
|
|
File diff suppressed because one or more lines are too long
File diff suppressed because it is too large
Load Diff
|
@ -198,7 +198,7 @@ MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
|
|||
IMSETTINGS_INTEGRATE_DESKTOP=yes
|
||||
HOME=/home/yzzhang
|
||||
VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2
|
||||
PWD=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
|
||||
PWD=/home/yzzhang/work/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
SSH_AGENT_PID=5490
|
||||
CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
|
||||
MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1
|
||||
|
@ -248,7 +248,7 @@ DBUS_STARTER_BUS_TYPE=session
|
|||
W3264_NO_HOST_CHECK=1
|
||||
SCL_HOME=/opt/synopsys/scl/2018.06
|
||||
HOSTTYPE=x86_64-linux
|
||||
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51
|
||||
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/de294d37_d48c_4f8c_8ddc_fd502688d834
|
||||
CDS_SPECTRERF_FBENABLE=1
|
||||
GNOME_TERMINAL_SERVICE=:1.160
|
||||
GROUP=cryo
|
||||
|
@ -270,8 +270,8 @@ VCS_EXEC_DONE=1
|
|||
LC_ALL=C
|
||||
DVE=/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve
|
||||
SPECMAN_OUTPUT_TO_TTY=1
|
||||
SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:64859
|
||||
SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=64859:0xcdd180
|
||||
SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:32804
|
||||
SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=32804:0xcda1f0
|
||||
Runtime command line arguments:
|
||||
argv[0]=./simv
|
||||
argv[1]=+vcs+loopreport
|
||||
|
@ -280,9 +280,9 @@ argv[3]=DPI_Component
|
|||
argv[4]=-l
|
||||
argv[5]=sim.log
|
||||
281 profile - 100
|
||||
CPU/Mem usage: 0.080 sys, 0.310 user, 294.99M sys, 0.00M vm
|
||||
CPU/Mem usage: 0.080 sys, 0.290 user, 299.34M sys, 0.00M vm
|
||||
282 VC callback profile - scalar:0 vector:0
|
||||
283 Mon Oct 28 23:58:07 2024
|
||||
283 Thu Apr 3 13:13:33 2025
|
||||
284 pliAppInit
|
||||
285 Enable VD Dumper
|
||||
286 FSDB_GATE is set.
|
||||
|
@ -301,45 +301,46 @@ argv[5]=sim.log
|
|||
299 ***********************************************************************
|
||||
300 sps_call_fsdbDumpfile_main at 0 : ./tb.sv(12)
|
||||
301 argv[0]: (TB.fsdb)
|
||||
302 *Verdi* : Create FSDB file 'TB.fsdb'
|
||||
303 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13)
|
||||
304 argv[0]: (0)
|
||||
305 argv[1]: (handle) tb
|
||||
306 *Verdi* : Begin traversing the scope (tb), layer (0).
|
||||
307 *Verdi* : End of traversing.
|
||||
308 pliAppHDL_DumpVarComplete traverse var: profile -
|
||||
CPU/Mem usage: 0.090 sys, 0.320 user, 391.89M sys, 0.00M vm
|
||||
incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
|
||||
accu: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
|
||||
accu incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
|
||||
302 *Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file.
|
||||
303 *Verdi* : Create FSDB file 'TB.fsdb'
|
||||
304 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13)
|
||||
305 argv[0]: (0)
|
||||
306 argv[1]: (handle) tb
|
||||
307 *Verdi* : Begin traversing the scope (tb), layer (0).
|
||||
308 *Verdi* : End of traversing.
|
||||
309 pliAppHDL_DumpVarComplete traverse var: profile -
|
||||
CPU/Mem usage: 0.080 sys, 0.300 user, 396.26M sys, 0.00M vm
|
||||
incr: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm
|
||||
accu: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm
|
||||
accu incr: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm
|
||||
|
||||
Count usage: 8 var, 11 idcode, 5 callback
|
||||
incr: 8 var, 11 idcode, 5 callback
|
||||
accu: 8 var, 11 idcode, 5 callback
|
||||
accu incr: 8 var, 11 idcode, 5 callback
|
||||
309 VC callback profile - scalar:0 vector:0
|
||||
310 Mon Oct 28 23:58:07 2024
|
||||
311 pliAppHDL_DumpVarComplete: profile -
|
||||
CPU/Mem usage: 0.090 sys, 0.320 user, 392.95M sys, 0.00M vm
|
||||
Count usage: 8 var, 11 idcode, 6 callback
|
||||
incr: 8 var, 11 idcode, 6 callback
|
||||
accu: 8 var, 11 idcode, 6 callback
|
||||
accu incr: 8 var, 11 idcode, 6 callback
|
||||
310 VC callback profile - scalar:0 vector:0
|
||||
311 Thu Apr 3 13:13:33 2025
|
||||
312 pliAppHDL_DumpVarComplete: profile -
|
||||
CPU/Mem usage: 0.080 sys, 0.300 user, 397.31M sys, 0.00M vm
|
||||
incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm
|
||||
accu: 0.000 sys, 0.000 user, 8.33M sys, 0.00M vm
|
||||
accu: 0.000 sys, 0.000 user, 8.34M sys, 0.00M vm
|
||||
accu incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm
|
||||
|
||||
Count usage: 8 var, 11 idcode, 5 callback
|
||||
Count usage: 8 var, 11 idcode, 6 callback
|
||||
incr: 0 var, 0 idcode, 0 callback
|
||||
accu: 8 var, 11 idcode, 5 callback
|
||||
accu: 8 var, 11 idcode, 6 callback
|
||||
accu incr: 0 var, 0 idcode, 0 callback
|
||||
312 VC callback profile - scalar:0 vector:2
|
||||
313 Mon Oct 28 23:58:07 2024
|
||||
314 End of simulation at 163930
|
||||
315 VC callback profile - scalar:0 vector:2
|
||||
316 Mon Oct 28 23:58:07 2024
|
||||
317 Begin FSDB profile info:
|
||||
318 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0)
|
||||
FSDB Writer elapsed time : flush(0.007414) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000)
|
||||
313 VC callback profile - scalar:1 vector:2
|
||||
314 Thu Apr 3 13:13:33 2025
|
||||
315 End of simulation at 163930
|
||||
316 VC callback profile - scalar:1 vector:2
|
||||
317 Thu Apr 3 13:13:33 2025
|
||||
318 Begin FSDB profile info:
|
||||
319 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0)
|
||||
FSDB Writer elapsed time : flush(0.005779) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000)
|
||||
FSDB Writer cpu time : MT Compression : 0
|
||||
319 End FSDB profile info
|
||||
320 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0
|
||||
321 ProduceTime:0.470358439 ConsumerTime:0.000000000 Buffer:64MB
|
||||
322 SimExit
|
||||
323 Sim process exit
|
||||
320 End FSDB profile info
|
||||
321 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0
|
||||
322 ProduceTime:0.426994519 ConsumerTime:0.000000000 Buffer:64MB
|
||||
323 SimExit
|
||||
324 Sim process exit
|
||||
|
|
|
@ -1,7 +1,7 @@
|
|||
Command: /home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log
|
||||
Command: /home/yzzhang/work/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log
|
||||
Chronologic VCS simulator copyright 1991-2018
|
||||
Contains Synopsys proprietary information.
|
||||
Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Oct 28 23:58 2024
|
||||
Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Apr 3 13:13 2025
|
||||
UVM_INFO /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]
|
||||
----------------------------------------------------------------
|
||||
UVM-1.2.Synopsys
|
||||
|
@ -33,26 +33,26 @@ FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018
|
|||
* may cause abnormal behavior, please contact Synopsys support for *
|
||||
* assistance. *
|
||||
***********************************************************************
|
||||
*Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file.
|
||||
*Verdi* : Create FSDB file 'TB.fsdb'
|
||||
*Verdi* : Begin traversing the scope (tb), layer (0).
|
||||
*Verdi* : End of traversing.
|
||||
data_reg is: 0 1 2 3 4 5 6 7
|
||||
i_data_temp is :6
|
||||
i_data0 is :7
|
||||
i_data1 is :6
|
||||
data_reg is: 3 4 5 6 7 8 9 10
|
||||
i_data0 is :3
|
||||
i_data1 is :4
|
||||
i_data2 is :5
|
||||
i_data3 is :4
|
||||
i_data4 is :3
|
||||
i_data5 is :2
|
||||
i_data6 is :1
|
||||
i_data7 is :0
|
||||
q_data0 is :-5
|
||||
q_data1 is :0
|
||||
q_data2 is :0
|
||||
q_data3 is :2
|
||||
q_data4 is :2
|
||||
q_data5 is :2
|
||||
q_data6 is :2
|
||||
q_data7 is :2
|
||||
i_data3 is :6
|
||||
i_data4 is :7
|
||||
i_data5 is :8
|
||||
i_data6 is :9
|
||||
i_data7 is :10
|
||||
q_data0 is :-6
|
||||
q_data1 is :-4
|
||||
q_data2 is :-4
|
||||
q_data3 is :-3
|
||||
q_data4 is :-3
|
||||
q_data5 is :0
|
||||
q_data6 is :0
|
||||
q_data7 is :7
|
||||
V C S S i m u l a t i o n R e p o r t
|
||||
Time: 163930
|
||||
|
|
Binary file not shown.
|
@ -659,7 +659,7 @@
|
|||
com.log
|
||||
uvm-1.2
|
||||
96
|
||||
sysc_uni_pwd=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
|
||||
sysc_uni_pwd=/home/yzzhang/work/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1
|
||||
XMODIFIERS=@im=ibus
|
||||
XDG_SESSION_ID=c10
|
||||
|
@ -728,7 +728,7 @@ HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2
|
|||
HOSTTYPE=x86_64-linux
|
||||
GROUP=cryo
|
||||
GNOME_TERMINAL_SERVICE=:1.160
|
||||
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51
|
||||
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/de294d37_d48c_4f8c_8ddc_fd502688d834
|
||||
GNOME_SHELL_SESSION_MODE=classic
|
||||
GNOME_DESKTOP_SESSION_ID=this-is-deprecated
|
||||
GENUS_HOME=/opt/cadence/GENUS152
|
||||
|
@ -916,14 +916,14 @@ CADENCE_DIR=/opt/cadence/IC618
|
|||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh
|
||||
1730131069 ./hilbert_fir_dpi.sv
|
||||
1730131059 ./hilbert_fir_dpi_pkg.sv
|
||||
1730017850 ./tb.sv
|
||||
1743129319 ./hilbert_fir_dpi.sv
|
||||
1743129319 ./hilbert_fir_dpi_pkg.sv
|
||||
1743657054 ./tb.sv
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv
|
||||
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv
|
||||
1730131038 files.f
|
||||
1743129319 files.f
|
||||
1527421819 /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
|
||||
1551421246 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab
|
||||
6
|
||||
|
@ -933,5 +933,5 @@ CADENCE_DIR=/opt/cadence/IC618
|
|||
1551421792 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so
|
||||
1551421768 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so
|
||||
1551421789 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so
|
||||
1730131081 simv.daidir
|
||||
1743657065 simv.daidir
|
||||
-1 partitionlib
|
||||
|
|
Binary file not shown.
|
@ -1,9 +1,9 @@
|
|||
{
|
||||
"hilbert_fir_dpi_pkg": [
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"RFT57",
|
||||
"uvm_custom_install_verdi_recording": [
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"EbjT3",
|
||||
"module",
|
||||
10
|
||||
8
|
||||
],
|
||||
"_vcs_DPI_package": [
|
||||
"_vcs_DPI_package",
|
||||
|
@ -11,29 +11,17 @@
|
|||
"module",
|
||||
1
|
||||
],
|
||||
"vcs_paramclassrepository": [
|
||||
"vcs_paramclassrepository",
|
||||
"hEeZs",
|
||||
"_vcs_msglog": [
|
||||
"_vcs_msglog",
|
||||
"zQBzV",
|
||||
"module",
|
||||
2
|
||||
6
|
||||
],
|
||||
"uvm_custom_install_recording": [
|
||||
"uvm_custom_install_recording",
|
||||
"nhiwz",
|
||||
"hilbert_fir_dpi_pkg": [
|
||||
"hilbert_fir_dpi_pkg",
|
||||
"RFT57",
|
||||
"module",
|
||||
7
|
||||
],
|
||||
"_vcs_unit__3504648197": [
|
||||
"_vcs_unit__3504648197",
|
||||
"eQdaR",
|
||||
"module",
|
||||
3
|
||||
],
|
||||
"std": [
|
||||
"std",
|
||||
"reYIK",
|
||||
"module",
|
||||
4
|
||||
10
|
||||
],
|
||||
"uvm_pkg": [
|
||||
"uvm_pkg",
|
||||
|
@ -41,34 +29,46 @@
|
|||
"module",
|
||||
5
|
||||
],
|
||||
"uvm_custom_install_verdi_recording": [
|
||||
"uvm_custom_install_verdi_recording",
|
||||
"EbjT3",
|
||||
"module",
|
||||
8
|
||||
],
|
||||
"_vcs_msglog": [
|
||||
"_vcs_msglog",
|
||||
"zQBzV",
|
||||
"module",
|
||||
6
|
||||
],
|
||||
"tb": [
|
||||
"tb",
|
||||
"g7hgQ",
|
||||
"module",
|
||||
9
|
||||
],
|
||||
"hilbert_fir_dpi": [
|
||||
"hilbert_fir_dpi",
|
||||
"fhaHS",
|
||||
"vcs_paramclassrepository": [
|
||||
"vcs_paramclassrepository",
|
||||
"hEeZs",
|
||||
"module",
|
||||
11
|
||||
2
|
||||
],
|
||||
"std": [
|
||||
"std",
|
||||
"reYIK",
|
||||
"module",
|
||||
4
|
||||
],
|
||||
"...MASTER...": [
|
||||
"SIM",
|
||||
"amcQw",
|
||||
"module",
|
||||
12
|
||||
],
|
||||
"_vcs_unit__3504648197": [
|
||||
"_vcs_unit__3504648197",
|
||||
"eQdaR",
|
||||
"module",
|
||||
3
|
||||
],
|
||||
"uvm_custom_install_recording": [
|
||||
"uvm_custom_install_recording",
|
||||
"nhiwz",
|
||||
"module",
|
||||
7
|
||||
],
|
||||
"hilbert_fir_dpi": [
|
||||
"hilbert_fir_dpi",
|
||||
"fhaHS",
|
||||
"module",
|
||||
11
|
||||
]
|
||||
}
|
Binary file not shown.
|
@ -1,4 +1,4 @@
|
|||
O-2018.09-SP2_Full64
|
||||
Build Date = Feb 28 2019 22:34:30
|
||||
RedHat
|
||||
Compile Location: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
|
||||
Compile Location: /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -5,5 +5,5 @@ PYTHONPATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27
|
|||
export PYTHONPATH
|
||||
LD_LIBRARY_PATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib:/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27
|
||||
export LD_LIBRARY_PATH
|
||||
/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcsfind_create_index.exe -z "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_sbyVvx.xml.gz" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_tapi.xml.gz" -o "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp"
|
||||
\mv "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db"
|
||||
/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcsfind_create_index.exe -z "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_3hnd6b.xml.gz" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_tapi.xml.gz" -o "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp"
|
||||
\mv "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db"
|
||||
|
|
|
@ -1,6 +1,6 @@
|
|||
#!/bin/sh -h
|
||||
|
||||
FILE_PATH="/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch"
|
||||
FILE_PATH="/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch"
|
||||
lockfile="${FILE_PATH}"/lock
|
||||
|
||||
FSearch_lock_release() {
|
||||
|
@ -28,17 +28,17 @@ if [ "${dir_name}" = "." ]; then
|
|||
cd $dir_name
|
||||
dir_name=`/bin/pwd`
|
||||
fi
|
||||
if [ -d "$dir_name"/../../../../../../../../../../../../.. ]; then
|
||||
cd "$dir_name"/../../../../../../../../../../../../..
|
||||
if [ -d "$dir_name"/../../../../../../../../../../../../../../../.. ]; then
|
||||
cd "$dir_name"/../../../../../../../../../../../../../../../..
|
||||
fi
|
||||
|
||||
if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" ]; then
|
||||
if [ ! -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db" ]; then
|
||||
if [ -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" ]; then
|
||||
if [ ! -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db" ]; then
|
||||
if [ "$#" -eq 1 ] && [ "x$1" == "x-background" ]; then
|
||||
trap FSearch_lock_release EXIT
|
||||
(
|
||||
flock 193
|
||||
create_fsearch_db_ctrl "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
|
||||
create_fsearch_db_ctrl "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
|
||||
exit 193
|
||||
) 193> "$lockfile"
|
||||
rstat=$?
|
||||
|
@ -46,12 +46,12 @@ if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilb
|
|||
exit $rstat
|
||||
fi
|
||||
else
|
||||
"/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
|
||||
if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
|
||||
rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
|
||||
"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
|
||||
if [ -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
|
||||
rm -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
|
||||
fi
|
||||
fi
|
||||
elif [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
|
||||
rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
|
||||
elif [ -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
|
||||
rm -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
|
||||
fi
|
||||
fi
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -1,6 +1,6 @@
|
|||
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv
|
||||
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv
|
||||
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/tb.sv
|
||||
/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv
|
||||
/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv
|
||||
/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv
|
||||
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh
|
||||
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh
|
||||
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -1,7 +1,7 @@
|
|||
rc file Version 1.0
|
||||
|
||||
[Design]
|
||||
COMPILE_PATH=/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
|
||||
COMPILE_PATH=/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
SystemC=FALSE
|
||||
UUM=FALSE
|
||||
KDB=FALSE
|
||||
|
|
Binary file not shown.
|
@ -1,6 +1,6 @@
|
|||
psSimBaseName simv
|
||||
psLogFileName com.log
|
||||
pDaiDir /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir
|
||||
pDaiDir /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir
|
||||
destPath csrc/
|
||||
fSharedMaster 0
|
||||
fHsimPCSharedLibSpecified 0
|
||||
|
|
Binary file not shown.
Binary file not shown.
|
@ -2,3 +2,27 @@ vcselab_misc_midd.db 661
|
|||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3916
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3916
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3848
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3848
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3848
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3848
|
||||
vcselab_misc_midd.db 661
|
||||
vcselab_misc_mnmn.db 235
|
||||
vcselab_misc_hsim_name.db 841
|
||||
vcselab_misc_hsim_merge.db 3848
|
||||
|
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -27,12 +27,8 @@ initial begin
|
|||
end
|
||||
always #5 clk = ~clk;
|
||||
|
||||
initial begin
|
||||
#0;
|
||||
clk_enable = 1'b1;
|
||||
end
|
||||
|
||||
logic [21:0] cnt;
|
||||
logic [21:0] cnt;
|
||||
always@(posedge clk or negedge reset)begin
|
||||
if(reset)
|
||||
cnt <= 22'd0;
|
||||
|
@ -53,27 +49,26 @@ always@(posedge clk or negedge reset)begin
|
|||
end
|
||||
end
|
||||
|
||||
real data_reg[7:0];
|
||||
real data_reg[0:7];
|
||||
always@(posedge clk or negedge reset)begin
|
||||
data_reg[i] <= 64'b0+i;
|
||||
data_reg[i] <= 64'b0+i+3;
|
||||
end
|
||||
|
||||
|
||||
|
||||
hilbert_fir_dpi inst_hilbert_fir_dpi(
|
||||
.clk(clk),
|
||||
.clk_enable(clk_enable),
|
||||
.clk_enable(1'b1),
|
||||
.reset(reset),
|
||||
.data(data_reg),
|
||||
.i_data(i_data),
|
||||
.q_data(q_data)
|
||||
);
|
||||
|
||||
initial begin
|
||||
#160000
|
||||
$display("data_reg is:%d%d%d%d%d%d%d%d",data_reg[0],data_reg[1],data_reg[2],data_reg[3],data_reg[4],data_reg[5],data_reg[6],data_reg[7]);
|
||||
|
||||
$display("i_data_temp is :",inst_hilbert_fir_dpi.i_data_temp[1]);
|
||||
|
||||
$display("i_data0 is :",i_data[0]);
|
||||
$display("i_data1 is :",i_data[1]);
|
||||
$display("i_data2 is :",i_data[2]);
|
||||
|
|
|
@ -0,0 +1,234 @@
|
|||
*design* DebussyLib (btIdent Verdi_N-2017.12-SP2)
|
||||
Command arguments:
|
||||
+define+verilog
|
||||
-f /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f
|
||||
./tb.sv
|
||||
./hilbert_fir_dpi_pkg.sv
|
||||
./hilbert_fir_dpi.sv
|
||||
|
||||
|
||||
*Error* Syntax error at ;
|
||||
"./tb.sv", 3:
|
||||
|
||||
*Error* Syntax error at ;
|
||||
"./tb.sv", 4:
|
||||
|
||||
*Error* Syntax error at ;
|
||||
"./tb.sv", 5:
|
||||
|
||||
*Error* Syntax error at [
|
||||
"./tb.sv", 31:
|
||||
|
||||
*Error* Syntax error at [
|
||||
"./tb.sv", 43:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 19:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 21:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 26:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 28:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 28:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 32:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 32:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 33:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 44:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 44:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 45:
|
||||
|
||||
*Error* clk is referenced before declaration
|
||||
"./tb.sv", 53:
|
||||
|
||||
*Error* reset is referenced before declaration
|
||||
"./tb.sv", 53:
|
||||
|
||||
*Error* illegal memory data_reg
|
||||
"./tb.sv", 63:
|
||||
|
||||
*Error* illegal memory i_data
|
||||
"./tb.sv", 64:
|
||||
|
||||
*Error* illegal memory q_data
|
||||
"./tb.sv", 65:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./tb.sv", 19:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./tb.sv", 21:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./tb.sv", 26:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./tb.sv", 28:
|
||||
|
||||
*Error* Syntax error at package
|
||||
"./hilbert_fir_dpi_pkg.sv", 6:
|
||||
|
||||
*Error* Syntax error at import
|
||||
"./hilbert_fir_dpi_pkg.sv", 9:
|
||||
|
||||
*Error* Syntax error at import
|
||||
"./hilbert_fir_dpi_pkg.sv", 10:
|
||||
|
||||
*Error* Syntax error at import
|
||||
"./hilbert_fir_dpi_pkg.sv", 11:
|
||||
|
||||
*Error* Syntax error at import
|
||||
"./hilbert_fir_dpi_pkg.sv", 12:
|
||||
|
||||
*Error* Syntax error at endpackage
|
||||
"./hilbert_fir_dpi_pkg.sv", 16:
|
||||
|
||||
*Error* Syntax error at import
|
||||
"./hilbert_fir_dpi.sv", 7:
|
||||
|
||||
*Error* Syntax error at clk
|
||||
"./hilbert_fir_dpi.sv", 12:
|
||||
|
||||
*Error* Syntax error at =
|
||||
"./hilbert_fir_dpi.sv", 20:
|
||||
|
||||
*Error* Syntax error at begin
|
||||
"./hilbert_fir_dpi.sv", 29:
|
||||
|
||||
*Error* Syntax error at end
|
||||
"./hilbert_fir_dpi.sv", 31:
|
||||
|
||||
*Error* objhandle is referenced before declaration
|
||||
"./hilbert_fir_dpi.sv", 26:
|
||||
|
||||
*Error* objhandle is referenced before declaration
|
||||
"./hilbert_fir_dpi.sv", 26:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./hilbert_fir_dpi.sv", 26:
|
||||
|
||||
*Error* illegal LHS in procedural assignment
|
||||
"./hilbert_fir_dpi.sv", 35:
|
||||
|
||||
*Error* illegal memory i_data_temp
|
||||
"./hilbert_fir_dpi.sv", 36:
|
||||
|
||||
*Error* illegal memory q_data_temp
|
||||
"./hilbert_fir_dpi.sv", 37:
|
||||
|
||||
*Error* illegal memory i_data_temp
|
||||
"./hilbert_fir_dpi.sv", 42:
|
||||
|
||||
*Error* illegal memory q_data_temp
|
||||
"./hilbert_fir_dpi.sv", 43:
|
||||
Highest level modules:
|
||||
tb
|
||||
|
||||
|
||||
*Error* failed to find identifier cnt
|
||||
"./tb.sv", 34:
|
||||
|
||||
*Error* failed to find identifier cnt
|
||||
"./tb.sv", 36:
|
||||
|
||||
*Error* failed to find identifier cnt
|
||||
"./tb.sv", 36:
|
||||
|
||||
*Error* failed to find identifier cnt[14]
|
||||
"./tb.sv", 39:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 45:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 46:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 48:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 48:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 54:
|
||||
|
||||
*Error* failed to find identifier i
|
||||
"./tb.sv", 54:
|
||||
|
||||
*Error* failed to find port 'clk' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 60:
|
||||
|
||||
*Error* failed to find port 'clk_enable' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 61:
|
||||
|
||||
*Error* failed to find port 'reset' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 62:
|
||||
|
||||
*Error* failed to find port 'data' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 63:
|
||||
|
||||
*Error* failed to find port 'i_data' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 64:
|
||||
|
||||
*Error* failed to find port 'q_data' for instance 'inst_hilbert_fir_dpi'
|
||||
"./tb.sv", 65:
|
||||
|
||||
*Error* failed to find identifier DPI_hilbert_fir_initialize
|
||||
"./hilbert_fir_dpi.sv", 26:
|
||||
|
||||
*Error* failed to find identifier clk
|
||||
"./hilbert_fir_dpi.sv", 33:
|
||||
|
||||
*Error* failed to find identifier reset
|
||||
"./hilbert_fir_dpi.sv", 33:
|
||||
|
||||
*Error* failed to find identifier reset
|
||||
"./hilbert_fir_dpi.sv", 34:
|
||||
|
||||
*Error* failed to find identifier DPI_hilbert_fir_reset
|
||||
"./hilbert_fir_dpi.sv", 35:
|
||||
|
||||
*Error* failed to find identifier data
|
||||
"./hilbert_fir_dpi.sv", 35:
|
||||
|
||||
*Error* failed to find identifier i_data
|
||||
"./hilbert_fir_dpi.sv", 36:
|
||||
|
||||
*Error* failed to find identifier q_data
|
||||
"./hilbert_fir_dpi.sv", 37:
|
||||
|
||||
*Error* failed to find identifier clk_enable
|
||||
"./hilbert_fir_dpi.sv", 40:
|
||||
|
||||
*Error* failed to find identifier DPI_hilbert_fir
|
||||
"./hilbert_fir_dpi.sv", 41:
|
||||
|
||||
*Error* failed to find identifier data
|
||||
"./hilbert_fir_dpi.sv", 41:
|
||||
|
||||
*Error* failed to find identifier i_data
|
||||
"./hilbert_fir_dpi.sv", 42:
|
||||
|
||||
*Error* failed to find identifier q_data
|
||||
"./hilbert_fir_dpi.sv", 43:
|
||||
|
||||
*Error* view DPI_hilbert_fir_terminate is not defined for instance DPI_hilbert_fir_terminate
|
||||
"./hilbert_fir_dpi.sv", 30:
|
||||
Total 74 error(s), 0 warning(s)
|
|
@ -0,0 +1,10 @@
|
|||
Verdi (R)
|
||||
|
||||
Release Verdi_N-2017.12-SP2 for (RH Linux x86_64/64bit) -- Sun May 27 04:37:01 PDT 2018
|
||||
|
||||
Copyright (c) 1999 - 2018 Synopsys, Inc.
|
||||
This software and the associated documentation are proprietary to Synopsys, Inc.
|
||||
This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.
|
||||
All other use, reproduction, or distribution of this software is strictly prohibited.
|
||||
|
||||
|
File diff suppressed because it is too large
Load Diff
|
@ -0,0 +1,82 @@
|
|||
@verdi rc file Version 1.0
|
||||
[General]
|
||||
saveDB = TRUE
|
||||
relativePath = FALSE
|
||||
saveSingleView = FALSE
|
||||
saveNWaveWinId =
|
||||
VerdiVersion = Verdi_N-2017.12-SP2
|
||||
[KeyNote]
|
||||
Line1 = Automatic Backup 0
|
||||
Line2 = Save Open Database Information: Yes
|
||||
Line3 = Path Option: Absolute Paths
|
||||
Line4 = Windows Option: All Windows
|
||||
[TestBench]
|
||||
ConstrViewShow = 0
|
||||
InherViewShow = 0
|
||||
FSDBMsgShow = 0
|
||||
AnnotationShow = 0
|
||||
powerDumped = 0
|
||||
[hb]
|
||||
postSimFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb
|
||||
syncTime = 0
|
||||
viewport = 0 27 1920 977 0 0 391 1918
|
||||
activeNode = "tb.inst_hilbert_fir_dpi"
|
||||
activeScope = "tb.inst_hilbert_fir_dpi"
|
||||
activeFile = "./hilbert_fir_dpi.sv"
|
||||
interactiveMode = False
|
||||
viewType = Source
|
||||
simulatorMode = False
|
||||
sourceBeginLine = 21
|
||||
baMode = False
|
||||
srcLineNum = True
|
||||
AutoWrap = True
|
||||
IdentifyFalseLogic = False
|
||||
syncSignal = False
|
||||
traceMode = Hierarchical
|
||||
showTraceInSchema = True
|
||||
paMode = False
|
||||
funcMode = False
|
||||
powerAwareAnnot = True
|
||||
amsAnnot = True
|
||||
traceCrossHier = True
|
||||
DnDtraceCrossHierOnly = True
|
||||
traceIncTopPort = False
|
||||
leadingZero = False
|
||||
signalPane = False
|
||||
Scope1 = "tb.inst_hilbert_fir_dpi"
|
||||
Scope2 = "tb"
|
||||
sdfCheckUndef = FALSE
|
||||
simFlow = FALSE
|
||||
[hb.design]
|
||||
importCmd = "-f" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f"
|
||||
workPath = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
invokeDir = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir
|
||||
[hb.sourceTab.1]
|
||||
scope = tb.inst_hilbert_fir_dpi
|
||||
File = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv
|
||||
Line = 22
|
||||
[nMemoryManager]
|
||||
FsdbFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb
|
||||
UserActionNum = 0
|
||||
nMemWindowNum = 0
|
||||
[wave.0]
|
||||
viewPort = 0 28 1920 394 100 65
|
||||
primaryWindow = TRUE
|
||||
SessionFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0
|
||||
displayGrid = FALSE
|
||||
hierarchicalName = FALSE
|
||||
snap = TRUE
|
||||
displayLeadingZeros = FALSE
|
||||
fixDelta = FALSE
|
||||
displayCursorMarker = FALSE
|
||||
autoUpdate = FALSE
|
||||
highlightGlitchs = FALSE
|
||||
waveformSyncCursorMarker = FALSE
|
||||
waveformSyncHorizontalRange = FALSE
|
||||
waveformSyncVerticalscroll = FALSE
|
||||
displayErrors = TRUE
|
||||
displayMsgSymbols = TRUE
|
||||
showMsgDescriptions = TRUE
|
||||
autoFit = FALSE
|
||||
displayDeltaY = FALSE
|
||||
centerCursor = FALSE
|
File diff suppressed because one or more lines are too long
Binary file not shown.
After Width: | Height: | Size: 92 KiB |
|
@ -0,0 +1,52 @@
|
|||
Magic 271485
|
||||
Revision Verdi_N-2017.12-SP2
|
||||
|
||||
; Window Layout <x> <y> <width> <height> <signalwidth> <valuewidth>
|
||||
viewPort 0 28 1920 394 100 65
|
||||
|
||||
; File list:
|
||||
; openDirFile [-d delimiter] [-s time_offset] [-rf auto_bus_rule_file] path_name file_name
|
||||
openDirFile -d / "" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb"
|
||||
|
||||
; file time scale:
|
||||
; fileTimeScale ### s|ms|us|ns|ps
|
||||
|
||||
; signal spacing:
|
||||
signalSpacing 5
|
||||
|
||||
; windowTimeUnit is used for zoom, cursor & marker
|
||||
; waveform viewport range
|
||||
zoom 0.000000 16556.930000
|
||||
cursor 0.000000
|
||||
marker 0.000000
|
||||
|
||||
; user define markers
|
||||
; userMarker time_pos marker_name color linestyle
|
||||
; visible top row signal index
|
||||
top 0
|
||||
; marker line index
|
||||
markerPos 0
|
||||
|
||||
; event list
|
||||
; addEvent event_name event_expression
|
||||
; curEvent event_name
|
||||
|
||||
|
||||
|
||||
COMPLEX_EVENT_BEGIN
|
||||
|
||||
|
||||
COMPLEX_EVENT_END
|
||||
|
||||
|
||||
|
||||
; toolbar current search type
|
||||
; curSTATUS search_type
|
||||
curSTATUS ByChange
|
||||
|
||||
|
||||
addGroup "G1"
|
||||
|
||||
; getSignalForm Scope Hierarchy Status
|
||||
; active file of getSignalForm
|
||||
|
|
@ -0,0 +1,3 @@
|
|||
where
|
||||
detach
|
||||
quit
|
|
@ -0,0 +1,3 @@
|
|||
Command Line: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/platform/LINUXAMD64/bin/Novas
|
||||
uname(Linux cryo1 3.10.0-1160.92.1.el7.x86_64 #1 SMP Tue Jun 20 11:48:01 UTC 2023 x86_64)
|
||||
au time 435.828291 9.073482 5.031866 delta 1206132736 1206132736 total 1620897792 1620897792
|
|
@ -0,0 +1,44 @@
|
|||
verdiWindowResize -win $_Verdi_1 -1 "27" "1920" "977"
|
||||
debImport "-f" \
|
||||
"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f" \
|
||||
-path \
|
||||
{/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir}
|
||||
wvCreateWindow
|
||||
wvSetPosition -win $_nWave2 {("G1" 0)}
|
||||
wvOpenFile -win $_nWave2 \
|
||||
{/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb}
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "."
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "bit" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "q_data_temp" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "."
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "."
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "q_data_temp" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "i_data_temp" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "i_data_temp" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcDeselectAll -win $_nTrace1
|
||||
debExit
|
|
@ -0,0 +1,51 @@
|
|||
verdiWindowResize -win $_Verdi_1 -1 "27" "1920" "977"
|
||||
debImport "-f" \
|
||||
"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f" \
|
||||
-path \
|
||||
{/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir}
|
||||
wvCreateWindow
|
||||
wvSetPosition -win $_nWave2 {("G1" 0)}
|
||||
wvOpenFile -win $_nWave2 \
|
||||
{/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb}
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "q_data" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "."
|
||||
srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcAction -pos 15 5 4 -win $_nTrace1 -name "i_data" -ctrlKey off
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcAction -pos 16 5 2 -win $_nTrace1 -name "q_data" -ctrlKey off
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "q_data_temp" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "i_data_temp" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcAction -pos 41 1 2 -win $_nTrace1 -name "i_data" -ctrlKey off
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcAction -pos 36 1 2 -win $_nTrace1 -name "q_data" -ctrlKey off
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "q_data_temp" -win $_nTrace1
|
||||
srcAction -pos 36 3 5 -win $_nTrace1 -name "q_data_temp" -ctrlKey off
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "i_data_temp" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
srcHBSelect "tb" -win $_nTrace1
|
||||
srcSetScope -win $_nTrace1 "tb" -delim "."
|
||||
srcHBSelect "tb" -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcDeselectAll -win $_nTrace1
|
||||
srcSelect -signal "i_data\[0\]" -win $_nTrace1
|
||||
srcAddSelectedToWave -clipboard -win $_nTrace1
|
||||
wvDrop -win $_nWave2
|
||||
debExit
|
Binary file not shown.
|
@ -0,0 +1,13 @@
|
|||
SRC=$(wildcard *.c)
|
||||
OBJ=$(SRC:.c=.o)
|
||||
|
||||
SHARE_LIB_NAME=DPI_Component.so
|
||||
|
||||
all: $(SRC) $(SHARE_LIB_NAME)
|
||||
@echo "### Successfully generated all binary outputs."
|
||||
|
||||
$(SHARE_LIB_NAME): $(OBJ)
|
||||
gcc -shared -lm $(OBJ) -o $@
|
||||
|
||||
.c.o:
|
||||
gcc -c -fPIC -Wall -pedantic -Wno-long-long -fwrapv -O0 $< -o $@
|
|
@ -0,0 +1,27 @@
|
|||
BasedOnStyle: LLVM
|
||||
Language: Cpp
|
||||
IndentWidth: 2
|
||||
ColumnLimit: 80
|
||||
AllowShortBlocksOnASingleLine: false
|
||||
AllowShortCaseLabelsOnASingleLine: false
|
||||
AllowShortFunctionsOnASingleLine: None
|
||||
AllowShortIfStatementsOnASingleLine: false
|
||||
AllowShortLoopsOnASingleLine: false
|
||||
MaxEmptyLinesToKeep: 1
|
||||
NamespaceIndentation: None
|
||||
CommentPragmas: '^(Return Type|Arguments)\s*'
|
||||
DeriveLineEnding : true
|
||||
BreakBeforeBraces: Custom
|
||||
BraceWrapping:
|
||||
AfterClass: false
|
||||
AfterControlStatement: false
|
||||
AfterEnum: true
|
||||
AfterFunction: true
|
||||
AfterNamespace: false
|
||||
AfterStruct: false
|
||||
AfterUnion: false
|
||||
AfterExternBlock: false
|
||||
BeforeCatch: false
|
||||
BeforeElse: false
|
||||
SplitEmptyFunction: true
|
||||
SplitEmptyRecord: true
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
@ -0,0 +1,95 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: main.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/*************************************************************************/
|
||||
/* This automatically generated example C main file shows how to call */
|
||||
/* entry-point functions that MATLAB Coder generated. You must customize */
|
||||
/* this file for your application. Do not modify this file directly. */
|
||||
/* Instead, make a copy of this file, modify it, and integrate it into */
|
||||
/* your development environment. */
|
||||
/* */
|
||||
/* This file initializes entry-point function arguments to a default */
|
||||
/* size and value before calling the entry-point functions. It does */
|
||||
/* not store or use any values returned from the entry-point functions. */
|
||||
/* If necessary, it does pre-allocate memory for returned values. */
|
||||
/* You can use this file as a starting point for a main function that */
|
||||
/* you can deploy in your application. */
|
||||
/* */
|
||||
/* After you copy the file, and before you deploy it, you must make the */
|
||||
/* following changes: */
|
||||
/* * For variable-size function arguments, change the example sizes to */
|
||||
/* the sizes that your application requires. */
|
||||
/* * Change the example values of function arguments to the values that */
|
||||
/* your application requires. */
|
||||
/* * If the entry-point functions return values, store these values or */
|
||||
/* otherwise use them as required by your application. */
|
||||
/* */
|
||||
/*************************************************************************/
|
||||
|
||||
/* Include Files */
|
||||
#include "main.h"
|
||||
#include "nco.h"
|
||||
#include "rt_nonfinite.h"
|
||||
|
||||
/* Function Declarations */
|
||||
static double argInit_real_T(void);
|
||||
|
||||
/* Function Definitions */
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : double
|
||||
*/
|
||||
static double argInit_real_T(void)
|
||||
{
|
||||
return 0.0;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : int argc
|
||||
* char **argv
|
||||
* Return Type : int
|
||||
*/
|
||||
int main(int argc, char **argv)
|
||||
{
|
||||
(void)argc;
|
||||
(void)argv;
|
||||
/* Initialize the application.
|
||||
You do not need to do this more than one time. */
|
||||
nco_initialize();
|
||||
/* Invoke the entry-point functions.
|
||||
You can call entry-point functions multiple times. */
|
||||
main_nco();
|
||||
/* Terminate the application.
|
||||
You do not need to do this more than one time. */
|
||||
nco_terminate();
|
||||
return 0;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void main_nco(void)
|
||||
{
|
||||
double acc_o;
|
||||
double fcw_tmp;
|
||||
double my_c;
|
||||
double my_s;
|
||||
/* Initialize function 'nco' input arguments. */
|
||||
fcw_tmp = argInit_real_T();
|
||||
/* Call the entry-point 'nco'. */
|
||||
nco(fcw_tmp, fcw_tmp, fcw_tmp, fcw_tmp, &my_c, &my_s, &acc_o);
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for main.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,62 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: main.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/*************************************************************************/
|
||||
/* This automatically generated example C main file shows how to call */
|
||||
/* entry-point functions that MATLAB Coder generated. You must customize */
|
||||
/* this file for your application. Do not modify this file directly. */
|
||||
/* Instead, make a copy of this file, modify it, and integrate it into */
|
||||
/* your development environment. */
|
||||
/* */
|
||||
/* This file initializes entry-point function arguments to a default */
|
||||
/* size and value before calling the entry-point functions. It does */
|
||||
/* not store or use any values returned from the entry-point functions. */
|
||||
/* If necessary, it does pre-allocate memory for returned values. */
|
||||
/* You can use this file as a starting point for a main function that */
|
||||
/* you can deploy in your application. */
|
||||
/* */
|
||||
/* After you copy the file, and before you deploy it, you must make the */
|
||||
/* following changes: */
|
||||
/* * For variable-size function arguments, change the example sizes to */
|
||||
/* the sizes that your application requires. */
|
||||
/* * Change the example values of function arguments to the values that */
|
||||
/* your application requires. */
|
||||
/* * If the entry-point functions return values, store these values or */
|
||||
/* otherwise use them as required by your application. */
|
||||
/* */
|
||||
/*************************************************************************/
|
||||
|
||||
#ifndef MAIN_H
|
||||
#define MAIN_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
#include <stddef.h>
|
||||
#include <stdlib.h>
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/* Function Declarations */
|
||||
extern int main(int argc, char **argv);
|
||||
|
||||
extern void main_nco(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for main.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,211 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_api.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/* Include Files */
|
||||
#include "_coder_nco_api.h"
|
||||
#include "_coder_nco_mex.h"
|
||||
|
||||
/* Variable Definitions */
|
||||
emlrtCTX emlrtRootTLSGlobal = NULL;
|
||||
|
||||
emlrtContext emlrtContextGlobal = {
|
||||
true, /* bFirstTime */
|
||||
false, /* bInitialized */
|
||||
131643U, /* fVersionInfo */
|
||||
NULL, /* fErrorFunction */
|
||||
"nco", /* fFunctionName */
|
||||
NULL, /* fRTCallStack */
|
||||
false, /* bDebugMode */
|
||||
{2045744189U, 2170104910U, 2743257031U, 4284093946U}, /* fSigWrd */
|
||||
NULL /* fSigMem */
|
||||
};
|
||||
|
||||
/* Function Declarations */
|
||||
static real_T b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u,
|
||||
const emlrtMsgIdentifier *parentId);
|
||||
|
||||
static real_T c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src,
|
||||
const emlrtMsgIdentifier *msgId);
|
||||
|
||||
static void emlrtExitTimeCleanupDtorFcn(const void *r);
|
||||
|
||||
static real_T emlrt_marshallIn(const emlrtStack *sp, const mxArray *nullptr,
|
||||
const char_T *identifier);
|
||||
|
||||
static const mxArray *emlrt_marshallOut(const real_T u);
|
||||
|
||||
/* Function Definitions */
|
||||
/*
|
||||
* Arguments : const emlrtStack *sp
|
||||
* const mxArray *u
|
||||
* const emlrtMsgIdentifier *parentId
|
||||
* Return Type : real_T
|
||||
*/
|
||||
static real_T b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u,
|
||||
const emlrtMsgIdentifier *parentId)
|
||||
{
|
||||
real_T y;
|
||||
y = c_emlrt_marshallIn(sp, emlrtAlias(u), parentId);
|
||||
emlrtDestroyArray(&u);
|
||||
return y;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : const emlrtStack *sp
|
||||
* const mxArray *src
|
||||
* const emlrtMsgIdentifier *msgId
|
||||
* Return Type : real_T
|
||||
*/
|
||||
static real_T c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src,
|
||||
const emlrtMsgIdentifier *msgId)
|
||||
{
|
||||
static const int32_T dims = 0;
|
||||
real_T ret;
|
||||
emlrtCheckBuiltInR2012b((emlrtConstCTX)sp, msgId, src, "double", false, 0U,
|
||||
(const void *)&dims);
|
||||
ret = *(real_T *)emlrtMxGetData(src);
|
||||
emlrtDestroyArray(&src);
|
||||
return ret;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : const void *r
|
||||
* Return Type : void
|
||||
*/
|
||||
static void emlrtExitTimeCleanupDtorFcn(const void *r)
|
||||
{
|
||||
emlrtExitTimeCleanup(&emlrtContextGlobal);
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : const emlrtStack *sp
|
||||
* const mxArray *nullptr
|
||||
* const char_T *identifier
|
||||
* Return Type : real_T
|
||||
*/
|
||||
static real_T emlrt_marshallIn(const emlrtStack *sp, const mxArray *nullptr,
|
||||
const char_T *identifier)
|
||||
{
|
||||
emlrtMsgIdentifier thisId;
|
||||
real_T y;
|
||||
thisId.fIdentifier = (const char_T *)identifier;
|
||||
thisId.fParent = NULL;
|
||||
thisId.bParentIsCell = false;
|
||||
y = b_emlrt_marshallIn(sp, emlrtAlias(nullptr), &thisId);
|
||||
emlrtDestroyArray(&nullptr);
|
||||
return y;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : const real_T u
|
||||
* Return Type : const mxArray *
|
||||
*/
|
||||
static const mxArray *emlrt_marshallOut(const real_T u)
|
||||
{
|
||||
const mxArray *m;
|
||||
const mxArray *y;
|
||||
y = NULL;
|
||||
m = emlrtCreateDoubleScalar(u);
|
||||
emlrtAssign(&y, m);
|
||||
return y;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : const mxArray * const prhs[4]
|
||||
* int32_T nlhs
|
||||
* const mxArray *plhs[3]
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_api(const mxArray *const prhs[4], int32_T nlhs, const mxArray *plhs[3])
|
||||
{
|
||||
emlrtStack st = {
|
||||
NULL, /* site */
|
||||
NULL, /* tls */
|
||||
NULL /* prev */
|
||||
};
|
||||
real_T acc;
|
||||
real_T acc_o;
|
||||
real_T clr;
|
||||
real_T fcw;
|
||||
real_T my_c;
|
||||
real_T my_s;
|
||||
real_T ptw;
|
||||
st.tls = emlrtRootTLSGlobal;
|
||||
/* Marshall function inputs */
|
||||
fcw = emlrt_marshallIn(&st, emlrtAliasP(prhs[0]), "fcw");
|
||||
ptw = emlrt_marshallIn(&st, emlrtAliasP(prhs[1]), "ptw");
|
||||
clr = emlrt_marshallIn(&st, emlrtAliasP(prhs[2]), "clr");
|
||||
acc = emlrt_marshallIn(&st, emlrtAliasP(prhs[3]), "acc");
|
||||
/* Invoke the target function */
|
||||
nco(fcw, ptw, clr, acc, &my_c, &my_s, &acc_o);
|
||||
/* Marshall function outputs */
|
||||
plhs[0] = emlrt_marshallOut(my_c);
|
||||
if (nlhs > 1) {
|
||||
plhs[1] = emlrt_marshallOut(my_s);
|
||||
}
|
||||
if (nlhs > 2) {
|
||||
plhs[2] = emlrt_marshallOut(acc_o);
|
||||
}
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_atexit(void)
|
||||
{
|
||||
emlrtStack st = {
|
||||
NULL, /* site */
|
||||
NULL, /* tls */
|
||||
NULL /* prev */
|
||||
};
|
||||
mexFunctionCreateRootTLS();
|
||||
st.tls = emlrtRootTLSGlobal;
|
||||
emlrtPushHeapReferenceStackR2021a(
|
||||
&st, false, NULL, (void *)&emlrtExitTimeCleanupDtorFcn, NULL, NULL, NULL);
|
||||
emlrtEnterRtStackR2012b(&st);
|
||||
emlrtDestroyRootTLS(&emlrtRootTLSGlobal);
|
||||
nco_xil_terminate();
|
||||
nco_xil_shutdown();
|
||||
emlrtExitTimeCleanup(&emlrtContextGlobal);
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_initialize(void)
|
||||
{
|
||||
emlrtStack st = {
|
||||
NULL, /* site */
|
||||
NULL, /* tls */
|
||||
NULL /* prev */
|
||||
};
|
||||
mexFunctionCreateRootTLS();
|
||||
st.tls = emlrtRootTLSGlobal;
|
||||
emlrtClearAllocCountR2012b(&st, false, 0U, NULL);
|
||||
emlrtEnterRtStackR2012b(&st);
|
||||
emlrtFirstTimeR2012b(emlrtRootTLSGlobal);
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_terminate(void)
|
||||
{
|
||||
emlrtDestroyRootTLS(&emlrtRootTLSGlobal);
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for _coder_nco_api.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,54 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_api.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef _CODER_NCO_API_H
|
||||
#define _CODER_NCO_API_H
|
||||
|
||||
/* Include Files */
|
||||
#include "emlrt.h"
|
||||
#include "mex.h"
|
||||
#include "tmwtypes.h"
|
||||
#include <string.h>
|
||||
|
||||
/* Variable Declarations */
|
||||
extern emlrtCTX emlrtRootTLSGlobal;
|
||||
extern emlrtContext emlrtContextGlobal;
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/* Function Declarations */
|
||||
void nco(real_T fcw, real_T ptw, real_T clr, real_T acc, real_T *my_c,
|
||||
real_T *my_s, real_T *acc_o);
|
||||
|
||||
void nco_api(const mxArray *const prhs[4], int32_T nlhs,
|
||||
const mxArray *plhs[3]);
|
||||
|
||||
void nco_atexit(void);
|
||||
|
||||
void nco_initialize(void);
|
||||
|
||||
void nco_terminate(void);
|
||||
|
||||
void nco_xil_shutdown(void);
|
||||
|
||||
void nco_xil_terminate(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for _coder_nco_api.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,89 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_info.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/* Include Files */
|
||||
#include "_coder_nco_info.h"
|
||||
#include "emlrt.h"
|
||||
#include "tmwtypes.h"
|
||||
|
||||
/* Function Declarations */
|
||||
static const mxArray *c_emlrtMexFcnResolvedFunctionsI(void);
|
||||
|
||||
/* Function Definitions */
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : const mxArray *
|
||||
*/
|
||||
static const mxArray *c_emlrtMexFcnResolvedFunctionsI(void)
|
||||
{
|
||||
static const int32_T iv[2] = {0, 1};
|
||||
const mxArray *m;
|
||||
const mxArray *nameCaptureInfo;
|
||||
nameCaptureInfo = NULL;
|
||||
m = emlrtCreateNumericArray(2, (const void *)&iv[0], mxDOUBLE_CLASS, mxREAL);
|
||||
emlrtAssign(&nameCaptureInfo, m);
|
||||
return nameCaptureInfo;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : mxArray *
|
||||
*/
|
||||
mxArray *emlrtMexFcnProperties(void)
|
||||
{
|
||||
mxArray *xEntryPoints;
|
||||
mxArray *xInputs;
|
||||
mxArray *xResult;
|
||||
const char_T *propFieldName[9] = {"Version",
|
||||
"ResolvedFunctions",
|
||||
"Checksum",
|
||||
"EntryPoints",
|
||||
"CoverageInfo",
|
||||
"IsPolymorphic",
|
||||
"PropertyList",
|
||||
"UUID",
|
||||
"ClassEntryPointIsHandle"};
|
||||
const char_T *epFieldName[8] = {
|
||||
"Name", "NumberOfInputs", "NumberOfOutputs", "ConstantInputs",
|
||||
"FullPath", "TimeStamp", "Constructor", "Visible"};
|
||||
xEntryPoints =
|
||||
emlrtCreateStructMatrix(1, 1, 8, (const char_T **)&epFieldName[0]);
|
||||
xInputs = emlrtCreateLogicalMatrix(1, 4);
|
||||
emlrtSetField(xEntryPoints, 0, "Name", emlrtMxCreateString("nco"));
|
||||
emlrtSetField(xEntryPoints, 0, "NumberOfInputs",
|
||||
emlrtMxCreateDoubleScalar(4.0));
|
||||
emlrtSetField(xEntryPoints, 0, "NumberOfOutputs",
|
||||
emlrtMxCreateDoubleScalar(3.0));
|
||||
emlrtSetField(xEntryPoints, 0, "ConstantInputs", xInputs);
|
||||
emlrtSetField(xEntryPoints, 0, "FullPath",
|
||||
emlrtMxCreateString("C:\\Users\\Administrator\\Desktop\\gc_"
|
||||
"awg\\demod_algorithm\\daq_matlab\\nco.m"));
|
||||
emlrtSetField(xEntryPoints, 0, "TimeStamp",
|
||||
emlrtMxCreateDoubleScalar(739716.09597222228));
|
||||
emlrtSetField(xEntryPoints, 0, "Constructor",
|
||||
emlrtMxCreateLogicalScalar(false));
|
||||
emlrtSetField(xEntryPoints, 0, "Visible", emlrtMxCreateLogicalScalar(true));
|
||||
xResult =
|
||||
emlrtCreateStructMatrix(1, 1, 9, (const char_T **)&propFieldName[0]);
|
||||
emlrtSetField(xResult, 0, "Version",
|
||||
emlrtMxCreateString("24.1.0.2537033 (R2024a)"));
|
||||
emlrtSetField(xResult, 0, "ResolvedFunctions",
|
||||
(mxArray *)c_emlrtMexFcnResolvedFunctionsI());
|
||||
emlrtSetField(xResult, 0, "Checksum",
|
||||
emlrtMxCreateString("UZNV6GSDjNHqUjgyPa3PcG"));
|
||||
emlrtSetField(xResult, 0, "EntryPoints", xEntryPoints);
|
||||
return xResult;
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for _coder_nco_info.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,33 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_info.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef _CODER_NCO_INFO_H
|
||||
#define _CODER_NCO_INFO_H
|
||||
|
||||
/* Include Files */
|
||||
#include "mex.h"
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/* Function Declarations */
|
||||
MEXFUNCTION_LINKAGE mxArray *emlrtMexFcnProperties(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for _coder_nco_info.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,93 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_mex.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/* Include Files */
|
||||
#include "_coder_nco_mex.h"
|
||||
#include "_coder_nco_api.h"
|
||||
|
||||
/* Function Definitions */
|
||||
/*
|
||||
* Arguments : int32_T nlhs
|
||||
* mxArray *plhs[]
|
||||
* int32_T nrhs
|
||||
* const mxArray *prhs[]
|
||||
* Return Type : void
|
||||
*/
|
||||
void mexFunction(int32_T nlhs, mxArray *plhs[], int32_T nrhs,
|
||||
const mxArray *prhs[])
|
||||
{
|
||||
mexAtExit(&nco_atexit);
|
||||
/* Module initialization. */
|
||||
nco_initialize();
|
||||
/* Dispatch the entry-point. */
|
||||
unsafe_nco_mexFunction(nlhs, plhs, nrhs, prhs);
|
||||
/* Module termination. */
|
||||
nco_terminate();
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : emlrtCTX
|
||||
*/
|
||||
emlrtCTX mexFunctionCreateRootTLS(void)
|
||||
{
|
||||
emlrtCreateRootTLSR2022a(&emlrtRootTLSGlobal, &emlrtContextGlobal, NULL, 1,
|
||||
NULL, "GBK", true);
|
||||
return emlrtRootTLSGlobal;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : int32_T nlhs
|
||||
* mxArray *plhs[3]
|
||||
* int32_T nrhs
|
||||
* const mxArray *prhs[4]
|
||||
* Return Type : void
|
||||
*/
|
||||
void unsafe_nco_mexFunction(int32_T nlhs, mxArray *plhs[3], int32_T nrhs,
|
||||
const mxArray *prhs[4])
|
||||
{
|
||||
emlrtStack st = {
|
||||
NULL, /* site */
|
||||
NULL, /* tls */
|
||||
NULL /* prev */
|
||||
};
|
||||
const mxArray *b_prhs[4];
|
||||
const mxArray *outputs[3];
|
||||
int32_T i;
|
||||
st.tls = emlrtRootTLSGlobal;
|
||||
/* Check for proper number of arguments. */
|
||||
if (nrhs != 4) {
|
||||
emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:WrongNumberOfInputs", 5, 12, 4, 4,
|
||||
3, "nco");
|
||||
}
|
||||
if (nlhs > 3) {
|
||||
emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:TooManyOutputArguments", 3, 4, 3,
|
||||
"nco");
|
||||
}
|
||||
/* Call the function. */
|
||||
b_prhs[0] = prhs[0];
|
||||
b_prhs[1] = prhs[1];
|
||||
b_prhs[2] = prhs[2];
|
||||
b_prhs[3] = prhs[3];
|
||||
nco_api(b_prhs, nlhs, outputs);
|
||||
/* Copy over outputs to the caller. */
|
||||
if (nlhs < 1) {
|
||||
i = 1;
|
||||
} else {
|
||||
i = nlhs;
|
||||
}
|
||||
emlrtReturnArrays(i, &plhs[0], &outputs[0]);
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for _coder_nco_mex.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,41 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: _coder_nco_mex.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef _CODER_NCO_MEX_H
|
||||
#define _CODER_NCO_MEX_H
|
||||
|
||||
/* Include Files */
|
||||
#include "emlrt.h"
|
||||
#include "mex.h"
|
||||
#include "tmwtypes.h"
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/* Function Declarations */
|
||||
MEXFUNCTION_LINKAGE void mexFunction(int32_T nlhs, mxArray *plhs[],
|
||||
int32_T nrhs, const mxArray *prhs[]);
|
||||
|
||||
emlrtCTX mexFunctionCreateRootTLS(void);
|
||||
|
||||
void unsafe_nco_mexFunction(int32_T nlhs, mxArray *plhs[3], int32_T nrhs,
|
||||
const mxArray *prhs[4]);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for _coder_nco_mex.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,309 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: nco.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/* Include Files */
|
||||
#include "nco.h"
|
||||
#include "rt_nonfinite.h"
|
||||
#include "rt_nonfinite.h"
|
||||
#include <math.h>
|
||||
|
||||
/* Function Declarations */
|
||||
static double rt_roundd_snf(double u);
|
||||
|
||||
/* Function Definitions */
|
||||
/*
|
||||
* Arguments : double u
|
||||
* Return Type : double
|
||||
*/
|
||||
static double rt_roundd_snf(double u)
|
||||
{
|
||||
double y;
|
||||
if (fabs(u) < 4.503599627370496E+15) {
|
||||
if (u >= 0.5) {
|
||||
y = floor(u + 0.5);
|
||||
} else if (u > -0.5) {
|
||||
y = u * 0.0;
|
||||
} else {
|
||||
y = ceil(u - 0.5);
|
||||
}
|
||||
} else {
|
||||
y = u;
|
||||
}
|
||||
return y;
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : double fcw
|
||||
* double ptw
|
||||
* double clr
|
||||
* double acc
|
||||
* double *my_c
|
||||
* double *my_s
|
||||
* double *acc_o
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco(double fcw, double ptw, double clr, double acc, double *my_c,
|
||||
double *my_s, double *acc_o)
|
||||
{
|
||||
static const int res_cos[96] = {
|
||||
39, 39, 39, 39, 39, 39, 39, 39, 39,
|
||||
38, 38, 38, 38, 37, 37, 37, 36, 36,
|
||||
35, 35, 35, 34, 34, 33, 33, 32, 31,
|
||||
31, 30, 30, 29, 28, 0, 79, 158, 237,
|
||||
315, 394, 472, 550, 628, 705, 782, 858, 934,
|
||||
1009, 1084, 1158, 1231, 1304, 1376, 1446, 1517, 1586,
|
||||
1654, 1721, 1787, 1852, 1916, 1979, 2041, 2101, 2161,
|
||||
2218, 262143, 262065, 261828, 261434, 260882, 260173, 259307, 258285,
|
||||
257107, 255774, 254288, 252648, 250856, 248913, 246820, 244578, 242189,
|
||||
239655, 236975, 234153, 231190, 228088, 224848, 221473, 217965, 214325,
|
||||
210556, 206660, 202640, 198498, 194236, 189857};
|
||||
static const int res_sin[96] = {
|
||||
0, 1, 2, 3, 4, 5, 6, 7, 8,
|
||||
9, 10, 11, 12, 13, 14, 15, 16, 16,
|
||||
17, 18, 19, 20, 21, 22, 22, 23, 24,
|
||||
25, 25, 26, 27, 28, 3217, 3216, 3213, 3208,
|
||||
3202, 3193, 3182, 3170, 3155, 3139, 3121, 3101, 3079,
|
||||
3055, 3029, 3002, 2972, 2941, 2908, 2874, 2837, 2799,
|
||||
2759, 2718, 2675, 2630, 2584, 2536, 2487, 2436, 2384,
|
||||
2330, 0, 6433, 12863, 19284, 25695, 32089, 38464, 44817,
|
||||
51142, 57436, 63696, 69917, 76096, 82230, 88314, 94344, 100318,
|
||||
106232, 112081, 117863, 123574, 129210, 134769, 140246, 145639, 150945,
|
||||
156159, 161279, 166302, 171225, 176045, 180759};
|
||||
double cn;
|
||||
double longp;
|
||||
double pha;
|
||||
double pha_n;
|
||||
double r;
|
||||
double x;
|
||||
double y;
|
||||
int acc_o_tmp;
|
||||
/* function
|
||||
* [my_c,my_s,acc_o,pha,qua,longp,longn,segp,segn,pha_p,pha_n,cp,sp,cn,sn]=nco(fcw,ptw,clr,acc)
|
||||
*/
|
||||
x = acc + fcw;
|
||||
if (rtIsNaN(x) || rtIsInf(x)) {
|
||||
pha = rtNaN;
|
||||
} else if (x == 0.0) {
|
||||
pha = 0.0;
|
||||
} else {
|
||||
pha = fmod(x, 2.81474976710656E+14);
|
||||
if (pha == 0.0) {
|
||||
pha = 0.0;
|
||||
} else if (x < 0.0) {
|
||||
pha += 2.81474976710656E+14;
|
||||
}
|
||||
}
|
||||
acc_o_tmp = (clr == 0.0);
|
||||
*acc_o = (double)acc_o_tmp * pha;
|
||||
/* res = coef(); */
|
||||
/* pha = round(acc_o/2^29) + ptw*2^3; */
|
||||
/* qua = floor(mod(pha/2^16,8)); */
|
||||
/* seg = floor(mod((pha/2^11),32)); */
|
||||
/* segp = seg + 1; */
|
||||
/* segn = 32 - seg; */
|
||||
/* pha = mod(pha,2^11); */
|
||||
/* */
|
||||
/* c2_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",...
|
||||
*/
|
||||
/* "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"];
|
||||
*/
|
||||
/* c1_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",...
|
||||
*/
|
||||
/* "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"];
|
||||
*/
|
||||
/* */
|
||||
pha = floor((double)acc_o_tmp * acc / 5.36870912E+8) + ptw * 8.0;
|
||||
x = pha / 65536.0;
|
||||
if (rtIsNaN(x) || rtIsInf(x)) {
|
||||
r = rtNaN;
|
||||
} else if (x == 0.0) {
|
||||
r = 0.0;
|
||||
} else {
|
||||
r = fmod(x, 8.0);
|
||||
if (r == 0.0) {
|
||||
r = 0.0;
|
||||
} else if (x < 0.0) {
|
||||
r += 8.0;
|
||||
}
|
||||
}
|
||||
if (rtIsNaN(pha) || rtIsInf(pha)) {
|
||||
longp = rtNaN;
|
||||
} else if (pha == 0.0) {
|
||||
longp = 0.0;
|
||||
} else {
|
||||
longp = fmod(pha, 65536.0);
|
||||
if (longp == 0.0) {
|
||||
longp = 0.0;
|
||||
} else if (pha < 0.0) {
|
||||
longp += 65536.0;
|
||||
}
|
||||
}
|
||||
/* 低16位 */
|
||||
x = longp / 2048.0;
|
||||
if (rtIsNaN(x)) {
|
||||
pha = rtNaN;
|
||||
} else if (x == 0.0) {
|
||||
pha = 0.0;
|
||||
} else {
|
||||
pha = fmod(x, 32.0);
|
||||
if (pha == 0.0) {
|
||||
pha = 0.0;
|
||||
} else if (x < 0.0) {
|
||||
pha += 32.0;
|
||||
}
|
||||
}
|
||||
y = floor(pha);
|
||||
x = (65535.0 - longp) / 2048.0;
|
||||
if (rtIsNaN(x)) {
|
||||
pha = rtNaN;
|
||||
} else if (x == 0.0) {
|
||||
pha = 0.0;
|
||||
} else {
|
||||
pha = fmod(x, 32.0);
|
||||
if (pha == 0.0) {
|
||||
pha = 0.0;
|
||||
} else if (x < 0.0) {
|
||||
pha += 32.0;
|
||||
}
|
||||
}
|
||||
x = floor(pha);
|
||||
if (rtIsNaN(longp)) {
|
||||
pha = rtNaN;
|
||||
pha_n = rtNaN;
|
||||
} else {
|
||||
if (longp == 0.0) {
|
||||
pha = 0.0;
|
||||
} else {
|
||||
pha = fmod(longp, 2048.0);
|
||||
if (pha == 0.0) {
|
||||
pha = 0.0;
|
||||
} else if (longp < 0.0) {
|
||||
pha += 2048.0;
|
||||
}
|
||||
}
|
||||
if (65535.0 - longp == 0.0) {
|
||||
pha_n = 0.0;
|
||||
} else {
|
||||
pha_n = fmod(65535.0 - longp, 2048.0);
|
||||
if (pha_n == 0.0) {
|
||||
pha_n = 0.0;
|
||||
} else if (65535.0 - longp < 0.0) {
|
||||
pha_n += 2048.0;
|
||||
}
|
||||
}
|
||||
}
|
||||
/* cp = round((round((round(res_cos(segp,1)*pha_p/2^11) +
|
||||
* res_cos(segp,2))*pha_p/2^10) + res_cos(segp,3))/2^3); */
|
||||
/* sp = round((round((round(res_sin(segp,1)*pha_p/2^11) +
|
||||
* res_sin(segp,2))*pha_p/2^10) + res_sin(segp,3))/2^3); */
|
||||
/* cn = round((round((round(res_cos(segn,1)*pha_n/2^11) +
|
||||
* res_cos(segn,2))*pha_n/2^10) + res_cos(segn,3))/2^3); */
|
||||
/* sn = round((round((round(res_sin(segn,1)*pha_n/2^11) +
|
||||
* res_sin(segn,2))*pha_n/2^10) + res_sin(segn,3))/2^3); */
|
||||
longp = rt_roundd_snf(
|
||||
((double)res_cos[(int)(y + 1.0) + 63] -
|
||||
rt_roundd_snf(
|
||||
(rt_roundd_snf((double)res_cos[(int)(y + 1.0) - 1] * pha / 2048.0) +
|
||||
(double)res_cos[(int)(y + 1.0) + 31]) *
|
||||
pha / 1024.0)) /
|
||||
8.0);
|
||||
cn = rt_roundd_snf(
|
||||
((double)res_cos[(int)(x + 1.0) + 63] -
|
||||
rt_roundd_snf((rt_roundd_snf((double)res_cos[(int)(x + 1.0) - 1] *
|
||||
pha_n / 2048.0) +
|
||||
(double)res_cos[(int)(x + 1.0) + 31]) *
|
||||
pha_n / 1024.0)) /
|
||||
8.0);
|
||||
*my_s = rt_roundd_snf(
|
||||
((double)res_sin[(int)(y + 1.0) + 63] +
|
||||
rt_roundd_snf(
|
||||
((double)res_sin[(int)(y + 1.0) + 31] -
|
||||
rt_roundd_snf((double)res_sin[(int)(y + 1.0) - 1] * pha / 2048.0)) *
|
||||
pha / 1024.0)) /
|
||||
8.0);
|
||||
pha = rt_roundd_snf(
|
||||
((double)res_sin[(int)(x + 1.0) + 63] +
|
||||
rt_roundd_snf(((double)res_sin[(int)(x + 1.0) + 31] -
|
||||
rt_roundd_snf((double)res_sin[(int)(x + 1.0) - 1] *
|
||||
pha_n / 2048.0)) *
|
||||
pha_n / 1024.0)) /
|
||||
8.0);
|
||||
switch ((int)floor(r)) {
|
||||
case 0:
|
||||
*my_c = longp;
|
||||
break;
|
||||
case 1:
|
||||
*my_c = pha;
|
||||
*my_s = cn;
|
||||
break;
|
||||
case 2:
|
||||
*my_c = -*my_s;
|
||||
*my_s = longp;
|
||||
break;
|
||||
case 3:
|
||||
*my_c = -cn;
|
||||
*my_s = pha;
|
||||
break;
|
||||
case 4:
|
||||
*my_c = -longp;
|
||||
*my_s = -*my_s;
|
||||
break;
|
||||
case 5:
|
||||
*my_c = -pha;
|
||||
*my_s = -cn;
|
||||
break;
|
||||
case 6:
|
||||
*my_c = *my_s;
|
||||
*my_s = -longp;
|
||||
break;
|
||||
case 7:
|
||||
*my_c = cn;
|
||||
*my_s = -pha;
|
||||
break;
|
||||
default:
|
||||
*my_c = 0.0;
|
||||
*my_s = 0.0;
|
||||
break;
|
||||
}
|
||||
if (*my_c >= 32768.0) {
|
||||
*my_c = 32767.0;
|
||||
} else if (*my_c < -32768.0) {
|
||||
*my_c = -32768.0;
|
||||
}
|
||||
if (*my_s >= 32768.0) {
|
||||
*my_s = 32767.0;
|
||||
} else if (*my_s < -32768.0) {
|
||||
*my_s = -32768.0;
|
||||
}
|
||||
/* result.pha = round(acc/2^29 + ptw*2^3); */
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_initialize(void)
|
||||
{
|
||||
rt_InitInfAndNaN();
|
||||
}
|
||||
|
||||
/*
|
||||
* Arguments : void
|
||||
* Return Type : void
|
||||
*/
|
||||
void nco_terminate(void)
|
||||
{
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for nco.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,4 @@
|
|||
EXPORTS
|
||||
nco
|
||||
nco_initialize
|
||||
nco_terminate
|
|
@ -0,0 +1,40 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: nco.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef NCO_H
|
||||
#define NCO_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
#include <stddef.h>
|
||||
#include <stdlib.h>
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/* Function Declarations */
|
||||
extern void nco(double fcw, double ptw, double clr, double acc, double *my_c,
|
||||
double *my_s, double *acc_o);
|
||||
|
||||
extern void nco_initialize(void);
|
||||
|
||||
extern void nco_terminate(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for nco.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
Binary file not shown.
|
@ -0,0 +1,52 @@
|
|||
/*
|
||||
|
||||
*File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.c
|
||||
*Created: 2025-04-09 02:18:36
|
||||
*Generated by MATLAB 24.1 and HDL Verifier 24.1
|
||||
|
||||
*/
|
||||
|
||||
#include "nco.h"
|
||||
#include "nco_dpi.h"
|
||||
#include <string.h>
|
||||
|
||||
|
||||
|
||||
|
||||
DPI_DLL_EXPORT void * DPI_nco_initialize(void* existhandle)
|
||||
{
|
||||
|
||||
nco_initialize();
|
||||
existhandle=NULL;
|
||||
return NULL;
|
||||
|
||||
}
|
||||
|
||||
DPI_DLL_EXPORT void * DPI_nco_reset(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o)
|
||||
{
|
||||
DPI_nco_terminate(objhandle);
|
||||
objhandle=NULL;
|
||||
objhandle=DPI_nco_initialize(NULL);
|
||||
DPI_nco(objhandle,fcw,ptw,clr,acc,my_c,my_s,acc_o);
|
||||
DPI_nco_terminate(objhandle);
|
||||
objhandle=NULL;
|
||||
return DPI_nco_initialize(NULL);
|
||||
|
||||
}
|
||||
|
||||
DPI_DLL_EXPORT void DPI_nco(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o)
|
||||
{
|
||||
|
||||
|
||||
nco(fcw,ptw,clr,acc,my_c,my_s,acc_o);
|
||||
objhandle=NULL;
|
||||
|
||||
|
||||
}
|
||||
|
||||
DPI_DLL_EXPORT void DPI_nco_terminate(void* existhandle)
|
||||
{
|
||||
existhandle=NULL;
|
||||
nco_terminate();
|
||||
|
||||
}
|
|
@ -0,0 +1,34 @@
|
|||
/*
|
||||
|
||||
*File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.h
|
||||
*Created: 2025-04-09 02:18:36
|
||||
*Generated by MATLAB 24.1 and HDL Verifier 24.1
|
||||
|
||||
*/
|
||||
|
||||
#ifndef RTW_HEADER_nco_dpi_h_
|
||||
#define RTW_HEADER_nco_dpi_h_
|
||||
|
||||
#ifdef __cplusplus
|
||||
#define DPI_LINK_DECL extern "C"
|
||||
#else
|
||||
#define DPI_LINK_DECL
|
||||
#endif
|
||||
|
||||
#ifndef DPI_DLL_EXPORT
|
||||
#if defined(_MSC_VER) || defined(__MINGW64__)
|
||||
#define DPI_DLL_EXPORT __declspec(dllexport)
|
||||
#else
|
||||
#define DPI_DLL_EXPORT
|
||||
#endif
|
||||
#endif
|
||||
|
||||
DPI_LINK_DECL
|
||||
DPI_DLL_EXPORT void * DPI_nco_initialize(void* existhandle);
|
||||
DPI_LINK_DECL
|
||||
DPI_DLL_EXPORT void * DPI_nco_reset(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o);
|
||||
DPI_LINK_DECL
|
||||
DPI_DLL_EXPORT void DPI_nco(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o);
|
||||
DPI_LINK_DECL
|
||||
DPI_DLL_EXPORT void DPI_nco_terminate(void* existhandle);
|
||||
#endif
|
Binary file not shown.
|
@ -0,0 +1,54 @@
|
|||
// File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.sv
|
||||
// Created: 2025-04-09 02:18:36
|
||||
// Generated by MATLAB 24.1 and HDL Verifier 24.1
|
||||
|
||||
`timescale 1ns / 1ns
|
||||
|
||||
import nco_dpi_pkg::*;
|
||||
|
||||
|
||||
|
||||
module nco_dpi(
|
||||
input bit clk,
|
||||
input bit clk_enable,
|
||||
input bit reset,
|
||||
input real fcw,
|
||||
input real ptw,
|
||||
input real clr,
|
||||
input real acc,
|
||||
output real my_c,
|
||||
output real my_s,
|
||||
output real acc_o
|
||||
);
|
||||
|
||||
chandle objhandle=null;
|
||||
real my_c_temp;
|
||||
real my_s_temp;
|
||||
real acc_o_temp;
|
||||
|
||||
|
||||
initial begin
|
||||
objhandle=DPI_nco_initialize(objhandle);
|
||||
end
|
||||
|
||||
final begin
|
||||
DPI_nco_terminate(objhandle);
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge reset) begin
|
||||
if(reset== 1'b1) begin
|
||||
objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,my_c_temp,my_s_temp,acc_o_temp);
|
||||
my_c<=my_c_temp;
|
||||
my_s<=my_s_temp;
|
||||
acc_o<=acc_o_temp;
|
||||
|
||||
end
|
||||
else if(clk_enable) begin
|
||||
DPI_nco(objhandle,fcw,ptw,clr,acc,my_c_temp,my_s_temp,acc_o_temp);
|
||||
my_c<=my_c_temp;
|
||||
my_s<=my_s_temp;
|
||||
acc_o<=acc_o_temp;
|
||||
|
||||
end
|
||||
end
|
||||
endmodule
|
|
@ -0,0 +1,17 @@
|
|||
// File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi_pkg.sv
|
||||
// Created: 2025-04-09 02:18:36
|
||||
// Generated by MATLAB 24.1 and HDL Verifier 24.1
|
||||
|
||||
`timescale 1ns / 1ns
|
||||
package nco_dpi_pkg;
|
||||
|
||||
|
||||
// Declare imported C functions
|
||||
import "DPI-C" function chandle DPI_nco_initialize(input chandle existhandle);
|
||||
import "DPI-C" function chandle DPI_nco_reset(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real my_c,output real my_s,output real acc_o);
|
||||
import "DPI-C" function void DPI_nco(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real my_c,output real my_s,output real acc_o);
|
||||
import "DPI-C" function void DPI_nco_terminate(input chandle existhandle);
|
||||
|
||||
|
||||
|
||||
endpackage : nco_dpi_pkg
|
|
@ -0,0 +1,22 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: nco_types.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef NCO_TYPES_H
|
||||
#define NCO_TYPES_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
|
||||
#endif
|
||||
/*
|
||||
* File trailer for nco_types.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,130 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rtGetInf.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/*
|
||||
* Abstract:
|
||||
* MATLAB for code generation function to initialize non-finite, Inf and
|
||||
* MinusInf
|
||||
*/
|
||||
/* Include Files */
|
||||
#include "rtGetInf.h"
|
||||
#include "rt_nonfinite.h"
|
||||
|
||||
/*
|
||||
* Function: rtGetInf
|
||||
* ================================================================== Abstract:
|
||||
* Initialize rtInf needed by the generated code.
|
||||
*/
|
||||
real_T rtGetInf(void)
|
||||
{
|
||||
real_T inf = 0.0;
|
||||
uint16_T one = 1U;
|
||||
enum
|
||||
{
|
||||
LittleEndian,
|
||||
BigEndian
|
||||
} machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian;
|
||||
switch (machByteOrder) {
|
||||
case LittleEndian: {
|
||||
union {
|
||||
LittleEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0x7FF00000U;
|
||||
tmpVal.bitVal.words.wordL = 0x00000000U;
|
||||
inf = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
case BigEndian: {
|
||||
union {
|
||||
BigEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0x7FF00000U;
|
||||
tmpVal.bitVal.words.wordL = 0x00000000U;
|
||||
inf = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
}
|
||||
return inf;
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtGetInfF
|
||||
* ================================================================= Abstract:
|
||||
* Initialize rtInfF needed by the generated code.
|
||||
*/
|
||||
real32_T rtGetInfF(void)
|
||||
{
|
||||
IEEESingle infF;
|
||||
infF.wordL.wordLuint = 0x7F800000U;
|
||||
return infF.wordL.wordLreal;
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtGetMinusInf
|
||||
* ============================================================= Abstract:
|
||||
* Initialize rtMinusInf needed by the generated code.
|
||||
*/
|
||||
real_T rtGetMinusInf(void)
|
||||
{
|
||||
real_T minf = 0.0;
|
||||
uint16_T one = 1U;
|
||||
enum
|
||||
{
|
||||
LittleEndian,
|
||||
BigEndian
|
||||
} machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian;
|
||||
switch (machByteOrder) {
|
||||
case LittleEndian: {
|
||||
union {
|
||||
LittleEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0xFFF00000U;
|
||||
tmpVal.bitVal.words.wordL = 0x00000000U;
|
||||
minf = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
case BigEndian: {
|
||||
union {
|
||||
BigEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0xFFF00000U;
|
||||
tmpVal.bitVal.words.wordL = 0x00000000U;
|
||||
minf = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
}
|
||||
return minf;
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtGetMinusInfF
|
||||
* ============================================================ Abstract:
|
||||
* Initialize rtMinusInfF needed by the generated code.
|
||||
*/
|
||||
real32_T rtGetMinusInfF(void)
|
||||
{
|
||||
IEEESingle minfF;
|
||||
minfF.wordL.wordLuint = 0xFF800000U;
|
||||
return minfF.wordL.wordLreal;
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for rtGetInf.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,34 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rtGetInf.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef RTGETINF_H
|
||||
#define RTGETINF_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
extern real_T rtGetInf(void);
|
||||
extern real32_T rtGetInfF(void);
|
||||
extern real_T rtGetMinusInf(void);
|
||||
extern real32_T rtGetMinusInfF(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
#endif
|
||||
/*
|
||||
* File trailer for rtGetInf.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
Binary file not shown.
|
@ -0,0 +1,97 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rtGetNaN.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/*
|
||||
* Abstract:
|
||||
* MATLAB for code generation function to initialize non-finite, NaN
|
||||
*/
|
||||
/* Include Files */
|
||||
#include "rtGetNaN.h"
|
||||
#include "rt_nonfinite.h"
|
||||
|
||||
/*
|
||||
* Function: rtGetNaN
|
||||
* ======================================================================
|
||||
* Abstract:
|
||||
* Initialize rtNaN needed by the generated code.
|
||||
* NaN is initialized as non-signaling. Assumes IEEE.
|
||||
*/
|
||||
real_T rtGetNaN(void)
|
||||
{
|
||||
real_T nan = 0.0;
|
||||
uint16_T one = 1U;
|
||||
enum
|
||||
{
|
||||
LittleEndian,
|
||||
BigEndian
|
||||
} machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian;
|
||||
switch (machByteOrder) {
|
||||
case LittleEndian: {
|
||||
union {
|
||||
LittleEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0xFFF80000U;
|
||||
tmpVal.bitVal.words.wordL = 0x00000000U;
|
||||
nan = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
case BigEndian: {
|
||||
union {
|
||||
BigEndianIEEEDouble bitVal;
|
||||
real_T fltVal;
|
||||
} tmpVal;
|
||||
|
||||
tmpVal.bitVal.words.wordH = 0x7FFFFFFFU;
|
||||
tmpVal.bitVal.words.wordL = 0xFFFFFFFFU;
|
||||
nan = tmpVal.fltVal;
|
||||
break;
|
||||
}
|
||||
}
|
||||
return nan;
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtGetNaNF
|
||||
* =====================================================================
|
||||
* Abstract:
|
||||
* Initialize rtNaNF needed by the generated code.
|
||||
* NaN is initialized as non-signaling. Assumes IEEE
|
||||
*/
|
||||
real32_T rtGetNaNF(void)
|
||||
{
|
||||
IEEESingle nanF = {{0}};
|
||||
uint16_T one = 1U;
|
||||
enum
|
||||
{
|
||||
LittleEndian,
|
||||
BigEndian
|
||||
} machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian;
|
||||
switch (machByteOrder) {
|
||||
case LittleEndian: {
|
||||
nanF.wordL.wordLuint = 0xFFC00000U;
|
||||
break;
|
||||
}
|
||||
|
||||
case BigEndian: {
|
||||
nanF.wordL.wordLuint = 0x7FFFFFFFU;
|
||||
break;
|
||||
}
|
||||
}
|
||||
|
||||
return nanF.wordL.wordLreal;
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for rtGetNaN.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,32 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rtGetNaN.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef RTGETNAN_H
|
||||
#define RTGETNAN_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
extern real_T rtGetNaN(void);
|
||||
extern real32_T rtGetNaNF(void);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
#endif
|
||||
/*
|
||||
* File trailer for rtGetNaN.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
Binary file not shown.
|
@ -0,0 +1,87 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rt_nonfinite.c
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
/*
|
||||
* Abstract:
|
||||
* MATLAB for code generation function to initialize non-finites,
|
||||
* (Inf, NaN and -Inf).
|
||||
*/
|
||||
/* Include Files */
|
||||
#include "rt_nonfinite.h"
|
||||
#include "rtGetInf.h"
|
||||
#include "rtGetNaN.h"
|
||||
|
||||
real_T rtInf;
|
||||
real_T rtMinusInf;
|
||||
real_T rtNaN;
|
||||
real32_T rtInfF;
|
||||
real32_T rtMinusInfF;
|
||||
real32_T rtNaNF;
|
||||
|
||||
/*
|
||||
* Function: rt_InitInfAndNaN ==================================================
|
||||
* Abstract:
|
||||
* Initialize the rtInf, rtMinusInf, and rtNaN needed by the
|
||||
* generated code. NaN is initialized as non-signaling. Assumes IEEE.
|
||||
*/
|
||||
void rt_InitInfAndNaN(void)
|
||||
{
|
||||
rtNaN = rtGetNaN();
|
||||
rtNaNF = rtGetNaNF();
|
||||
rtInf = rtGetInf();
|
||||
rtInfF = rtGetInfF();
|
||||
rtMinusInf = rtGetMinusInf();
|
||||
rtMinusInfF = rtGetMinusInfF();
|
||||
}
|
||||
/*
|
||||
* Function: rtIsInf ==================================================
|
||||
* Abstract:
|
||||
* Test if value is infinite
|
||||
*/
|
||||
boolean_T rtIsInf(real_T value)
|
||||
{
|
||||
return ((value == rtInf || value == rtMinusInf) ? true : false);
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtIsInfF =================================================
|
||||
* Abstract:
|
||||
* Test if single-precision value is infinite
|
||||
*/
|
||||
boolean_T rtIsInfF(real32_T value)
|
||||
{
|
||||
return ((value == rtInfF || value == rtMinusInfF) ? true : false);
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtIsNaN ==================================================
|
||||
* Abstract:
|
||||
* Test if value is not a number
|
||||
*/
|
||||
boolean_T rtIsNaN(real_T value)
|
||||
{
|
||||
return ((value != value) ? true : false);
|
||||
}
|
||||
|
||||
/*
|
||||
* Function: rtIsNaNF =================================================
|
||||
* Abstract:
|
||||
* Test if single-precision value is not a number
|
||||
*/
|
||||
boolean_T rtIsNaNF(real32_T value)
|
||||
{
|
||||
return ((value != value) ? true : false);
|
||||
}
|
||||
|
||||
/*
|
||||
* File trailer for rt_nonfinite.c
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
|
@ -0,0 +1,64 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rt_nonfinite.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef RT_NONFINITE_H
|
||||
#define RT_NONFINITE_H
|
||||
|
||||
/* Include Files */
|
||||
#include "rtwtypes.h"
|
||||
|
||||
typedef struct {
|
||||
struct {
|
||||
uint32_T wordH;
|
||||
uint32_T wordL;
|
||||
} words;
|
||||
} BigEndianIEEEDouble;
|
||||
|
||||
typedef struct {
|
||||
struct {
|
||||
uint32_T wordL;
|
||||
uint32_T wordH;
|
||||
} words;
|
||||
} LittleEndianIEEEDouble;
|
||||
|
||||
typedef struct {
|
||||
union {
|
||||
real32_T wordLreal;
|
||||
uint32_T wordLuint;
|
||||
} wordL;
|
||||
} IEEESingle;
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
extern real_T rtInf;
|
||||
extern real_T rtMinusInf;
|
||||
extern real_T rtNaN;
|
||||
extern real32_T rtInfF;
|
||||
extern real32_T rtMinusInfF;
|
||||
extern real32_T rtNaNF;
|
||||
|
||||
extern void rt_InitInfAndNaN(void);
|
||||
|
||||
extern boolean_T rtIsInf(real_T value);
|
||||
extern boolean_T rtIsInfF(real32_T value);
|
||||
extern boolean_T rtIsNaN(real_T value);
|
||||
extern boolean_T rtIsNaNF(real32_T value);
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
#endif
|
||||
/*
|
||||
* File trailer for rt_nonfinite.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
Binary file not shown.
|
@ -0,0 +1 @@
|
|||
Code generation project for nco using toolchain "LCC-win64 v2.4.1 | gmake (64-bit Windows)". MATLAB root = D:\matlab2024a.
|
|
@ -0,0 +1,166 @@
|
|||
/*
|
||||
* Academic License - for use in teaching, academic research, and meeting
|
||||
* course requirements at degree granting institutions only. Not for
|
||||
* government, commercial, or other organizational use.
|
||||
* File: rtwtypes.h
|
||||
*
|
||||
* MATLAB Coder version : 24.1
|
||||
* C/C++ source code generated on : 2025-04-09 02:18:34
|
||||
*/
|
||||
|
||||
#ifndef RTWTYPES_H
|
||||
#define RTWTYPES_H
|
||||
|
||||
/* Include Files */
|
||||
|
||||
#ifdef __cplusplus
|
||||
extern "C" {
|
||||
#endif
|
||||
|
||||
/*=======================================================================*
|
||||
* Target hardware information
|
||||
* Device type: Generic->MATLAB Host Computer
|
||||
* Number of bits: char: 8 short: 16 int: 32
|
||||
* long: 32 long long: 64
|
||||
|
||||
* native word size: 64
|
||||
* Byte ordering: LittleEndian
|
||||
* Signed integer division rounds to: Zero
|
||||
* Shift right on a signed integer as arithmetic shift: on
|
||||
*=======================================================================*/
|
||||
|
||||
/*=======================================================================*
|
||||
* Fixed width word size data types: *
|
||||
* int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers *
|
||||
* uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers *
|
||||
* real32_T, real64_T - 32 and 64 bit floating point numbers *
|
||||
*=======================================================================*/
|
||||
typedef signed char int8_T;
|
||||
typedef unsigned char uint8_T;
|
||||
typedef short int16_T;
|
||||
typedef unsigned short uint16_T;
|
||||
typedef int int32_T;
|
||||
typedef unsigned int uint32_T;
|
||||
typedef long long int64_T;
|
||||
typedef unsigned long long uint64_T;
|
||||
typedef float real32_T;
|
||||
typedef double real64_T;
|
||||
|
||||
/*===========================================================================*
|
||||
* Generic type definitions: real_T, time_T, boolean_T, int_T, uint_T, *
|
||||
* ulong_T, ulonglong_T, char_T and byte_T. *
|
||||
*===========================================================================*/
|
||||
|
||||
typedef double real_T;
|
||||
typedef double time_T;
|
||||
typedef unsigned char boolean_T;
|
||||
typedef int int_T;
|
||||
typedef unsigned int uint_T;
|
||||
typedef unsigned long ulong_T;
|
||||
typedef unsigned long long ulonglong_T;
|
||||
typedef char char_T;
|
||||
typedef char_T byte_T;
|
||||
|
||||
/*===========================================================================*
|
||||
* Complex number type definitions *
|
||||
*===========================================================================*/
|
||||
#define CREAL_T
|
||||
|
||||
typedef struct {
|
||||
real32_T re;
|
||||
real32_T im;
|
||||
} creal32_T;
|
||||
|
||||
typedef struct {
|
||||
real64_T re;
|
||||
real64_T im;
|
||||
} creal64_T;
|
||||
|
||||
typedef struct {
|
||||
real_T re;
|
||||
real_T im;
|
||||
} creal_T;
|
||||
|
||||
typedef struct {
|
||||
int8_T re;
|
||||
int8_T im;
|
||||
} cint8_T;
|
||||
|
||||
typedef struct {
|
||||
uint8_T re;
|
||||
uint8_T im;
|
||||
} cuint8_T;
|
||||
|
||||
typedef struct {
|
||||
int16_T re;
|
||||
int16_T im;
|
||||
} cint16_T;
|
||||
|
||||
typedef struct {
|
||||
uint16_T re;
|
||||
uint16_T im;
|
||||
} cuint16_T;
|
||||
|
||||
typedef struct {
|
||||
int32_T re;
|
||||
int32_T im;
|
||||
} cint32_T;
|
||||
|
||||
typedef struct {
|
||||
uint32_T re;
|
||||
uint32_T im;
|
||||
} cuint32_T;
|
||||
|
||||
typedef struct {
|
||||
int64_T re;
|
||||
int64_T im;
|
||||
} cint64_T;
|
||||
|
||||
typedef struct {
|
||||
uint64_T re;
|
||||
uint64_T im;
|
||||
} cuint64_T;
|
||||
|
||||
/*=======================================================================*
|
||||
* Min and Max: *
|
||||
* int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers *
|
||||
* uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers *
|
||||
*=======================================================================*/
|
||||
|
||||
#define MAX_int8_T ((int8_T)(127))
|
||||
#define MIN_int8_T ((int8_T)(-128))
|
||||
#define MAX_uint8_T ((uint8_T)(255))
|
||||
#define MIN_uint8_T ((uint8_T)(0))
|
||||
#define MAX_int16_T ((int16_T)(32767))
|
||||
#define MIN_int16_T ((int16_T)(-32768))
|
||||
#define MAX_uint16_T ((uint16_T)(65535))
|
||||
#define MIN_uint16_T ((uint16_T)(0))
|
||||
#define MAX_int32_T ((int32_T)(2147483647))
|
||||
#define MIN_int32_T ((int32_T)(-2147483647 - 1))
|
||||
#define MAX_uint32_T ((uint32_T)(0xFFFFFFFFU))
|
||||
#define MIN_uint32_T ((uint32_T)(0))
|
||||
#define MAX_int64_T ((int64_T)(9223372036854775807LL))
|
||||
#define MIN_int64_T ((int64_T)(-9223372036854775807LL - 1LL))
|
||||
#define MAX_uint64_T ((uint64_T)(0xFFFFFFFFFFFFFFFFULL))
|
||||
#define MIN_uint64_T ((uint64_T)(0ULL))
|
||||
|
||||
/* Logical type definitions */
|
||||
#if (!defined(__cplusplus)) && (!defined(__true_false_are_keywords)) && \
|
||||
(!defined(__bool_true_false_are_defined))
|
||||
#ifndef false
|
||||
#define false 0U
|
||||
#endif
|
||||
#ifndef true
|
||||
#define true 1U
|
||||
#endif
|
||||
#endif
|
||||
|
||||
#ifdef __cplusplus
|
||||
}
|
||||
#endif
|
||||
#endif
|
||||
/*
|
||||
* File trailer for rtwtypes.h
|
||||
*
|
||||
* [EOF]
|
||||
*/
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue