From b6cf93542a310eb1420a5675a7813f148d0e9fb8 Mon Sep 17 00:00:00 2001 From: zhangyz Date: Thu, 10 Apr 2025 15:57:53 +0800 Subject: [PATCH] refermodel_v1.0 --- hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb | Bin 11790 -> 11822 bytes hilbert_dpi/codegen/dll/hilbert_fir/com.log | 378 +- .../dll/hilbert_fir/csrc/_prev_cginfo.json | 533 +++ .../csrc/archive.6/_30843_archive_1.a.info | 3 + .../csrc/archive.6/_prev_archive_1.a.info | 9 + .../codegen/dll/hilbert_fir/csrc/cgincr.sdb | Bin 24592 -> 24579 bytes .../codegen/dll/hilbert_fir/csrc/cginfo.json | 598 ++-- .../dll/hilbert_fir/csrc/cgproc.30843.json | 3036 +++++++++++++++++ .../codegen/dll/hilbert_fir/csrc/checksum | Bin 279 -> 279 bytes .../codegen/dll/hilbert_fir/csrc/cwidincr.db | Bin 298 -> 298 bytes .../codegen/dll/hilbert_fir/csrc/filelist.cu | 29 +- .../dll/hilbert_fir/csrc/pre.cgincr.sdb | Bin 0 -> 24579 bytes .../codegen/dll/hilbert_fir/hilbert_fir.c | 3 +- .../codegen/dll/hilbert_fir/novas.conf | 372 ++ hilbert_dpi/codegen/dll/hilbert_fir/novas.rc | 1259 +++++++ .../codegen/dll/hilbert_fir/novas_dump.log | 83 +- hilbert_dpi/codegen/dll/hilbert_fir/sim.log | 38 +- hilbert_dpi/codegen/dll/hilbert_fir/simv | Bin 969424 -> 958864 bytes .../hilbert_fir/simv.daidir/.vcs.timestamp | 14 +- .../dll/hilbert_fir/simv.daidir/binmap.sdb | Bin 513721 -> 516495 bytes .../dll/hilbert_fir/simv.daidir/cgname.json | 80 +- .../hilbert_fir/simv.daidir/constraint.sdb | Bin 8651 -> 8651 bytes .../simv.daidir/debug_dump/.version | 2 +- .../debug_dump/AllModulesSkeletons.sdb | Bin 1430 -> 1430 bytes .../simv.daidir/debug_dump/HsimSigOptDb.sdb | Bin 888 -> 957 bytes .../simv.daidir/debug_dump/dve_debug.db.gz | Bin 81807 -> 81826 bytes .../debug_dump/fsearch/.create_fsearch_db | 4 +- .../debug_dump/fsearch/check_fsearch_db | 22 +- .../debug_dump/fsearch/idents_3hnd6b.xml.gz | Bin 0 -> 113617 bytes .../debug_dump/fsearch/idents_tapi.xml.gz | Bin 222 -> 232 bytes .../simv.daidir/debug_dump/src_files_verilog | 6 +- .../simv.daidir/debug_dump/vir.sdb | Bin 3474164 -> 3474537 bytes .../dll/hilbert_fir/simv.daidir/elabmoddb.sdb | Bin 13539 -> 13578 bytes .../simv.daidir/hslevel_callgraph.sdb | Bin 410 -> 410 bytes .../hilbert_fir/simv.daidir/hslevel_level.sdb | Bin 347 -> 347 bytes .../simv.daidir/hslevel_rtime_level.sdb | Bin 248 -> 248 bytes .../dll/hilbert_fir/simv.daidir/pcc.sdb | Bin 788 -> 788 bytes .../dll/hilbert_fir/simv.daidir/prof.sdb | Bin 136381 -> 127601 bytes .../dll/hilbert_fir/simv.daidir/simv.kdb | 2 +- .../dll/hilbert_fir/simv.daidir/tt.sdb | Bin 610736 -> 610736 bytes .../simv.daidir/vcselab_misc_hsim_elab.db | 2 +- .../simv.daidir/vcselab_misc_hsim_fegate.db | Bin 1352 -> 1324 bytes .../simv.daidir/vcselab_misc_hsim_merge.db | Bin 1553 -> 1530 bytes .../simv.daidir/vcselab_misc_hsim_uds.db | 24 + .../simv.daidir/vcselab_misc_partition.db | Bin 1268 -> 1256 bytes .../simv.daidir/vcselab_misc_partitionDbg.db | Bin 892 -> 892 bytes .../simv.daidir/vcselab_misc_tCEYNb | Bin 0 -> 180 bytes .../simv.daidir/vcselab_misc_vpdnodenums | Bin 80 -> 80 bytes hilbert_dpi/codegen/dll/hilbert_fir/tb.sv | 15 +- .../hilbert_fir/verdiLog/.diagnose.oneSearch | 0 .../dll/hilbert_fir/verdiLog/ToNetlist.log | 0 .../dll/hilbert_fir/verdiLog/compiler.log | 234 ++ .../codegen/dll/hilbert_fir/verdiLog/exe.log | 0 .../dll/hilbert_fir/verdiLog/novas.log | 10 + .../codegen/dll/hilbert_fir/verdiLog/novas.rc | 1259 +++++++ .../hilbert_fir/verdiLog/novas_autosave.ses | 82 + .../verdiLog/novas_autosave.ses.config | 55 + .../verdiLog/novas_autosave.ses.png | Bin 0 -> 94086 bytes .../verdiLog/novas_autosave.ses.wave.0 | 52 + .../codegen/dll/hilbert_fir/verdiLog/pes.bat | 3 + .../dll/hilbert_fir/verdiLog/turbo.log | 3 + .../dll/hilbert_fir/verdiLog/verdi.cmd | 44 + .../dll/hilbert_fir/verdiLog/verdi.cmd.bak | 51 + .../hilbert_fir/verdiLog/verdi_perf_err.log | 0 .../codegen/dll/nco_model/DPI_Component.so | Bin 0 -> 17472 bytes nco_dpi/codegen/dll/nco_model/Porting_DPIC.mk | 13 + nco_dpi/codegen/dll/nco_model/_clang-format | 27 + nco_dpi/codegen/dll/nco_model/buildInfo.mat | Bin 0 -> 7191 bytes nco_dpi/codegen/dll/nco_model/codeInfo.mat | Bin 0 -> 14458 bytes .../codegen/dll/nco_model/codedescriptor.dmr | Bin 0 -> 833536 bytes nco_dpi/codegen/dll/nco_model/compileInfo.mat | Bin 0 -> 3453 bytes nco_dpi/codegen/dll/nco_model/examples/main.c | 95 + nco_dpi/codegen/dll/nco_model/examples/main.h | 62 + .../dll/nco_model/interface/_coder_nco_api.c | 211 ++ .../dll/nco_model/interface/_coder_nco_api.h | 54 + .../dll/nco_model/interface/_coder_nco_info.c | 89 + .../dll/nco_model/interface/_coder_nco_info.h | 33 + .../dll/nco_model/interface/_coder_nco_mex.c | 93 + .../dll/nco_model/interface/_coder_nco_mex.h | 41 + nco_dpi/codegen/dll/nco_model/nco.c | 309 ++ nco_dpi/codegen/dll/nco_model/nco.def | 4 + nco_dpi/codegen/dll/nco_model/nco.h | 40 + nco_dpi/codegen/dll/nco_model/nco.o | Bin 0 -> 9112 bytes nco_dpi/codegen/dll/nco_model/nco_dpi.c | 52 + nco_dpi/codegen/dll/nco_model/nco_dpi.h | 34 + nco_dpi/codegen/dll/nco_model/nco_dpi.o | Bin 0 -> 2264 bytes nco_dpi/codegen/dll/nco_model/nco_dpi.sv | 54 + nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv | 17 + nco_dpi/codegen/dll/nco_model/nco_types.h | 22 + nco_dpi/codegen/dll/nco_model/rtGetInf.c | 130 + nco_dpi/codegen/dll/nco_model/rtGetInf.h | 34 + nco_dpi/codegen/dll/nco_model/rtGetInf.o | Bin 0 -> 1784 bytes nco_dpi/codegen/dll/nco_model/rtGetNaN.c | 97 + nco_dpi/codegen/dll/nco_model/rtGetNaN.h | 32 + nco_dpi/codegen/dll/nco_model/rtGetNaN.o | Bin 0 -> 1704 bytes nco_dpi/codegen/dll/nco_model/rt_nonfinite.c | 87 + nco_dpi/codegen/dll/nco_model/rt_nonfinite.h | 64 + nco_dpi/codegen/dll/nco_model/rt_nonfinite.o | Bin 0 -> 2880 bytes nco_dpi/codegen/dll/nco_model/rtw_proj.tmw | 1 + nco_dpi/codegen/dll/nco_model/rtwtypes.h | 166 + nco_dpi/nco.m | 163 +- readout_awg_rm.sv | 1032 ++++-- readout_daq_rm.sv | 1643 +++++---- 103 files changed, 11190 insertions(+), 1814 deletions(-) create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/_prev_cginfo.json create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.6/_30843_archive_1.a.info create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.6/_prev_archive_1.a.info create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgproc.30843.json create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/pre.cgincr.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/novas.conf create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/novas.rc create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_3hnd6b.xml.gz create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_tCEYNb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/.diagnose.oneSearch create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/ToNetlist.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/compiler.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/exe.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.rc create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.config create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.png create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0 create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/pes.bat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/turbo.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd.bak create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi_perf_err.log create mode 100755 nco_dpi/codegen/dll/nco_model/DPI_Component.so create mode 100644 nco_dpi/codegen/dll/nco_model/Porting_DPIC.mk create mode 100644 nco_dpi/codegen/dll/nco_model/_clang-format create mode 100644 nco_dpi/codegen/dll/nco_model/buildInfo.mat create mode 100644 nco_dpi/codegen/dll/nco_model/codeInfo.mat create mode 100644 nco_dpi/codegen/dll/nco_model/codedescriptor.dmr create mode 100644 nco_dpi/codegen/dll/nco_model/compileInfo.mat create mode 100644 nco_dpi/codegen/dll/nco_model/examples/main.c create mode 100644 nco_dpi/codegen/dll/nco_model/examples/main.h create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.c create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.h create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.c create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.h create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.c create mode 100644 nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.h create mode 100644 nco_dpi/codegen/dll/nco_model/nco.c create mode 100644 nco_dpi/codegen/dll/nco_model/nco.def create mode 100644 nco_dpi/codegen/dll/nco_model/nco.h create mode 100644 nco_dpi/codegen/dll/nco_model/nco.o create mode 100644 nco_dpi/codegen/dll/nco_model/nco_dpi.c create mode 100644 nco_dpi/codegen/dll/nco_model/nco_dpi.h create mode 100644 nco_dpi/codegen/dll/nco_model/nco_dpi.o create mode 100644 nco_dpi/codegen/dll/nco_model/nco_dpi.sv create mode 100644 nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv create mode 100644 nco_dpi/codegen/dll/nco_model/nco_types.h create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetInf.c create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetInf.h create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetInf.o create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetNaN.c create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetNaN.h create mode 100644 nco_dpi/codegen/dll/nco_model/rtGetNaN.o create mode 100644 nco_dpi/codegen/dll/nco_model/rt_nonfinite.c create mode 100644 nco_dpi/codegen/dll/nco_model/rt_nonfinite.h create mode 100644 nco_dpi/codegen/dll/nco_model/rt_nonfinite.o create mode 100644 nco_dpi/codegen/dll/nco_model/rtw_proj.tmw create mode 100644 nco_dpi/codegen/dll/nco_model/rtwtypes.h diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb b/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb index 7f2113e7aa447b1987f83c175a25beb40d7d1a03..d16aa4a69ec9818526deeed0526188f632a547cc 100644 GIT binary patch delta 1221 zcmZ`(drVVj6hGg+ebE;sSZuM75|Q!a<8I=b#b^&K^R?TCT`pz7&lln?hmKLEonApwi%teEo_FJOX>dG$$8!1Ip6o4 zb8>PI)r{1n0+MONcjXeABBKAuaHlb5E4yD$EiqKN+s#g2o7rqLTWv+iHk-L1zra2g ze34FD%oLLWELl-Y@}f4TU*OXu40)WdlvqdyA3{Bddb8f06U}&a#irxuy74hhJk6^W zUh*;DsVJpef$(XHl<|2*I{m+8j2qMwYJKF?Z_8!lHOp;?w@b!v8zyNLO{5~k{S6X6 zY|0})jYmvpRZcL2F2SJykS6xR?p_KeG0Q4UQUC>n5-^g=5=S2mbU7vg1xlsEavZ1v zAprpSZT?E;P?Hlwv5}odAG$!ru=Rm@rlX~;iRtX>a@RLEGH%w(v~l%pOM9TU!R_%e z?LMY#cV+E0P%;6|A8>Ka4em0Myk{@t_IO=fTcDQpd6uS#{)S4@S0Kw6wwi#Tn|9=W7#=!S*9AK4vLRt+e;-x0r}H} z)KG4aQG~!wicoL{!dlxX@hWqVl2I*?+5orL2d%X}g*@u^MmxSG_J>_t%1r32mJ2d8 zf}DjoB@SCqp-KZlo)8mLPzu07Mt7h(nZ?y!!2&tUI9q~zJ7oWWG&YISRq4Z;6<69Z_ z3jO&%D-L9SziH`I)$PRK4})7a^v@pW{iX$}Ykv04Pt%7l??0dNS?kV{#4X9^GCUl& zG2El^*iSzAr~1yGH>7j3D%VDK*ZX;)S0>DJUk%kyZ{NB@RdI zaQM;9P`B;j!D~hKnP-2zTjBZObobJc&lj!^bgS!hrG^>BrqGh{k|e`=&@)??aKkH%{EY_sNlntMhE|>VKqLnV1N~a1r|p zzojc#Fi_@% delta 1196 zcmZ`%eN0nV6hHU9me-a-tI$!$heaKw?MllNYzNv^IwQKVPGEx(k_vqlSwIlbppg}w z8=!8;-i(mBEaC@~$pA}aF3ZvfCc5DQ2}^X&Y)niXnPhC)oY4?=9;N=blY72?=iGDe zIXPdHeo&eONC}P~b0suIM7w=xWkpz*%y%T^X!B}z>D=B19c$OIYqK)#Syr2lwXzw5 zjjeR@zo}v}z#2nH&zM6xEcf%t5(`& zgfuc0m`>=AbZiBBnNx;YG0jlYOhFKnSRqIi0z)VPBS{=_9;TJKm;)3j6wbx8WdL{* z`JE;`|2Wkj>%eGuW}Rq51+3!VH^iCi_gd?)%9`Yr>r#%UcjR5XHNN`o zym|Mw8K!n>_}cTz?1H+4p6GM)BSke`P5FQHz44%@wARsZcTWBxOPM%(!J)wA){59Sw&RCV#G-(B{$zW&kNrri9! zR~FtoHTGMMZU4kk$KPIf=XlnrcBAx+cGR9?Z8N;$jRl?OPBmGej8_)iM5VHX$mgQ_@j|-LpR!?)n9ob*$*q9~!uK%6&z1;lsGB9ba{oWgj?k@{_sZCx^CN zy3jVGJ-MUlpnihtU(oQ;`tWOF;T&Xq6c&)exQJPi!+uyJB<>L?2y6#JI3Q6p#KzDN P?*h4=gKQ#(@!0Mgp6E&P!Y@&2dZOktbVv(!YP}%%kNQH-E zm*AiG@A}VAoGdJFBC$n8KY`EcoXu5Z=iA3yV?58r1v9*gjW3-XFJHo9%d%wk=Iinj zqAa2BGgoc?Y;s15<>UXg9-9yQ>oBrBTpE62^XGsE%q*?}iySv!51GIm@j2nv6Qczi@pq1IE2h3%X7voE`Qz3Z`6i0<3af4gMX3LU%9*OB7m_z;YnHVe;{b#4SXFb zbK=28tJG4_##Hy@n|~IZZeJ6=P59_cyG*K{nii~6)e-ZNn)wh!?^`P^1fu&|mQVj!K4p37@ns8cP4S#6VAX!d&`;#j zGN~p0%|9}wO&K`rFRl-nH+`?jI=Ap>-eL~39;qq6T>kP*cK!6tjo(Ff&CZOMp z42(#z2jYXkl@Fn-_yaNv_&}a!-8oq@nvX?!g|zWx?P$aLN!MjEww;L;FV4GEwyxkO ze@tJll>L|Rr`ONqZ`!`~$%Nuhk*l6^E^_?QxA?%XQ#Ve&d6!%LXz7fmXI{nk?03HY zRTBABExCGzl*HZ>FV>&k79;FCyPJ3O(va`AYocpbUi;s0b`0%l~R< delta 912 zcmZoZz&POmqXq-B&=CeWNDzQ9qj$lDz>Mfm6E&P!1k?&6H|CcJv6P7{TDbYSkO~in zUPThPxWCC-{CR--%IU2giwW#)sy!9H^r0rr7)|>Z71Tfa~p57As9|#sO&p&=; z(tbO}Bch8-E4`GGZ~j?uPf`9r&*XOuT_+=h?(m$S8uR?@K5gq$NX+G4H5zbm7~?$l@~pH6$!%c^hX{XL1Tmi_nv zr@c-F!KS)AZ4&j$%|9}wHNXDMV*luqeK6EY@C9G$3d^XKUt@LLzx|JQPWDf zbrnmNy4eq7%bU|>XwNe~|d9()L$%or`jqVsj(?aA`dhV_27H|&fYeoM>b*)h6c`ZSnso%|b0YsZ4bTPH_D>25IH zK6y8kehsCi<3Q@#Cws@KaX|tj9mK7M3a^e+=bR6+6fAl>Zc`Z}&}l%&fk8Aska9ud q2Lt)@5qyX$28QTQ5EhJPD1z`~QlKhip!^01%~SxP<32!Wh6@0Kw^>gB diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cginfo.json b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cginfo.json index 1e9c38a..4e981d7 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cginfo.json +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cginfo.json @@ -1,33 +1,89 @@ { + "cycles_program_begin": 46913067006791720, + "CompileProcesses": [ + "cgproc.30843.json" + ], + "stat": { + "ru_self_cgstart": { + "ru_nivcsw": 64, + "ru_minflt": 56261, + "ru_utime_sec": 4.0316229999999997, + "ru_stime_sec": 0.129051, + "ru_majflt": 0, + "ru_maxrss_kb": 142848, + "ru_nvcsw": 53 + }, + "ru_self_end": { + "ru_nivcsw": 70, + "ru_minflt": 76745, + "ru_utime_sec": 6.6901710000000003, + "ru_stime_sec": 0.21506900000000001, + "ru_majflt": 0, + "ru_maxrss_kb": 157556, + "ru_nvcsw": 55 + }, + "nQuads": 208661, + "ru_childs_cgstart": { + "ru_nivcsw": 22, + "ru_minflt": 10655, + "ru_utime_sec": 0.012933999999999999, + "ru_stime_sec": 0.048105000000000002, + "ru_majflt": 0, + "ru_maxrss_kb": 80664, + "ru_nvcsw": 25 + }, + "nMops": 651808, + "totalObjSize": 7764404, + "outputSizePerQuad": 37.210614345756994, + "cpu_cycles_cgstart": 46913078098391944, + "ru_childs_end": { + "ru_nivcsw": 24, + "ru_minflt": 11249, + "ru_utime_sec": 0.014881999999999999, + "ru_stime_sec": 0.056870999999999998, + "ru_majflt": 0, + "ru_maxrss_kb": 81080, + "ru_nvcsw": 26 + }, + "mop/quad": 3.1237653418703064, + "cpu_cycles_end": 46913085264808758, + "cpu_cycles_total": 18258017038, + "mopSpeed": 244994.91824080914, + "quadSpeed": 78429.360540290203, + "Frontend(%)": 60.321029528029072, + "CodeGen(%)": 39.678970471970928, + "peak_mem_kb": 358488, + "realTime": 7.047152042388916 + }, "perf": [ { "stat": [ "main", "entry", - 0.020707130432128906, - 0.039099000000000002, - 0.036090999999999998, + 0.024910211563110352, + 0.045830999999999997, + 0.023911999999999999, 216488, 216488, 0.0, 0.0, - 1730131073.195446, - 11745225862042164 + 1743657057.8589971, + 46913067007045896 ], "sub": [ { "stat": [ "doParsingAndDesignResolution", "entry", - 0.15212321281433105, - 0.044595000000000003, - 0.046533999999999999, + 0.15891218185424805, + 0.054503999999999997, + 0.035992999999999997, 276604, 277404, 0.0, 0.0, - 1730131073.3268621, - 11745226203685144 + 1743657057.9929991, + 46913067355413302 ], "sub": [] }, @@ -35,15 +91,15 @@ "stat": [ "doParsingAndDesignResolution", "exit", - 1.0939841270446777, - 0.96159300000000003, - 0.070749000000000006, + 1.3002250194549561, + 1.1659710000000001, + 0.065138000000000001, 317052, 317700, 0.0, 0.0, - 1730131074.268723, - 11745228652625968 + 1743657059.1343119, + 46913070322933126 ], "sub": [] }, @@ -51,30 +107,30 @@ "stat": [ "doPostDesignResolutionToVir2Vcs", "entry", - 1.1145992279052734, - 0.98216300000000001, - 0.070794999999999997, + 1.3278160095214844, + 1.1935629999999999, + 0.065138000000000001, 317052, 317700, 0.0, 0.0, - 1730131074.2893381, - 11745228706154144 + 1743657059.1619029, + 46913070394624256 ], "sub": [ { "stat": [ "doUptoVir2VcsNoSepCleanup", "entry", - 1.5995831489562988, - 1.4648889999999999, - 0.072844999999999993, - 318276, - 318280, + 1.9573922157287598, + 1.8191920000000001, + 0.069083000000000006, + 318340, + 318344, 0.0, 0.0, - 1730131074.774322, - 11745229967166934 + 1743657059.7914791, + 46913072031523952 ], "sub": [] }, @@ -82,15 +138,15 @@ "stat": [ "doUptoVir2VcsNoSepCleanup", "exit", - 3.2459311485290527, - 3.0216460000000001, - 0.110803, - 326388, - 341816, - 0.011849999999999999, - 0.038986, - 1730131076.42067, - 11745234247658520 + 4.0247271060943604, + 3.8195519999999998, + 0.108043, + 326436, + 341880, + 0.0040509999999999999, + 0.023310000000000001, + 1743657061.858814, + 46913077406627122 ], "sub": [] }, @@ -98,15 +154,15 @@ "stat": [ "doRadify_vir2vcsAll", "entry", - 3.2460072040557861, - 3.0217200000000002, - 0.110806, - 326388, - 341816, - 0.011849999999999999, - 0.038986, - 1730131076.4207461, - 11745234247780974 + 4.024813175201416, + 3.8196370000000002, + 0.108046, + 326436, + 341880, + 0.0040509999999999999, + 0.023310000000000001, + 1743657061.8589001, + 46913077406776834 ], "sub": [] }, @@ -114,15 +170,15 @@ "stat": [ "doRadify_vir2vcsAll", "exit", - 3.4042630195617676, - 3.1709719999999999, - 0.11981, - 347888, - 347888, - 0.011849999999999999, - 0.038986, - 1730131076.5790019, - 11745234659423574 + 4.2390851974487305, + 4.0158969999999998, + 0.126059, + 348396, + 348396, + 0.0040509999999999999, + 0.023310000000000001, + 1743657062.0731721, + 46913077964111682 ], "sub": [] } @@ -132,15 +188,15 @@ "stat": [ "doPostDesignResolutionToVir2Vcs", "exit", - 3.4043512344360352, - 3.1710579999999999, - 0.119813, - 347888, - 347888, - 0.011849999999999999, - 0.038986, - 1730131076.5790901, - 11745234659472496 + 4.2391960620880127, + 4.0160049999999998, + 0.12606200000000001, + 348396, + 348396, + 0.0040509999999999999, + 0.023310000000000001, + 1743657062.073283, + 46913077964158736 ], "sub": [] }, @@ -148,30 +204,30 @@ "stat": [ "doGAToPass2", "entry", - 3.4043660163879395, - 3.1710720000000001, - 0.119813, - 347888, - 347888, - 0.011849999999999999, - 0.038986, - 1730131076.5791049, - 11745234659505566 + 4.2392129898071289, + 4.0160210000000003, + 0.12606300000000001, + 348396, + 348396, + 0.0040509999999999999, + 0.023310000000000001, + 1743657062.0732999, + 46913077964195446 ], "sub": [ { "stat": [ "DoPass2", "entry", - 3.4561002254486084, - 3.1891539999999998, - 0.12181400000000001, - 340880, - 347888, - 0.022261, - 0.060856, - 1730131076.6308391, - 11745234794108230 + 4.2907390594482422, + 4.0315450000000004, + 0.129049, + 341388, + 348396, + 0.012933999999999999, + 0.048105000000000002, + 1743657062.124826, + 46913078098243200 ], "sub": [] }, @@ -179,15 +235,15 @@ "stat": [ "DoPass2", "exit", - 6.7180230617523193, - 6.3723450000000001, - 0.20042199999999999, - 352340, - 352368, - 0.022261, - 0.060856, - 1730131079.8927619, - 11745243275107548 + 7.0367300510406494, + 6.6818039999999996, + 0.213057, + 358460, + 358488, + 0.014881999999999999, + 0.056870999999999998, + 1743657064.8708169, + 46913085237830520 ], "sub": [] } @@ -197,15 +253,15 @@ "stat": [ "doGAToPass2", "exit", - 6.7252471446990967, - 6.3771279999999999, - 0.202845, - 352340, - 352368, - 0.022261, - 0.060856, - 1730131079.899986, - 11745243293890332 + 7.0455482006072998, + 6.6895790000000002, + 0.21408199999999999, + 358460, + 358488, + 0.014881999999999999, + 0.056870999999999998, + 1743657064.8796351, + 46913085260761646 ], "sub": [] } @@ -215,113 +271,147 @@ "stat": [ "main", "exit", - 6.7265701293945312, - 6.3784410000000005, - 0.20285500000000001, - 352332, - 352368, - 0.022261, - 0.060856, - 1730131079.901309, - 11745243297312992 + 7.0470759868621826, + 6.6901209999999995, + 0.21506800000000001, + 358452, + 358488, + 0.014881999999999999, + 0.056870999999999998, + 1743657064.8811629, + 46913085264713144 ], "sub": [] } ], - "cycles_program_begin": 11745225861787568, - "incremental": "on", - "SIMBData": { - "out": "amcQwB.o", - "bytes": 433114, - "archive": "archive.0/_64535_archive_1.a", - "text": 0 - }, - "PrevCompiledModules": {}, "MlibObjs": {}, - "stat": { - "realTime": 6.7266380786895752, - "ru_self_cgstart": { - "ru_utime_sec": 3.1892330000000002, - "ru_stime_sec": 0.12181699999999999, - "ru_nivcsw": 57, - "ru_nvcsw": 57, - "ru_maxrss_kb": 146584, - "ru_minflt": 54218, - "ru_majflt": 0 + "PrevCompiledModules": { + "...MASTER...": { + "amcQw_d": { + "bytes": 9934, + "mod": "...MASTER...", + "mode": 4, + "checksum": 0, + "out": "objs/amcQw_d.o" + } }, - "ru_childs_cgstart": { - "ru_utime_sec": 0.022261, - "ru_stime_sec": 0.060856, - "ru_nivcsw": 22, - "ru_nvcsw": 23, - "ru_maxrss_kb": 84332, - "ru_minflt": 10649, - "ru_majflt": 0 + "_vcs_unit__3504648197": { + "eQdaR_d": { + "bytes": 15256, + "mod": "_vcs_unit__3504648197", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "eQdaR_d.o" + } }, - "nQuads": 135677, - "cpu_cycles_cgstart": 11745234794244292, - "outputSizePerQuad": 117.14855133884151, - "ru_self_end": { - "ru_utime_sec": 6.3784869999999998, - "ru_stime_sec": 0.20285600000000001, - "ru_nivcsw": 70, - "ru_nvcsw": 57, - "ru_maxrss_kb": 157516, - "ru_minflt": 70890, - "ru_majflt": 0 + "vcs_paramclassrepository": { + "hEeZs_d": { + "bytes": 5817894, + "mod": "vcs_paramclassrepository", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "hEeZs_d.o" + } }, - "nMops": 419480, - "quadSpeed": 42541.923597179783, - "totalObjSize": 15894364, - "ru_childs_end": { - "ru_utime_sec": 0.022261, - "ru_stime_sec": 0.060856, - "ru_nivcsw": 22, - "ru_nvcsw": 23, - "ru_maxrss_kb": 84332, - "ru_minflt": 10649, - "ru_majflt": 0 + "std": { + "reYIK_d": { + "bytes": 48218, + "mod": "std", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "reYIK_d.o" + } }, - "cpu_cycles_end": 11745243297408728, - "cpu_cycles_total": 17435621160, - "mopSpeed": 131529.19146609207, - "mop/quad": 3.0917546820758126, - "Frontend(%)": 50.173729695341855, - "CodeGen(%)": 49.826270304658145, - "peak_mem_kb": 352368 + "tb": { + "g7hgQ_d": { + "bytes": 35284, + "mod": "tb", + "out": "g7hgQ_d.o", + "archive": "archive.6/_30843_archive_1.a", + "mode": 4, + "checksum": 0 + } + }, + "uvm_pkg": { + "zr7M1_d": { + "bytes": 7322536, + "mod": "uvm_pkg", + "out": "zr7M1_d.o", + "archive": "archive.6/_30843_archive_1.a", + "mode": 4, + "checksum": 0 + } + }, + "hilbert_fir_dpi_pkg": { + "RFT57_d": { + "bytes": 10938, + "mod": "hilbert_fir_dpi_pkg", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "RFT57_d.o" + } + }, + "uvm_custom_install_verdi_recording": { + "EbjT3_d": { + "bytes": 1601542, + "mod": "uvm_custom_install_verdi_recording", + "out": "EbjT3_d.o", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0 + } + }, + "uvm_custom_install_recording": { + "nhiwz_d": { + "bytes": 546378, + "mod": "uvm_custom_install_recording", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "nhiwz_d.o" + } + }, + "_vcs_DPI_package": { + "uM9F1_d": { + "bytes": 22612, + "mod": "_vcs_DPI_package", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "uM9F1_d.o" + } + }, + "_vcs_msglog": { + "zQBzV_d": { + "bytes": 11424, + "mod": "_vcs_msglog", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "zQBzV_d.o" + } + }, + "hilbert_fir_dpi": { + "fhaHS_d": { + "bytes": 21150, + "mod": "hilbert_fir_dpi", + "archive": "archive.6/_prev_archive_1.a", + "mode": 4, + "checksum": 0, + "out": "fhaHS_d.o" + } + } }, - "CurCompileModules": [ - "...MASTER...", - "...MASTER...", - "vcs_paramclassrepository", - "vcs_paramclassrepository", - "_vcs_DPI_package", - "_vcs_DPI_package", - "_vcs_unit__3504648197", - "_vcs_unit__3504648197", - "std", - "std", - "uvm_pkg", - "uvm_pkg", - "_vcs_msglog", - "_vcs_msglog", - "uvm_custom_install_recording", - "uvm_custom_install_recording", - "uvm_custom_install_verdi_recording", - "uvm_custom_install_verdi_recording", - "tb", - "tb", - "hilbert_fir_dpi_pkg", - "hilbert_fir_dpi_pkg", - "hilbert_fir_dpi", - "hilbert_fir_dpi" - ], "NameTable": { - "hilbert_fir_dpi_pkg": [ - "hilbert_fir_dpi_pkg", - "RFT57", + "uvm_custom_install_verdi_recording": [ + "uvm_custom_install_verdi_recording", + "EbjT3", "module", - 10 + 8 ], "_vcs_DPI_package": [ "_vcs_DPI_package", @@ -329,29 +419,17 @@ "module", 1 ], - "vcs_paramclassrepository": [ - "vcs_paramclassrepository", - "hEeZs", + "_vcs_msglog": [ + "_vcs_msglog", + "zQBzV", "module", - 2 + 6 ], - "uvm_custom_install_recording": [ - "uvm_custom_install_recording", - "nhiwz", + "hilbert_fir_dpi_pkg": [ + "hilbert_fir_dpi_pkg", + "RFT57", "module", - 7 - ], - "_vcs_unit__3504648197": [ - "_vcs_unit__3504648197", - "eQdaR", - "module", - 3 - ], - "std": [ - "std", - "reYIK", - "module", - 4 + 10 ], "uvm_pkg": [ "uvm_pkg", @@ -359,64 +437,96 @@ "module", 5 ], - "uvm_custom_install_verdi_recording": [ - "uvm_custom_install_verdi_recording", - "EbjT3", - "module", - 8 - ], - "_vcs_msglog": [ - "_vcs_msglog", - "zQBzV", - "module", - 6 - ], "tb": [ "tb", "g7hgQ", "module", 9 ], - "hilbert_fir_dpi": [ - "hilbert_fir_dpi", - "fhaHS", + "vcs_paramclassrepository": [ + "vcs_paramclassrepository", + "hEeZs", "module", - 11 + 2 + ], + "std": [ + "std", + "reYIK", + "module", + 4 ], "...MASTER...": [ "SIM", "amcQw", "module", 12 + ], + "_vcs_unit__3504648197": [ + "_vcs_unit__3504648197", + "eQdaR", + "module", + 3 + ], + "uvm_custom_install_recording": [ + "uvm_custom_install_recording", + "nhiwz", + "module", + 7 + ], + "hilbert_fir_dpi": [ + "hilbert_fir_dpi", + "fhaHS", + "module", + 11 ] }, - "cpu_cycles_pass2_start": 11745234794132494, "CompileStrategy": "fullobj", - "CurCompileUdps": {}, - "CompileProcesses": [ - "cgproc.64535.json" + "PEModules": [], + "cpu_cycles_pass2_start": 46913078098268404, + "CurCompileModules": [ + "...MASTER...", + "vcs_paramclassrepository", + "_vcs_DPI_package", + "_vcs_unit__3504648197", + "std", + "uvm_pkg", + "uvm_pkg", + "_vcs_msglog", + "uvm_custom_install_recording", + "uvm_custom_install_verdi_recording", + "tb", + "tb", + "hilbert_fir_dpi_pkg", + "hilbert_fir_dpi" ], + "CurCompileUdps": {}, + "SIMBData": { + "out": "amcQwB.o", + "bytes": 406424, + "text": 0, + "archive": "archive.6/_30843_archive_1.a" + }, "LVLData": [ "SIM" ], - "PEModules": [], + "incremental": "on", + "CompileStatus": "Successful", "Misc": { - "daidir": "simv.daidir", "vcs_version": "O-2018.09-SP2_Full64", "vcs_build_date": "Build Date = Feb 28 2019 22:34:30", - "master_pid": 64535, - "cwd": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir", - "default_output_dir": "csrc", + "master_pid": 30843, "VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2", + "daidir_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir", + "cwd": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir", "hostname": "cryo1", + "csrc_abs": "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/csrc", + "daidir": "simv.daidir", "csrc": "csrc", - "csrc_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc", - "daidir_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir", - "archive_dir": "archive.0" + "default_output_dir": "csrc", + "archive_dir": "archive.6" }, "rlimit": { "data": -1, "stack": -1 - }, - "CompileStatus": "Successful" + } } \ No newline at end of file diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgproc.30843.json b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgproc.30843.json new file mode 100644 index 0000000..760a22b --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgproc.30843.json @@ -0,0 +1,3036 @@ +{ + "CompUnits": { + "zr7M1_d": { + "mod": "uvm_pkg", + "out": "zr7M1_d.o", + "bytes": 7322696, + "cls": 2613683, + "text": 2752910, + "archive": "archive.6/_30843_archive_1.a", + "mode": 4, + "checksum": 0 + }, + "g7hgQ_d": { + "mod": "tb", + "out": "g7hgQ_d.o", + "bytes": 35284, + "text": 8626, + "archive": "archive.6/_30843_archive_1.a", + "mode": 4, + "checksum": 0 + } + }, + "Modules": { + "...MASTER...": { + "start_perf": [ + 4.2908940315246582, + 4.031695, + 0.129054, + 341388, + 348396, + 1743657062.1249809, + 46913078098576968 + ], + "child_modules": { + "_vcs_unit__3504648197": 1, + "vcs_paramclassrepository": 1, + "std": 1, + "tb": 1, + "uvm_pkg": 1, + "hilbert_fir_dpi_pkg": 1, + "uvm_custom_install_verdi_recording": 1, + "uvm_custom_install_recording": 1, + "_vcs_DPI_package": 1, + "_vcs_msglog": 1 + }, + "end_perf": [ + 4.3063521385192871, + 4.0334329999999996, + 0.132079, + 341400, + 348396, + 46913078138838566, + 0, + 0 + ], + "nMops": 0, + "nQuads": 0, + "nRouts": 5 + }, + "_vcs_unit__3504648197": { + "Compiled": "Yes", + "start_perf": [ + 4.6119852066040039, + 4.3250460000000004, + 0.14608699999999999, + 341412, + 348396, + 1743657062.4460721, + 46913078933424758 + ], + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 4.613090991973877, + 4.3251660000000003, + 0.14707300000000001, + 341412, + 348396, + 46913078936335224, + 4294967297, + 0 + ], + "nMops": 49, + "nQuads": 32, + "nRouts": 9 + }, + "hilbert_fir_dpi_pkg": { + "Compiled": "Yes", + "start_perf": [ + 7.0314102172851562, + 6.6774760000000004, + 0.21207799999999999, + 358464, + 358488, + 1743657064.8654971, + 46913085223963974 + ], + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 7.0325090885162354, + 6.6785600000000001, + 0.21208099999999999, + 358464, + 358488, + 46913085226852510, + 34359738369, + 0 + ], + "nMops": 81, + "nQuads": 75, + "nRouts": 7 + }, + "uvm_pkg": { + "start_perf": [ + 4.6156370639801025, + 4.3276329999999996, + 0.14715300000000001, + 341412, + 348396, + 1743657062.449724, + 46913078942919040 + ], + "svclass": [ + "vcs_type_T_29780162601987252642_63_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_Blasted_uvm_reg_bus_op", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_reg_bus_op", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_hdl_path_slice", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_cmdline_parsed_arg_t", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_m_verbosity_setting", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_printer_row_info", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_Blasted_access_t", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_access_t", + 0, + 0, + 0, + 0, + 0, + "uvm_cmdline_processor", + 22426, + 2238, + 12, + 12, + 12884934849, + "uvm_factory", + 9606, + 418, + 18, + 18, + 12884906044, + "uvm_default_factory", + 110410, + 11510, + 21, + 21, + 12884906299, + "uvm_report_server", + 15023, + 1003, + 23, + 23, + 12884920149, + "uvm_default_report_server", + 53367, + 4786, + 30, + 30, + 12884920346, + "uvm_root", + 103316, + 9239, + 48, + 31, + 12884929021, + "uvm_component", + 191721, + 15411, + 152, + 125, + 12884927287, + "uvm_tr_database", + 15204, + 1066, + 13, + 13, + 12884914186, + "uvm_text_tr_database", + 12553, + 986, + 14, + 14, + 12884914484, + "uvm_default_coreservice_t", + 9809, + 802, + 11, + 11, + 12884902431, + "uvm_coreservice_t", + 6486, + 344, + 12, + 12, + 12884902358, + "uvm_printer", + 34801, + 3178, + 21, + 21, + 12884911131, + "uvm_table_printer", + 13443, + 1457, + 4, + 4, + 12884911366, + "uvm_tree_printer", + 9499, + 1103, + 3, + 3, + 12884911415, + "uvm_line_printer", + 1468, + 116, + 2, + 2, + 12884911447, + "uvm_comparer", + 18187, + 1901, + 11, + 11, + 12884912325, + "uvm_packer", + 32378, + 3700, + 37, + 37, + 12884912755, + "uvm_recorder", + 34131, + 2704, + 41, + 41, + 12884915294, + "uvm_void", + 855, + 41, + 2, + 2, + 12884903278, + "uvm_object", + 46096, + 3553, + 41, + 41, + 12884904112, + "uvm_scope_stack", + 10240, + 1036, + 13, + 13, + 12884903302, + "uvm_status_container", + 6962, + 707, + 6, + 6, + 12884903445, + "uvm_seed_map", + 855, + 41, + 2, + 2, + 12884903583, + "uvm_report_object", + 25316, + 1367, + 41, + 41, + 12884921955, + "uvm_object_wrapper", + 2589, + 146, + 6, + 6, + 12884906779, + "uvm_objection", + 86086, + 8023, + 70, + 37, + 12884932450, + "uvm_barrier", + 11326, + 1048, + 15, + 15, + 12884916949, + "uvm_factory_override", + 2906, + 308, + 2, + 2, + 12884906822, + "uvm_factory_queue_class", + 855, + 41, + 2, + 2, + 12884906001, + "uvm_resource_base", + 16230, + 1207, + 18, + 18, + 12884908552, + "uvm_resource_types", + 668, + 36, + 2, + 2, + 12884908464, + "uvm_resource_options", + 2268, + 160, + 6, + 6, + 12884908511, + "get_t", + 855, + 41, + 2, + 2, + 12884908942, + "uvm_resource_pool", + 45580, + 3807, + 27, + 27, + 12884909010, + "uvm_resource_db_options", + 3652, + 278, + 6, + 6, + 12884910580, + "uvm_phase", + 205024, + 18582, + 205, + 63, + 12884923469, + "m_uvm_waiter", + 1374, + 91, + 2, + 2, + 12884910675, + "uvm_config_db_options", + 3652, + 278, + 6, + 6, + 12884910997, + "uvm_printer_knobs", + 6338, + 1010, + 3, + 3, + 12884911473, + "uvm_link_base", + 6376, + 305, + 11, + 11, + 12884913815, + "uvm_parent_child_link", + 10411, + 711, + 14, + 14, + 12884913897, + "uvm_cause_effect_link", + 9811, + 667, + 14, + 14, + 12884913976, + "uvm_related_link", + 10359, + 711, + 14, + 14, + 12884914055, + "uvm_tr_stream", + 18518, + 1390, + 21, + 21, + 12884914708, + "uvm_text_tr_stream", + 9850, + 680, + 13, + 13, + 12884915168, + "m_uvm_tr_stream_cfg", + 855, + 41, + 2, + 2, + 12884914685, + "uvm_text_recorder", + 25514, + 1947, + 30, + 30, + 12884915980, + "uvm_report_message", + 36886, + 3071, + 44, + 44, + 12884918919, + "uvm_event_base", + 13204, + 1147, + 22, + 16, + 12884916524, + "uvm_callback", + 4896, + 436, + 7, + 7, + 12884918382, + "uvm_callbacks_base", + 10094, + 844, + 11, + 11, + 12884917320, + "uvm_typeid_base", + 855, + 41, + 2, + 2, + 12884917280, + "uvm_report_handler", + 59957, + 5065, + 32, + 32, + 12884921127, + "uvm_report_message_element_base", + 6738, + 362, + 14, + 14, + 12884918485, + "uvm_report_message_int_element", + 3545, + 177, + 8, + 8, + 12884918555, + "uvm_report_message_string_element", + 3473, + 175, + 8, + 8, + 12884918621, + "uvm_report_message_object_element", + 3676, + 170, + 8, + 8, + 12884918675, + "uvm_report_message_element_container", + 15643, + 1166, + 19, + 19, + 12884918731, + "uvm_report_catcher", + 36565, + 2943, + 37, + 37, + 12884919496, + "sev_id_struct", + 673, + 36, + 2, + 2, + 12884919421, + "uvm_env", + 1837, + 122, + 4, + 4, + 12884941262, + "uvm_transaction", + 30391, + 3039, + 26, + 26, + 12884922661, + "uvm_test_done_objection", + 27830, + 2124, + 56, + 15, + 12884933512, + "uvm_sequencer_base", + 143713, + 14620, + 127, + 59, + 12884941935, + "uvm_domain", + 25625, + 2010, + 9, + 9, + 12884925660, + "uvm_task_phase", + 12288, + 979, + 11, + 5, + 12884926106, + "uvm_phase_cb", + 1695, + 79, + 3, + 3, + 12884924065, + "uvm_phase_state_change", + 7761, + 496, + 12, + 12, + 12884924009, + "uvm_build_phase", + 4082, + 279, + 6, + 6, + 12884926275, + "uvm_connect_phase", + 4082, + 279, + 6, + 6, + 12884926321, + "uvm_end_of_elaboration_phase", + 4088, + 279, + 6, + 6, + 12884926363, + "uvm_start_of_simulation_phase", + 4082, + 279, + 6, + 6, + 12884926410, + "uvm_run_phase", + 3924, + 276, + 7, + 6, + 12884926479, + "uvm_extract_phase", + 4180, + 277, + 6, + 6, + 12884926525, + "uvm_check_phase", + 4180, + 277, + 6, + 6, + 12884926563, + "uvm_report_phase", + 4180, + 277, + 6, + 6, + 12884926602, + "uvm_final_phase", + 4180, + 277, + 6, + 6, + 12884926643, + "uvm_pre_reset_phase", + 4150, + 276, + 6, + 6, + 12884926740, + "uvm_reset_phase", + 4150, + 276, + 6, + 6, + 12884926788, + "uvm_post_reset_phase", + 4150, + 276, + 6, + 6, + 12884926829, + "uvm_pre_configure_phase", + 4150, + 276, + 6, + 6, + 12884926872, + "uvm_configure_phase", + 4150, + 276, + 6, + 6, + 12884926914, + "uvm_post_configure_phase", + 4150, + 276, + 6, + 6, + 12884926956, + "uvm_pre_main_phase", + 4150, + 276, + 6, + 6, + 12884926995, + "uvm_main_phase", + 4150, + 276, + 6, + 6, + 12884927038, + "uvm_post_main_phase", + 4150, + 276, + 6, + 6, + 12884927077, + "uvm_pre_shutdown_phase", + 4150, + 276, + 6, + 6, + 12884927116, + "uvm_shutdown_phase", + 4150, + 276, + 6, + 6, + 12884927158, + "uvm_post_shutdown_phase", + 4150, + 276, + 6, + 6, + 12884927201, + "uvm_bottomup_phase", + 7894, + 676, + 4, + 4, + 12884925852, + "uvm_topdown_phase", + 8729, + 805, + 4, + 4, + 12884925966, + "uvm_sequence_base", + 74436, + 6519, + 94, + 61, + 12884944744, + "uvm_sequence_item", + 28802, + 2079, + 33, + 33, + 12884941431, + "uvm_port_component_base", + 4251, + 240, + 9, + 9, + 12884936754, + "uvm_config_object_wrapper", + 855, + 41, + 2, + 2, + 12884931664, + "uvm_objection_context_object", + 2365, + 281, + 3, + 3, + 12884933766, + "uvm_objection_callback", + 3062, + 131, + 5, + 5, + 12884933816, + "uvm_objection_events", + 855, + 41, + 2, + 2, + 12884932422, + "uvm_heartbeat_callback", + 4375, + 330, + 6, + 6, + 12884934144, + "uvm_heartbeat", + 5381, + 288, + 12, + 12, + 12884933907, + "uvm_cmd_line_verb", + 673, + 36, + 2, + 2, + 12884934826, + "uvm_tlm_event", + 673, + 36, + 2, + 2, + 12884938932, + "uvm_monitor", + 1837, + 122, + 4, + 4, + 12884940821, + "uvm_scoreboard", + 1837, + 122, + 4, + 4, + 12884941069, + "uvm_agent", + 2724, + 171, + 6, + 6, + 12884941130, + "uvm_test", + 1837, + 122, + 4, + 4, + 12884941347, + "uvm_sequence_request", + 855, + 41, + 2, + 2, + 12884943659, + "uvm_sequence_process_wrapper", + 855, + 41, + 2, + 2, + 12884941921, + "uvm_sequence_library_cfg", + 6951, + 537, + 9, + 9, + 12884946536, + "uvm_tlm_time", + 5157, + 343, + 12, + 12, + 12884947399, + "uvm_tlm_extension_base", + 2820, + 134, + 6, + 6, + 12884948692, + "uvm_tlm_generic_payload", + 78498, + 8570, + 49, + 48, + 12884947806, + "uvm_reg_field", + 49327, + 3272, + 62, + 61, + 12884952804, + "uvm_vreg_field", + 22422, + 1508, + 32, + 32, + 12884954836, + "uvm_reg", + 65049, + 4325, + 89, + 89, + 12884955831, + "uvm_reg_file", + 20314, + 1434, + 21, + 21, + 12884959619, + "uvm_vreg", + 29931, + 2038, + 45, + 45, + 12884961211, + "uvm_reg_block", + 46958, + 2954, + 72, + 72, + 12884967646, + "uvm_mem", + 59798, + 4125, + 73, + 73, + 12884962750, + "uvm_reg_item", + 15580, + 1636, + 12, + 11, + 12884950495, + "uvm_reg_map", + 127508, + 12118, + 101, + 63, + 12884965190, + "uvm_reg_map_info", + 673, + 36, + 2, + 2, + 12884965145, + "uvm_reg_adapter", + 4588, + 338, + 6, + 6, + 12884950811, + "uvm_reg_indirect_data", + 10372, + 473, + 19, + 19, + 12884958979, + "uvm_hdl_path_concat", + 3869, + 305, + 5, + 5, + 12884950385, + "uvm_reg_tlm_adapter", + 19199, + 1846, + 11, + 11, + 12884950942, + "uvm_predict_s", + 673, + 36, + 2, + 2, + 12884951062, + "uvm_reg_backdoor", + 15017, + 821, + 26, + 26, + 12884952414, + "uvm_reg_cbs", + 4706, + 226, + 9, + 9, + 12884951889, + "uvm_reg_read_only_cbs", + 8890, + 533, + 13, + 13, + 12884952214, + "uvm_reg_write_only_cbs", + 8826, + 533, + 13, + 13, + 12884952300, + "uvm_vreg_field_cbs", + 4190, + 268, + 6, + 6, + 12884955165, + "uvm_reg_fifo", + 5951, + 326, + 14, + 13, + 12884959307, + "uvm_mem_mam_cfg", + 673, + 36, + 3, + 2, + 12884960698, + "uvm_mem_region", + 8498, + 424, + 16, + 16, + 12884960412, + "uvm_mem_mam_policy", + 673, + 36, + 3, + 2, + 12884960656, + "uvm_mem_mam", + 4930, + 236, + 10, + 10, + 12884960200, + "uvm_vreg_cbs", + 4065, + 246, + 6, + 6, + 12884961771, + "uvm_reg_transaction_order_policy", + 1323, + 75, + 3, + 3, + 12884965160, + "snps_uvm_reg_bank_group", + 9728, + 649, + 17, + 17, + 12884972295, + "snps_uvm_reg_banked", + 5601, + 257, + 10, + 10, + 12884972433, + "snps_uvm_reg_bank_set", + 1420, + 66, + 3, + 3, + 12884972402, + "snps_uvm_reg_map", + 7837, + 489, + 11, + 11, + 12884972683 + ], + "Compiled": "Yes", + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 6.8799600601196289, + 6.5300519999999995, + 0.208065, + 358464, + 358468, + 46913084830282416, + 12884901889, + 0 + ], + "nQuads": 146088, + "nMops": 464878, + "nRouts": 3144 + }, + "tb": { + "Compiled": "Yes", + "start_perf": [ + 7.0207951068878174, + 6.6688720000000004, + 0.210068, + 358464, + 358468, + 1743657064.854882, + 46913085196371162 + ], + "child_modules": { + "hilbert_fir_dpi": 1 + }, + "Compiled Times": 7, + "end_perf": [ + 7.0313661098480225, + 6.6774339999999999, + 0.21207699999999999, + 358464, + 358488, + 46913085223900898, + 30064771073, + 0 + ], + "nMops": 960, + "nQuads": 483, + "nRouts": 56 + }, + "vcs_paramclassrepository": { + "start_perf": [ + 4.3064651489257812, + 4.0335429999999999, + 0.13208300000000001, + 341400, + 348396, + 1743657062.140552, + 46913078139067250 + ], + "svclass": [ + "std_mailbox_36951920133088784204_34", + 0, + 136, + 9, + 9, + 0, + "std_mailbox_2190068584822684823_31", + 0, + 136, + 9, + 9, + 0, + "std_mailbox_11784808032147832686_39", + 0, + 136, + 9, + 9, + 0, + "uvm_pkg_uvm_visitor_18580828213016376278_35", + 0, + 115, + 5, + 5, + 12884935295, + "uvm_pkg_uvm_component_name_check_visitor_11_0", + 0, + 428, + 7, + 7, + 12884935508, + "uvm_pkg_uvm_resource_db_17002614941379949671_32", + 0, + 1363, + 18, + 18, + 12884910285, + "uvm_pkg_uvm_resource_db_25863622094079981516_23", + 0, + 596, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_1056094291977123570_7", + 0, + 602, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_27000910942830678221_40", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_3733140680830918994_39", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_40194292771222167562_12", + 0, + 400, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_11265387052000028149_4", + 0, + 413, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_20178826502325633761_4", + 0, + 596, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_2720976050237557357_47", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_30894295071101572826_35", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_config_db_17002614941379949671_32", + 0, + 806, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_25863622094079981516_23", + 0, + 1042, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_1056094291977123570_7", + 0, + 1041, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_27000910942830678221_40", + 0, + 918, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_3733140680830918994_39", + 0, + 292, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_20178826502325633761_4", + 0, + 418, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_2720976050237557357_47", + 0, + 806, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_pool_32868110861242157583_61", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_42371590912437262221_40", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_30886983041795320974_58", + 0, + 796, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_9945807051447260144_52", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_11732178212485972888_36", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_42834055751402745537_69", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_28656355422713151376_11", + 0, + 846, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2192879401607439242_68", + 0, + 1014, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2223740478782874312_68", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_19409840873840241338_85", + 0, + 833, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2293449267561664548_67", + 0, + 804, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_35087391001878650323_33", + 0, + 804, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_13696848301000834817_33", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_16650238291282474324_76", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_object_string_pool_38085949473833222917_33", + 0, + 310, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_4130898749599190909_51", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_2759974267363547312_60", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_22720307942187480989_26", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_event_17002614941379949671_32", + 0, + 986, + 14, + 14, + 12884916750, + "uvm_pkg_uvm_queue_10131729133184263146_34", + 0, + 1387, + 18, + 18, + 12884905797, + "uvm_pkg_uvm_queue_12401831152739582252_34", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_22079939174174364057_39", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_31897947402315526169_41", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_1056094291977123570_7", + 0, + 721, + 18, + 18, + 12884905797, + "uvm_pkg_uvm_component_registry_6006117703813170688_115", + 0, + 888, + 11, + 11, + 12884907812, + "uvm_pkg_uvm_component_registry_5812522081072586346_105", + 0, + 0, + 0, + 0, + 12884907812, + "uvm_pkg_uvm_object_registry_213639362871615971_67", + 0, + 935, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_4097393981196760851_50", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2512958503635835114_81", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_33331550721853239193_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_5504462422480961701_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_24568272453111598808_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_3123479053724517434_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_36654213551487052203_87", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_146982615943691968_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_4533731593768468770_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_21048711651200398669_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_6469859573939592844_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2560846769148885325_99", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1906819324266042653_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38038377671621704812_71", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_3002397424346383469_47", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_747914060163035432_74", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_27138642393390246789_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_29344154181922724425_75", + 0, + 575, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_28767600201035024889_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2164067094159664132_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1347888261580838246_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_30483053094059138737_73", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14439487293049952778_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_13870511283927333234_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1803450658736655051_140", + 0, + 561, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_20195001883255690309_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1837333109238589200_49", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_6547918343632128737_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_4364088662927209418_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14191343452413913236_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_342307119856880728_71", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_12899728703437824960_130", + 0, + 561, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_27002261902274500827_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_5609186164052521641_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_15399770231763244496_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_273851227787825907_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1116471086386374402_47", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_17692521782985197028_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38910011271399345106_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_37873060981902812299_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_433731176719709738_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38280531571839998128_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1348953888709792696_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_36353121283939136215_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14423394572653327039_73", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_13218283181657985668_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_28828704562697250440_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_31367509471821760984_73", + 0, + 575, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_2120333925708090850_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_spell_chkr_29865849301974608402_58", + 0, + 1212, + 6, + 6, + 12884908175, + "uvm_pkg_uvm_resource_17002614941379949671_32", + 0, + 1547, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_25863622094079981516_23", + 0, + 1162, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_1056094291977123570_7", + 0, + 1168, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_27000910942830678221_40", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_3733140680830918994_39", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_40194292771222167562_12", + 0, + 755, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_32657157613078840017_21", + 0, + 784, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_20178826502325633761_4", + 0, + 1020, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_27061203961639428043_15", + 0, + 771, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_40973394903465487470_45", + 0, + 798, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_2720976050237557357_47", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_30894295071101572826_35", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_11265387052000028149_4", + 0, + 890, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_int_rsrc_11_0", + 0, + 156, + 5, + 5, + 12884910127, + "uvm_pkg_uvm_string_rsrc_11_0", + 0, + 133, + 5, + 5, + 12884910150, + "uvm_pkg_uvm_obj_rsrc_11_0", + 0, + 95, + 4, + 4, + 12884910171, + "uvm_pkg_uvm_set_get_dap_base_1056094291977123570_7", + 0, + 149, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_42077948452638614245_35", + 0, + 139, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_25072624061353900623_41", + 0, + 0, + 0, + 0, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_11265387052000028149_4", + 0, + 139, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884935620, + "uvm_pkg_uvm_simple_lock_dap_1056094291977123570_7", + 0, + 911, + 19, + 19, + 12884935709, + "uvm_pkg_uvm_set_before_get_dap_42077948452638614245_35", + 0, + 959, + 16, + 16, + 12884936080, + "uvm_pkg_uvm_set_before_get_dap_25072624061353900623_41", + 0, + 0, + 0, + 0, + 12884936080, + "uvm_pkg_uvm_event_callback_17002614941379949671_32", + 0, + 114, + 5, + 5, + 12884916436, + "uvm_pkg_uvm_typeid_12401831152739582252_34", + 0, + 159, + 3, + 3, + 12884917294, + "uvm_pkg_uvm_typeid_26457283571261833951_39", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3011313423768043667_40", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_1674154142423747761_34", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_9836671432282947766_35", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_1084049419402313263_44", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_11704699723925447941_44", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_34134683632350250451_29", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3401845221661803279_33", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_7929638273969762994_38", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_36840009753431794161_29", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3836623090295522255_35", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_33180307611796608036_36", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_12470599392681938761_40", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_28427035364151357801_30", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_19044983891253896198_34", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typed_callbacks_26457283571261833951_39", + 0, + 3316, + 10, + 10, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_9836671432282947766_35", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_34134683632350250451_29", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_7929638273969762994_38", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_36840009753431794161_29", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_3836623090295522255_35", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_33180307611796608036_36", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_28427035364151357801_30", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_callbacks_798380094140731869_79", + 0, + 4137, + 17, + 17, + 12884917699, + "uvm_pkg_uvm_callbacks_3760896427231740967_73", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_760582431947678203_65", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_7829379421284599473_65", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_29816384132329754774_79", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_4125919662173130704_69", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_30350083383744950025_79", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_19226769593172006443_62", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_2406280077311694533_63", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_25874056332755742618_71", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_3453533772665706993_72", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_16157689474253550089_62", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_5019175871376577767_63", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_19000355451864305105_68", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_1537919828403250345_69", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_8485508411680905163_76", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_28796025763716974123_70", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_5292868251138386083_64", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_6692293671863204093_64", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callback_iter_798380094140731869_79", + 0, + 536, + 9, + 9, + 12884918279, + "uvm_pkg_uvm_callback_iter_19226769593172006443_62", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_25874056332755742618_71", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_16157689474253550089_62", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_19000355451864305105_68", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_8485508411680905163_76", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_5292868251138386083_64", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_29816384132329754774_79", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_760582431947678203_65", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_structure_proxy_18580828213016376278_35", + 0, + 86, + 3, + 3, + 12884935338, + "uvm_pkg_uvm_component_proxy_11_0", + 0, + 101, + 3, + 3, + 12884935479, + "uvm_pkg_uvm_visitor_adapter_23438052802547792107_91", + 0, + 78, + 3, + 3, + 12884935357, + "uvm_pkg_uvm_top_down_visitor_adapter_23438052802547792107_91", + 0, + 241, + 3, + 3, + 12884935380, + "uvm_pkg_uvm_enum_wrapper_40973394903465487470_45", + 0, + 96, + 4, + 4, + 12884934699, + "uvm_pkg_uvm_get_to_lock_dap_11265387052000028149_4", + 0, + 838, + 16, + 16, + 12884935890, + "uvm_pkg_uvm_get_to_lock_dap_2190068584822684823_31", + 0, + 936, + 16, + 16, + 12884935890, + "uvm_pkg_uvm_tlm_if_base_24844159852957008461_78", + 0, + 302, + 14, + 14, + 12884936275, + "uvm_pkg_uvm_tlm_if_base_27081641443397297811_68", + 0, + 302, + 14, + 14, + 12884936275, + "uvm_pkg_uvm_sqr_if_base_24844159852957008461_78", + 0, + 257, + 13, + 13, + 12884936486, + "uvm_pkg_uvm_sqr_if_base_27081641443397297811_68", + 0, + 257, + 13, + 13, + 12884936486, + "uvm_pkg_uvm_port_component_34533239451891830907_126", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_23145110241044876076_126", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_2311547681826351055_116", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_234753672576675992_116", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_base_29345012303560527042_103", + 0, + 3109, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_39390923434110787983_103", + 0, + 1936, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_21996234534275348455_93", + 0, + 3109, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_22000921013756544682_93", + 0, + 1936, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_put_imp_3520587431117154525_105", + 0, + 235, + 6, + 6, + 12884937973, + "uvm_pkg_uvm_put_imp_14494172543974948263_95", + 0, + 235, + 6, + 6, + 12884937973, + "uvm_pkg_uvm_get_peek_imp_3520587431117154525_105", + 0, + 302, + 9, + 9, + 12884938027, + "uvm_pkg_uvm_get_peek_imp_14494172543974948263_95", + 0, + 302, + 9, + 9, + 12884938027, + "uvm_pkg_uvm_analysis_port_11784808032147832686_39", + 0, + 238, + 4, + 4, + 12884938781, + "uvm_pkg_uvm_analysis_port_36951920133088784204_34", + 0, + 238, + 4, + 4, + 12884938781, + "uvm_pkg_uvm_analysis_imp_32194365862697004679_115", + 0, + 210, + 4, + 4, + 12884938843, + "uvm_pkg_uvm_analysis_imp_12888305263488070193_105", + 0, + 210, + 4, + 4, + 12884938843, + "uvm_pkg_uvm_analysis_export_11784808032147832686_39", + 0, + 238, + 4, + 4, + 12884938866, + "uvm_pkg_uvm_analysis_export_36951920133088784204_34", + 0, + 238, + 4, + 4, + 12884938866, + "uvm_pkg_uvm_tlm_fifo_base_11784808032147832686_39", + 0, + 1262, + 20, + 20, + 12884938954, + "uvm_pkg_uvm_tlm_fifo_base_36951920133088784204_34", + 0, + 1264, + 20, + 20, + 12884938954, + "uvm_pkg_uvm_tlm_fifo_11784808032147832686_39", + 0, + 575, + 18, + 18, + 12884939207, + "uvm_pkg_uvm_tlm_fifo_36951920133088784204_34", + 0, + 708, + 20, + 18, + 12884939207, + "uvm_pkg_uvm_seq_item_pull_port_24844159852957008461_78", + 0, + 286, + 14, + 14, + 12884939796, + "uvm_pkg_uvm_seq_item_pull_imp_27764548292519292536_179", + 0, + 393, + 14, + 14, + 12884939831, + "uvm_pkg_uvm_seq_item_pull_imp_26815678913984971942_159", + 0, + 406, + 14, + 14, + 12884939831, + "uvm_pkg_uvm_driver_24844159852957008461_78", + 0, + 115, + 4, + 4, + 12884940887, + "uvm_pkg_uvm_sequencer_analysis_fifo_11784808032147832686_39", + 0, + 190, + 3, + 3, + 12884943694, + "uvm_pkg_uvm_sequencer_analysis_fifo_36951920133088784204_34", + 0, + 190, + 3, + 3, + 12884943694, + "uvm_pkg_uvm_sequencer_param_base_24844159852957008461_78", + 0, + 2037, + 19, + 19, + 12884943743, + "uvm_pkg_uvm_sequencer_param_base_27081641443397297811_68", + 0, + 2102, + 19, + 19, + 12884943743, + "uvm_pkg_uvm_sequencer_24844159852957008461_78", + 0, + 420, + 15, + 15, + 12884944204, + "uvm_pkg_uvm_sequencer_27081641443397297811_68", + 0, + 885, + 21, + 15, + 12884944204, + "uvm_pkg_uvm_sequence_24844159852957008461_78", + 0, + 217, + 7, + 7, + 12884946050, + "uvm_pkg_uvm_sequence_27081641443397297811_68", + 0, + 201, + 7, + 7, + 12884946050, + "uvm_pkg_uvm_random_sequence_11_0", + 0, + 927, + 16, + 13, + 12884947034, + "uvm_pkg_uvm_exhaustive_sequence_11_0", + 0, + 774, + 15, + 12, + 12884947147, + "uvm_pkg_uvm_simple_sequence_11_0", + 0, + 757, + 15, + 8, + 12884947253, + "uvm_pkg_uvm_reg_sequence_30395111473839290680_100", + 0, + 1459, + 34, + 20, + 12884951352, + "uvm_pkg_uvm_reg_sequence_15155903533960952999_90", + 0, + 1459, + 34, + 20, + 12884951352, + "uvm_pkg_uvm_reg_frontdoor_11_0", + 0, + 41, + 2, + 2, + 12884951812, + "uvm_pkg_uvm_reg_indirect_ftdr_seq_11_0", + 0, + 64, + 3, + 3, + 12884959222, + "uvm_pkg_uvm_reg_hw_reset_seq_11_0", + 0, + 1727, + 12, + 12, + 12884969968, + "uvm_pkg_uvm_reg_single_bit_bash_seq_11_0", + 0, + 1984, + 11, + 11, + 12884970176, + "uvm_pkg_uvm_reg_bit_bash_seq_11_0", + 0, + 1360, + 23, + 12, + 12884970332, + "uvm_pkg_uvm_mem_single_walk_seq_11_0", + 0, + 2524, + 10, + 10, + 12884970494, + "uvm_pkg_uvm_mem_walk_seq_11_0", + 0, + 1517, + 23, + 12, + 12884970631, + "uvm_pkg_uvm_mem_single_access_seq_11_0", + 0, + 2647, + 20, + 10, + 12884970786, + "uvm_pkg_uvm_mem_access_seq_11_0", + 0, + 1806, + 23, + 12, + 12884970931, + "uvm_pkg_uvm_reg_single_access_seq_11_0", + 0, + 2340, + 17, + 10, + 12884971108, + "uvm_pkg_uvm_reg_access_seq_11_0", + 0, + 1651, + 23, + 12, + 12884971265, + "uvm_pkg_uvm_reg_mem_access_seq_11_0", + 0, + 1023, + 18, + 11, + 12884971388, + "uvm_pkg_uvm_reg_shared_access_seq_11_0", + 0, + 2577, + 10, + 10, + 12884971495, + "uvm_pkg_uvm_mem_shared_access_seq_11_0", + 0, + 2492, + 10, + 10, + 12884971644, + "uvm_pkg_uvm_reg_mem_shared_access_seq_11_0", + 0, + 2231, + 27, + 12, + 12884971792, + "uvm_pkg_uvm_reg_mem_built_in_seq_11_0", + 0, + 2761, + 33, + 10, + 12884971957, + "uvm_pkg_uvm_reg_mem_hdl_paths_seq_11_0", + 0, + 4974, + 14, + 14, + 12884972115 + ], + "Compiled": "Yes", + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 4.6095302104949951, + 4.3225899999999999, + 0.14608699999999999, + 341412, + 348396, + 46913078927114020, + 42949672961, + 0 + ], + "nQuads": 38864, + "nMops": 121839, + "nRouts": 2041 + }, + "std": { + "start_perf": [ + 4.6131291389465332, + 4.3252030000000001, + 0.14707400000000001, + 341412, + 348396, + 1743657062.447216, + 46913078936393976 + ], + "svclass": [ + "$vcs_nba_dyn_obj", + 0, + 35, + 2, + 2, + 0, + "sigprop$$", + 0, + 35, + 2, + 2, + 0, + "process", + 0, + 200, + 8, + 8, + 0, + "event", + 0, + 34, + 2, + 2, + 0, + "mailbox", + 0, + 140, + 9, + 9, + 0, + "semaphore", + 0, + 84, + 5, + 5, + 0 + ], + "Compiled": "Yes", + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 4.6155741214752197, + 4.3276329999999996, + 0.147089, + 341412, + 348396, + 46913078942836054, + 8589934594, + 0 + ], + "nQuads": 224, + "nMops": 543, + "nRouts": 34 + }, + "_vcs_DPI_package": { + "Compiled": "Yes", + "start_perf": [ + 4.6098542213439941, + 4.3229139999999999, + 0.14608699999999999, + 341412, + 348396, + 1743657062.4439411, + 46913078927899022 + ], + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 4.611950159072876, + 4.3250099999999998, + 0.14608699999999999, + 341412, + 348396, + 46913078933360544, + 0, + 0 + ], + "nMops": 297, + "nQuads": 151, + "nRouts": 13 + }, + "_vcs_msglog": { + "Compiled": "Yes", + "start_perf": [ + 6.8803791999816895, + 6.5304570000000002, + 0.20807800000000001, + 358464, + 358468, + 1743657064.7144661, + 46913084831305650 + ], + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 6.8821201324462891, + 6.5311940000000002, + 0.20907000000000001, + 358464, + 358468, + 46913084835839266, + 17179869185, + 0 + ], + "nMops": 34, + "nQuads": 23, + "nRouts": 9 + }, + "uvm_custom_install_recording": { + "start_perf": [ + 6.8821539878845215, + 6.5312280000000005, + 0.20907100000000001, + 358464, + 358468, + 1743657064.7162409, + 46913084835896768 + ], + "svclass": [ + "uvm_vcs_recorder", + 0, + 1422, + 28, + 28, + 21474836856, + "uvm_vcs_tr_database", + 0, + 1084, + 16, + 16, + 21474836724, + "uvm_vcs_tr_stream", + 0, + 1235, + 14, + 14, + 21474836588, + "msglog_msgname", + 0, + 107, + 2, + 2, + 21474837191, + "msglog", + 0, + 1626, + 11, + 11, + 21474837227, + "vcs_smartlog_catcher", + 0, + 767, + 4, + 4, + 21474837377, + "uvm_pkg_uvm_object_registry_245147496162194765_101", + 0, + 779, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_37055773542958269253_107", + 0, + 574, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_32487807434073842908_103", + 0, + 779, + 11, + 11, + 12884907950 + ], + "Compiled": "Yes", + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 6.9099650382995605, + 6.5580439999999998, + 0.210065, + 358464, + 358468, + 46913084908255376, + 21474836481, + 0 + ], + "nQuads": 3973, + "nMops": 11740, + "nRouts": 192 + }, + "uvm_custom_install_verdi_recording": { + "start_perf": [ + 6.9100461006164551, + 6.5581230000000001, + 0.210068, + 358464, + 358468, + 1743657064.744133, + 46913084908420334 + ], + "svclass": [ + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_42098745823728729451_7", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_17604091792765680068_12", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_33888099752757866797_48_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_33000166982961514326_48_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_rsrc_msg_struct", + 0, + 0, + 0, + 0, + 0, + "uvm_verdi_pli_base", + 0, + 882, + 28, + 28, + 25769803922, + "verdi_cmdline_processor", + 0, + 2781, + 26, + 26, + 25769804151, + "uvm_verdi_recorder", + 0, + 5267, + 24, + 24, + 25769805038, + "uvm_verdi_tr_database", + 0, + 1112, + 12, + 12, + 25769804716, + "uvm_verdi_tr_stream", + 0, + 1595, + 14, + 14, + 25769804478, + "uvm_map_access_recorder", + 0, + 2644, + 11, + 11, + 25769805729, + "verdi_report_catcher", + 0, + 13974, + 19, + 19, + 25769806520, + "uvm_verdi_factory", + 0, + 1323, + 19, + 19, + 25769807814, + "uvm_dhier_component", + 0, + 450, + 7, + 7, + 25769809316, + "uvm_verdi_pli", + 0, + 1459, + 27, + 27, + 25769809449, + "uvm_pkg_uvm_component_registry_234631716908298885_119", + 0, + 533, + 11, + 11, + 12884907812, + "uvm_pkg_uvm_object_registry_27790525464149621041_117", + 0, + 792, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_40988282182517526879_123", + 0, + 586, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_10606089621202890939_119", + 0, + 792, + 11, + 11, + 12884907950 + ], + "Compiled": "Yes", + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 7.0206711292266846, + 6.6687469999999998, + 0.210068, + 358464, + 358468, + 46913085196121736, + 25769803777, + 0 + ], + "nQuads": 18527, + "nMops": 50947, + "nRouts": 621 + }, + "hilbert_fir_dpi": { + "Compiled": "Yes", + "start_perf": [ + 7.0325419902801514, + 6.6785920000000001, + 0.21208199999999999, + 358464, + 358488, + 1743657064.8666289, + 46913085226908996 + ], + "child_modules": {}, + "Compiled Times": 7, + "end_perf": [ + 7.0347812175750732, + 6.6808309999999995, + 0.21208199999999999, + 358464, + 358488, + 46913085232767826, + 38654705665, + 0 + ], + "nMops": 440, + "nQuads": 221, + "nRouts": 37 + } + }, + "reusePaths": { + "amcQw_d": { + "icPath": "csrc" + }, + "zQBzV_d": { + "icPath": "csrc" + }, + "hEeZs_d": { + "icPath": "csrc" + }, + "eQdaR_d": { + "icPath": "csrc" + }, + "EbjT3_d": { + "icPath": "csrc" + }, + "uM9F1_d": { + "icPath": "csrc" + }, + "reYIK_d": { + "icPath": "csrc" + }, + "nhiwz_d": { + "icPath": "csrc" + }, + "fhaHS_d": { + "icPath": "csrc" + }, + "RFT57_d": { + "icPath": "csrc" + } + }, + "ObjArchives": [ + { + "archive": "archive.6/_30843_archive_1.a", + "objects": [ + [ + "zr7M1_d.o", + 7322696 + ], + [ + "g7hgQ_d.o", + 35284 + ], + [ + "amcQwB.o", + 406424 + ] + ], + "size": 7764404 + } + ], + "stat": { + "ru_self_end": { + "ru_nivcsw": 70, + "ru_minflt": 76741, + "ru_utime_sec": 6.6896649999999998, + "ru_stime_sec": 0.21408199999999999, + "ru_majflt": 0, + "ru_maxrss_kb": 157556, + "ru_nvcsw": 55 + }, + "ru_childs_end": { + "ru_nivcsw": 24, + "ru_minflt": 11249, + "ru_utime_sec": 0.014881999999999999, + "ru_stime_sec": 0.056870999999999998, + "ru_majflt": 0, + "ru_maxrss_kb": 81080, + "ru_nvcsw": 26 + }, + "cpu_cycles_end": 46913085260924890, + "peak_mem_kb": 358488 + } +} \ No newline at end of file diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/checksum b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/checksum index f8f35859b11cf48c2169c7698280ab1aedc1460c..0edccb7b0a8716a52f92395364ce67c70eb8bb6c 100644 GIT binary patch delta 14 WcmbQvG@WU}BbIwJG+HJ;GXwxFa0YV# delta 14 WcmbQvG@WU}BbFJ@OeH5iGXwxD?*<(J diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cwidincr.db b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cwidincr.db index 7dc46465ec3a059185db0a4394b142a09e1eff45..8b09e95c8ac76e4bc12f42216aeaa10f2ed7c339 100644 GIT binary patch delta 18 acmZ3*w2Enh>BMlQiKa>ux%noxF9rZSJO*_D delta 18 acmZ3*w2Enh>BMlQiKa>ux%noxF9rZSJO*_D diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu index 3f3a9c8..042f75e 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu @@ -1,15 +1,26 @@ PIC_LD=ld ARCHIVE_OBJS= -ARCHIVE_OBJS += _64535_archive_1.so -_64535_archive_1.so : archive.0/_64535_archive_1.a +ARCHIVE_OBJS += _30843_archive_1.so +_30843_archive_1.so : archive.6/_30843_archive_1.a @$(AR) -s $< - @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_64535_archive_1.so --whole-archive $< --no-whole-archive + @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_30843_archive_1.so --whole-archive $< --no-whole-archive @rm -f $@ - @ln -sf .//../simv.daidir//_64535_archive_1.so $@ + @ln -sf .//../simv.daidir//_30843_archive_1.so $@ + + +ARCHIVE_OBJS += _prev_archive_1.so +_prev_archive_1.so : archive.6/_prev_archive_1.a + @$(AR) -s $< + @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_prev_archive_1.so --whole-archive $< --no-whole-archive + @rm -f $@ + @ln -sf .//../simv.daidir//_prev_archive_1.so $@ +VCS_ARC0 =_csrc0.so + +VCS_OBJS0 =objs/amcQw_d.o O0_OBJS = @@ -20,6 +31,12 @@ $(O0_OBJS) : %.o: %.c %.o: %.c $(CC_CG) $(CFLAGS_CG) -c -o $@ $< + +$(VCS_ARC0) : $(VCS_OBJS0) + $(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//$(VCS_ARC0) $(VCS_OBJS0) + rm -f $(VCS_ARC0) + @ln -sf .//../simv.daidir//$(VCS_ARC0) $(VCS_ARC0) + CU_UDP_OBJS = \ @@ -27,7 +44,7 @@ CU_LVL_OBJS = \ SIM_l.o MAIN_OBJS = \ -objs/amcQw_d.o -CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(CU_UDP_OBJS) $(CU_LVL_OBJS) + +CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(VCS_ARC0) $(CU_UDP_OBJS) $(CU_LVL_OBJS) diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/pre.cgincr.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/pre.cgincr.sdb new file mode 100644 index 0000000000000000000000000000000000000000..0b723c1e64af46d897bc58c69992cd1fa5f3afce GIT binary patch literal 24579 zcmZ6y1B_@*)GRv28QW)U+qP}nwr$(CZQHhO+cWPw_q}`b{<~H>)m2%&(%IQb?@na{ z03!|o{GZSR{(q;M)&EEOUlYya|G?qDfeiovWcN>sN=XQx&&)~5Oo;}7`Y!tsZF{Uc<`j-wxqb2C4UMql`wnCO^8Jjr=0oX8sZ>I%e}t}QH{X}uQd$R+gCJ>- zde$Gv5qON$i482}q;sI^yXb|79>K6#_YqeQ(%Xgzv{b<_QvAIU_+uYAMKyF#M!TNP3%?U^6dD33p`=nGe=TfXm2LV&i#x-=5_aU7i9&@>X zT=`DQ@3*(?cA+>q^trh7imSX}QalOmKNAamwxqJuHy2eCFo*Ts$=L#LM@10@%rPyeO1M@39gCqhmh0ef-meV}l3VIXh#cBE)|Anwd!dX%07}tN$=b5&)v^ zK!D!b7#z_Wau4yJ=Gm%L?>%NX$!v0&ke5K|_D}ncA-MjBT99JN$J5ttVscE>#AeVX z)OjZ?e290*vBtFQR%&x;|7u1TMXdhezk$U?vr0I2mb{e$OS`%sPP-^=6!leBIUGXb z|7%qN*H+etym`!2eq!7a|J&QJ7?I{czfvr-l<7o$c{&cnwA6d}pb=qCl-SkA5h_sa zk59F(Y{bwfks&PvN3o|5%fPUssZ2%|J6Z#J9E^TR=)I8}5P128aRB}NnlktdmduY zUQXZ45Flx3K__d2mz1F`d(n{S7n7yvrgmFW)Xl$QmOB0iJH`yU#{{bc>!o9aE7LL# zAL#2Dn?s8YCwLSX{n2d)Wtqo-^jkxr(1G}ek~W!Al9IYFjetfnn7INZDc4h)lPxj9 zKzshv4i%NCL0*a}Ljtj!V6YHjWd3bDIPEj0JtSIwV;vt;R1s4vk~=z?COLAAk?T>S zao_yI+Sjzh0)qwk;K5r$v+*H%6WTmW)HzJ3y)@Uo(_YZimRTH4N!2dF{c%G%N`;}Ou3|&f z{T8GF3L*vfpTUEqhhJ)OLG0SA>|5;jIubNs+TcUuzoT6;$tVKRt1{b)`vFM!+*t%05l$9YLkDwQYm%a26lRE9O6wN%fR@HF<;KzRH))_)L^ zV~@2kqD)D5_D)jIq&|MX+CDbMS#dJxcm1ac^ZUoP=I$t;x2vHPttruZhvLd%PiA zrC>liKz?lKlX3a;6@5cFdfg}p8RRvdI`o3{S4$i_+ihOkJn`=UM#jP^u@ydJa{nxh zk4x5N`4pg)@EVBxkzxQwZVSwVXA0Zhytue{qC^t&Zd`@eKF6yuv$sR1H|{KLA?l9r zje8Zuz1lFxid(V@_0v7;E~t{g)9^s`^5gHdh?}js!lkJa)ZdlPoGseRp}09FW|H+N zSO(5O;@57Yc)k4705I}Gmx5SC6m`So|Eq}c$x|lpsfk1=wlgPkQf=ZttgiXexmx3u zsFdBkEs-xXQ0aT=G}o`pEF$uh#vix$F&{94M%ML#v7J-znUX^oSLxssUxh9H*# zwZDB{IrL$jAn;diUfu&X)?y(ISRBI&N;QOB+iXAXyY#Sx2>BfEvt4z zk~6le%`>?YAAXGSaKsK3jx-So($kE9eOgzE!g`BL2#M|e6Ig#*OGt^Ragiui@fcIA z%J$aax1=_X+3T(SXH*7Ch%LkV9*zZzup?Lk899%}3gkjKg;AJ)-Nm^xwL{dkSS%Bx z6?N>^FI6Hm|B#6&Ja{+oAS2G-5}5P57OzYsbQ2>y6L~a=+v3Ah-XGaV&UxTI#Lc zZ)XEj$bwy+?0K~%1q^VD$LYWaH-V0XfRkrAIZCasv6qqun^~8aOvUvuJ=NVHstU=3 za)qJSPMxF^Ce4^73)loT3eQ7{$G@?#9ZjB&CGFRGiN?H~LKWvo(D6@b~^UfK1norHHm>n8o zP#>D^3=9^%h^$b>%gYD{k@7tXrYUkRX+Vo{a79KpKL<+|mMLI4 zJ4`|bfXYDBfsT*we8)lB? z%SbH^J86k9(;O0FQQCn0L(tTz9qgk#BndQgpaOIASgd2ULz{2vh^HV@={Q7&>J~(K z5#+`Gm&a(k6d~9kTD}Z<=^*Q)-swCG+_zO=6f(?SUerqvhYb%y(Q#yhX0xY7F+rNx zQ?F;TgMWCYQDjKpA&Yz{)?md=RQzdB;EwLU6GmBb5<71@Cv&(m!}2uZBYqOsDd}2p z;?cZ_R+fE=K4+itvyU>nYR((J6k;Dm-p#6=NN9p%#icke_8c!B9?yLhn7F$H=Zb96 zNjwDQey8h?C1+e<$Ru<7lBLFBI+EySFBHzL35mnThm>)BvK42A`0YwYcA$u|k4;EGBq>f#dM*vjBG=Zm~G;p}^u+W!s4DFu! zBtp&1;cH=%V@(DHj4OL;RzHw!bQ3JOR>wV)Pj2nQh52gw2_HwJ^%nQex*Byn+tYzu zW~e-VzzFo@uTjK(j*VpsXt*w7n>w+G@X^rlSJTa(qBmUi@O1@82IzMA&f8n3(dCjj z4{BvSq?<)SiWirG)E%AznF%hZ$kSSLQO8y&jB4`*_y$(52AawxmnC@o8n6y4Lj%2r z)r<+q!f4cN3n{RHPKMchQ&i{tv1|bu?J1J&iIE1I9;+ z-6anMiOujSmt|MxeLu-1t=I_Ggh+i6jx%Vp%91EH!f#q0`NS%@o%i-J{K<-L93~Uf z!F(T!H#n3NOJZjK*QDPrYbOx3bj0P>pbTF|a>kdFK3Kco$*mbABip!Z$1eyMB`|44#tLUu)n8(zg=6HIx!(&3Arb&va2@SD$wZPAu%6!Z%b~XBi|EI z?In^q`?N_yFlvf|@=P>i2|WM~ukzV~Oc)ygB@6+_FgPXoxm2>~JzEu6ySl!L?N{w= z!S)Pbb4;|XL&ZDPqUv$`plCdOxG#m|LJzf}A_~qpH*Q%Vb+d_v{X2&!FVmNm^9VLc z7-R~z;z}0)$j2KM)z^}{=o9HU)p{-5O$5@01=WXy;<~l?wjY>1Kii5I477Ce@|9i$ zA$BBw@{-qc$T?l`?T3WWAX#s_sSK@x)4chyWqHb9Nu^?WUuY-e?p5%x~b-1A}fGBhMtionN8v>4h^kW@oy?<=?4kTf* z{f#8ShvK4|w(}`w4@8C>g`PUIxzZL5jo{e_##$wq^#hWd3zjKM-yY zB`=*Sy&DktvnATFE&e{GAdk|D?zQgKET9_A;Qe_lT&%W`*gU=Fs)s@!5ABQ7Cb1o8fM^N-W_u232y($zM*&1C#X7Bt#0}>_{ zNCwl!kkJGlInr3r5n29rRP;Of*O!dw=AnRYkK894!~(ia1(}VmncoApdUSbv(|Hjv zB}0qC(zI|mU3vNKGtLc))N}!KL!l4_E^C|4$}S_--nn2BLExMnbqU>%<}Ap|eMK+u z>JY$Vw2W|f&zpKxUct+WPl7Ms<6h@)Il2tOeq-ek-(xn4piyhq5UiEAwxwnnfb&e` z%J9lf(`PwWWR(t>~o?t=5ACXrG0SG#ghJQLI&+lF-RfK7X;g5x2)45G0-k`#AWa@UcPLuqd; z`yka;9YhS;$Ct^mm_LkDs-CXL%=ZeqHcnu)nWp*$yYPEhS%O~3Z|fFT>$;&RhX!qs zjU>iDwpng`K&#Y87^rd?B2hSM796t_m3_jdUR02&Z}1!WWRx!!;synYHZV`;nf1z@ zwQSBLLJ`x4uW=uDMBk!lHA6C(_l3l;AsDI>IMkZ<6W$Aa9&y)xHDW;{Rg{KPd{C^R zaz^Bpigcpy;0f`^Z}UpruLIQ+$>07ubp}NP0#SDmNV~uY)Aif5W2}lV6@ynWFpisC?HwS}O;6KJbR~fqhpz zLRzqu4^E>#QOJ|KKUisXz&3tGe37!Urcg1x^?q@E$K)sdv(|07ViA|G&gF7fPSt0^ zv=z9$QK%KOJ3l=8``A5{t{3ykP>xGtmqJ{_l5l`N@g-B8I^*cuiL`m+Y(M|I5HTh7 z`rv3aO#IIKTy%P%`paqioptccmWImJ0U}#NL#~3XFM(7<=7b|~lvQ}>5u=co0ew5s z3oF{I`4_@{sggsA789y|WG+{q1Ww*f4sC}D5WV`^ltLc#ui8|KJ=RT=tkw(APq0Z zk;JYNlGWvBoxssa4aH;@j8qgUrOKX;@J9a$Lx`0{i0h|ZjvDyZTlO?7hLCDwi7C6a ztWipKhV$TCyMsKYT6 zdbEvspP16?7P=;h5$^Q6W8oUy;I`FG6^e^VF43b27Ny*x0*I?+huwacR9OqLsa6Mm zRw(&10yT*z6Esp{YBo{K*k;*v$Gpf=A`n=q1f#O)!|KVJspgaN4)u!9)zKxD3qn2;TjKj; z{Zf8S@w`I+jc|mQR|1(ORWRR_wGpntt?buGrT0XgQ~mxGCXWI|HC=_aD|;+yp&~d_ zd}gqP%j;c>u6}loioi+lh;$@~4 zub|+?BxMK9bw*J3B;f1Sx0ON1e{YP_Zyx4vdOh!r@@y5^!i4J%i?NxO^&R|1H+yb5 zmh;`jCDLdbz~}oyT7WUd)>UHhQreZYv-%XVX2%0Qt1JXv$$e&2dBPUrPfw6|TQ)m& z**3fzdA9%oLq4vTyBwhFbp3oCZq|)?ZXkXY9UfC@H7p&-C%#=3^QwCr$zlT9+t#jml*`CXxGG$BW+$9dnJn7S&w*tf9FSCaWn#1#M;}j63<%yceWb>5YI#`C z%)O|dh|u1CUCvoGq4k?Sw8;1s0SL8PUJXUf1oCZ$iti#`GkkQkibX7?*&Z~=YjKjJ zX06aZGOt+C+1;3DPx>NB_X3s(wxc@LILIHDBN1-b5(h93lqb?yK0Nx1xfw*8QnEp} z&kGaVnw_##+h}Z34#M8`)mp z{mJ`2X%=kBfDhPvs55%rQNR0=pE4P{JP`$Z_+pBbB5e~S@CNYhARHa;83rmnVC-qa zR(?WoBeM(g{Pd4SlR5KMK3e=ikJuk}-1M0Z+m$H(Ejpf}3_hHrywD>7cqrP4(BOO3 zu6FslE9=&{w`L{-F3y8Gbv7WE1iypTIY0V2mx`z>CH6pqrK{pJaY5Y9w3(RTGW5I) ztOa}wm7|1v1_i}Cj_1V~?^9O^mu?PxnZ?c`9O%h>DRihGdI9OLNoi*$inWAdc_Qls zZixulBjen`7A(@FDtL~V;ZGa_>kI8NF{?u7Pb-LOb3_n>JD|!T=sCzB5X^c zBn0^@=31Pze4yhMNWz~v6f$P51O}!8n!q_AZ2a+9XKFON8fZ)f{SInSXE!K?JQuR_ z&pcwU7p>l3H!E$ey88FzInIHqF` zv~2~n=BpL1iyAKOY+Z{Fj(K?C1e~Et*vstZk9#tJ1%DN_*rkPoWy6;49&Ef)?q~Vt z@Y{UOu8T47J7i*U_i_xosb1s_Q&p50-yI%DfNT4*{$lw% z0B_7upr;By^65&B9$<*~D$NoItU=fd7C|E24b1o3==8GxacP6Eema}j5H{KZOM zuEi8fuV9RA0MoOZ1;LVmIbypsn9RCb{EFBe6}{UgbN<6wm%I1bAQAhH8j&@F8=bH= zsam}i3J5*emWm5#cTKApPTlx5q`O}KmKFeBPupJgs$#-y@o!t#Rm+8KVAiok(X}c} z{8@u_v(R(hpe-hiL>aj8>RG+DcA;vLjC^uYM}A-d)iyumKT_q)*U9x}tWB3bxS8DR z{eO03>5FyvCG+PfywC#xxs}7A>U4(&^U+7L2jrA$E!u1~zcc^h{l)vwEB^oa&i{-5 zC;i3y=O2?^U-bO*kJZM>?}$2x0*x`G6s8~G&l^C!Hi#IT@U8HL)Hbq}94{Cn4>&Lg z>N*g= zWB9y*!g_4RFzX!-STSreC3*mH|K*f)UcEZlw0}hG0-N``d{Tu_3T=M27X&H@8M)xcju>x2u>% z)K>+i4L7m+18_q4=bUJGct8MRF^Z?BvJEEz1=VyYM>u1{P)2v@ARU*Zj*dCYZP51A z5_f9ZyMk_LSNme2&tw*>@E2K(GY7MCTD8|XR$(TWrY5oWCB}$D8TvEf14CoAt4Qjf z*9!U(qH!0ykH=|6o)h$jXDE_z6J*f&*W5r0OI5bN&nUwh%IsK-A$bOL;oJ@}2`s95 z(wWK3l8ey*w18;zpd;n9Us3iuWt_Tgr{+v#%nwOpgNjAOPZ=pHrWiN_Q~vhprgrS9 z)wl%GT1NGSS4arDu|Q3MO+B*-A1tH&sQino9q6tsa&Caa@CCBKJUljrgB54^WLW_I%E!fp$SAf=&As)H<@)&+PP!s9`$Cq=FQ%@YYbR$u!8H2;*GHrz1TH<3V>E?Z+1 ztKfI|{+!fs%_85J>oYOd)<0ovm{M9p@nU<}nyWXguyFU+2#-Ef4C+Thr^yjVZ}w8` zq71x=1N#EVYZkt$y4~?_Yf8-I`pN7epty*`?T=Fp9lk1g$r1HA>0M{b55+Dn#G0^7 zOYg(Qu~@wF9Fgd0-gSBISOx$WF{Q7zdu^YR4}+PU;5_3AjjSUm%($TNMBEH>gcBz* zuybS8@YiA%LTp656lJTpEjC%LBnxEv9~F?&FhP1k=tigU5MDmv3&`@`^CKW6m- zT(a0|vypiagE8CR2Du{IvDA@0Y2h4UBw-rhCjC%bMby2qdx48=xey|>;dnQWiqIl7W1=OmFa0YN%3++SKI7)aSk zPY~C>K0}TF_}LkEgh5}t=RLG((U;ItLZ#7XX4?jR?7dq5$8vs3DCzX!Zku-bK!f>- z#U+j9N4_wkq8T?Wd^a)p6)!k#hip_g?nT#dP>O~Z>=tEg4qI?=ZOlT`+LqUcF6ZwO zKSgL2GEdN8v<-NDub;>a_tX#WIH|B=w(ZBW;_Ch=S=~(fV2EZ)fc1wtG+04tLD**Y zByLyRZDFiCo=-d_8YjvH9$@ylQDfk$8|13%FeNEGWgQgGpK$jNIA^J)E;2m^H04~N znV8khbwmvG#{H4oHo`Z3Qt5h)Gy`{txA(%EI-vro>D=ajE&VPm-Vdjd2ZhS? z%dic752HQqs~1YYTs-Fex9R3o;=e8N=2vG4qTt5hMZlN}U%!`~>%n`ku-v*%<& za%;xOCxkDJxITYbx=9j`klVKwotOHID#l3OE8SI=D%TG>KDDyB+qi#d$4^#E41c_T z6(f2|vDC*$R28Ksu}?_hceH4526&c)qQ*RD;WM$J9LaVLYKhg7LnE_&jDqDiPun0U z;O!+;G(mX>Q;XQ7c*%p{heWu=_zlZ&i^b!<7xkTPm1`=38zvE-lKIE6Y)_?j8_fin zU%$5zZ8js46m>&g$eosAz~OzFw`hL31x1QtV%9XDtAtGAqKGrnrkp!3wfUQc`Uix3 zKMx%ckg2YZloe|HWdZ6L$ROjBzW*c~^PNx@=((#_sStfLkh>QEm%%wl1^9I_bt6Rn zX!-Hi=i$}1BhA$7&_hHiN|5rT!lTa-GA%m#%D0<;_>UjkM_@Xyo>35(7Q?VME9JxR z!eZnH$XZMch-X%8?58r9(lspcU5Ev&!Sad%Q@iOZGDdv`F=!tTK~Jkd#BrKqr22d1 zf+I3PEg!2;wr}sZ?=A3{2$NIDw_>jSZYLWla;aL!r6nG5azdn;R$QV%>typU!fXT@ zthJkA)qG@Fs$aOQ21-=)8;Sn};aDHF->!7(1n!EFy}GS|$R``R)CPcwY_tPk>#gIU z1cNtGkpDIbX7!qrX|;{42Za@_IZ)rH73s%zUW_wJaOVdzVM~D1IOtPt=|BC7GCG`a zE4id&!$;+;(H}OG3cll48KUK-2pGmJ8|4FW5l;jOc3*XhQ(&*6C47CO(I?AbjcTk; zc@V`<>0>dtK{?}6?V`|)&!vqWJ|VY%AwuO4yK-$KaCTUwP5qp~MUU(^39Anj`|~Wp zyd&>fa^q(|(T}WC?etXqwquYCBf1SQXu~2CTy(e77ybEF-L>s&{(F4B+$x0=-ZDo^ z&i6o87&#Atvk#J6P}J($2f~DL2?eJqB#eoidzcZ6hfkj85*n@JLqiQ1(TNMV>}~-Q z59tb07No!tVlxh0O$|540DQ7Adn)PLUcG3q%^RJu4d5c9AMNlYL&fLJi*UhQNiY?Q zb3WrYHS9o=wWCrt93bMw4sRCz%piE}rMIn-7Zvot*}NHdkzOj|P?BcQF0(N*)UX~3 z;+c(U?-#^oAUg zLFz=8|MU5~xY(VFCf+umDj6mxX8m-ll~A1v2Pa=*YC4$8Yz)dXNl^wBsCcbGZt&-P z#Jc|Q1!%FLaDuCnLkNHm%#qu1e!=+$FDP(bhJk`~G(P%+edeIFzs&!)sR!^W5T+a3 zAXoZcnur_3bfVF`Ca(nVi+!^jc;E_P$-dDXGZs`Jc9HXJ%8`*SQlVU!jX)CJ3Urb~ z?yuFMsEVj(xf&_euxIf%kc0V>i@jpRgzh=#c&KwK$({`sQ; z^xyXtdm!B-nQ5)1c>kkvz~5a<-14-F*8ly_~`*CW$G25pNm>*!v6_D1uM`c8&hTCcJwcRk!6hPlfo^`)d(J$VN9Yas;-J2XAlk89L-oq{eFfh zH1DlKVXNwW(3GeDkay(7#JEp#pHz|{*BY~?FS?4z)VUY4XHbCN(_71tiH-$dY!fZ= zty*k@`xF^IZLf9WqZdJ@rLu+4!#MZayt=IjZ)#LK_mE%D4qTQ;&YMrLMUih6tHf~n zP4t7p&ylH)aJkVAuLc~Cs+$W@<=VcS<+o8J{)01fotR(M(ox3)yJ zV~3x%dTx~TbqbW-2oL}daW9p~$&qx(hv6Fu62>U>v`Py>*3FEohXZEmU}$*&O>U{W z^rNjTGf}b>!%zu%9~|^Q4Y|u6 z8?1nv?_}zzw{iwZD5aqi(!zk1USWinj-H~0H;)Xa8l(1cQ7NBt6FQ{BeR5#NTx^Ri zu3H01NCG%Gq{SQ$9q0*c*ozCva6Af3#(CP5nT)z%V*O(}5oteW8de!rJB340q5LCF zNWDV0)Rfk{7d6vwW|c=zD1s+wF|jCfYW2S21uYO*7(E zsIjX{f0?ND#BDsWW~8j|0y8f~D7N7nrAH}l#4^VDp8Cf;4|1#)>YvsBD)RYY_MAF5 z9jYW-Tw$MRY;@@K03(190MMnO1*-n$$qGcUJOy~WWSau!L6ya(>JTt@w)DjYbPH8b zx?vzbc!1Ppp_7>Z=LNHjQ7$iHOoDVvg;wYA->pTZfA`{y5cFrLw3f+aZ&$1{BJq2h|1UD%zNy@IQgN>q@JB-)6PTY1gvtWM+H1g<-g z;)h>z?dRu%h6neA2GD$^(UxW0c_rJU>BJbO^$PmgcA&;Nf)#O+C3aLr{k+?_BC{03 zb(mYr9(eg=RCS_VH{ZbH1GA%nYbdoPj?MpRx7>C>UFXGk@42mU$B2jc?sF-|B) ziOaeVquI>P(-k2-rtD*;AZ@x9Db7tFXcYEJ4E%r=<6)iGtBp`*h`C8D0{4atLIJ}U zS-p3S^_e6B@1P7nx>N9BKe}8D*4&WBap7MYX79%)q6ET;zFG@spoVVl#@9*s9$5Zu zYGD?mX3-bFv{oK>lUJUe+ItJ@BSLDp;okK|X;H@lXzmPe^@3as0JBA8=!P*tZp26y zne;OY-n7ZlZQMGKmRXuuOw2@3DXT+9qMn~zobdyw5^dgS&@`)Rk8_Ep;BLW#3>=YD z2lp;oNQTT4JnW_BmSv{?Y@mtRGHl%X1&TbudZH2=@SuVj+5+}og6(3D*o+pe_fjNr zdFaSY1OCRc|-6-Eqf zK9)GhzXJ&<;RcVb1|<>rVgcsk*9*O5>E53#lbDbd80hxn`3hAlJV7Fxbm3|+Zpz=E z#rNDNfi&Bgf_$XCUETQX=}od%=^QZ%$}%B&n|9}Gu`H1!`k@Iivzb{OC%5RL66)Rw zNFx1n(Drd;EB^+BDfNi=R{T{oWDIM}D(BtWCmrG>z9>Ct?y`Ib7i)_=^#T9Z43}k^ z4aQseWW(*&&~aZB;k95Y{n#mZ|}q6=QFqaTSUn>#rWHm)@uFr&49&NQ5h0 zUABA#VJKoRsPAV6@b%Up?UboSkPpfMsSRQTJlY$5+(Ehw0iE#dFKlROwmso=yjRAU z9K1`hoz$cReDW6xwQ@as?2hyM&D4s@$LT{s=CeG^&I-}%Ih)UVkxFlE%%)C`KOOZp zqn;f67at>z*JFxwy{g^cTIpbh?07??#dZ3iY70%?MUdB{Y8d#O-+`kXKLOy&!KoqK zne`LHm>e0v89WcB1PvO3SD0Q1;TG>8#0>CiQ7)FbiSd;hPfuHf40!UMJ^I!@| zP>o`;wvaa4rZeo+Np)78H)^Ne7L(OCRjIaP&P3Lb5Lt@;2>cj!OQ?M!e76@*A~D!7 z_va#?wB1R=CjcP;;fC+tg2twx5Eo9oSCrs)RNjjtk3HkiQ7*T>Nrf#o3b#gLwvZQJ zh*~HSkp9}9+>?lc#y`6lPBl6tEP7nER zbgE)m#Tcr~$wpTJIn5IbutzA0vLA-Uio&@{G?*BidCP@z-Kvc?`bN8c%piaUUX?xergT@G-`_XUnKF zf-L@MU_U9YJHl``Io3cQut}mKQ;MYE_B>Dda+ealz7f1r;7yzQB7D9qZ*pFe zM>qB<@yq`DFXJ3S|2&gZ@{W+<7j0&J7IDey$EkTo3d>6Lk*AW5?XC?AA>Wb&% z0JGDZ2b+x7K9;#%O|*>nD!cWq(%;k(eZuwlwoA{^U*>?X)`!Sw!Nv}uSXHM#ASKq6 zkMFEJ=walL?ocn;QPXH#As{6>+7Jp~C|i zZU)s9IiS9MkX@B$eAWvxU6R3P?2hpb2}5?tcq1GKt>qaI;+;5;EDSp_6%ze49<~us zh_CV#W$_L-rkNQny=1HkV-@EEkuf^w_J3(lbSJm9N9Xbx-0BJdIJmqJjJ-)jF%9E^ z9X;F~t9B|n{7tE$FB8!TBOpz&IO_pNLZ~Ew8Rp=deWS398`m&x&f4oY&nkg;*NfUE zETy}<=(DtH-3r<_`330H?56CIGl+ zn_V>b8GxmqJRhg-jBXPGvTYb;++k&WL8wIHsn&{vf(iBZ?QQ=(?vi`c9r z;|&ACnc`d~F$iY1zqE?u0uzhiL!<*3JghTShcYt%wjoaiDBzS#r-3K2fg1}d-e z+IXHRmA=Jx^9F8FH%`^((_8eHT==>C-7gf^9%-S(NfLoDPKe)xPto%_-6tcV_1ueR zjPojeMiV&%!5EmOea~lQ{~c_5qso_hq87}O6i(J)vTtp(=;Bw|)t7y*Y{opNGU9s> z;NCgMZWrLC`ur%20gdl1Y7PP`qre@1-uUkl{x^Op?c=uKXngCHF!mvqwd>iWb!h?< ze+Kj$#Z$@vc=NAr-`I3&J+r!v8Y%QpMJ zenOg2>|SY$7DxK+oJ)!lqyfdfGTSe__vNMFp^n2F-oABt6tILUHLxx|$cwWlVlBKn zlAH0UG49&}=&}3@vpWKlsGcDZn{jq0BD-R zJ>JrHB0lGadV*b}ZC$H>6)XRZ5g>6=@%qtP-c8&wS?u33!e;?*zd?Z@_d-yeynA ztf0v3t40tMYA&Y@o96HYk094tYoEo9s5J~RmwYGsrOK7~Kc|cIjHn=~lergQoc~^lL_ikoX*|zFJJi4{}MN%{I)`$v|QVx!ZcpaCFf15>Kw8L3vot}1^ zf8ye23ibx-LDLIF#8JT|y7+khyRT=jo40!jN*fsH*0vaOkI(gNc=J z2QuO~jgHNLEYG05lR2H7I*#_0a8*u|w(|&yx-n>3>XF!mb&bj8#U)XG<)nGMFqeO!O2BcU8VO-5wA&K>Eg`~cVxYLAQEsdV?ZP_!1(Nk>& zqxbxtuNlKzLZ8){Z`*@jL<-K_bQ%;~^04~loKlA6(tS!^3MEyZ-e=O1VGlCXq>nH> z*S11V2>C;Ln$r2vaA=x33rfnfd*yGOZOgSyKUyb?zEyM2PB_ zmMKn$`C&830QDHT4SCfX z_N11xS)=o|9kbWGFXpMily{i)r@-4O`hj@!H8ADqQiME@kdmoIz+F|)IgA4@IWm={ z9s3Lbc4#B0SIrHULb`D_*b}I}8m$Sqw?&PFx{iOXwL**u)2Y`F3{Lp2SO;6XR0bWb9;NC`9;t|(Xen> zOBA^gg-LL--OOZh{kok-evmnl;+07^`5f`&&|{ZNZ8+@IM4hwQ8xhO%z?YtIo5F!a zrz+cNs)q#tZn2E@zST6iEtzpnR%HrV!pn@5t6+oL7GRE%8UCH(Lm z!a9|GL^c=9u?p1owey6J+J@XdV(QG+WFw}Cs<@(b`k@gNxV17E{m<+d1hb*|P5r>4 z5Cazjh8f=YtA_SxgOU?f&HUFp{ zUA--MD)5nnkhNr$yw+Z8wkt(;MVW*z>CbL{lO-8c)ruUU3Al+p$tGCTzVwDlo5vFH z?BsivFkvVvgpD8R_CK@2>mriOyae=Or%rw|0#edkCCp`L2XyV{7ME1SbZQNbS*A-I z{eKGi>ZmxHo zxZ5llV4iG7(gtGPPb0lV+YFf&TFLob^PQ}W_PNVX3Kr;dD7Yp8LIfuooBVJ){@WO* zWN)-I!eW*#Q{K={J%TXT(5j^Nzi;j8GXyzW-zAJ)pIfRP6#o$684rmJTI9IXn7o+4 zJRS;B2NMM!*C2vzxc%sf^+WXO8?>a-b3vhj_T}m`7T?{ZGQcZm&n`JJ{Fg|E!)j46 zYJDEof<5}_xtJTwnVBEBfMV~r<~vzMLC2*0*t&|$X;%qSDrG)pqX%eaNR_(d+Y$6r zudDg?FAtw#8OKl%g}qR6bnO!wcC<5j0(sEO9hw)&eoA6(d0!Fq=;Olx5jeZAOFa)Oxxw)FFPB8V+LW$tHGpnB56^BzU9W8hee< z(A?YNZ_;$N-~wZlnUeGssU~F2Fd#lH-eF|S$O;S?P zm788qR-FgCE+r|HQ`fK3=eneOF=p%S4rvjvXG5XgA)K!$Ei`NK$*{y7{cD#E5qk|Q zpP$d;w_%YiHVP2*N8`V9LPgxP%}qr<1cXRme|1{@;?xp8$nk6T>auf2-6T&YI5}+T zjaZGGq)8BuEj!>IW;4lz!Ga41&^jJbiMP_i>MdIQ}~5x9ULsp))fr2BU`H^C+CK{_oSk z4+28w=M2YGFX3!8NxfYM1IE+Xt5xRC{4!{!KD2q~$&meOMhCB>TYP#tQR|<$J?$1c zhf|Tj8K+5^ii0hq&9pmRV{LdY3p?kVv*qiX)dn)fHQ4H*_>_Ic7RpjlLO?3hj-bvY zV#*ip4R2N`PQR3=m&GA?_pC#e)F8HI$jadW?#{Q3j8+{F1+VqqaD>7>8&~Ux+EK!` zU3_-GE}p}hb1u^i{P2PW;|f>LI$y|U;gqi$uOn&-9OnA12Hh*UeP+`6(xiriPQK9} z<1X#p_+E(jRv02H9-BR7g_dbfByKO^1+ld*?th?>uf57fModScplZ-S zmNpU<%hzxm*P%-0k(XQ3T5#|T3KxtJ4}5W3==w3C#DN^m_}kIwst5NmowNTdjQ6NGWii z&t0)fW||(?PP>~g13VtY62!!x1932Rk~j#N0LsegP(xWslzIm7d zR-XJThi5tyzN;7B@7~ed&%P?1GpAh6!U%GQs|B=;`r{bNCkHnZqo%8wucdr>kh-L< zERz@iHa$ZVqiaLg=MJDOP-0~o);&5}%0JEMl|Gbdb=R!?g*8WeGj;v)?%Jp^hpoS>VJ3FMO zAMx^a8@LscodryX{UUaopN>Dyi`X=oKT!?^zrFjF=5^S(YeI73OQOyY)SU!~)5#P) zkh4@-oSbl4rCp^?x3#~8PXOB-#hKfggG&`hx4iGj*z&gSvjgh^NPV|A3&M!pr`lcf zI-Pykx$tW`(I0R&hx_i1lFy>sDTgw;w=a47P$Xg=_$H@$25Y+43NIIg0*b=jLgYjm zuT<{@C|L#9LUs5bI(Y%WQZcvqLXCx(uTjZVj2wmis&2H!XW!EA$j46J@9hCCPv94t z*Wupa;sv)jNsv)3mBqv+RFA$&{qXh|5`ko&AH7(7Zv0_KP<2Vyzm3Q1-7wPO@n zy5;Ybz;=0xNyDwDfXzU~t^$VZc}qJ&Gl)<=+{uHlYrCfih@zlnNLU0gG}WE#zn>p; zA``bS$~G0?TMpFFZ)fbYn;?1@uQ>_eX;haB%@ZKHC%|_mSb?Bp-^!sM9<7ImjedKe?hd{=fu`kH~#k(Y6EWrQq zNnwI1fo_HIl=<~qFk?{7PeY0!ks!OktpNgoGv)muksBqW;P*?09<92wamV(1mN1bV zhzYkaf_T|K`t~;nIFw>ASBugLaamMcYo3V7IC6^w{SH0cIN|%+w)n>C)ytA*nr@5e z#$B1JRFHB|%X(bHOOYvy7i})hgjk9PH^3Otdui`8xQ@8etY8^^npy$geMEe-6pVO{ zcR55fQl)rEhMChssTk73cbLKtM6U$R+9Y(Q359|vn1d*scX%B+xSBjV4T0VYPq?qX zOQjiZ?&MFoC~q4Ls>*5hSqX(*etU_uW;iVqV48^UdnnhGe=_5%SPHjsOlyylwJ^$2 zr`pGi6Dc^%v!gkNVbg#BGL_=IJT+fvt}swhLF#lH08{eGII5ima?huvDdXL;8QU1a z`q7$#(S16-qs2bT+pNdJg*l0`Pks<;&fZq<;KGSE)5x{tX6>mr5aMupQnd+igxBo(plj=jPX?TI}nJs&ro3did1qcr%l zAnZ;(aiS_)c4Mb8kTFL=jf$)0R;%ty+Ns>&c-*QO)}pQ6-fZt#F*5?=Qr}u)kcFC0 ztVRw_TL%EVjIvJ^m4cxA(}@*#RG|Oq^mJ^`c6?KFg?u!d+2F zI&>HX(BAm+ob@_5HPy#kk5MJM-B_x^T5aj@q3i5wRXLk*A-}1Y2RvGhAbQoV@!@4y z0-F%wrl{vihq!z|$RehAl@^?b!;ASPUL;I+#NA(forhJ8sXfm5@xunRVcpE3A>5%=Pum(ny1K+K9) z*aLKw^t&)+mb(8ixkWqkQ>{W^p9Jos-kuR1;(c7Clvd1o!-Ejq%pz+p0||y|hUbuR zl-tp$;AB}Ha!R6Yk)V*p0I$u^W?klIiuW2w|NM3S3ZZojA{GMNQoM*d=XfjJ|T6f71-_F<>S}4ScqoK(+ch zRb`$<1ooB9Xegr-{Hy@p=3?V0%hsB6IiI~L0HmGj-?Oo!K)WIOI5 zOY2K-B`NbSImJf3lXeZyT|?LDhXTY;IS5La739ss3JaGRhxF%W-QunXERTd z!V!{*(r2#V-H%)*$x&4qV}^Iv_)&*uTAQyN90j}(H6v6HX+|N6pDsVNzwbU<^B}9F zq(43-8y_Lw3Zzpiq;a0pbx9ACd;VGHQ|#XB)xtOZH@iUp^xCIdyP$LTJDKW+L;Ok=vDksgwxD=}?_7d*>d-%ug4#-eW=@HXnQAnqhw z*?DT$bGc~rgZYxN`w~lYhDOH1yu_K0vR52L>9B2z14$ek%3YYYbV|?Wx6CHo#n$+<8h@u zRO*Qjo{&39IDOALKlzklXubv&G8o4lN#*ImO;v&WXH+ACf`5UzH72dsKOp-`^qeqe z7@L`6_hfTu)m2vkjNxdN6Oi%iO{t4pwY3bx9lzy|GgBt)dBc^%mH+#^_>CbhxN_)u zVd$GG30=#*-PHH&jh)n$gm&U5%}TOK6d5I~l4IF}Qt3r)C_<2xD2tEX5*(9%&k`4k z8%9g$NMdNQkd#KMA>si)5xBVfnPgJj=_m1ZkkAC)bLxO3x?H}z=El;X19Vn&v2m ztHJ{CPd}c%Z!wFdsJAPF1znn0u6#2`uxK{PGR;iMX?Kru%7yDvVrP64-(wXf^#z|X zg?gF;7=^x?j*8|t&YoSXxaR?H;3|u2wYGzr!)W4Iiy`%83HN)nX zy38LzJn*9M7_@M!Ism?T>ohVH;YiJdMMHf(p}!m0WUB2meYkyffk#jl-M^04WhXPe zZgQZU0Ta^|SG^zEx>WDVl z4l-rpEUuSS@|SoUSX{{6i7;IlPa+CTuO)C`zo(L;nTRVVsFjM$Y0^TX6|EwFsJUv#_wKpBNw=O?(S6AS{9K@Viq7qYa+y8W`At4e} zZ`SbnXr#obXw+_8B;0mz84;WEi--eFwt%;Pb3y2?WLh_dso)t5O1r;c%;^}hd5rcIG;*k9&_W#6P|dMX<@aO>|tZd)E@GtT^+TjJAPJAOPV>uv&b<2B*n z4ATZle!&dSYrj!^#brB|B4mW)X>W@_(Y+q-yd*T=&!F9f(@F=r^LYxYaFft{cApfU zaoQ68{N0pd)v$}Hq(VtdiNLX(3WFL5(JDT~U4aqCt+3)^$GgIyK>Z~cdg z$q}6jL+1no?IulC(}c3M(@n;TztU@PIj{!Uegqm@WV1z)JUP+YQkggx7PLi4 zxVJwPG$I96mE%dFq#BHRNBFCnI9<4cXRV0_2V6TWsi&4At1m5>jj{Omg9gagn*yTX z&5hs;-|`ISYofqAB9NI9m!qeIhx%7l3#b2jM-v+}zo?HUubPmH%RA1|x-~}o;f>Qx zsF){g1dVc8BPCw0v?U{(lZgaL;K~MXZ88zbMg1KC_Zo0C4SBb#sL~5O$IZYeuv?bX zQ1fgq&Ft8mndmY%dL;h5s{^}EcZ0QlQ>{{^OS7;Qr5q||rr21KukA~1-q+g3sZEtue=>Ns`px~-DDY2fS z1i=?}F7DgcupnM>7%#J*;)GW(9(vU3#k-<^y}qL32@$u)kQtOWwuwVW?c`Nvr|UQ! zJ5i`c_PO@7n==EX$A0Brne<$T3nfHf5~x0+A72+cFqlWN%yN?bLY0ZXj+< zuzp)4<4BFyBtY~NCD4`m6A2D|EGa#|s{-hd1_(c(<>x}YZOf!1RSMFn2xsLoK&Ye79) zgBHgn7GXglqx@mtB{wg&YLI}*7aYd8QfI$&Dxq@3cX?iuR6Is1J{3fQhG)g0#m?O~ zJoj73t88m3EvF2VuZ9Lv&nE1P_X}gvUb>J+41#za3!6mn;j6r>8=LtsJa>%2AKY}6 zOy-$YfOsTvedax@99jA&h0pEKztfJjqQ42PMo+Zw#inD_$>}mEQ%qLUV3WNXZBI z*sx^J71ZX4JVE1d2aAXB$`nvbQ*cvlN%>|!+e^7jx(FDzomL`##Cp;1WLM7CKL+^z zFd`?9+w?gDUqjyIhZv`?eRq5}?B?y}c<84-;wfZ)Wd46&SAS!O3z;8!T{YftkA+@W zLowBV=~DEsj0u{%5eAvdnam|>pDKKP^HLNc6?+&7p>$md`8*uOEv z1v3d9Gr-Cb3p!@R&qLb>&#Edwm)MeCR=u~hCFES{OK+op?+2!Xq5Gd0Pz)71XbewS zv`0WoIxI@k3TWWa`lOSQeC^+<`rc@Ouafy5v#wC{N~B!hw{-d` za4IVs^q4YY7K3+>dt%B2=>Q~?b|{QLr1IBO1jO?n@rU-#$lcV%$jscu%F^D*%HG1k z2*$+DRNceK3wgIgv~GDw!T^l{6(E1CCd7pYtwZb|{-4o8*Ym&lwymU4{_kgZ!}(3pSX|2Gvni~+>=FTS-m5XxtUD3tSu4;>>^pwDyv;(J!CLiwB! zh4$eO9}!ZAN;S0jyFWn&$WXrE|BM$2%7Z)?+CobRpAp&)l>ps)DF65UFvR|e51ntQ z{OQmCEbZ7{5!r!m&@ zUk{?tn1A@tbpVxfXaV6fL4O}A+D*TY?>mSaIwyble{#r6|1aNma}FBc8tRA0A3nx^ zAPg94|BnA(KXje2K!5MQ*c4*<|H7Hjweb(8T!6G2{DZ0ZAXxb?90I}B|6pn^=&|_+ ztL6Ngul5T%xBs-iZi3F;KUfbN!ax59>qFO;^j}yGg2De_2>LC*Q}aV=f9&rx{Sa*M c=0EMwl>JaKaQTn@Px^is7{m4dV3^VW14?eWwg3PC literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c index eb7dfd3..c04ef74 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c @@ -35,7 +35,8 @@ void hilbert_fir(const double data[8], double i_data[8], double q_data[8]) } for (k = 0; k < 8; k++) { double d; - d = floor(q_data_temp[k + 16] / 32768.0); + d = round(q_data_temp[k + 16] / 32768.0); +// d = floor(q_data_temp[k + 16] / 32768.0); q_data[k] = d; if (d > 32767.0) { d = 32767.0; diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/novas.conf b/hilbert_dpi/codegen/dll/hilbert_fir/novas.conf new file mode 100644 index 0000000..43f62d8 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/novas.conf @@ -0,0 +1,372 @@ +[qBaseWindowStateGroup] +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\x4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2z\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\x1\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\x2\x15\0\0\x1=\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2\x80\0\0\x5\0\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1`\xfc\x1\0\0\0\x1\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\0\0\a\x80\0\0\0\xa0\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\xf1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1f\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3\x43\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3g\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x8b\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xaf\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_height=977 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1\xd5\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_height=977 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\SELECTION_MESSAGE_TOOLBAR=false +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\Verdi=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\nWave=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\hdlHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\hdlSrc=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\messageWindow=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\svtbHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\OneSearch=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1=7 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_0=widgetDock_hdlHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_1=widgetDock_messageWindow_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_2=widgetDock_hdlSrc_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_3=widgetDock_signalList_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_4=widgetDock_svtbHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_5=windowDock_OneSearch_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_6=windowDock_nWave_1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_signalList_1\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\SELECTION_MESSAGE_TOOLBAR=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0*\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0v\0t\0\x62\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0i\0g\0n\0\x61\0l\0L\0i\0s\0t\0_\0\x31\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0&\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0S\0r\0\x63\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0\x34\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0m\0\x65\0s\0s\0\x61\0g\0\x65\0W\0i\0n\0\x64\0o\0w\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0,\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1-\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x92\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\xb6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xda\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\0\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\0\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\x3\xd6\0\0\0\xf5\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x1\x41\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x1G\0\0\x2\x8f\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\x3\xd6\0\0\0\xf5\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\x3\xd6\0\0\0\xa0\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\x3\xd6\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1f\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x43\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3g\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\x8b\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\0\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\0\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\size=@Size(982 572) +Verdi_1\qBaseWindowNextStateGroup\0\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_width=982 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_height=572 +Verdi_1\qBaseWindowNextStateGroup\1\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\1\Layout="@ByteArray(\0\0\0\xff\0\0\0\x1\xfd\0\0\0\x2\0\0\0\x2\0\0\x3\xd6\0\0\0\xdc\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x1\x41\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x1G\0\0\x2\x8f\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\x3\xd6\0\0\x1\xe\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\x3\xd6\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\x3\xd6\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3^\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x82\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xa6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\1\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\size=@Size(982 572) +Verdi_1\qBaseWindowNextStateGroup\1\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_width=982 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_height=572 +Verdi_1\qBaseWindowNextStateGroup\2\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\2\Layout="@ByteArray(\0\0\0\xff\0\0\0\x2\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x1\x90\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1\x90\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\2\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\2\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\2\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\3\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\3\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\3\Layout="@ByteArray(\0\0\0\xff\0\0\0\x3\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\0\xa0\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\0\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\0\0V\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\3\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\3\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\3\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\4\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\4\Layout="@ByteArray(\0\0\0\xff\0\0\0\x4\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\4\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\4\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\4\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\5\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\5\Layout="@ByteArray(\0\0\0\xff\0\0\0\x5\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\5\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\5\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\5\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\6\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\6\Layout="@ByteArray(\0\0\0\xff\0\0\0\x6\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1\xd5\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\6\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\6\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\6\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_height=977 + +[QwMainWindow] +window\Verdi_1\layout="@ByteArray(\0\0\0\xff\0\x3\x13\xf0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1-\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x92\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\xb6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xda\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +window\Verdi_1\geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\xff\xff\xff\xff\0\0\0\x1b\0\0\a\x80\0\0\x4\x12\0\0\0\0\0\0\0\0\xff\xff\xff\xfe\xff\xff\xff\xfe\0\0\0\0\x2\0) +window\Verdi_1\menubar=true +window\Verdi_1\splitters\tbvConstrDbgSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x8d\0\0\0\x8d\x1\0\0\0\x6\x1\0\0\0\x1) +window\Verdi_1\splitters\tbvConstrRerandSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0G\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvConstrOriginSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0!\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\ThreadPane\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x37\0\0\0\x37\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvInteractiveSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1f\0\0\0\x1f\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvVSimSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1f\0\0\0\x1f\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvTBHSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0-\0\0\0?\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\splitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\x1\0\0\0\x2\0\x1\0\0\0\x6\x1\0\0\0\x1) +window\nWave_2\layout="@ByteArray(\0\0\0\xff\0\x3\x13\xf0\xfd\0\0\0\0\0\0\a\x80\0\0\x1Y\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x2\0\0\0\x2\0\0\0\f\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0O\0P\0\x45\0N\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0\x45\0\x44\0I\0T\x1\0\0\0?\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x16\0W\0\x41\0V\0\x45\0_\0\x43\0U\0R\0S\0O\0R\x1\0\0\0\xb4\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0V\0I\0\x45\0W\x1\0\0\x2\x1d\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0W\0\x41\0V\0\x45\0_\0S\0\x45\0\x41\0R\0\x43\0H\0_\0\x45\0V\0\x45\0N\0T\x1\0\0\x2w\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0W\0\x41\0V\0\x45\0_\0R\0\x45\0P\0L\0\x41\0Y\0_\0S\0I\0M\0\0\0\x2\xcb\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0G\0O\0T\0O\x1\0\0\x3\x13\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0W\0\x41\0V\0\x45\0_\0G\0O\0T\0O\0_\0N\0\x41\0M\0\x45\0\x44\0_\0M\0\x41\0R\0K\0\x45\0R\0\0\0\x3\x32\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0W\0\x41\0V\0\x45\0_\0T\0R\0\x41\0N\0S\0\x41\0\x43\0T\0I\0O\0N\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0W\0\x41\0V\0\x45\0_\0\x45\0X\0P\0L\0O\0R\0\x45\0_\0P\0R\0O\0P\0\x45\0R\0T\0Y\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0W\0\x41\0V\0\x45\0_\0\x46\0I\0N\0\x44\0_\0S\0I\0G\0N\0\x41\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x18\0W\0\x41\0V\0\x45\0_\0P\0R\0I\0M\0\x41\0R\0Y\0\0\0\x3`\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x32\0S\0\x45\0L\0\x45\0\x43\0T\0I\0O\0N\0_\0M\0\x45\0S\0S\0\x41\0G\0\x45\0_\0T\0O\0O\0L\0\x42\0\x41\0R\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +window\nWave_2\geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\x1c\0\0\a\x7f\0\0\x1\xa5\0\0\0\0\0\0\0\x1c\0\0\a\x7f\0\0\x1\xa5\0\0\0\0\0\0) +window\nWave_2\menubar=true +window\nWave_2\splitters\splitter_5\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\xcf\x1\0\0\0\x1\0\0\0\0\x2) +window\nWave_2\splitters\splitter_2\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x64\0\0\x3 \x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\splitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x3\0\0\0\x41\0\0\0\x1\0\0\x2\xdc\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\Pane_Upper\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x5\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\splitter_3\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\wholeSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x3\0\0\0\x41\0\0\0\xa3\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x1) +window\nWave_2\splitters\middleSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x4\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) + +[qBaseWindow_saveRestoreSession_group] +10=/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses + +[qDockerWindow_C] +Verdi_1\position.x=-1 +Verdi_1\position.y=27 +Verdi_1\width=1920 +Verdi_1\height=977 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/novas.rc b/hilbert_dpi/codegen/dll/hilbert_fir/novas.rc new file mode 100644 index 0000000..29bdfc9 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/novas.rc @@ -0,0 +1,1259 @@ +@verdi rc file Version 1.0 +[Library] +work = ./work +[Annotation] +3D_Active_Annotation = FALSE +[CommandSyntax.finsim] +InvokeCommand = +FullFileName = TRUE +Separator = . +SimPromptSign = ">" +HierNameLevel = 1 +RunContinue = "continue" +Finish = "quit" +UseAbsTime = FALSE +NextTime = "run 1" +NextNTime = "run ${SimBPTime}" +NextEvent = "run 1" +Reset = +ObjPosBreak = "break posedge ${SimBPObj}" +ObjNegBreak = "break negedge ${SimBPObj}" +ObjAnyBreak = "break change ${SimBPObj}" +ObjLevelBreak = +LineBreak = "breakline ${SimBPFile} ${SimBPLine}" +AbsTimeBreak = "break abstimeaf ${SimBPTime}" +RelTimeBreak = "break reltimeaf ${SimBPTime}" +EnableBP = "breakon ${SimBPId}" +DisableBP = "breakoff ${SimBPId}" +DeleteBP = "breakclr ${SimBPId}" +DeleteAllBP = "breakclr" +SimSetScope = "cd ${SimDmpObj}" +[CommandSyntax.ikos] +InvokeCommand = "setvar debussy true;elaborate -p ${SimTop} -s ${SimArch}; run until 0;fsdbInteractive; " +FullFileName = TRUE +NeedTimeUnit = TRUE +NormalizeTimeUnit = TRUE +Separator = / +HierNameLevel = 2 +RunContinue = "run" +Finish = "exit" +NextTime = "run ${SimBPTime} ${SimTimeUnit}" +NextNTime = "run for ${SimBPTime} ${SimTimeUnit}" +NextEvent = "step 1" +Reset = "reset" +ObjPosBreak = "stop if ${SimBPObj} = \"'1'\"" +ObjNegBreak = "stop if ${SimBPObj} = \"'0'\"" +ObjAnyBreak = +ObjLevelBreak = "stop if ${SimBPObj} = ${SimBPValue}" +LineBreak = "stop at ${SimBPFile}:${SimBPLine}" +AbsTimeBreak = +RelTimeBreak = +EnableBP = "enable ${SimBPId}" +DisableBP = "disable ${SimBPId}" +DeleteBP = "delete ${SimBPId}" +DeleteAllBP = "delete *" +[CommandSyntax.verisity] +InvokeCommand = +FullFileName = FALSE +Separator = . +SimPromptSign = "> " +HierNameLevel = 1 +RunContinue = "." +Finish = "$finish;" +NextTime = "$db_steptime(1);" +NextNTime = "$db_steptime(${SimBPTime});" +NextEvent = "$db_step;" +SimSetScope = "$scope(${SimDmpObj});" +Reset = "$reset;" +ObjPosBreak = "$db_breakonposedge(${SimBPObj});" +ObjNegBreak = "$db_breakonnegedge(${SimBPObj});" +ObjAnyBreak = "$db_breakwhen(${SimBPObj});" +ObjLevelBreak = "$db_breakwhen(${SimBPObj}, ${SimBPValue});" +LineBreak = "$db_breakatline(${SimBPLine}, ${SimBPScope}, \"${SimBPFile}\");" +AbsTimeBreak = "$db_breakbeforetime(${SimBPTime});" +RelTimeBreak = "$db_breakbeforetime(${SimBPTime});" +EnableBP = "$db_enablebreak(${SimBPId});" +DisableBP = "$db_disablebreak(${SimBPId});" +DeleteBP = "$db_deletebreak(${SimBPId});" +DeleteAllBP = "$db_deletebreak;" +FSDBInit = "$novasInteractive;" +FSDBDumpvars = "$novasDumpvars(0, ${SimDmpObj});" +FSDBDumpsingle = "$novasDumpsingle(${SimDmpObj});" +FSDBDumpvarsInFile = "$novasDumpvarsToFile(\"${SimDmpFile}\");" +FSDBDumpMem = "$novasDumpMemNow(${SimDmpObj}, ${SimDmpBegin}, ${SimDmpSize});" +[CoverageDetail] +cross_filter_limit = 1000 +branch_limit_vector_display = 50 +showgrid = TRUE +reuseFirst = TRUE +justify = TRUE +scrollbar_mode = per pane +test_combo_left_truncate = TRUE +instance_combo_left_truncate = TRUE +loop_navigation = TRUE +condSubExpr = 20 +tglMda = 1000 +linecoverable = 100000 +lineuncovered = 50000 +tglcoverable = 30000 +tgluncovered = 30000 +pendingMax = 1000 +show_full_more = FALSE +[CoverageHier] +showgrid = FALSE +[CoverageWeight] +Assert = 1 +Covergroup = 1 +Line = 1 +Condition = 1 +Toggle = 1 +FSM = 1 +Branch = 1 +[DesignTree] +IfShowModule = {TRUE, FALSE} +[DisabledMessages] +version = Verdi_N-2017.12-SP2 +[Editor] +editorName = TurboEditor +[Emacs] +EmacsFont = "Clean 14" +EmacsBG = white +EmacsFG = black +[Exclusion] +enableAsDefault = TRUE +saveAsDefault = TRUE +saveManually = TRUE +illegalBehavior = FALSE +DisplayExcludedItem = FALSE +adaptiveExclusion = TRUE +favorite_exclude_annotation = "" +[FSM] +viewport = 65 336 387 479 +WndBk-FillColor = Gray3 +Background-FillColor = gray5 +prefKey_Link-FillColor = yellow4 +prefKey_Link-TextColor = black +Trap = red3 +Hilight = blue4 +Window = Gray3 +Selected = white +Trans. = green2 +State = black +Init. = black +SmartTips = TRUE +VectorFont = FALSE +StopAskBkgndColor = FALSE +ShowStateAction = FALSE +ShowTransAction = FALSE +ShowTransCond = FALSE +StateLable = NAME +StateValueRadix = ORIG +State-LineColor = ID_BLACK +State-LineWidth = 1 +State-FillColor = ID_BLUE2 +State-TextColor = ID_WHITE +Init_State-LineColor = ID_BLACK +Init_State-LineWidth = 2 +Init_State-FillColor = ID_YELLOW2 +Init_State-TextColor = ID_BLACK +Reset_State-LineColor = ID_BLACK +Reset_State-LineWidth = 2 +Reset_State-FillColor = ID_YELLOW7 +Reset_State-TextColor = ID_BLACK +Trap_State-LineColor = ID_RED2 +Trap_State-LineWidth = 2 +Trap_State-FillColor = ID_CYAN5 +Trap_State-TextColor = ID_RED2 +State_Action-LineColor = ID_BLACK +State_Action-LineWidth = 1 +State_Action-FillColor = ID_WHITE +State_Action-TextColor = ID_BLACK +Junction-LineColor = ID_BLACK +Junction-LineWidth = 1 +Junction-FillColor = ID_GREEN2 +Junction-TextColor = ID_BLACK +Connection-LineColor = ID_BLACK +Connection-LineWidth = 1 +Connection-FillColor = ID_GRAY5 +Connection-TextColor = ID_BLACK +prefKey_Port-LineColor = ID_BLACK +prefKey_Port-LineWidth = 1 +prefKey_Port-FillColor = ID_ORANGE6 +prefKey_Port-TextColor = ID_YELLOW2 +Transition-LineColor = ID_BLACK +Transition-LineWidth = 1 +Transition-FillColor = ID_WHITE +Transition-TextColor = ID_BLACK +Trans_Condition-LineColor = ID_BLACK +Trans_Condition-LineWidth = 1 +Trans_Condition-FillColor = ID_WHITE +Trans_Condition-TextColor = ID_ORANGE2 +Trans_Action-LineColor = ID_BLACK +Trans_Action-LineWidth = 1 +Trans_Action-FillColor = ID_WHITE +Trans_Action-TextColor = ID_GREEN2 +SelectedSet-LineColor = ID_RED2 +SelectedSet-LineWidth = 1 +SelectedSet-FillColor = ID_RED2 +SelectedSet-TextColor = ID_WHITE +StickSet-LineColor = ID_ORANGE5 +StickSet-LineWidth = 1 +StickSet-FillColor = ID_PURPLE6 +StickSet-TextColor = ID_BLACK +HilightSet-LineColor = ID_RED5 +HilightSet-LineWidth = 1 +HilightSet-FillColor = ID_RED7 +HilightSet-TextColor = ID_BLUE5 +ControlPoint-LineColor = ID_BLACK +ControlPoint-LineWidth = 1 +ControlPoint-FillColor = ID_WHITE +Bundle-LineColor = ID_BLACK +Bundle-LineWidth = 1 +Bundle-FillColor = ID_WHITE +Bundle-TextColor = ID_BLUE4 +QtBackground-FillColor = ID_GRAY6 +prefKey_Link-LineColor = ID_ORANGE2 +prefKey_Link-LineWidth = 1 +Selection-LineColor = ID_BLUE2 +Selection-LineWidth = 1 +[FSM_Dlg-Print] +Orientation = Landscape +[FileBrowser] +nWaveOpenFsdbDirHistory = "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb\"" +[Form] +version = Verdi_N-2017.12-SP2 +wave/unknownSave.fm = 100,100,520,275 +[General] +autoSaveSession = FALSE +TclAutoSource = +cmd_enter_form = FALSE +SyncBrowserDir = TRUE +version = Verdi_N-2017.12-SP2 +SignalCaseInSensitive = FALSE +ShowWndCtntDuringResizing = FALSE +[GlobalProp] +ErrWindow_Font = Helvetica_M_R_12 +[Globals] +app_default_font = Bitstream Vera Sans,10,-1,5,50,0,0,0,0,0 +app_fixed_width_font = Courier,10,-1,5,50,0,0,0,0,0 +text_encoding = Unicode(utf8) +smart_resize = TRUE +smart_resize_child_limit = 2000 +tooltip_max_width = 200 +tooltip_max_height = 20 +tooltip_viewer_key = F3 +tooltip_display_time = 1000 +bookmark_name_length_limit = 12 +disable_tooltip = FALSE +auto_load_source = TRUE +max_array_size = 4096 +filter_when_typing = TRUE +filter_keep_children = TRUE +filter_syntax = Wildcards +filter_keystroke_interval = 800 +filter_case_sensitive = FALSE +filter_full_path = FALSE +load_detail_for_funcov = FALSE +sort_limit = 100000 +ignoreDBVersionChecking = FALSE +[HB] +ViewSchematic = FALSE +windowLayout = 0 0 804 500 182 214 804 148 +import_filter = *.v; *.vc; *.f +designTreeFont = *-adobe-courier-medium-r-*-*-12-*-*-*-*-*-iso8859-* +import_filter_vhdl = *.vhd; *.vhdl; *.f +import_filter_verilog = *.v; *.vc; *.f +simulation_file_type = *.fsdb;*.fsdb.gz;*.fsdb.bz2;*.ff;*.dump +PrefetchViewableAnnot = TRUE +import_default_language = Verilog +import_from = source +[Hier] +filterTimeout = 1500 +[ImportLiberty] +SearchPriority = .lib++ +bSkipStateCell = False +bImportPowerInfo = False +bSkipFFCell = False +bScpecifyCellNameCase = False +bSpecifyPinNameCase = False +CellNameToCase = +PinNameToCase = +[Language] +EditWindow_Font = COURIER12 +Background = ID_WHITE +Comment = ID_GRAY4 +Keyword = ID_BLUE5 +UserKeyword = ID_GREEN2 +Text = ID_BLACK +SelText = ID_WHITE +SelBackground = ID_BLUE2 +[Library.Ikos] +pack = ./work.lib++ +vital = ./work.lib++ +work = ./work.lib++ +std = ${dls_std}.lib++ +ieee = ${dls_ieee}.lib++ +synopsys = ${dls_synopsys}.lib++ +silc = ${dls_silc}.lib++ +ikos = ${dls_ikos}.lib++ +novas = ${VOYAGER_LIB_VHDL}/${VOYAGER_MACHINE}/novas.lib++ +[MDT] +ART_RF_SP = spr[0-9]*bx[0-9]* +ART_RF_2P = dpr[0-9]*bx[0-9]* +ART_SRAM_SP = spm[0-9]*bx[0-9]* +ART_SRAM_DP = dpm[0-9]*bx[0-9]* +VIR_SRAM_SP = hdsd1_[0-9]*x[0-9]*cm4sw1 +VIR_SRAM_DP = hdsd2_[0-9]*x[0-9]*cm4sw1 +VIR_RF_SP = rfsd1_[0-9]*x[0-9]*cm2sw0 +VIR_RF_DP = rfsd2_[0-9]*x[0-9]*cm2sw1 +VIR_STAR_SRAM_SP = shsd1_[0-9]*x[0-9]*cm4sw0 +[NPExpanding] +functiongroups = FALSE +modules = FALSE +[NPFilter] +showAssertion = TRUE +showCoverGroup = TRUE +showProperty = TRUE +showSequence = TRUE +showDollarUnit = TRUE +[OtherEditor] +cmd1 = "xterm -font 9x15 -fg black -bg gray -e" +name = "vi" +options = "+${CurLine} ${CurFullFileName}" +[Power] +PowerDownInstance = ID_GRAY1 +RetentionSignal = ID_YELLOW2 +IsolationSignal = ID_RED6 +LevelShiftedSignal = ID_GREEN6 +PowerSwitchObject = ID_ORANGE5 +AlwaysOnObject = ID_GREEN5 +PowerNet = ID_RED2 +GroundNet = ID_RED2 +SimulationOnly = ID_CYAN3 +SRSN/SPA = ID_CYAN3 +CNSSignal = ID_CYAN3 +AcknowledgeSignal = ID_CYAN3 +BoundaryPort = ID_CYAN3 +DisplayInstrumentedCell = TRUE +ShowCmdByFile = FALSE +ShowPstAnnot = FALSE +ShowIsoSymbol = TRUE +ExtractIsoSameNets = FALSE +AnnotateSignal = TRUE +HighlightPowerObject = TRUE +HighlightPowerDomain = TRUE +BrightenPowerColorInSchematicWindow = FALSE +ShowAlias = FALSE +ShowVoltage = TRUE +MatchTreeNodesCaseInsensitive = FALSE +SearchHBNodeDynamically = FALSE +ContinueTracingSupplyOrLogicNet = FALSE +[Print] +PrinterName = lp +FileName = test.ps +PaperSize = A4 - 210x297 (mm) +ColorPrint = FALSE +[PropertyTools] +saveFsdbStat = TRUE +savePropStat = FALSE +savePropDtl = TRUE +[QtDialog] +openFileDlg = 658,311,602,483 +QwWarnMsgDlg = 650,792,600,250 +importDesignForm = 642,247,634,611 +QwUserAskDlg = 798,487,324,134 +[Relationship] +hideRecursiceNode = FALSE +[Session Cache] +2 = string (session file name) +3 = string (session file name) +4 = string (session file name) +5 = string (session file name) +1 = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses +[Simulation] +scsPath = scsim +scsOption = +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +osciPath = gdb +osciOption = +vcsPath = simv +vcsOption = +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +speedsimPath = +speedsimOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +interactiveDebugging = {True, False} +KeepBreakPoints = False +ScsDebugAll = False +simType = {vcssv, xl, nc, vcs, mti, mti_vlog, vhnc, scs, mixnc} +thirdpartyIdx = -1 +iscCmdSep = FALSE +NoAppendOption = False +[SimulationPlus] +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +vcsPath = simv +vcsOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +speedsimPath = verilog +speedsimOption = +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +scsPath = scsim +scsOption = +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +vcs_svPath = simv +vcs_svOption = +simType = vcssv +thirdpartyIdx = -1 +interactiveDebugging = FALSE +KeepBreakPoints = FALSE +iscCmdSep = FALSE +ScsDebugAll = FALSE +NoAppendOption = FALSE +invokeSimPath = work +[SimulationPlus2] +eventDumpUnfinish = FALSE +[Source] +wordWrapOn = TRUE +viewReuse = TRUE +lineNumberOn = TRUE +warnOutdatedDlg = TRUE +showEncrypt = FALSE +loadInclude = FALSE +showColorForActive = FALSE +tabWidth = 8 +editor = vi +reload = Never +sync_active_to_source = TRUE +navigateAsColored = FALSE +navigateCovered = FALSE +navigateUncovered = TRUE +navigateExcluded = FALSE +not_ask_for_source_path = FALSE +expandMacroOn = TRUE +[SourceVHDL] +vhSimType = ModelSim +ohSimType = VerilogXL +[TclShell] +nLineSize = 1024 +[Test] +verbose_progress = FALSE +[TestBenchBrowser] +-showUVMDynamicHierTreeWin = FALSE +[Text] +hdlTypeName = blue4 +hdlLibrary = blue4 +viewport = 396 392 445 487 +hdlOther = ID_BLACK +hdlComment = ID_GRAY1 +hdlKeyword = ID_BLUE5 +hdlEntity = ID_BLACK +hdlEntityInst = ID_BLACK +hdlSignal = ID_RED2 +hdlInSignal = ID_RED2 +hdlOutSignal = ID_RED2 +hdlInOutSignal = ID_RED2 +hdlOperator = ID_BLACK +hdlMinus = ID_BLACK +hdlSymbol = ID_BLACK +hdlString = ID_BLACK +hdlNumberBase = ID_BLACK +hdlNumber = ID_BLACK +hdlLiteral = ID_BLACK +hdlIdentifier = ID_BLACK +hdlSystemTask = ID_BLACK +hdlParameter = ID_BLACK +hdlIncFile = ID_BLACK +hdlDataFile = ID_BLACK +hdlCDSkipIf = ID_GRAY1 +hdlMacro = ID_BLACK +hdlMacroValue = ID_BLACK +hdlPlainText = ID_BLACK +hdlOvaId = ID_PURPLE2 +hdlPslId = ID_PURPLE2 +HvlEId = ID_BLACK +HvlVERAId = ID_BLACK +hdlEscSignal = ID_BLACK +hdlEscInSignal = ID_BLACK +hdlEscOutSignal = ID_BLACK +hdlEscInOutSignal = ID_BLACK +textBackgroundColor = ID_GRAY6 +textHiliteBK = ID_BLUE5 +textHiliteText = ID_WHITE +textTracedMark = ID_GREEN2 +textLineNo = ID_BLACK +textFoldedLineNo = ID_RED5 +textUserKeyword = ID_GREEN2 +textParaAnnotText = ID_BLACK +textFuncAnnotText = ID_BLUE2 +textAnnotText = ID_BLACK +textUserDefAnnotText = ID_BLACK +ComputedSignal = ID_PURPLE5 +textAnnotTextShadow = ID_WHITE +parenthesisBGColor = ID_YELLOW5 +codeInParenthesis = ID_CYAN5 +text3DLight = ID_WHITE +text3DShadow = ID_BLACK +textHvlDriver = ID_GREEN3 +textHvlLoad = ID_YELLOW3 +textHvlDriverLoad = ID_BLUE3 +irOutline = ID_RED2 +irDriver = ID_YELLOW5 +irLoad = ID_BLACK +irBookMark = ID_YELLOW2 +irIndicator = ID_WHITE +irBreakpoint = ID_GREEN5 +irCurLine = ID_BLUE5 +hdlVhEntity = ID_BLACK +hdlArchitecture = ID_BLACK +hdlPackage = ID_BLUE5 +hdlRefPackage = ID_BLUE5 +hdlAlias = ID_BLACK +hdlGeneric = ID_BLUE5 +specialAnnotShadow = ID_BLUE1 +hdlZeroInHead = ID_GREEN2 +hdlZeroInComment = ID_GREEN2 +hdlPslHead = ID_BLACK +hdlPslComment = ID_BLACK +hdlSynopsysHead = ID_GREEN2 +hdlSynopsysComment = ID_GREEN2 +pdmlIdentifier = ID_BLACK +pdmlCommand = ID_BLACK +pdmlMacro = ID_BLACK +font = COURIER12 +annotFont = Helvetica_M_R_10 +[Text.1] +viewport = -1 27 1920 977 45 +[TextPrinter] +Orientation = Landscape +Indicator = FALSE +LineNum = TRUE +FontSize = 7 +Column = 2 +Annotation = TRUE +[Texteditor] +TexteditorFont = "Clean 14" +TexteditorBG = white +TexteditorFG = black +[ThirdParty] +ThirdPartySimTool = verisity surefire ikos finsim +[TurboEditor] +autoBackup = TRUE +[UserButton.mixnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +Button8 = "FSDB Ver" "call fsdbVersion" +Button9 = "Dump On" "call fsdbDumpon" +Button10 = "Dump Off" "call fsdbDumpoff" +Button11 = "All Tasks" "call" +Button12 = "Dump Selected Instance" "call fsdbDumpvars 1 ${SelInst}" +[UserButton.mti] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.mti_vlog] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.nc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.scs] +Button1 = "Dump All Signals" "call fsdbDumpvars(0, \"${TopScope}\");\n" +Button2 = "Next 1000 Time" "run 1000 \n" +Button3 = "Next ? Time" "run ${Arg:Next Time} \n" +Button4 = "Run Step" "step\n" +Button5 = "Show Variables" "ls -v {${SelVars}}\n" +[UserButton.vhnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.xl] +Button13 = "Dump Off" "$fsdbDumpoff;\n" +Button12 = "Dump On" "$fsdbDumpon;\n" +Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n" +Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n" +Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n" +Button8 = "Release Variable" "release ${SelVar};\n" +Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n" +Button6 = "Show Variables" "$showvars(${SelVars});\n" +Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n" +Button4 = "Next Event" "$db_step(1);\n" +Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n" +Button2 = "Next 1000 Time" "#1000 $stop;.\n" +Button1 = "Dump All Signals" "$fsdbDumpvars;\n" +[VIA] +viaLogViewerDefaultRuleOneSearchForm = "share/VIA/Apps/PredefinedRules/Misc/Onesearch_rule.rc" +[VIA.oneSearch.preference] +DefaultDisplayTimeUnit = "1.000000ns" +DefaultLogTimeUnit = "1.000000ns" +[VIA.oneSearch.preference.vgifColumnSettingRC] +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0] +parRuleSets = "" +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column0] +name = Severity +width = 60 +visualIndex = 1 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column1] +name = Code +width = 60 +visualIndex = 2 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column2] +name = Type +width = 60 +visualIndex = 3 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column3] +name = Message +width = 2000 +visualIndex = 4 +isHidden = FALSE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column4] +name = Time +width = 60 +visualIndex = 0 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[Vi] +ViFont = "Clean 14" +ViBG = white +ViFG = black +[Wave] +ovaEventSuccessColor = -c ID_CYAN5 +ovaEventFailureColor = -c ID_RED5 +ovaBooleanSuccessColor = -c ID_CYAN5 +ovaBooleanFailureColor = -c ID_RED5 +ovaAssertSuccessColor = -c ID_GREEN5 +ovaAssertFailureColor = -c ID_RED5 +ovaForbidSuccessColor = -c ID_GREEN5 +SigGroupRuleFile = +DisplayFileName = FALSE +waveform_vertical_scroll_bar = TRUE +scope_to_save_with_macro +open_file_dir +open_rc_file_dir +getSignalForm = 0 0 800 479 100 30 100 30 +viewPort = 0 28 1920 394 100 65 +signalSpacing = 5 +digitalSignalHeight = 15 +analogSignalHeight = 98 +commentSignalHeight = 98 +transactionSignalHeight = 98 +messageSignalHeight = 98 +minCompErrWidth = 4 +DragZoomTolerance = 4 +maxTransExpandedLayer = 10 +WaveMaxPoint = 512 +legendBackground = -c ID_BLACK +valueBackground = -c ID_BLACK +curveBackground = -c ID_BLACK +getSignalSignalList_BackgroundColor = -c ID_GRAY6 +glitchColor = -c ID_RED5 +cursor = -c ID_YELLOW5 -lw 1 -ls long_dashed +marker = -c ID_WHITE -lw 1 -ls dash_dot_l +usermarker = -c ID_GREEN5 -lw 1 -ls long_dashed +trace = -c ID_GRAY5 -lw 1 -ls long_dashed +grid = -c ID_WHITE -lw 1 -ls short_dashed +rulerBackground = -c ID_GRAY3 +rulerForeground = -c ID_YELLOW5 +busTextColor = -c ID_ORANGE8 +region(Active)Background = -c ID_YELLOW1 +region(NBA)Background = -c ID_RED1 +region(Re-Active)Background = -c ID_YELLOW3 +region(Re-NBA)Background = -c ID_RED3 +region(VHDL-Delta)Background = -c ID_ORANGE3 +region(Dump-Off)Background = -c ID_GRAY4 +High_Light = -c ID_GRAY2 +Input_Signal = -c ID_RED5 +Output_Signal = -c ID_GREEN5 +InOut_Signal = -c ID_BLUE5 +Net_Signal = -c ID_YELLOW5 +Register_Signal = -c ID_PURPLE5 +Verilog_Signal = -c ID_CYAN5 +VHDL_Signal = -c ID_ORANGE5 +SystemC_Signal = -c ID_BLUE7 +Dump_Off_Color = -c ID_BLUE2 +Compress_Bar_Color = -c ID_YELLOW4 +Vector_Dense_Block_Color = -c ID_ORANGE8 +Scalar_Dense_Block_Color = -c ID_GREEN6 +Composite_Dense_Block_Color = -c ID_ORANGE5 +DB_Power_Off_Layer = -c ID_BLUE4 -stipple dots +SPA_Driver_Power_Off_Layer = -c ID_ORANGE4 -stipple dots +SPA_Receiver_Power_Off_Layer = -c ID_GREEN5 -stipple dots +SRSN_Power_Off_Layer = -c ID_GREEN4 -stipple dots +Isolation_Power_Off_Layer = -c ID_RED4 -stipple dots +PD_Power_Off_Layer = -c ID_GRAY4 -stipple dots +Isolation_Layer = -c ID_RED4 -stipple vLine +Retention_Level_Trigger_Layer = -c ID_ORANGE1 -stipple fill_solid +Retention_Edge_Trigger_Layer = -c ID_YELLOW6 -stipple fill_solid +Driving_Power_Off_Layer = -c ID_YELLOW2 -stipple x +Toggle_Layer = -c ID_YELLOW4 -stipple slash +analogRealStyle = pwl +analogVoltageStyle = pwl +analogCurrentStyle = pwl +analogOthersStyle = pwl +busSignalLayer = -c ID_ORANGE8 +busXLayer = -c ID_RED5 +busZLayer = -c ID_ORANGE6 +busMixedLayer = -c ID_GREEN5 +busNotComputedLayer = -c ID_GRAY1 +busNoValueLayer = -c ID_BLUE2 +signalGridLayer = -c ID_WHITE +analogGridLayer = -c ID_GRAY6 +analogRulerLayer = -c ID_GRAY6 +keywordLayer = -c ID_RED5 +loadedLayer = -c ID_BLUE5 +loadingLayer = -c ID_BLACK +qdsCurMarkerLayer = -c ID_BLUE5 +qdsBrkMarkerLayer = -c ID_GREEN5 +qdsTrgMarkerLayer = -c ID_RED5 +arrowDefaultColor = -c ID_ORANGE6 +startNodeArrowColor = -c ID_WHITE +endNodeArrowColor = -c ID_YELLOW5 +propertyEventMatchColor = -c ID_GREEN5 +propertyEventNoMatchColor = -c ID_RED5 +propertyVacuousSuccessMatchColor = -c ID_YELLOW2 +propertyStatusBoundaryColor = -c ID_WHITE +propertyBooleanSuccessColor = -c ID_CYAN5 +propertyBooleanFailureColor = -c ID_RED5 +propertyAssertSuccessColor = -c ID_GREEN5 +propertyAssertFailureColor = -c ID_RED5 +propertyForbidSuccessColor = -c ID_GREEN5 +transactionForegroundColor = -c ID_YELLOW8 +transactionBackgroundColor = -c ID_BLACK +transactionHighLightColor = -c ID_CYAN6 +transactionRelationshipColor = -c ID_PURPLE6 +transactionErrorTypeColor = -c ID_RED5 +coverageFullyCoveredColor = -c ID_GREEN5 +coverageNoCoverageColor = -c ID_RED5 +coveragePartialCoverageColor = -c ID_YELLOW5 +coverageReferenceLineColor = -c ID_GRAY4 +messageForegroundColor = -c ID_YELLOW4 +messageBackgroundColor = -c ID_PURPLE1 +messageHighLightColor = -c ID_CYAN6 +messageInformationColor = -c ID_RED5 +ComputedAnnotColor = -c ID_PURPLE5 +fsvSecurityDataColor = -c ID_PURPLE3 +qdsAutoBusGroup = TRUE +qdsTimeStampMode = FALSE +qdsVbfBusOrderAscending = FALSE +openDumpFilter = *.fsdb;*.vf;*.jf +DumpFileFilter = *.vcd +RestoreSignalFilter = *.rc +SaveSignalFilter = *.rc +AddAliasFilter = *.alias;*.adb +CompareSignalFilter = *.err +ConvertFFFilter = *.vcd;*.out;*.tr0;*.xp;*.raw;*.wfm +Scroll_Ratio = 100 +Zoom_Ratio = 10 +EventSequence_SyncCursorTime = TRUE +EventSequence_Sorting = FALSE +EventSequence_RemoveGrid = FALSE +EventSequence_IsGridMode = FALSE +SetDefaultRadix = TRUE +DefaultRadix = Hex +SigSearchSignalMatchCase = FALSE +SigSearchSignalScopeOption = FALSE +SigSearchSignalSamenetInterface = FALSE +SigSearchSignalFullScope = FALSE +SigSearchSignalWithRegExp = FALSE +SigSearchDynamically = FALSE +SigDisplayBySelectionOrder = FALSE +SigDisplayRowMajor = FALSE +SigDragSelFollowColumn = FALSE +SigDisplayHierarchyBox = TRUE +SigDisplaySubscopeBox = TRUE +SigDisplayEmptyScope = TRUE +SigDisplaySignalNavigationBox = FALSE +SigDisplayFormBus = TRUE +SigShowSubProgram = TRUE +SigSearchScopeDynamically = TRUE +SigCollapseSubtreeNodes = FALSE +activeFileApplyToAnnotation = FALSE +GrpSelMode = TRUE +dispGridCount = FALSE +hierarchyName = FALSE +partial_level_name = FALSE +partial_level_head = 1 +partial_level_tail = 1 +displayMessageLabelOnly = TRUE +autoInsertDumpoffs = TRUE +displayMessageCallStack = FALSE +displayCallStackWithFullSections = TRUE +displayCallStackWithLastSection = FALSE +limitMessageMaxWidth = FALSE +messageMaxWidth = 50 +displayTransBySpecificColor = FALSE +fittedTransHeight = FALSE +snap = TRUE +gravitySnap = FALSE +displayLeadingZero = FALSE +displayGlitchs = FALSE +allfileTimeRange = FALSE +fixDelta = FALSE +displayCursorMarker = FALSE +autoUpdate = FALSE +restoreFromActiveFile = TRUE +restoreToEnd = FALSE +dispCompErr = TRUE +showMsgDes = TRUE +anaAutoFit = FALSE +anaAutoPattn = FALSE +anaAuto100VertFit = FALSE +displayDeltaY = FALSE +centerCursor = FALSE +denseBlockDrawing = TRUE +relativeFreqPrecision = 3 +showMarkerAbsolute = FALSE +showMarkerAdjacent = FALSE +showMarkerRelative = FALSE +showMarkerFrequency = FALSE +stickCursorMarkerOnWaveform = TRUE +keepMarkerAtEndTimeOfTransaction = FALSE +doubleClickToExpandTransaction = TRUE +expandTransactionAssociatedSignals = TRUE +expandTransactionAttributeSignals = FALSE +WaveExtendLastTick = TRUE +InOutSignal = FALSE +NetRegisterSignal = FALSE +VerilogVHDLSignal = FALSE +LabelMarker = TRUE +ResolveSymbolicLink = TRUE +signal_rc_abspath = TRUE +signal_rc_no_natural_bus_range = FALSE +save_scope_with_macro = FALSE +TipInSignalWin = FALSE +DisplayPackedSiganlInBitwiseManner = FALSE +DisplaySignalTypeAheadOfSignalName = TRUE ICON +TipInCurveWin = FALSE +MouseGesturesInCurveWin = TRUE +DisplayLSBsFirst = FALSE +PaintSpecificColorPattern = FALSE +ModuleName = TRUE +form_all_memory_signal = FALSE +formBusSignalFromPartSelects = FALSE +read_value_change_on_demand_for_drawing = FALSE +load_scopes_on_demand = on 5 +TransitionMode = TRUE +DisplayRadix = FALSE +SchemaX = FALSE +Hilight = TRUE +UseBeforeValue = FALSE +DisplayFileNameAheadOfSignalName = FALSE +DisplayFileNumberAheadOfSignalName = FALSE +DisplayValueSpace = TRUE +FitAnaByBusSize = FALSE +displayTransactionAttributeName = FALSE +expandOverlappedTrans = FALSE +dispSamplePointForAttrSig = TRUE +dispClassName = TRUE +ReloadActiveFileOnly = FALSE +NormalizeEVCD = FALSE +OverwriteAliasWithRC = TRUE +overlay_added_analog_signals = FALSE +case_insensitive = FALSE +vhdlVariableCalculate = TRUE +signal_vertical_scroll_bar = TRUE +showPortNameForDroppedInstance = FALSE +truncateFilePathInTitleBar = TRUE +filterPropVacuousSuccess = FALSE +includeLocalSignals = FALSE +encloseSignalsByGroup = TRUE +resaveSignals = TRUE +adjustBusPrefix = adjustBus_ +adjustBusBits = 1 +adjustBusSettings = 69889 +maskPowerOff = TRUE +maskIsolation = TRUE +maskRetention = TRUE +maskDrivingPowerOff = TRUE +maskToggle = TRUE +autoBackupSignals = off 5 "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog\"" "\"novas_autosave_sig\"" +signal_rc_attribute = 65535 +signal_rc_alias_attribute = 0 +ConvertAttr1 = -inc FALSE +ConvertAttr2 = -hier FALSE +ConvertAttr3 = -ucase FALSE +ConvertAttr4 = -lcase FALSE +ConvertAttr5 = -org FALSE +ConvertAttr6 = -mem 24 +ConvertAttr7 = -deli . +ConvertAttr8 = -hier_scope FALSE +ConvertAttr9 = -inst_array FALSE +ConvertAttr10 = -vhdlnaming FALSE +ConvertAttr11 = -orgScope FALSE +analogFmtPrecision = Automatic 2 +confirmOverwrite = TRUE +confirmExit = TRUE +confirmGetAll = TRUE +printTimeRange = TRUE 0.000000 0.000000 0.000000 +printPageRange = TRUE 1 1 +printOption = 0 +printBasic = 1 0 0 FALSE FALSE +printDest = -printer {} +printSignature = {%f %h %t} {} +curveWindow_Drag&Drop_Mode = TRUE +hspiceIncOpenMode = TRUE +pcSelectMode = TRUE +hierarchyDelimiter = / +RecentFile1 = "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb\"" +open_file_time_range = FALSE +value_window_aligment = Right +signal_window_alignment = Auto +ShowDeltaTime = TRUE +legend_window_font = -f COURIER12 -c ID_CYAN5 +value_window_font = -f COURIER12 -c ID_CYAN5 +curve_window_font = -f COURIER12 -c ID_CYAN5 +group_name_font = -f COURIER12 -c ID_GREEN5 +ruler_value_font = -f COURIER12 -c ID_CYAN5 +analog_ruler_value_font = -f COURIER12 -c ID_CYAN5 +comment_string_font = -f COURIER12 -c ID_RED5 +getsignal_form_font = -f COURIER12 +SigsCheckNum = on 1000 +filter_synthesized_net = off n +filterOutNet = on +filter_synthesized_instance = off +filterOutInstance = on +showGroupTree = TRUE +hierGroupDelim = / +MsgSeverityColor = {y \"Severity\"==\"1\" ID_RED5} {y \"Severity\"==\"2\" ID_RED6} {y \"Severity\"==\"3\" ID_RED7} {y \"Severity\"==\"4\" ID_RED8} {y \"Severity\"==\"5\" ID_ORANGE5} {y \"Severity\"==\"6\" ID_ORANGE6} {y \"Severity\"==\"7\" ID_ORANGE7} {y \"Severity\"==\"8\" \ +ID_GREEN7} {y \"Severity\"==\"9\" ID_GREEN6} {y \"Severity\"==\"10\" ID_GREEN5} +AutoApplySeverityColor = TRUE +AutoAdjustMsgWidthByLabel = off +verilogStrengthDispType = type1 +waveDblClkActiveTrace = on +autoConnectTBrowser = FALSE +connectTBrowserInContainer = TRUE +SEQShowComparisonIcon = TRUE +SEQAddDriverLoadInSameGroup = TRUE +autoSyncCursorMarker = FALSE +autoSyncHorizontalRange = FALSE +autoSyncVerticalScroll = FALSE +[cov_hier_name_column] +justify = TRUE +[coverageColors] +sou_uncov = TRUE +sou_pc = TRUE +sou_cov = TRUE +sou_exuncov = TRUE +sou_excov = TRUE +sou_unreach = TRUE +sou_unreachcon = TRUE +sou_fillColor_uncov = red +sou_fillColor_pc = yellow +sou_fillColor_cov = green3 +sou_fillColor_exuncov = grey +sou_fillColor_excov = #3C9371 +sou_fillColor_unreach = grey +sou_fillColor_unreachcon = orange +numberOfBins = 6 +rangeMin_0 = 0 +rangeMax_0 = 20 +fillColor_0 = #FF6464 +rangeMin_1 = 20 +rangeMax_1 = 40 +fillColor_1 = #FF9999 +rangeMin_2 = 40 +rangeMax_2 = 60 +fillColor_2 = #FF8040 +rangeMin_3 = 60 +rangeMax_3 = 80 +fillColor_3 = #FFFF99 +rangeMin_4 = 80 +rangeMax_4 = 100 +fillColor_4 = #99FF99 +rangeMin_5 = 100 +rangeMax_5 = 100 +fillColor_5 = #64FF64 +[coveragesetting] +urgAppendOptions = +group_instance_new_format_name = TRUE +showvalue = FALSE +computeGroupsScoreByRatio = FALSE +computeGroupsScoreByInst = FALSE +showConditionId = FALSE +showfullhier = FALSE +nameLeftAlignment = TRUE +showAllInfoInTooltips = FALSE +copyItemHvpName = TRUE +ignoreGroupWeight = FALSE +absTestName = FALSE +HvpMergeTool = +ShowMergeMenuItem = FALSE +fsmScoreMode = transition +[eco] +NameRule = +IsFreezeSilicon = FALSE +cellQuantityManagement = FALSE +ManageMode = INSTANCE_NAME +SpareCellsPinsManagement = TRUE +LogCommitReport = FALSE +InputPinStatus = 1 +OutputPinStatus = 2 +RevisedComponentColor = ID_BLUE5 +SpareCellColor = ID_RED5 +UserName = yzzhang +CommentFormat = Novas ECO updated by ${UserName} ${Date} ${Time} +PrefixN = eco_n +PrefixP = eco_p +PrefixI = eco_i +DefaultTieUpNet = 1'b1 +DefaultTieDownNet = 1'b0 +MultipleInstantiations = TRUE +KeepClockPinConnection = FALSE +KeepAsyncResetPinConnection = FALSE +ScriptFileModeType = 1 +MagmaScriptPower = VDD +MagmaScriptGround = GND +ShowModeMsg = TRUE +AstroScriptPower = VDD +AstroScriptGround = VSS +ClearFloatingPorts = FALSE +[eco_connection] +Port/NetIsUnique = TRUE +SerialNet = 0 +SerialPort = 0 +SerialInst = 0 +[finsim] +TPLanguage = Verilog +TPName = Super-FinSim +TPPath = TOP.sim +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[hvpsetting] +importExcelXMLOptions = +use_test_loca_as_source = FALSE +autoTurnOffHideMeetGoalInit = FALSE +autoTurnOffHideMeetGoal = TRUE +autoTurnOffModifierInit = FALSE +autoTurnOffModifier = TRUE +enableNumbering = TRUE +autoSaveCheck = TRUE +autoSaveTime = 5 +ShowMissingScore = TRUE +enableFeatureId = FALSE +enableMeasureConcealment = FALSE +enableBCCGVarNoEscapeName = FALSE +HvpCloneHierShowMsgAgain = 1 +HvpCloneHierType = tree +HvpCloneHierMetrics = Line,Cond,FSM,Toggle,Branch,Assert +autoRecalPlanAfterLoadingCovDBUserDataPlan = false +warnMeAutoRecalPlanAfterLoadingCovDBUserDataPlan = true +autoRecalExclWithPlan = false +warnMeAutoRecalExclWithPlan = true +autoRecalPlanWithExcl = false +warnMeAutoRecalPlanWithExcl = true +warnPopupWarnWhenMultiFilters = true +warnPopupWarnIfHvpReadOnly = true +unmappedObjsReportLevel = def_var_inst +unmappedObjsReportInst = true +unmappedObjsNumOfObjs = High +[ikos] +TPLanguage = VHDL +TPName = Voyager +TPPath = vsh +TPOption = -X +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[imp] +options = NULL +libPath = NULL +libDir = NULL +[nCompare] +ErrorViewport = 80 180 800 550 +EditorViewport = 409 287 676 475 +EditorHeightWidth = 802 380 +WaveCommand = "novas" +WaveArgs = "-nWave" +[nCompare.Wnd0] +ViewByHier = FALSE +[nMemory] +dispMode = ADDR_HINT +addrColWidth = 120 +valueColWidth = 100 +showCellBitRangeWithAddr = TRUE +wordsShownInOneRow = 8 +syncCursorTime = FALSE +fixCellColumnWidth = FALSE +font = Fixed 14 +[planColors] +plan_fillColor_inactive = lightGray +plan_fillColor_warning = orange +plan_fillColor_error = red +plan_fillColor_invalid = #F0DCDB +plan_fillColor_subplan = lightGray +[schematics] +viewport = 178 262 638 516 +schBackgroundColor = black lineSolid +schBodyColor = orange6 lineSolid +schAsmBodyColor = blue7 lineSolid +schPortColor = orange6 lineSolid +schCellNameColor = Gray6 lineSolid +schCLKNetColor = red6 lineSolid +schPWRNetColor = red4 lineSolid +schGNDNetColor = cyan4 lineSolid +schSIGNetColor = green8 lineSolid +schTraceColor = yellow4 lineSolid +schBackAnnotateColor = white lineSolid +schValue0 = yellow4 lineSolid +schValue1 = green3 lineSolid +schValueX = red4 lineSolid +schValueZ = purple7 lineSolid +dimColor = cyan2 lineSolid +schPreSelColor = green4 lineDash +schSIGBusNetColor = green8 lineSolid +schGNDBusNetColor = cyan4 lineSolid +schPWRBusNetColor = red4 lineSolid +schCLKBusNetColor = red6 lineSolid +schEdgeSensitiveColor = orange6 lineSolid +schAnnotColor = cyan4 lineSolid +schInstNameColor = orange6 lineSolid +schPortNameColor = cyan4 lineSolid +schAsmLatchColor = cyan4 lineSolid +schAsmRegColor = cyan4 lineSolid +schAsmTriColor = cyan4 lineSolid +pre_select = True +ShowPassThroughNet = False +ComputedAnnotColor = ID_PURPLE5 +[schematics_print] +Signature = FALSE +DesignName = PCU +DesignerName = bai +SignatureLocation = LowerRight +MultiPage = TRUE +AutoSliver = FALSE +[sourceColors] +BackgroundActive = gray88 +BackgroundInactive = lightgray +InactiveCode = dimgray +Selection = darkblue +Standard = black +Keyword = blue +Comment = gray25 +Number = black +String = black +Identifier = darkred +Inline = green +colorIdentifier = green +Value = darkgreen +MacroBackground = white +Missing = #400040 +[specColors] +top_plan_linked = #ADFFA6 +top_plan_ignore = #D3D3D3 +top_plan_todo = #EECBAD +sub_plan_ignore = #919191 +sub_plan_todo = #EFAFAF +sub_plan_linked = darkorange +[spec_link_setting] +use_spline = true +goto_section = false +exclude_ignore = true +compare_strategy = 2 +auto_apply_margin = FALSE +margin_top = 0.80 +margin_bottom = 0.80 +margin_left = 0.50 +margin_right = 0.50 +margin_unit = inches +[spiceDebug] +ThroughNet = ID_YELLOW5 +InterfaceElement = ID_GREEN5 +Run-timeInterfaceElement = ID_BLUE5 +HighlightThroughNet = TRUE +HighlightInterfaceElement = TRUE +HighlightRuntimeInterfaceElement = TRUE +HighlightSameNet = TRUE +[surefire] +TPLanguage = Verilog +TPName = SureFire +TPPath = verilog +TPOption = +AddImportArgument = TRUE +LineBreakWithScope = TRUE +StopAfterCompileOption = -tcl +[turboSchema_Printer_Options] +Orientation = Landscape +[turbo_library] +bdb_load_scope +[vdCovFilteringSearchesStrings] +keepLastUsedFiltersMaxNum = 10 +[verisity] +TPLanguage = Verilog +TPName = "Verisity SpeXsim" +TPPath = vlg +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = TRUE +StopAfterCompileOption = -s +[wave.0] +viewPort = 0 28 1920 394 100 65 +[wave.1] +viewPort = 127 219 960 332 100 65 +[wave.2] +viewPort = 38 314 686 205 100 65 +[wave.3] +viewPort = 63 63 700 400 65 41 +[wave.4] +viewPort = 84 84 700 400 65 41 +[wave.5] +viewPort = 92 105 700 400 65 41 +[wave.6] +viewPort = 0 0 700 400 65 41 +[wave.7] +viewPort = 21 21 700 400 65 41 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log b/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log index 864a3bd..d836d92 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log +++ b/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log @@ -198,7 +198,7 @@ MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 IMSETTINGS_INTEGRATE_DESKTOP=yes HOME=/home/yzzhang VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2 -PWD=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir +PWD=/home/yzzhang/work/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir SSH_AGENT_PID=5490 CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1 @@ -248,7 +248,7 @@ DBUS_STARTER_BUS_TYPE=session W3264_NO_HOST_CHECK=1 SCL_HOME=/opt/synopsys/scl/2018.06 HOSTTYPE=x86_64-linux -GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51 +GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/de294d37_d48c_4f8c_8ddc_fd502688d834 CDS_SPECTRERF_FBENABLE=1 GNOME_TERMINAL_SERVICE=:1.160 GROUP=cryo @@ -270,8 +270,8 @@ VCS_EXEC_DONE=1 LC_ALL=C DVE=/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve SPECMAN_OUTPUT_TO_TTY=1 -SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:64859 -SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=64859:0xcdd180 +SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:32804 +SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=32804:0xcda1f0 Runtime command line arguments: argv[0]=./simv argv[1]=+vcs+loopreport @@ -280,9 +280,9 @@ argv[3]=DPI_Component argv[4]=-l argv[5]=sim.log 281 profile - 100 - CPU/Mem usage: 0.080 sys, 0.310 user, 294.99M sys, 0.00M vm + CPU/Mem usage: 0.080 sys, 0.290 user, 299.34M sys, 0.00M vm 282 VC callback profile - scalar:0 vector:0 -283 Mon Oct 28 23:58:07 2024 +283 Thu Apr 3 13:13:33 2025 284 pliAppInit 285 Enable VD Dumper 286 FSDB_GATE is set. @@ -301,45 +301,46 @@ argv[5]=sim.log 299 *********************************************************************** 300 sps_call_fsdbDumpfile_main at 0 : ./tb.sv(12) 301 argv[0]: (TB.fsdb) -302 *Verdi* : Create FSDB file 'TB.fsdb' -303 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13) -304 argv[0]: (0) -305 argv[1]: (handle) tb -306 *Verdi* : Begin traversing the scope (tb), layer (0). -307 *Verdi* : End of traversing. -308 pliAppHDL_DumpVarComplete traverse var: profile - - CPU/Mem usage: 0.090 sys, 0.320 user, 391.89M sys, 0.00M vm - incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm - accu: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm - accu incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm +302 *Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file. +303 *Verdi* : Create FSDB file 'TB.fsdb' +304 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13) +305 argv[0]: (0) +306 argv[1]: (handle) tb +307 *Verdi* : Begin traversing the scope (tb), layer (0). +308 *Verdi* : End of traversing. +309 pliAppHDL_DumpVarComplete traverse var: profile - + CPU/Mem usage: 0.080 sys, 0.300 user, 396.26M sys, 0.00M vm + incr: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm + accu: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm + accu incr: 0.000 sys, 0.000 user, 7.29M sys, 0.00M vm - Count usage: 8 var, 11 idcode, 5 callback - incr: 8 var, 11 idcode, 5 callback - accu: 8 var, 11 idcode, 5 callback - accu incr: 8 var, 11 idcode, 5 callback -309 VC callback profile - scalar:0 vector:0 -310 Mon Oct 28 23:58:07 2024 -311 pliAppHDL_DumpVarComplete: profile - - CPU/Mem usage: 0.090 sys, 0.320 user, 392.95M sys, 0.00M vm + Count usage: 8 var, 11 idcode, 6 callback + incr: 8 var, 11 idcode, 6 callback + accu: 8 var, 11 idcode, 6 callback + accu incr: 8 var, 11 idcode, 6 callback +310 VC callback profile - scalar:0 vector:0 +311 Thu Apr 3 13:13:33 2025 +312 pliAppHDL_DumpVarComplete: profile - + CPU/Mem usage: 0.080 sys, 0.300 user, 397.31M sys, 0.00M vm incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm - accu: 0.000 sys, 0.000 user, 8.33M sys, 0.00M vm + accu: 0.000 sys, 0.000 user, 8.34M sys, 0.00M vm accu incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm - Count usage: 8 var, 11 idcode, 5 callback + Count usage: 8 var, 11 idcode, 6 callback incr: 0 var, 0 idcode, 0 callback - accu: 8 var, 11 idcode, 5 callback + accu: 8 var, 11 idcode, 6 callback accu incr: 0 var, 0 idcode, 0 callback -312 VC callback profile - scalar:0 vector:2 -313 Mon Oct 28 23:58:07 2024 -314 End of simulation at 163930 -315 VC callback profile - scalar:0 vector:2 -316 Mon Oct 28 23:58:07 2024 -317 Begin FSDB profile info: -318 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0) -FSDB Writer elapsed time : flush(0.007414) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000) +313 VC callback profile - scalar:1 vector:2 +314 Thu Apr 3 13:13:33 2025 +315 End of simulation at 163930 +316 VC callback profile - scalar:1 vector:2 +317 Thu Apr 3 13:13:33 2025 +318 Begin FSDB profile info: +319 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0) +FSDB Writer elapsed time : flush(0.005779) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000) FSDB Writer cpu time : MT Compression : 0 -319 End FSDB profile info -320 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0 -321 ProduceTime:0.470358439 ConsumerTime:0.000000000 Buffer:64MB -322 SimExit -323 Sim process exit +320 End FSDB profile info +321 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0 +322 ProduceTime:0.426994519 ConsumerTime:0.000000000 Buffer:64MB +323 SimExit +324 Sim process exit diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/sim.log b/hilbert_dpi/codegen/dll/hilbert_fir/sim.log index 9ea7f54..040d9e5 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/sim.log +++ b/hilbert_dpi/codegen/dll/hilbert_fir/sim.log @@ -1,7 +1,7 @@ -Command: /home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log +Command: /home/yzzhang/work/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log Chronologic VCS simulator copyright 1991-2018 Contains Synopsys proprietary information. -Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Oct 28 23:58 2024 +Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Apr 3 13:13 2025 UVM_INFO /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES] ---------------------------------------------------------------- UVM-1.2.Synopsys @@ -33,26 +33,26 @@ FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018 * may cause abnormal behavior, please contact Synopsys support for * * assistance. * *********************************************************************** +*Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file. *Verdi* : Create FSDB file 'TB.fsdb' *Verdi* : Begin traversing the scope (tb), layer (0). *Verdi* : End of traversing. -data_reg is: 0 1 2 3 4 5 6 7 -i_data_temp is :6 -i_data0 is :7 -i_data1 is :6 +data_reg is: 3 4 5 6 7 8 9 10 +i_data0 is :3 +i_data1 is :4 i_data2 is :5 -i_data3 is :4 -i_data4 is :3 -i_data5 is :2 -i_data6 is :1 -i_data7 is :0 -q_data0 is :-5 -q_data1 is :0 -q_data2 is :0 -q_data3 is :2 -q_data4 is :2 -q_data5 is :2 -q_data6 is :2 -q_data7 is :2 +i_data3 is :6 +i_data4 is :7 +i_data5 is :8 +i_data6 is :9 +i_data7 is :10 +q_data0 is :-6 +q_data1 is :-4 +q_data2 is :-4 +q_data3 is :-3 +q_data4 is :-3 +q_data5 is :0 +q_data6 is :0 +q_data7 is :7 V C S S i m u l a t i o n R e p o r t Time: 163930 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv b/hilbert_dpi/codegen/dll/hilbert_fir/simv index e1a50fc06003a453d92e4e140768c0f3b0f41d3d..34432337bfd44029fd64237e9c8f32f935482a86 100755 GIT binary patch delta 270496 zcmZsE30#!b_x?L0qi8ClqToIvD(+ZXZq#5}qiJGhxdw{3FQ{PV(x7NLB8k4$SXybN znOS1iHX;Q?_3|dCMoE5Zn%%p&*=qNSAD$3gj~x2x$jZQiChucVX;<;(j0cD#qL<5 zU$@3v%Im$#v<`|rUhA}sV|g%K>iW=f5<-}Z$aLQ{0s7SWJ)`ccO&m10%@;&h|m4_Jb-+V zd57`L7iG7m9YNtJ3y$G=9Qg$DN#@bxH1hArXOYh%7a(6k{u7zf6=WZBArVTtrj(0O zzQy!ygAaV|4)R@Q{DbE`Xb}8-gy(sCs3})bR|41BZn|u1>Me?%|KdD_4rQ8(d=E$v(TU#`@7L7t1bD$ODmwASWOXMW&R9=Ws4dj;4(O zJsNo|@;K!2$PBa}8Y5bgl=S<{TOdE2lGaI~gL`UJ`+kxvQ3Pb-o$D4$p80xn;~^AF@J z$XAhl$dn54EJD74d=t4C`4;jWWJ-VY^B;cR$MXS~N&e8Hy`ep3MhQK!fK#&K8H8Mp zY01^JV9>P4Q>uVxCFIIX6E6g1JJVJ0tg7ECU(H>IId%D2kDt`Sp_GYk06Kzs4e^Xb zZj2m-+!VPva!cga$kE7cklP}+Lw*Li19B(i&dARqBe}KbQ0O8F!Fe9#ZpbenzliKY z?upzB`DJ8Ez446Wa$h|ABM)GDAfAJf-(Xs{z{CYT6gd%jIC2v5NGs3wF(Aewk4K(> zoQzCqGM*{OsmRliXCPCWh3DJIZl-7RlVo#HPG@>9Kj+~&pUVsJ%;fTWhKsYnSd6>` zSx0^!`2*w+^_3W;r6_%jybO6c@+ZijBCkMRiA?DWJip}fYCP8qCtmeqN@0d`S69 zPyD;)W!+vWP9I)rg&tgass3f<;X!%l{{2F?hm5m#9+S1L>x8*cMZfO}Tb*~pvGM(o z&KCW+{c_OQjDN>&++D?C)qT}+^mU<+g4Tp$sfz7UKGd>WA6hTW5~g3Q5f(Hm*x>FH z+*kDtHN$LkYaurED%NV2Hu{DdVU}t7j@n_C#U!)%#Aj{20rJ#H06ml z@9P(9h6VlSM{O#&ALtwEgjpg)d6>}T66Id{ky>H4vpCvSA>5#>YKFGSqSjIIxtZ$f zOKXN(&JrEe87E9>Q%!wGcv#RuKN@PHukreh>S30#q}viI?5i!%)(Sn@HNq{o1l?EP z&?wCEi>UEPwES4`yHd-b&kY~hx;JLO*v9!wmuhgIE!Ff55n(~E`Tg)G*=pO_gxdtg z_uL-8a+j!RUQXL6_kM8xa%)-2b8E z3n4yIu$&VA&H>5)j0JVkN8%?hk-)}TZES7Hw+|p(?6L;%dYD*6YpD5VOb{yg-m0~1 zhA4ode_BdK#;vXE6H-we1C(Rntw18+?AJ>hwjFxNL&=Awhk~g-?eaTdAvM@{+(|4A z4iM?(rzAhCUui`R;0aO`t|~%{#TX0HlhvS$XunOW(Z0l!_}vaW1qW-YNck0jPnJ!8 zN?Nv-1@~bA0jw|qd=CpqQH`BWQL_^|PN^+SsiD=7*k;1jr(>iKqN(RCJ!xR;4=)omVw)GY-#O8&}km#Z)yj88sq$#a(Wn^=*kVU zI2fZ@aEQk;+ccIV>a!5j4c#>oy58p@^2=1d}Ix=n(Oz?US)$ zbaZ?J3EZ=+bTu2MeJU0%c<8cIu<{WLlxWE&&wYH(DQI`1c;Hf2NA}2GZk*tto=7in z(A_^Ifej0MJZox3O5m+r|6k}K0Ijuj^+@JFi6J8c*6{g&*>3F}OdE2=eUm4aHj;{> zO>6tlm^K7pyfnv*Tg=O8r}w2yemqD@2gu81LbC2i43r_hnbCb#1yvw&AF!_~dT zw%br^Z|bNQn-Ce`m?dK{{Z6T=pEfqHppg0 zw>n5gtIjwDrhVyR_?C->qrmDZ5OZ5rRQ+|H;wVo=2B6bX{CFSrTA3Z!I2^j$HGOf-fJ3yV27-~YllIn6(?#DrKb717;@rY+Tj#65mO>9sK~&k zj;3H}sD3e@^9@flslxjG(^BGV?_rForj3JZoraeswo*2KjB}SQ@UIf&&6Y_1qS*0(g`7)U>ZSCba*_4l!Fh3KPlV z8P_ELa~4cu1CmX#HI5G;?lg{G*)PmXOfyoHWjb2T;BBw%t}Hv0-%1Wm1b65u3;}gC+qCo^Go~NcGv<96?ob*B=`@zF zLCjTkldDVhNYe)KO(mnX9Q#$=;597O)KQ12qq$-%v7-GU7nbN6vOzv?#|ftTU3qg_ zf%QYge>4Le!5U7M<(pjkAEp@rw`WC$WxIGfMfWcq)kDZK=!v#U16aLw$C!SB`f~o| za8Qgw6jKV94{Zw1ZzsPz!ib2pvp#hwLK|h;BkIBu0X#x>=q;{)koBjre#3wrPXeDB zWSPeDfK~FfU}*t{T%^?vBtO|SWHG#OEi|12PV>zv-!$*@n@a^tcZ><@r088@$Yi%mjY10KFppgw2M4?WRqoI;6z! z|Ep8f!}1`~e%`)w_!TYfo|MvnOrFb8)&nvB4z`g!U0V8XroCnC1*XH~%NToF!!>EJ z{{;0v-XoGtXTv%i)OL&P2%3v@vo6*jvfzWWPQkEog>3Yc_{IE2V?0(eN_X1Jj*c?9 z^bE&JxG8uuc}Yeyk&Jc4>yVzvn(m$;1V#|0@(^U3#<(gL9O_WCX;S9w+yT^%XP)S?CZ?P$;C>_VNpxG2ir#C{herL+!3< zy3WDMi1om&6`01d227;jy7nT$;Rglsq5aMCzM@G{5ZCva>VJ=+qy^`c>F`;>ILL_g z2L3nBXrJ@gzi`4SAnUVBhhrYo*iSzx`G$ugSy8m;PnqE%TE-z=trTVcl{ikcur+FwS-${_X-KePm1F|$pc7{N<*oPT!k{QHU5_G|Z?tXe)| z5$$&T*Jr+~6n~`Hzwy$_^j*>497AnQo6SS0!dT0~#&l!6MuPg1CzY^ag(Cl!xH;sKS_>g)!`@BrPV5sKf$>6Ow!WE$hC2b@G|v&5&Sbwv+|Z#Nw>?}->{sLkhB zEWup=6bdvu4%L;hvYz?XS_7Yg&S`SpQOv6dRLa@lnKwaN)Er(ghSKh@7U~G9HmjL$k?r@)>}m7|nur z+W;78EwY$@2gOQJ2W7RH@B4y-Vn|3Q*n-!ELcdX{|oXtkEiAR|D2M+pV#;p zp1`y*1T~)qK3QQ$#hnZe~5{31fSupFER?9M_ye0bQ>t4Zu1m4OO%k*CFEB4S3_7Fd`MZCh=P4(SH ze8Gt01wr-;|M-DdG`lGn(;c#-^GzKMZonPl%PVH7NUIvl`ln3wSIYIkt!0@2qIeeA zO)FX*K3dsL(`+Q)gz7wWGNgQja4^Q1EPj(0qFcXFefYm0H>-t-E5`D$^ns1}>394@gw zj%KxyW53WMEk4i3d1p_TU>ucfE#1uv#R~rY4?HH)|FCP(mqq5^hHNkv7SjugAK-H` zz-~HjKgmOrZ#tMbxkGNd>5R6O*Ms7G>1vQ7orSAuXmU(nP}(q-w4&{8CF}3v`Zd{e z$tKUe#W7JV*9o`(`hAowD0|r{RPMRExCaEI<;GZTh2lR>wI`CFSv!_shh z6@iXzUZeypnKZ`pjp@hy&Ulg{%XX*WEV4?$|1kE%PwOoKjF(W?c;U+8!>VDx2A+P= z{Cfg~h@5E7Mly(cHINqkPwLm2@pMY2qY{!a@1q>Dqiakn+I6^;hRk8QvTfl_D9v;) zm=O(p;Uc~)8b_v|>)%n6;?DtVPN7EFw|LDYLAhp0yk)DJjPQe^qM#>^XW&Pss zC0}y!ol|+K-{{mppkQ2MI+PA%MbWItm<1!D$F4C?=0m{2M_x z2ri`t|2yauRrhg&L?F>Bmj9jPGh4e+U)GPS-$k(E*dzTpR+2ZAuHOmuDOQg2Pqt{5 z5x!QLkI6Z_`-Kn_=`%ityG$$KE=(s<^ben6jo@90hGG`*yvIn1^gb*kzTI>Su8Q|h zLa^|GldH2d)W;iC(?V3h!5+ysst<(!0r4I$rTM0} zR*x|K$P=ebFPkn_qai>{m=>Z-977KNUclJ>{$;}wUPjO%8B4W$GjEH@{CmAo97J28 zom`Y}+P~Mem;7Q=M_gP5~Vm|36ydXvyq4C(q+4%-7eTV^!;?qHPfi*+VscWFpB|Z%5e%~i%*$|s{UGN zl{76Wv65hn8a@uPp~LlyL+Vr_y~G$XadKjkH~Rg`q26^XgX?%3tPBqIKEE=!dh^Li z@#BZ4B#u&*B~Bclti>l!PMTV(m)^sl2Z!q~4GF0-HEHtj(ebIt$w`yrrzB2HPV(N$ zs_f{PI4UV|Z2agc@l%o}r6x^COq#68n!`tpM$OR^Qj#W*7@C-*jgOC~o=r|lo;W#$ z4EOe4U%5`i=n2E)r=$*>k}^4d*jw?>Ym<{wCXY^nkA^3Wh)*0hbjlRH&FFBiwDY=>Sv`Iw%BMeBzYJiP1E4 zQxm74Zxa*8PSN^~o-jJaHD&bpxS=Uiyg8dI*VSK17@;3X=%7~_T1W3aG)hk!+CwiM z+F5TiEZTeP%gPaY#;_;!6~pT2dxoV~ojM93Ktn!t=(yCR_$evF^*0lH=*JRcHr5?p zwfe@V-`ZVHuQ=1L7t9IrI^U=qyfJoml_2kTKUKDG96v9jthe@;m7m-AZ$@w#ePd?R z4}Yv&c4OS4#}@rTT6opAPd(l4ndqn6A^JKz*)BdhK7M1b#g8oBN*gMN>*Mt^8{c?; zq*cH4;k$ZLW)o_v$1SS7F~{54YS*mziyHC}NS|f&njbfMia7GWvXD~^nTSUe%~vWL zsf<=mpZ9TWC!s+wjgp~9wkv~wp&}D$AkCzQQW?F|l`SU;vh^!QrCSZGncukej?6xGwV%lhc0 z=2YqjD}3yr{$vmE19xqbh6e;1b|!yvFrPYPY>gz0QusJC_*1l^=P5r|)7mS1`F9P- z|42?nfE~!6TnYfTR)309>UZ*U@zz=4cTxBWik_|tf0SEk&`l8}D}1^2OW`y{(ILgv zX-fS#MbCVNe@x+LF(2>R0)K>o*E z>x*VNieRRqXs@DZj>6AZ_{OP&#HSSghf4hdg}=p^S{?Q22@?v?$_J{859z0*ggNX<*zW38%fnHx7ctcPf0Ef<|&F{3n$j z#VLGuuu&V&{)zyX0)I+S_)qw`nl?(|uJ(|v`HBff|~Dclk8 zM16mCY#R#Sq44brzl#!F;R?TjQs1HQBLaN<)>08P3<$Jng^vrQKebo*cpc+UPKDpt z&&BTn6@C+iA1C*fuc9b? zpK=sF&Kmx-SK+I>W&RHSu7!qxUg#R>l+qx^VB%Sz@Sj!qK863B!Y@|%PKAHp&xif7 zia^^LaA_BXAFS}7SNL{?|AN8~SNJa~e22pK(zG|mKS~jFR~kes{2mIwy~2M<;X4(6 zPlfMN`1o5%{^X8R1ik!h>;(${Wrd%h@Ovx#Q3}70!cSKCuPFR!lJ6GNudgCVQyLg= z2S_|$;lHNT&r{1Xa4N8t}v_Quy%-zd+&7QuYp?!cS1@7c2as3jaR%BK~Ol4O0Z#uD~ovRQSOP zf4IW8EBqvdAFl96D11kN@76{sf~bH%8?Er875*57-(KO5Q}|AWKVIRx6#fLk=lK_> z2qr2G`YZfog`c4CCn@|<3V({iPgeLT3V)hg5u_@DG=)D^;m=q2Zz}vOg+EQ2~BDg0uEZ~S30fq!2SyrVSGb_Zs`y9z&8;m=q2c7^Xz_~8nFfx>qXpIk;m zkf8{olm-hGezd~RRQT-`{(B1Fsqhyme3!y^XDNa>MevQXu=H2>i3`jepWeLAqK|6s+*SQuua-zeeGQEBvnme7ELM1m6S%T9m?Hr|_c{{l8vTIJkor!Ph!*=|VL=H}qqRg+-wX2v{W;Opg~A*` ze@t{4qO%3PgyWT zjA)pn6*>hykmx6fju!MQL{}u*A?O}NS0dUj=q^N82JJ4?1fwG{La0LVV=x*$Nwl5l z0zo$;x(d3g7KgV z7<6?nOcwNQqQi+!5cFR}(>uw+I6+?`x)#w+L7yR-Zsvv2f<8(#-N*|ag5FPbU83!R z-br*lntz3wU~C~qeX3CWA9>(=q8&sR2zo8i4T#Pc^yfrJ5S=6FkBM$bbheZb7s|&^?H5Nwi(iU5IwKB8Day9f{GJ=;B8r28fO(x(OrpNA?O7}cOyDW&~xl=FkT== znqW+)3NI3!Ea=HZyNFH@^cbSM6CEe$VMO;J+9~LPM88CIw4h%hx+l>NLH8iK7twY> zcX1QrWnyT8(UIuhL>E60F+g-2(FKBTMsy#d^93D2G~Fu;a|B(B=)Oc}3%V-NuM)jN z&=rX8M|75;-Bx0}MvOGUc!1yNj(VNwWI^91x0Yt|M`V!FtiFOM54AFE= zEQ}WPQKIP@Sm+S+exipEZ715@XeTk~+E=KF3R{SdC%X7w5d%ag5M3bXwL}jkI$zMA z6FrRR96^6fbRyB&f?h)OaH3ZTdI8Z%L}!6^mo%C~j1k006BVWtJ(B2TK~E-{cB8@s zL60GNG|_Q_9!B&SqMd>sNc32uqXqp6(c_4A2)YN+D7S}e`Q_o##46P-r#0zt1OdN$Gdg8rQ7IYj3O`eUNgiOv@E z5~Al4y+Y6nh@MBZJ4-O;5aS(UqzQUD(eDzSEa=HZ&nG%T&|`@95FIDzVMH$=+9~LP zL}w5kE$CN>UP!b<&^?IG1nn-g3q}`Wyhjx@L3bp25z)o}h!`L`i|7JDHzRs6(fNXo zAbJVWIfAZ5v`%!kpsN!7KG7=#U4iHi#QvWp7*=9@NEOlq{h$(PFVV?@zD@K}q7wxD z7ttRP9Vh5ZM1M@QQ_yFKUPg4ZppO#0oM?xj_Y?hz*#GTnlZjqKbb_GA5dAgLaiC*g?MHuvUhCWDAJ0Qyy>(X2b76}Wj5hyt_w4pn zk_@WR<{w`PZkIhhS6rSwJGi_5E z;pm;w-Zyp`kukw-(K@r;5Y$4Q)$q-B>W{DI3ai%UdUsFakvCkPORn_G#c{88&| ztL4huL4Pd9m6u09bo7wntwVNgf--T`zdK|* ziAoAW>ZcKJ6H&6hUVBeeHzB;l!nByv^iKy=eEVw+odU~$<4(e6%o@$A)w@YO({V(I^hpyBcr-P3ae z5l|J@N;N(*IWwk%pK((7;DYa_-fHij=3lFwo>@$tc0v<6{cGt?_dTH3+ZS1RL2Pr) zx9V0&Nzcr7Z^!C!`x>`>9jxut$X5sRnY=SEC$(+tW;&ce&x?LNnbl_yT4uY``}MW^ zBCOeW^~3wZTV8QCr#S+bE(E?SFBdkF|=2y z{tF%J%<|@iTU7Z%DIe@_->Q(7XjkG6cx~dddi=q#0k4_s3@RGw2k2lH4k_y47uGQg zql#Mkg(WfiuLr}ef5zyA2OBip8Pi-#>s&T6rHZJq4}D(`R&G#mq$?5YnQdi^-ttfb zYnMZM+@ZSeiTmJ8Iaki>@L8xCO_V{qVqcGaEw-P2?a&a*M!m=3*5#HVrhKh# z=xK*vt>!{`O1ayvkQTn8TDL<6+nzb3Up?%xKI*7X{R@6ofah(;x`9?=BUDyLRcH<~OYRJL1&k>6YcnOiiO$&bX!@ z%YViC{C>U3kx1*xLcQ0Kub;buKDaVwW%lx7nw+JKE`qxfmlk5_9w!N1MHpcg63DtG_~__wiBRwdj8ptEkyIJ1bD0`uahkY+>rO^80GT(0oMuR z^a{r+>OUT9*nK9XT|NKO9+BB@B3$q9)Pg^$Q&VN9dZ8D};?pO`=v25^TkiQD=?#uI zZ|Ooc>Acm~Y0bIk+lFW<+(F=(saeEm2~UW%{eAtduC zeOvX26U~}C{_sQI^lgM~YBVH5h-gTyMg19}zj>lw^=H8H>=Z7UcQiGe1Z|7-wI`Z1 zUv^2+)XJpkJcNEt7xhOc>VIuEVw~^W@NZnkRI%#pKO`M42bIHyiV0kQm_$zR%!pa@IZl z%9-}{DkB_e8@MA+cUZ|Q`Fe1T&;QUn{~lx2&+9XOceI`a6cNhZJ=eQ8Jr|of3)^Rj z?=QqxcTd^wiNCo#uSO!i&eIYdc|qU*dxx4I2_fb~;_oic8COOM?1c2_dA-irZuU+> zS}t>jP}K4debU+L^+y1vdq%n3peSsxzhg0RrRQ4ExI0aO?&tMYXWLnJ>c5|DU@bnU zKR(;fz4%iTNB~265nzNvFeHN?=|nAkh!)pQ%uyIJw1=fLC$kfOo!jxpfcLFR?tY?ff%svp1h`~dd(~88q%#mKYFf( z`%^?=cZ_(i#LMEWD|~R8urs=8U2=mQTGx!>I1taf{C11j%$bqG;L);CFdB8weA6Nt z=J~#mt%5}>0-OWDZBfFWd%jh_6A&E&L*~-|Y zvOPVw$=AP8i;Qk|=!>Qkz|$S*@^isA{*2z{LZk2(WUFwra%E1ja-+20^$8cAs`>DO zFw22~AeBKhhEUn|cYVu+iPk9>^yURMt!vKcFBCj&%{r~WUC_*W_msZ2pnuDcMX=!P zM+bjubNX~iNf+ElN&tSUFdgP>8zek>(|1E}eX(Y>S83eo@DB*lLO-n!y4bo}RkNl( zr}a-RKHYo(yobs$^rvD{qiHdjijwb1*aPpR)TAYtelhBE6zGpHcD2?zt9QNRuueOt zkGM3j^SYC?=A;Y))^^h1dkLoEB1uhO@~__H90^dJDhhQG7xYJ$oMFYAaH2W#7>zJ_ z_zczV&L+L@9}Po4JVB=9!r4bri_Qw6C-en>G^jD@3~n2do?Ts={;|y|M)az0$Qgad zA8jma^*etwwjMj7*S;KGvk!V#^y1v3DbLG^!*hRukah}&6s~Mvq7^wsU>9}R=3s5( z;O3r9i?O@NO}Zuy9)76ar}bYix2$UaO_;i^A-B7M~(E!dpbi z9lh4or>zxF>aSew+N-P(<@TC)J!I||!n#72IP0zcftE|$ZAnkg5$fYjUpVyBwbL%1 ze-~lRCNs8Ug}`n2g#O3XrXg9P59PSexAoe-Mj>axBO5D`l0_%<-o9tb{)WG3=G&kz z^o3WS4^PF6M@*$)PddMyO1|OxW?wx^H~o|^s%{I&duEP{w0m|ULbjl1=!ERq(0sja zVcpu75I?SY*Hdt4xas>%5Wf>~!M9iMR~TVkbzFa|&{4Y#No2@2EVb}-Jfi zgtVwiDUi)z^_q7%C4_W6(k~W0<<4o0?H+rn&9@CO>7B}lyxS3-&8UVuS`PfIXH1BW^28(I*n$^bLH3=1r+I zoa_}xi_nmHbrAu?On^qZM)c3ub8kjOv=zv3EmpOgz88o>?1+gZHkQz%dWGVr>-SoR zS#gh6o_W_}Lq4F5CN;F%-0LYJG~Da-_~N=u7WlNxp-v@<}qN-4I`5Qf_xNeQ@!oXdAqbV@IIjG+$Zd|<@FyxfPN$iFk9=xo& z6>d49zj*5v>#l?PvRm!z4xovHMJhooQdfcJU!>m4(~ECC>3(-@IqlBs5bw^AB{}VP zhRprOhC$4XnE|%%4dBOOWy+WmjHN$&Kl-2XYNQh#90_q(`03g{$%}K%J1Si81`Ccs zfRj~9)t;UQs6T+&KMVHdlv;G6$ZYq@TKwXS^sEA(tZOME^VP`yq^~AwbmoS01<=gM z{=N!a#bs1!%vFYR6_@WSJWX2b=aI*6$kk^KqJ)oJ^C}}$NHAP$iP#z~C58VY>WC}i z_b!+*v}~my2AEf%_qtQhvOynrrdAv2}~Y~Kuk`9tI|Ib1G%S0Ka?R0N0KB!~$eLL($1@uZaA zz-vtG@+noMyG{_-ebaXeY>G4yRkS(nmDO{Y?*Qvp$@-RAcCgM!*2jWHUKRs67RzqT z8?ZBE-byf}lj(nW>Wf7rky&Cy#L;Bp-jWji*5B2v{rBo+|LNc!f)0xsJtL#2L+ycL zU9I@C&MvGmt!Rj&8*>6Nh(1i;xO(bin zKT6!fYafXyrbC7g(D3OrRKOz^*o5qmJJfCAd&HU~|6np)lE;~hmgF9g-s37AmCtBI=ax@;Ws?%^x5~T#mooE zSC@Bo=<^@8vP{)~dKh6%$2*OO^&0;Hz3^)|4e!aF&{JF7lK3)I#(Ub0EA;4|@p3eqQocHmf z5Z|EE)O}UTgiC9S;XE&Qp+Rtkz&|hdui8M2r4!Wo?eVlqJ^>mt1>Z-Wmk~{#!oU|{ zJTLgp5e_;=v2OL{>%IO9t3(#T_+LrBPM`E&jk>?(P_3OsHu^q>-d=QFA@}`t7#e-$ zu~4@wb8`t+JKtF`FuUYwohp8&4CH59NeuJp&lR10i!Oh%*y=CK3Gf`zayw7EplUh!Lfw;C6k% z*AaRPXG0N?Mr6rV?~0g}=eI|b9Uc)zT_eNg?XHl1b4(VC;3kv&!-6@`i^9?ekK+BE zG6$|eha4AiqtAn%3NJu;ch610ruL>Qqj1r;G(PYk)M&nf4`x~FJe!WnI7@}hrQdka zbeRmsh^#{TkqhF7M%4ih+=*`uZu(x8)lZo><7h;xvpKS31Lpx_Mm?b|}_P(GdN*>O1?h)EmlmD&ol(_&CyG$4N4WdV-$Yecz#W;RfNjtG<=o z^t3Skrtb(nX^!p{1^NlWHk9OSrN0&+r{>3&&E6ENB_iqtwD*T+2N3d@mEJiLn12gQ zC`uWA9eJ_ z0}Rc@N@vOshT$bkB@-8*;si%UV`i-`MP}5Nv5#?h2g^$87PADrZ??oxh2gPg>vK?0q)a z(%f3^C-2x`OM>;k-QGjNme$s;ySA}cY9lvw?tdV?Di&)EQBjmNcKa{t$ zsOj4!fFliHZ8rh8?7qwUZ+T0$wcKX!Hx(@Ft*>qJ4t~NiqW;T28Y7A~6jgSjt*cCs z(YDV{@5Lu9ts+*!XbL8r$Y&rfuK0?1!++f=l4vG&^`JGwl_ySgF_ zDbDepsc3OpzssSHMwcJ_h+@E&nnTrUdy^|!n%8fcQ`%A8K=;gO^dA0~#PDCFx1_wK zy7$*gmJh5wfAo&1jIJ;H!5bE08DZ5oc-c$4|?kbk`HDfl=X!~+$EhxZe*ZEV* z^vsA^z16$0s%2P6#CMAG{@mjIud1a(#PszhXYB(+an_daz5T0M`daI5k=-7?*?Ybk zy1i+$w`wT5J$19}c6-_Fn9bhIP)qBEE#MHme5wxjxDtcqWWbHebJ(|kEdVp?Hr(aC z5NfH>=Nm!BTtmN=n)sE&SxGl9DVOO#a08Z4Q@bmM_D0`*AbcE)kIJCN98)&BHMg z-`Q`(5a9*aSxED2`|(K|i>pe8?EuOY(^b){?T?#zvujvt+uNXaM!&nRjBfXPW>%`U zz&o^|rCPZv(C7R5EAPb!gyQ-zOWBy=0k9jM09bVi_Obx$Y=C9hVi>C?u-ZKK&VJ6) z(7q5}3P5FeB-A))^989;zvA0mML6OWNsOA4jsH^K# zsDI{5sJ!`rieIf*)iD6I6GFp<2hbc)kpik}0IHe-RgR&$l!A(9s0f1EvWlR>p8Xns zH;xVJsGu~HC_1Ehw%Pb(gXW-UjMLOdJWgxAQ7tB7#^qE0jzZp8Wt@? zb68{(Sa%-!vF@U1IM4S`I3Cj0@6I&ZhW%i_Mk|{ z;3b7^^MhvI#>@{}1MK0n7qaGHgwdm`w#{ zSO7Cz!K`Rt7GP}(V7@a~j$}?cF#E5R0rS&+ziEjI%wDvFX)7Vkvn|3Wo0f&5F$Lz{ z=YV;k6ihjWX-qKNRw$FYX#ndt2n~~(NvvuD%M!q{G8U~L|J;*PVC8DnqRHvfqS- z&}ZkM70>793P@iGGERUD41f$`5Q@Cs|1|U39f-VRU#ciaW(#Xx-s7d_%}zyv!x(kmXPJ@5#;|qQ6gee0IRlw z6(O+(39Rk`tR9R-K796X;lq%RuvP?oxXIff!V+5UExgkARr$o*pq-^*%<;Q^gJz)z zhC!)l2!pmknrB;wPd4aV6v?3409kq0JG&irT2Bj$J>)Me4FRNZiM0Q1APrlt*c20h zyMk^QaEH+va7_eU^#EKAh9jFQ01g{+NVif*>E48fu&EIt{jy9>+jV#RHoc~Rw3Hwp zLYilri%+&`9*V{wy>*8N=^HFN0ec?2E$33kEWk7rFx9IblnqYs8bS!fP} z$_uP}xBXc6Q6#J|7<3Kgj9!;r8U1|Ti#09b_VT4Lr!Z#WOkmFbSaIS)G~~FCQ!uZu z5SUXT&9e>1C)<~VB4Lsf2i%rU9FDpH^R9bi8^MWP3F+)d!ig~tZ}~xXz-q&=o)Y9w zNb_vR@W~*@Q8b*m_m)^GLiS@h2!JF>khL=a(&Z!X;6|44p2-1_&I-r|G=%pC3J_NS zq&tJ)otZ5L<&1s>8L4n!FCSjzdvCS0RI@iNg?VPCT%F1j=*p$W>U6Q#Z`%*B*|4pu zggpRho^3Ne*|sex8V>xfSguYUEDizN`beB|qo)JMvef%YV@t%d-2y1J6_gQZ3FBG| zl)3?wdW=#F##JqD=KZfRPM>E#QDJ_XAV)HZ0o#iZFA$J0dML%4D2YpL~OS5LQtJw3g0GHmbM@LM<*-Zo(0 zgeq8=3~8S24SceN@hFmo4FTKthWFglmX`L*%T(CMZ^^m6`%S87Kv|N_r*pu_KC)( z`PE;3yILrS?Iq%3Nb_uNe6n3>C>nO9{N>#c4ZBuj_6ERmrb@fsnF6qSi@j+rEsmI= z0Ln@XpkdcMw1izZ3JK*bJ{jd4iiA=Zb{#47o@Im_#K$`a;1 z*v3*JCJAkMN2#Sioj`Ly^%hVu0jOsgiX#2VLhr&>mMFWc6x8>r(x51U%2_0#W*uRyC4P-$9yZTaHh*>Jt>nswhO>VxKprwdF~B<_D@(^%-jXBtY2&RAfw8 z0Ob(|&_Ef5mN3X7P;OrJqZFe^C=FoH<*VM=Pg$DVPrk3BEKZRI{ge!p-tS3+F0`;z zj!8ya_T6{|Y6F@BY9OR}Hdg?uJ3~>B$6S@cP`?zEMM9M=nFuJa*WJ?6Am-2&KgeH* z5yPIxx&ZkJ(mdNLe6rV8qiDp!$5&)5OhVm2ETm77_Vgi;!i7pKvYGEd`a#P*=tS>g^1&Dl(?vvLB_Ff^rda8YufA&9iO7C!=ge(TIg_FUwen zL*0PyE=`gKMH9;21vFd3p3AUx3*a0@EE?84Epb{4oVo#=dW=IGV%5vu7HzTJf19P^ zOk|wQalmOLalBb=@m}k@Kl~>3P@oo}IZRp#X`byJe6mUJqDUsu(PG9Q-eYYok#<`t zs4L0Rq(8?3YL-Xd4eSAw^$2^zpo@zH%7aUU;=?DS6rxBdw6LDLL&59tbEqEiOoE_dP@Eew>pw*XX(;&^W zjld@xHWEcLjLr^&P?l$htc9v+55`Nl`QT9QaXZs1CjIs$uLLpn$os+hF z>QPa$$4Xn?P6A2|fg;Y4m1CX`KrO>8GYonY&EYnOfT|pT3SlS;@iOPUMV;t)JYR)s z#ZbG418VRbZTG%5UbPg; z`(va*w-bS~0Ix)vTk1dG)zj-Bz5w{$kClcQZCG^eU4iu@qRC%< z$l7;QoaNr3&*E0SU>IN`(n`(OchH#Eyy2M6hE4CHJ^YspDK0Sqta!$v`Pvs{IbZM3 zQ_&ud5*{pnYAE26-Nse7__QDC6lSu4bP;WVbOzEq+g^OK_x7PkR?(*P%V~K_>t6~d zRpOLuPdNE+(@s$r-g`ZO<5Fj0?%{b(}$kX0tPV7kE%~kEWJW@u)dkMg4 z{I-0vv*(oGnjKgy3~N3_OIWiW(mdNI_+)E7MUkwbH~33V@td8{QZPvjb2lC^3unoV zuXOk0V=xIrnzjY-0+p%y~?Xoep#!`5>F+IqU(M_>Py#bOleWeBbh#j%oG zfZ`}wJcVL6SO3*NxD{r03^vwLPe z@}%DP^t+p3`v?tXH!@HX&dO}Jjau>-ns(WZw^Unx!rMK`Q3 z{^lLo)zV;a3os=_J(L)trvjm;2~#Rc9X6>$Kr|+Zx#&AAy?$Ic;tD+wIb`XXf93KS7C6&r?M<%qmecq}sVstw7yGdhW~;sNl|@e1lB>8}b>Z5gRnpuR|YhDp?-W5U+U^k7?09h0_J zlc+z?YTSfTzeYWYx(p>ot*D?DNEdpf+Bs6KK)phGj$nSl!(*g4QHq7FuQTfRs0I%= zq}m_OkhVsloMYXZl&FPAg{_z9!M2__DsAm9QJ2sX zZDZ80P*0+Mgc74Zsi1C?E__$2O_OQ`YDGd_kC_cyM@jKeDHgW&V^rFCV5@~tQ>IH> z8>5~?4M&MlcTh#PwWUNYE7g`95ylAAWu&K`M7>J<3|oN|3)JIBq^%<*YBFsTf4n78 zSD~In^`gY6y%f~t(uMP++P9@zf!c&nGp7q%M@VtJ6bsb8jCu!fu=N5h<*zVmBd^W8MgCMEKrZ;OIzQTs4o-hj%m`?FHldS zet;6ACMu}Yr3=%g+L=x%Qq*$Ql|0->LkCbJ`v>?>a-juekL_LYBqr|9V z71aI`b+%MHU8)tficPn>L>(r@gQQrX_GZ*75_Q=SpjKv72kJ@Gswgq)9rVkvHBY)w zlWPAx9I*8e^?uWv!q$t#$J#5!0`>4=Y3oO%4EqzI&YCK1{S5Ua>SB}_b&i7iv_y4F zwbP_pVe4Q*Z6Z+bPN+nkCDl%q zY6WUKq1q(s8&dqb6bsas7`3-VeVtJEr$}4tpq@mnj1r?hqoAfs7d|>DZ2g-aY-<%l zO-U8Do|EDeQY=vSAC$J9gKJ@H?m*P;z^KbmPoid`#Hc5zBHMaf8Z$$xO_6Gat=TkE zf20W1!BX5$iUn%-gWf*Y=OMPmLg#mA*sVD3F2EUi>qf_^ywwZkOnN2n%2Gf-mCClt_p>A$z6*2z+Y02ox_Udcx9hRFj|;P-4(!RFA#;oCLkUUs!sJ9&BkU zfksQv(^7m?iUnxyemSPG5_C>~)c$*tj3h7WNmLI?jM_{={Z2aYO{q3nsuiXN5$b1? zg{l3exQ`SI)NYKLkI{sww_Zo>;fz`X^(1OAN{sq5Rb*T1O4NV$30rT{gKhngf}^rT zJt@WcQY=t+?~}F;lc))VdMsJm`T^=m)OS&0RF{IfP`WTxs+}O!3R@!xb=D+d>uXZn zTZ#qh^Ne}};|W`TeGRp{Fls33Nz}3^G3rUG$hJO|#@yX2Y`snowsixA$F*dE`kNFV zmSTarbFZ{@mPCD)P&Z7Jw(6)SQRkt=s1p>_F%or(R69B_u|SPw z)KH1~Z9mj@FltrQlc+%`F{({LJuO{$J5ShJL=U$00!8KiiNe-nQhZQ~1!_*7wDlWQ zgNN%7>Y@qK*2SnNQPWXk)Gw(bd)OsWCrPzqq*`I?+l1OdqP`-uB=L%a3>A|+vAk;Mzgsn%Uc)t`2)NQ%a)=LOp*!rL^ zYL92sMW`oHXQRZZxm1yDZ7fkIO0}b;T4C!7nhRkPHBO4VOR+$WVbuS}*P92%e7y1F zI}>l#5$jq)L~bOJL=Z$Q3BiU?XNj8-wIhla(;E7yhL)x7Iil6|5tJ8oz0?uZZQP~WL8q0P zfsnh@OGN0dYZ0x~5n8sXTDG#To2f56A1+I6r=eSEXjy8kyVS{AsjaBgUBk4xmI9qt z>iffmr4Ik7)G?X}9{`I<(QSIT>)Mh^9iWwZQbXryXj$s6!&+U-X{B!O0O}BTsVeBS zQYRtguInRcc|l!oX(Ak^WjjF2R@U_x^@a1pWL=XqbPEkFOO0`tI-fGLn}Vs-g+sNv zmH?er>f1wwrH=oo)DBvy_kcxR|D=bzt{th=W?HGgXz0TlT9&%wkXF}fTB%>R2X!%b zsW#AQrGAN!yRPh`QgbyC4$-phuVpLidX;+MrlGQ~tu=IW4J}J;pq1L^N06be9#rbs zA>;><_gmUMZ!XpYVZ0$9(NE>WwDq7K*L1oj6=S#*U-#jNIIaP`s?l%M=nrZ1*&-yF z<*@SNW-{~Qmbv0hGTX*#iA~*^H{Uo%oa&C(MMA&BJx>O!e8bRgD!NFI+Xp|zHQ*?I zNts7DHs0t;Q4*#hyB8z?X6a7yUGy1GyiQX4-;~nV_iyJ+dS3veGMB)`A#rXwQ z2k-jSp{L!r7~EjZJz$oo(vwy3jw_^V;--6Xndp@ov&1JoS&+|bT#O7hH}9vWaPEGa zpP#4vg*b}*Ud#qb#_tO$%Rii>kONi!DAmRuok!7j(;^T{@j3mjGRS(mFA`^(rcfRR zYubCLjNP;Z**nXWU~m*po(TkgX!sWS`T6cEQb7}r{G5$16{V_&%DjzX^vJNA8W5AV z1N*3zz~vY8yJ-v2#A!6?*BnX|Xy|u; zvxw@=>Ub2#dkm?)S+%g9#FkqYXm?ac} zx@o0VGGJ%7?s!$qgN(tDt2K+@;o);TR_q+W68P>|kw1V{ zD&HG)X#D*9igR}|{OC%DrXpk@3-C>(PH#6|oGn#t%QKLifgb&{Lq_L{p#xcU79{2j zWK~HL16h#1D`-J7TwEE*TJofJA|RDDVK^C>$^!V#w!)fd!&pFs?^lG_=7r{T_B!U)pEKn( z{V}T&QJ_XU%M1VEEG#mQ(%4OB@UR_BwB1Os-9g4xkg=;@fl+`6muX}>#g|A_sXp?= zaqy#ww!6gaUCFFZd9immo5<#hMk81ac1QFd!Qw}LHXRwKA9ikXxcLdfhfGMH)%3#! zyp%k$kc&Nw4T)(4%VJB~?W#DWQ$00wv1(5Az+Ot4E}kNyb}@?|kH8i!yBL6j!S zj%1ZGvbT`V_HccRSZ%~MU7UvGRSS5Sg?vBsDJy!_B*dgmL$>2LQ?`_6Z!OPXPyy%M z2PEidOCd5|L*@}OT|=HhSf*_54AQW_61JO$Jy(Er7SoV@k(V=8L*^DD@4b-~86)nE zWHElzS5tp@hZkI>O(=2H-7VIDd9d?KPV>)L9Aj%l_9#evjreI4^I==W@lhpXA0zYS6S*rN*bLMTVHdU|NMI#=)%bT1hEo~LC?sN7X|97hRWHf76x}6n#4b>Fu z`~8Y=x7P>aRJG0eC63^&T?My*DBEhVm@MH z8cPrT+(L7e=rMTQuc6uSHfn-F?@-!~(#9LhAzZYjj6>DZ*~CCL6*CbvyaYYyjp;|u z{d<}0ZuAznS@o$sRs5aKYE>Hh8K#!F!f`#Klk9uXT&G4cb{A1&SpaJwI*(-$iKRh< z*FT$EWu8fRSdSU9zr{O@@=`c_ww+!jH)Pk4ZDqV%yf_MV$srBGhkhmBqee95ieJXE z(2PSNVY=NQrChAP8 z)M^tB3!o}j2|_pb1r&4CkX;GSB>Va^`=wNV$kb~CbyRMWedU?c+RYRmF7$BE6-bFH zW`QIs*Io6y&bv=P%)V_*{E*?4IGRN*^;c{iP0L)OQ-1ZBDYd`DIR zR~Y2nk*If&}uP<{Z7EVVya0r7Yc<2p>d z{qJmn1g`m7bU%^s1#7{delFB6ST#e$WYTse91qB0Th5LzSQ4uo_apTvT;@dM!PP%; zmw?)q80af}CbH?lkse^jwsJaz2t(QfN{~1aoaH-|vcQnOIn&JI_(bMcrDJnR6NAi4 zf(E2JR!Lp*7eywqhK7H?{HURW`-wJ_*k7!Us6Clg@*EgX*DA1dOhBW{iO!SR5Y|-e zo6LL+!C%O%YRQKwR@|G++8K^ckde24koo^1T4k^n%q8p@tX9oX;0#tz*=tPML}<%H z)#$p(c(sZ1*%oQE-hD4_X0S@EhPxriGtTZ}HRcGZD~qb4IXOm$$K$0)v(7Qao4FRi6` zbO{)jwA;~RMQ9fLo;O`2PG{jg_=q|Srm&WkKgAq7L0$eB7g69|Rzo&jA`jk9e2MP`#PH1mk#1$J4Blg8x2b{$ zWd2>wFIE=K^b-d{FK`Ku&`)Cn*m*Hx8YXj#^duBxNpWx*v+0=zeJ46iXRCSHj^f>P zHkq{-6K$-fhqG&_ct4%B5D#pu0b4DCzQWYjPqh7twPZKN*I%)wY=gM)6()8=a$bK0 zON8qdf@ZMR{PhSiY6eSY%X5y;z(~e==2&O4X^fQ-p0hDdPs|xOo2_Gfcz025F00MB zXg(K{=T_lj&|GF=<8toLWxbic?mQ`+dZNSEtd+j12K_CTe~lzb;_TNfK+m+uuX5ge z&6;vnMZ^l$lg$?k1*^f|%@zj)3)4U8E2VNvJQFNg-?qPmyhWFVn4ju9Nog3xL_lR( z3U2>zah-+^+|X%{?k|2?h&e|iabY2=6Y+Z=y)L~mG$|GtqG_tLOMx<5Dy9j(=gJeb9-l=WzFt!5Xd^i}{$}Yj*Jb5v=N(&}z6(5A@w17P;r1m5?=P z3)fP`0J~DrskO<&3*|sx7)3*N582O^867mUo$bIUQ7E0OdG}DQZk<5UIl)F2-j~Q)i?(uXYEvbn!H~`A8<7V8;IqU%_=RMv{nv9#1|C((s_C@ za<}EV4rwL+oea`1HBy7ME7IfO70BtQD!2X&)wQ)f$Z%mvNf{p?>UJ7+`f7*L)J=&7 zag9NS?rx2>)iY#){@4*u?QSKwjz0JkY^Epe@TG+4yZAqp3iT+5ZbwxG3C8I7F8EGQ zCz|~xsKJloLPh4cYzc1_Cw!N%uj?IqP)p~~sLA)C>;&8ijU_qW+Lop*>4R0%+@@Fy zn@%yE>NBmWxVwY}wg|XK{omyMH=XwC06c1i`ZjlmFi6vjCG>NRI|PN%7U-&}I%V}M zR*$NWcT@4nQWnrKuou~5%#TPi`Da|6@IU)w9Z{aRK4#PM05|C=zq`bmrL0=SuUJ?) zBvE*vi(qg>UeruC3*+-;s386yC=xQzxXQ|} zf=W*E%gU#-6WJg0FG0G>6gzxw4yK3oOKg^kMOHmRo7KZISNlRCJ2Sr@} zo(1_CI-=D(&vEy32aegwp6>4GIafvC4{U_b+c;?-tK*feuFyBze7{+8y4DmK`HA&E z;P#y#KNW_RaKo(aMA;h`i`bPc+|d40X^~HEA^k4dQjA;4zOAsk3#^tNO`}<@y~t#f zsIiJoVvWVhRV;;lE{gxiV%d1n;zt(DH+L4pe`F23MzsHU{b$HdRAhbnh}}P8)vyj& zx{E1)wzlr03nQc5ard=2gY_RwWDsA=9e=(c{)!gw?~dPH5Pwj{o5HphxC6`1f=^Z5 zrbqQr$!Tp#Eu}BD28J41C~U%&GpNtMTMAO#m?Ixn z?XaqRPDr(}mvhuMl!qbBm}tA6aB{yO zwcmeJyE=$>NZsXn2TJX*dZGHzOr5J2CZ2_3KxP}LQjjWD$zYxEue8)tDAG_6IY5gP zSTj4<;)ZKTs-zgY7UO;73o?nu>tfDY7NA!&XusGEDER7giCcu$k;^!&|IIdQ88CtI zCSbeFW~$)pSS>bGM6P4iJxj)E)3OBBRJD%izm6>lyoqys))$jwV~u|zWXLua!wy-^bcW{Dmt%p-EGEzkT%p$A3Ptxn8Z%Rj6X;-G0^;phh ztK>1t-XbRc%zR5;&MHW}N38l8GqZ6K;w}=KTNWfXBY?!mTYZ%H4dSA5<1G)EvkC4k zi?_VRcX+V%UcgymVXl9R-W!-%UmX!(aH;rt1502B#M2GTziL9bW?RhYB@;0 zeJX^QW|h*%rJsgu3^~;AYRaA(-6rSICd`pT$|hnxqj=z-W;=skkcYujgJyjJ$W>Cd zo6=BJ*uom|iVa2kEzGVzsFkIP+gmVu9wC};#j>+R18uI5poV*gh?K3!|FW2|l~v`P zTZy$>S)$2>gCVMN9se!J*)SJNFe>&k`pyewUQ0RKJ3%HMc5BiUX<5ils3lRaJ)-tD zHi#doA->rLGv7n(+Qx1%L(Y=zOksRiu=pVdA``*Fk;4LwtKu|+@^9t`>sMRk=denZ zYq(LTG?c;mSX+Qr6_GnIhgL+_9n81=c3O+cweH&=Nn4fYbu~47xCUxy(Y%J^Oc3&( ziBiZ8CDMIlpumyp3)q#QQ4SJ$JD5Kt<=nx{7^RZuN1QJbAV<#^ zN`~yELOgm>O(l$m~XAK*Sbwg*F3DQ&0Rvg}i6`v~p-37nVWl?=M zw4|c=WH(D>C&V{^+Q&k3H4pvgOOQ#ad$QiwV!(3d1b4klqPp-G`D^ud-O{SHgJ^pG zmnP9)l-ZWsT)`&KUs(V?Z;^*+mxCGU+YZK9DFRRaA)(~PZ+g$Q0z6FOb4>^>g;iB_C z%n;5`5?}7a-dKQ1e7_GKkUts=^L|`?_pGtlxF5^r$s#hBwW)hE6r+M6yA|dQEwZtQ zYa%BQrm~G;-p=7Wn*n2K*AuSE>2{2`aIbC(73*_Z@~597p;{;AG2QHE`ewA8(gZBT zcFJ|yVE5{J z&V&Q3KiB`zfKnNS`125!(Je%U!z_x0ik64j$gr37sWGoRYo}?wd!1Re-$1UoeC-UO z?8ZhAKFa)Tkhp%B1t*vXVWAK>nyQl?>?Q`)b6JKd6xc-DWqh+z+vB{qn0maiJfgw= zWsPWsFdt$5)t<_ag33BTs|S8AYx@U9;>O2RG3^K(w@ZUX?h)3ia`8IKjz~&~yl4_n}tj&-BGm%}?-G0R| zRS@3~@wVT9Sx%S~z~bDY(Tc;koNuotks@oE=B2Woj#lWlhs*|c6xJb(bhsJEDPoYmDAtBppqD;31? z<8Wu45|O`Pz={{`e_{1_)7oO{FRY2L7pBlyH{rhp?Nl6=Ce>i2J&qU3!ASAvFKi^U zh>j;%D8ErgOg_OP^cx3Aq3sb{Pq3BcVhNHXRAqc(53GGJhMi<1`O_3}^(3pIzZy!^ z*wH9)iq&K7MAK97(Do8tPhpt6QCfU)iUrk9s{vu}Om!Q+TATiB_^JYSm4o4O7L~IG zQy+2a6l(fZWp0=#e}*Oc_*F&!Q=2-y@C9acYlq@()EIFnYr@2YGtA$oCtu~09Gi+^D^=HHk$*t)}!r01d;~8&nK}!Gm&tlS99OLQs zvsiSNP4qbh&su`*-cA}cF^}6zPv-$U4Jqr^i@N97yyB^evhVlVD^8zdmHQ^_4b|-@ ze+d>EJ8(K1?S23s3AQO)066cQMBh?PbXeS`ti(TF2D|AV({YZbjI&D$B+oW$yz=vQE15^uI7I%TZ zIC~H>#nkzRxN@FV;j2%G7w1_(g>*E}PT;}pA8>+QLUBH#)&=HY>Ut|#z&h3V>vfTQ zfwijg7RQop-;gidY_sE(A&xMekcMKO*mZ#|spMIc`U?DbSj(9mEoKw@&bWJG_mwbZ%E-LTe+x(bg#BeD25R=K(pr*YNk z(mj7H{STN9vwf7_8F2qS_FD{A?B-yrtMl*T%5SVj`k8HIRAU)r zkI@wW^s}|cZQ)F;*o)f;ol|?#JSIA*y6gfCM3;-qw_5GqfYgMpg|gyEqh0gWR?0 z^t^O`d4X>ptJ*+$OeLGR1J2YButN#-)cE}$Ka{H6D_7{Psg4A2-+&%#;Z#dLpWIJX zrUA6Ke;bt>b8%7<*4rt8V#_7gw?%vrNQmZ-9#0R=Mtr|#AxIpEfAfJ`e&*t5&>MU} zBMYRwirP%kfa%NAXl2@o$O{nNE;Ii=-(x7COwb*MWj2jWOU2enE5y;(N%utCD^&VC z)CkgB19vQW80An5`3#wcD#Ce<=zWkV&IMUJqMpvPa23!b6nZtbnYeTr$3WOxpJSLh?`l|Hz}(h$A2`^=frN?tiZq z<|~-8tQNsnS%c=Y3lURg=>Jx%s#M2-0>1sN^v!eIyH5CmrRp;R31A0Ci)B|?r9Le+ zsJAy3u-EOaXfJPU6-$26^ot;^OnGCM^%u}P{IS=L`HW8~cjR_^tM`asg?JK`9``Wx6;qH*TiX-sPg#HSCbYi|N-`VCDKiJNI-e zsGPtUHvE zrA39`+1`vEI86tuq#b#yhD-Fpj{~%DeC-+_8@E9uY=F~4L)8LG#$)p>PtnPvc+O-x zDwYNeExoWKR^y{awMS=lla+ko3md2%yreI>Km7uA%Q`ID|G~U75;cfA!bn0EmM+~USzW>0T@J)E!qHM@f zM!yRYzh7r913rh@GTZPW9cN@0Ic&*KyBNNvqjIS>r3C0&++c(GybWT*4c3Y`iWRSJ zu+W}77FOE}yZ70CSZAO^KZo-+LG^+w4tvjt!fmqHIVKa1a~m+Ko|z9|gB(gQV(CZA z3i~A*xKvLgp=@>?#$<<5YO$DnlSO1KzfY0YZhsSr(oB+A`)bfcnm5?2g8|8HCBt`F zH+4{*Oj9DAKU0;(#jzz~9|e4ZEqpYn6Kw0#0NJeL@t@YutS(tD2hHfpNFYURw(o|w zO6R=Pg;acZ|E+ZD&mR3n6}LX;S)XE^hpCr__bMyVS1T8yNjG%PML_4Z*iBm5bP*;R zS31%P1{po@((A^T%QUS;EU?P=i-i3as}>(^#F1p{Q?P~4VuKh7BdSuPSRaE!=Ugo+ zMn)ZSS_q`WCsz4^H@j)FKIg$LR*dmE#l-VJ*;2lL1&OM6Fo{jwCCqnNEI+$OSnse; zBkxustmixVFrLK&J|TGLFr_n5^{{n?j`HOMS-&(Tr^H<>GCdB ztkGQP#W}hF#We0uw6UuAA;xUmt7(I&97Wppk~X+FmIH3*tg0kRYR`Xe<$QgQc`*KP zw)o~g+pHf~j@W`1tzs#ORtqs_HWIg5WTYt7MNCOu;Q`uft{2Ftzb5ewEQYtRUB{t?cbtris?vnY@9 zxDnd?n0011a+W-1ZJ0i&rIdbq@%Ra=UV3pUz0UOy&L6492DouJ=pPoUzl=Bt`=0pp zAMEh&Opwq@vG^aB-*O;uwtvuwor%cupuN*?91@#$y(IN_T8@^&@NPG?1{NQ~bvoz9 zOI1p%=F}&Uj!rfLdqLcI%DfUYr^~5eb{b}xPP$YaA3m`uYkwlIb9=;LI5}8^3O8<9 zD^)h-Tbk%TDVPELHJqejYWuB-f5s{YR&<3>pT{M_^6-RdbTOJn&~bHrGnxF!ATj9~ z4jwiI0u80a;c3WjSOkD-8snx8cP7&t2c-Rn()@tvUYN~BstYDwgXL3+Cj_cGk9JIv z2^7=m*<7BlU$BD;G*%3fqN^z++jO=HWO+4}iiprTIoMY{2f%|*hslOxV(w7tJ456i zC&uch3q6`w!H;esr5x#ujhNBg4m>FF7=rW4^?QH{N;ldc=GBansKc7__A zbvK08F{)`I0?t8jl;N{TaMxJhVoWA;`V&&C%2;d{knK!GI_%qia##4iU;))?AQ7w# ztYl?(2;BSzF8~bdx;diH3v}AMcZB+aMONQ_Cqzdp3i+v$`zH%#qWDhVH&__anP!L5 zdatq3@Pf_l*(ppTY(2KXE(^_dI0Out*eQe571YILw0_DQp=F<%MJC`(;Q|lLB zg9W?ES9&TiL*5+>CY`m1NF`v+Fdy0a8QcMl5bNe6Pw_{YI@c{)%vY2Xj(@YY6{Isc zh042lTc|IYcg@tsqcS_a{t1%Rn8gtFKYw~Db~DU zeaa`v=8OJavO|N!yhFnKE$dbO0y+>3$_E6#JfQ-?wh7l;*1htQfAM~gZDnr9_xTQM z%?a=6Q#G&+(WOMsclcaKkqoi+9Sf__Ed$(P$m@V-lA*<8@Es^z@7P%W`yA2lJxi!~ z{S;~!vt;9-_wZ>}lq0X{9TU6Xv;F0+Gy&`K`1h;6QbbJ5$Y(Wtk4*$~G_gs4YA03Iu z>LRG?n)yw5MMEW!7r{s6wNESdpP#}bKgq+12P}`R!=W5bQ#6?o)2d0Kq<_w(^~i$4 zDsF^CXp}z*1XVc?PgEIPE?M5`J!UfY8xR%@(AN1k`C`wb*q=|G^tqb|7dx^a~#m55norzI! z3oLm|4BM0$G@Zkzh!a%%Gg$>?=o^_~s(hH134eu`uS{eR%o8-4JOS6R2NkYF-4$&W z9*jMpF$!-I8iI}jN7zmbCG=&|?9J$_396MchOZ=TjOw%GVh9YBxG1hF++6xVG$ins z*8K0HMO0Bh$5amtjx+>>h#vt==4|* zx5^bwJ-JuK=lf;s4l)JScBm9sos%m@cyiw^Tc@BmsrKc-Vc4WM5fy9c!gWXXkzyox zWvq-UL;IE1&4^MxkB&q;VkE~WJ7}m}50HLQx$stw6gNG2l}4Y-Nu(*)SxmR<3>Ssj#sMm;%}JCx`FP&|1mZv z%obDknIg6v_x5cv6E(KwIh*)vZ#wKDG`^*-In=j`^m5##kqBp!Mwv`gND@K;*5pP zK&pubMjjYKn}x1yWQ?dmP;e*nE2?uv<3PG51+R;L}pV&s2upHwlVBJack z#NLYBuR^B_5Qin#V7A^FFnRpR?N?7S*~FVFm?LZy{@%P!rLyTLC?((dq)Jiv8D7v=4sFV_ zG%?hhkKco^?;Qe5`o)iZoPN^&2%Iik1Bz9^#cq7q(kf)JgT+LGR!!54YqhOrqFL1VD~ z3bXFqCozw02kf1_uws4zA7q%s?k1z}P^ti+-^)w>XKc#h6QR2M6*WJLGbI>pEhbmv zQ?P*ykH`4(S4W{xyp`%hy^ywx0+x#zzPwlYl>bF+UMAl9@^GIpLSV8Oh+_qn5T^II ztiM1<97>sAL`y&3)$>RAqntxq%6|N(SnG$G?|wMXodH_ZV(||}-KD52EhJSZ(a4|w zCJ*)pV4hNWq%Z~WN|j%YKNv4TY0o>ov3ptq8=-%|871jFyp5)7~qs1)`;+!#wwUF0^p{>a>r+4>7eIl$S@`cT zm-IuM9CrsD%Ek|oOA3Oxu78;57R1|lxprUx#UkxVX>CAqQgU1oC3Xk#8lPPLy_jyN z7oy{8HR6znT9tMiJ?AVhTxdr6u*-6e9Xb8l8bT&vDod0qbPzbwbd(laiI*c#|5VKB6K zDJb1DLG87g+|SuAn&xy_UA)Ur32K*b9Wd8gyT}P4R*6*XJNUhwxt?;!rZ)wZ&}o z>Q4`eS2cKz;Lh7ZbV_;^HGMfWpuFly_dp{1>^;awx+PTO7w1JnO&-YtMOsZB6#HzC z<|}JqA40EQ`XGUezH4dm+=-#8h>ILR+78XWgBlkuKSVi{>*vIIUx& zuJNB1zA@qjm)V<>VoNwJXts#Q;XIU&#<8{t9?2i}6Kx~V`;zm~*nbt3T98ir0Fe$v zoOeg$AR?L~4!R>&A>tG)v9q5xgDXe1>Y{~5QaDiypQErz3m2u@Mrq->R9rB^u4L#u zPGcz14{2J_yq_jVtnDzCQT1@f?}RW!^6X`~ue9X}_`p9`p%^TAzuW;E(v@Q|yky1vxutG zPi%bjGZZJC=SvmH9j6F!C4)`bglM(7-ljB!@5X5XO7#p!kgQDzX|WnTvW+Mc#hX^^ zg%)rqzBC8I4_&qvg3gy7l;pq^F(Qf&X#UGc@@)OyO#Ql{JezSY{Q?a-U(b@~GUR($ z=+c(MMVx1uTzq_W7EH>sO|@&#+_B0OQK1g+#>=!8BkQ0imL4f)*MVY3%n}>x@CtZe z??@dEm6|7>)#1UF`mBXJdFEHzsgKXVFO^n$j;LK17NXW#(XKA9&411mnRR)UO8sYQ zT-1URK!pR*;*d|0*i@Itm5-f4$h1K~oPk3U2)ut=k5^}#MOZ!Vivx*m>ha*%#?!St z2B80gw5>*(hut`>7C!~SIJDA`wSiQPbg>I^dukNx>hT%@jZVu}xpzvgfgVIdAG02u zfrr7V8&0}u3=z-j@x;hQATV2A=cnQIzUUnU*iECgL}^Im>aSH|@O#N?aWOoaSJ98q z=<17^(Y%`e-AS3MjMy5@15=-4eWtzAr2K#+YJ);459x^<@~2Oixf|nLH-bd=zCn6hC0-hoEP7VXDu)SPk)MeO{wN4T!~dP_8R+ zlmshlWTImu8v(@y+5Yc)Z&)#zS0a*UPisUad4Ie2%52NW~bnTOH!5WNjc z*{Fwf04VsLcv_#=9$wk5HTq4ItQz0It4o&-WF3+BtzeS}31ZGqJPeh0D3^hy9}Z{* zP5Ht4r?xC0nWj<5w0TV@?Erg?Ea;!>!Cs7 zYC|58QCHQfqJmU48iD*zRnjnkqbhl_Do?*CtV-i|vML>brXQrli6uIR2EP9Ol)|V) zKDDJoxdm8~Ih51xpfhw3c^Jj?7+%k3;w|(fwKJAJzr8`e+A5`e?cHe)4F zh<&lVvY66{8*ARidy8g!QcZa4&T0%UgHx4$&eoKAVHDOT%KmtB#`hnigO*RFx?=#Ew{AC$T^1U8m5Woml6R)8y<+Fkv@U z!4@tuz{wG_?QdB1P|BvfoKd~`S*yril8vh@(t^I6sN0y=?ER#ZEPjeCo(_ebsfu@b z@|~A4c_1Im%PVI8JazHHDtfo z*_bB>H*uHmDm#y6a`3=8lu*P$dOGJj974mf%b!I96Av!$=g!TmAUE&T;xiNf+)!&h z@fjVwM6%mVJTmdBZJB7_ zg!{MKohY-|+LqGZTUxLadCeVyW~PIJe$Fz1s1wF9o3lqRoZ-r(Ncgru=^QBYT(?y0 zZ^8rkmObLnCh!F<6BV2CKy&F`#pLBR5c8YE(yV5MLFjb8wB9OhTI^6-?r}??3#5v( z?nfL-B_K&CX-1n9M@+CD!D~Ujy^w{reE*C>5MNYo)I;aqP}z<>M5Q=*Xy1QARmR~q zyJ`O-sGaR^_k7i7<679X#ZVHsOuhvwPx%%xsQYz#;D=QMOpI)d3;s~G=&_)J@{I$Y zYOj6q9N#d{+gx~x!EQQw2ve9Jo}p)=STAuPj(hj3O$o7G4%DuE1L=d050$>~Iml9+ zmUsDStzmPEgAC04i){#pk^llKJFiGhT&<3GP;hz-FAZ7Wk(#Q;aMVkUj>JDQjuxhP zEXaC@?(yjT&c))Zc-~ZB{eX1ARu*UDc?$2gOw@0NRh;))k*G zwljxRPcJ|*$1|$J+vsVJ&$k`N*S-;T|CYTOvnekY%b?XI7%i-S-5z zQi2Nr!kX!YSkR77@J|9cvzWTbREEd-o~0yJRZ3JU-}C)mQTr3#yHx1~WQvR7gjtaV zV$mnOR>;amh2`|vOXYk8<&fnhN$^X6C}+cb@#qsi$Ny@KEaxvgbZJ!1V)QC(P(dtI zj(v|<(Vma-n*Mb`Ig=4`HIb8U6W=3hb-?=K&)uR8JrC~|L+QD4caE(CdUJ`s%gi`q zvVBM9@|+_bx$2SOU9XV4wz42^tePZuO(a+fpsGY5Y;iKWPAU+=^nj>y`*J--;IsnR za_X@cga|*1AhYFs`4~!X%B@>ag{Qlz3O6v%peo#!&^elQSj6d1d53OoB&BSMNfK!z zQfi8k68r+7s`N$}>rI=|g#u1oW^fy#vzR;!%ZZcJ!`$W6*)7I*#oY8pj#%3jzS!JW z;%ryG@DpCAu*&sz5o4243t3vQ1V00)Dn^9eRW5;Gdd>gT@DVmOqR!b4K?-6=H(tAn zC90sZ+jml)zLX~_yLzYK$vh%7w^cLf#TDnX;qUWZi(mo$F`n5cWMmai}cbBZ{F64kH6gxI#1*Q(o< zy)8#P?2aXELZk@o!K-)-L+VyNc;k#fO`w==@L$BE$DtJ#Ub-vmz{Cc7)!?ug2^i0~G)4M0%;K5&Y z5Qe^dCZF9=EbGgY_#9O{?91Epz)qrRKfZ-es41THC=AIQH57EcH8Jia+d>>h}G-UW(LsXT@s3>529 zxrMj0irRy)P%9lM`V4|$dz~$;gHY~*0C8~;H}hWE!hbOD$6fw8*@O9P#=H87ibE0c z%vVep%H#Pa-<&-|dFP5eceyB+#TWABE5uJ(d^z{ki*{4^5WcmT*f|9aGOk$8iz&PZ z<2P1_PT4#&@rfNG#VA=c6_eNr3Al6z5pL}{fM9wgCLKEvpr6GwL@ChF<%ly_t$teP zm}?hRt-L0$q2#o*^4~ppNFNbwXe753Qbz!dwXnr87B74<58J$rD9CKHtfq(BJP!42 znIUG+!|wlv7hz)mJpN_n8#!^*YvT;_k60fXtgT_7&5j~LXoCIU^GYpS!{6|cdj9jg zunB4cW3Wyy=Wc?^5}W`q$);2QU{Q+WA5L+fij&30uOZtd&%WIK-Pz8 z25iql^et-RIEcIg&vLjX);JXF7U@?&xt+@B)8wA-cq8@%E zB64?qETUNx|0ALX&k98}0vLleo;V{d?JdDN03o7e02ZYk{z*hVCTb$O^)yV(Sir*! z^E*H+P@n4Nm_xgCS47?do|LilODUWm@%TtM(*a81WE8}kYCkQ|tU-t~Skqx_s6Qr4 zXb_+e!WkIx{}j$zpj>d?;bpl9q!e=mujFy)pRk;Dg1;{Le@Z6hevZx;w=FX9Z=rtTTp1_?z0f?!H$TC>{^yGZ|s!YKZb z3C-0kiVcZiVww-e%`+G|AN?gJuO!#$2(f%64vbyE#lR~uC)hSzyr$=Z;lgVbhW7a3 zV#F%I0mH=%f=><;H&=mv-Z0_)Bc92_L>GGc4imHJd3&fhM$dIag~w_uhH%rZQKkmL+Hv^Y1Wh78gU{$uRNxM()?}%H_gFI1HS@ z+CSah2-_ss3E(cBGD5qZGwPx34;)4}{cu?v+sK152$6mY3)ZEvXa;Pp;jvNI96i{W zhU7pf{bf`9+b>l2xTr*=05Kp@Ei)j|A&*0Ywi}`>N;3W#x?`dv*NI7`3tlnY=?ym> zG9@46B2-6J>JhDG214QxHdrl)M@ba`n6;z>@KPac{XawLumxDeYVds{FsLeb5d~-z z*s+)nToONRf{~H6xL`5Ozf_99bl*_j4wNn0Zsz{pl8I{&#`7WMAh?cy`oT>x zRM$K%r?qDs{k~*YnY&IB4V(uBH`U9RV%HB|_l3kWj5*$sU;xND*Hb7SAsFV*+{~FIkz7dCkonFX=4Q^TpJ=_C*UWf*s*nvRfWbPF2#5^{^(6?d zM|=YS&d@~L0gLHqVS+7^q^CQHuhqqqe0J)vQrya5SQVD2wU+$*^$u9LEI}HCP`F)hd5gLN@_Xx@dQCXHJSGdw68V zLelXVC5nhFbHSEsY76H%oJJL)SpkHl9pRb4*p#}!;Fwn}dc-QdfE?E!l`0Qdm(~y5 zl|V=n&$;_$+Nw5E$)s4%r|?^+^&thTeKHKB#U95SHbvbp5^oS~_Hu9UeZafkl82gZ z`tL?7KZmjsk7Y2WL7qM?IoJnJPi$C}BblxpObl=B!@TK9R!hXKn z`0H`Jbv6s@+CbTG3r>JHV&=59$EHWaW25dmFM9X%SlKS93!)9OZBrcMcW3 z5Ay2O^r0bO@CYtvjJMo2XdjAjrmT?$q;sJJA0*-F^d;cwK$<-imMmHv z;ysK-9RDk*Zy=~`hqzxc1f&eE9pVjo%||jicwQ18y+@FKhh8XCYr?(jP(~pJtkLMy z1u>cVwD3}nw+q#^FM{z1rW&!e^)i@%;A7TYm(HIa+O^$X#HhpAG54$~RvhNR8MkV{ zji}}3i{7Jy3my)58G_3J*EhN&5qwDs2fUO2NNO1bjC-KiIe5Am8hZ>x_drB5Oaz;P zfEaZsK46Z1i2Q;wK}$K5s+4nm#11e!WK$M4+hfdxJbd>0dg&-uMd;5OZzaiEp^Gu{oLlEhXjuU z+)pexf5Gts!@ffnXKkDEJ@SH~kZEbs9Vuz|Qc{>Qe+g{>q$(3YiQ&n|Tw7I+ClxA( zLrDgLp+2rQFju%_ClOVZS97dHB;MHiRE)~wOIn-1H|tiT9aZ!+B8N~$1sAsC?KXw& z*dMX(cI<;7wWA^XG4d{K$*v9_?$PLVqL}93H9Rgrmo_1gaUqB}oS--tyqA-WE~kf9R?3K{yYH8EtT+{lj4li=h+hCINK z&BrcTSRhjo3nL`eI5!J@T8l?Vxwpq16zX{lQWziTmO|5y3-$j{=*vVZ)UTjWrv%Fu z7TOJ)7&f1!9cl9NE&fRm7SqjKVw#HIA?bDQ;@3*(pWfu4LwP%j7b6Kg1~a>k;b6*% z05>!9;Jqu9K)TFKs%jgVelyZb2{e}A_W(6j{RuB#;#$EHXcicnKVb1JrUr$ql$O+A zQ(`oRPC`?Ol`ybEt6tIPI4|MxFSr?g9P8_90b=%XULnJ=zpw?Cm`NDT3d)};!McUz zzyF8IH_W8@gvLV?>%ic{0sd$^rtiN@y@3*T&bRt&%CfmxDq>Y7oL{? z9&u7VtNMk}EZAp0=&Z+VJ%h>^rgQkJG0VN;OMG32lMS8|%w+arbliIaPTIM9#nlsh zMMpgd_SJ@L6V)Z-(F3s+)(hLR*jW0zS_s~M+7}BPuB)+K3%MW9t~=v2Nkg-U6|z@c@h|$ODLcidN`kNp9S)Vf2JqtYHR7jPl$kL?`B*;&FX0BZT7z z)7wFck`MBgT6PyIa&iJ`%VxavBs)@T34RX{Q>L#0z=qOQ(-@qm0g8GN^$;%yB&nmY zs;Tf?uZTLQInD_wBK0(nZDRJsa`1GLYN`T5pP(u!WbajDntVi0!oy-(wW~nOCN-y` zpC@Zt_E~dr{WP{gAiYLscvx_&s$e|dFx?xii8ib!+fYt%@xCvqgvIpdPVp5;`Dbl# zArZe_kwz))+cELQ4k@K!+(Yam?0Tma$hOhJ%VM!2u1X@*u_)C+B$HPtnhz z9CU}!iw1!`xFq}>%BDi}x&kx|bwRE+#g5?p7U*JT+tk5S2iw$9^iYi!V9btqFIh7+ zp;|+;t$i7D5!E=Xsc3V7`vyvc^;r7)f;3tV_s=151QPFre%LQyk7f#$46erDX-=`= zygxy=TM~~J+~Gw~z6Leapx1y@3tnx-45}=pQY~fx>b&+E{-#FVjR=g>E5R1nlqE6^ z&FXE+Wf>kW!@p)|#O@WzMGc~!k3?qyO&*(|F3toj43xrROOGnB|~vcBBYQnzMnd1McXD;E#ltePkTt>k*k0ub?1GHi%7WOxT|P z!IGtOW2+Ok39wP;vfiQIeC!C!;@x-`z5Xt9w1xX44reV3Fc|y_Fy-1%9&c!RNtxQh zXH^h^mv}(={jh2-2jaokW@^M$%|*9MJkEC;EHrKkfKSnEdFLCy_awnn9}pZHzO1>( zxrD=Q(>ICRm$2p0H%^qjjIk;+PDEbjar|L3@%d$({wP~sthmfO^1bE6bAp%0iQp?d zrLt!F;D^CrmeEaBX2erpF?NX;E3WX*`0}!%+*ST{qf@YHiS|q|Tm)-lr?hZ2NlFgo zA^`eHPm5VCid%BcA^4I+nu1Au%Q$i4Dz8|=2Sm&q5*-n@;2QS){Nse_8tr05rVoF|* z`-=DoW8nJ#UGmY|m_6j>#hTywdf#fuBQfM!;{Qk3n+L{xg#Y8a^M21e2gwGB91;?_ z5qIibl1SK)lDcnktE;pLS~Ou3tZmS$4n-U4s$OW>2$DEMiw4!Y#k~G}_uW7CJqdX~A0i_0DjVtX zc%EUV7iO-dUuUVBAfz#gKQVQk6J|W0LYxgi|BoBQKHv1=D{4k72~e4 zNLIK-ti8qpSbk@be~qXmwP3$=qP<;V7GVuU0}=jD3$ql4w-S{`A<8^=59aLq_zt z%jV!(iCBIY`tYE3?k*c#xogp`1Z_E3D>6%1M+*F{g!woimYVN-C2W~JeQzP|v3?%e zYu`-4-a6K)+o!M}n-KmY-ZW*PVSf=bIK>9FHS={%#lHJ2Jg`$!jF(nzLI-_KxD2M) zpjo>4^U!zAybe4%@LElU)8A;+$VS({+4#Bz5kS*kFofSV7=P6!gyRVv{&R#l_&1K% zf%E!r7TF*iIMj&C&~Xf=%f%P42#Vf20lYOwjC>U)dOrZc@lC|i2dp*Q-b5UJz@{*3 zlxY1BBFUP@V(>#2j>Qi4KhzgRC1ff-;E-;~732$+O3OX&zYL?jEnLyir5}SQ(caoB9v%fr4_b-{&$zy!aQ_EV-N!I+^AE!jXSF;K2J$dBK&<g6VM!Y)=nW&G^g!BlxB;vFtG$uG{s+f7*?B^_Yb+^o)=vtbb)&G(KUM?9DBLMMaBc zPg$}@*606;X&*IcJhC)-21^bUA^kZUMPKW4mebDpNf;egEP7XMS;>KbB%zqrTCnqRYQ*tfF?*55yH0$tNbIg?$2^?M?IX zIDqOZ8N(8p))|Yszz&{KKYLk)EYVv<97^#p^Tv7$=NHU}Ee#MMFIX#9dzl#W0(S$l zmx`VEhJO7IihNABsGF^QE&_<-buBdw>KjYd0(u9C)-PGZmL5z0M*#<@1)OS*+~H5B z7SQCqYW*Dpq?)N)OT?m=Z1gC#Kp$4FV5Tprlrp+`QmOVgvlp_h3hn@CFC;8!{%vho zL9eYEk;Ds~inxX1p^#cn@pc(DZ@V@S@0GC@?6*v@zKr!{DVd_QjCGM-V<_wNinWs7 zDvcM(uc&*}7h7JjL3JC~N3O%I2CWq>Fa!V^o@agG`5M4vvzrTqNs?NzEH9BON$1&NPq9jt8g-T_JgX)Y~bb<)LPMe0?nt3est7Hlu?HWge4(4Mi| z*363)8B=4a8B?*oq(~_C92NQmP;nFqV@Ca{IvC5LQIA>S9Ce4SJBY+Vqkfu7!kuVz zQ)A7r(`Z*icsPP}k@H1cN6ElS=85@^(olBfhA47GX=3XLCnuCHYMzL2lJrbFPfT`_ zI*zlIj!sT0D|1^~W#JY$QQ?Av?1h`Df^`8_3?R_Dw~o9=2UUlR`k87(%3Dtru_nbs z`J$YJtFsizrqvR0&Qc5ZG*!%UmU^;{sp6=!G=j~A0&fjzIP<|SU4?slK`pNGZ|@>iZ@ntjZ%R{>r*`apwgoCDQLObXic+0&NhhF zr)EAy#Y=-bCETbFnM({JM!(|3pJo9zMn6!Y06^-i)gXC`GGXlEi2iR}WTQLZwNX7P z+AP?0zCRHXs5q$5IzZ~#To4^pG>F0}m!2bpj(n0`hrmFmny=!WXK;-q z3q7jEGurk?z(Ys8(WGWutk+40N68;m=H>z;25+u!&&_WtxDuc>3vI7jsZ;EwUUj;v z)O$aysHf#>yi_8C{AMt5%uTxGyKh!yI?J_`&R2f+bkeor*IJTaW9pvPq?=VFKTx9( z3%aW@k|_pAcGQa6wWVFY-^{Ee`JskL9w3!PIwhE>5jSf~Awx#07(}71ijZa3X>6oI zp8<-;;tdKK39#8fOStgA44JLn%>0@owUieIr$>-y3>k&GjFuQUjS<=Kr$ym0M=`dJ z6gEW7^RI_!S$Aee1p~I0ha)pB>}jo0p+JK0zG4)r_S;I#G&L;5j`_X{c>yv<{CZAU z>qrAU#sc@h2dG#{*%pc8-6fZLw63aJa9wDOY48>;oBRULiKXt+VD~*KZxT2fCAJ4i zb%dLTR2I@4@8&O_?ibeck?|FyF8DPG2i9`K`*4^_i{x3;Fe!}Vsr!av1z z#Bonf{=d>0P`6q-lj}-j{8oQZS#i_Lh*xiU+beEVS-7}gR|>D!Peq}cYocPf5eDkM zJ`h@XO7+=US-j&Zc{y*DZTZ?Giw``dD8KCYD`_uzNwh!3NU1i8)R*C+&{OiRH(x~| z+JjV#*@OYwJ%Av4N&O;gSJAM(AR6HnG|sD_55RbHPseBbag^K-np|eSQW8tNq%jTJ zOC%u`8MNmhAw>d!gcO30Dx(KWqL#PhUa#x)il*YH(whstQ_6tuMYxFame#O8PKztv zQsdF1rd1ZE?Z1=}ONH8JxC(9o*y5tKY%?t})FCN8v!Zi8KT196pgJV^sC1~r|G>-x zEzRph9Xity6IhR|Gv?gKLWEjlF{)sqrf`%_|8Jt;OsZTqYD zQrq7!wIcfL=R|*iJ^GaA;o^EdX>8yyAXGHt-PC4nrrKe=r_gwVnXW=NKuq+NVm+Qs zsY0|V43IQ>&{yh8t+R%oRBv<~Q0$UYy=RnKT^u~9HC$5#9RPwUS2z4GOu2BliYc!q zS7iE+r$pxOI<_(&c^WQEe$v;JV59m{yO#T5oA|$j!3(S-j1ep0PhH|$e68s{A<}#V z3DoT8cT%jVFZE2_KdGuLyQ!o7r_jYCfQ-WD5IevD+BLzouZz~00CeI3w4H)Ll-}OI zuR_cXrJw_l?hl@)M z(3McmvIbIUw^!&5*d|SJLeo6~_skf&A85Q@YA|ET`@6bD)|#35d4w5Ej|@&PY=dJ; zyfNYz_z^D-qYq8=mjeCXQ<3;Ud`i}nt>(6PFp(YsF#9+;3uTfHc#OT3&L^1S|<55o*c|X0J+tZs-J2tD)p8)kjxq-Vj2d z_XN?mp)^$*h1L4uhEkHJ1A0zEc&V+2G63kIkI@f021=pQDLBRjN)sFOMZ>RN=P3h? z5zXL7WsCySgFq>atvV{agQP%~d{lG_l16wqjC->z)OdFUNh5>)Q;)dY*A8br#qZi% zK*b>3CRj4K2akP|2r6uOuoUaE`$!myi;2I0?>ZuG1|z@yo`nn75UIDMfn#)t)JCG# zyEX(I*bK*Bax8-5B01iL;{`do!{HqYhv&U;(H4$|HPHhqZj|^YAc=X0(vVW|wa?LC zGWGYLgo_!WQg4=CB7O~({MgtMQB2>iCBiWb-_Q~fif^hT*}}HpOli`iiq7aPl}_>9 zs$hM!;90idU#kWG-MuPyp)L5kYQg2zf*;s|Ke-!TzcTS{)xtaBF%}eJ%3X1d^4jz6 z7vcCcyDJ*d*X^$8MBjgk#jtRxU%;0z0bEgp-1w?YblRv=nO!W-giC!`NU;cOglG=M zq8q*eR%=zXn$@CBflXp0e#|N|8cBHpj@8hb7^$w83}86GFRty9&*<8rqz5D%x+ig|Ug$mVJ6#>}!I&zJFU>Y9dW#?6ydV0DR+? zm_*-0x5VNI`1idfUJx94OL#X$8H;X;sZ9azzbP{5yZ)y5p1#JL;x~MyS@*+5NmI0= zL2x)XlYCjoO%c`%7!Ef@H+)mAWC`2e9%{x`m817{8&|3Y`&A2`Z42I7E%;=Ys@P3$ zsIkq}g0EH!?qv&}e4|=>$gdWjYYTt-hR`-gUYp(!{`A$|5S{7!@VXdI-&5DcT>9>~ zF4oX@`E{{}zRB0c1^V{8F8-zOTi1nG3w%AVi#GTMl+x{UdzpP>s&ajyM9ua2YnGH2 z(&vob7$9o2mf}NK4rr_y6Kfi)NjYRN`vw`zlY<;{IC@`#8E5Uey-VXYc{N|gi`lKE zF7mHosYH{lzoz-dwSZ;Tetc<;$AqqQ7pRrT%Voa1YU)O&+ z*K{cl!gV4X5uW-^JdKh%YaK;ow3Lnqg>s^$K<#^$E78(nnFVYT8@oygxXb&ft2Bz0 zzGvy*P10*wzy`6xAa!EJ--xpYsX5Duwm8H~WfI%|wcu|f;KQ#)+}jA~uD8s5TRMt; z`JXJ&iBeO>qJFYW?=5L%miCiK?vEA!(VxWW{@A`TY!l`ErLtQ2-MVOY`-3?-o$;4j zw9Qg;ptPE?%x0Ev2TNYs29KA8k=CVd$$Jozp#loM+=uWooGQgEaAlb&8X~>LHZ`?) z4VC6;CFMoDSTjsYR~>=FrPLs|ol%$;Zjow6yy-O=prIFwy=afxInUn<3z(H-#F61r zGlx{F6%S`oHeBkXO%aJBqz)>XB_pI^b@Q_gn(V9{pj8#|ev;58N!=VhoN<(a*dj4W zYOO|Dm?ZUQX+6c&Bq`a!|E58+J3m_t9w|*=Tf@Ymkov6fQWq;TN}sx#fEnQ$NO7?CQ9wJY{YgkVv-b7-v{<; zz`a+=VP;-14;!iZDfJB*_fsOE)Kb1p_;X zKu5Ov=mxf&;|?6GdR+qHMtyvJOVDJgsg`;BiM~^$uU!^_|IvNpO=WrJ()cf?O4sVF zf={smvbO7MdEb`CTb@jn(wUS4ZqJ@Bb(9XnkuzNyC>?{t<9#VW`U{SB?_;;J*wd2! zKE^~A*Ik@XmO?@&dsK>{2R4)ZcpXhn6+?ek!86nXvs^_;3M7RYbwpx{)XF!yPGe;D zx;sV1?K78lKuwv03sx@8HjA%Qq|Sb>@WG?V+1RVaH?n*aZZp4wOk)l?vq`*6!9erN zCgC$fdaJV|$e8^W^g;X09N?B<_A}xwo&>YUA4sIdF?7)H07H$XqD2^tRtZn;)Sp?m zJm_%IZn1QR6xeAKkWGF;Ad+D63nl_4j~VcbHM#Txl+qyMSplC54~!BxI5_}4l@<9; zg?L{XhTeLMhcl#r1{Z6=ioKZ`j0fD*ZSWz;Z%Ky197Db8Ssl@QrnH#F{3!O$l=^w) zdt%fn;H5vHF;E!`_$@fIJw^B|DI)a*(nPsET;0g7{NTkzIhneqAq*k76 z$kyyJXbgJ3SG4|63U0;s8Z_@;Yw;{E5LB&^|4>WUWo$Hnjhbs&SsdaF1C(*;UN{NQxQb)hkSseJ#g; zBbuCZ_@MX)5W@u2Vm(GbF#ehgn2lfY=YX+J1u^x}cXLKhKqdYZfi&qRBgl#$;_2BW}*ckXtmh3l81q2%nFohLu2N3{4*t_uuHN=^ZrBokp6@wPfR%b$J zNC;RwUvL(aQ>DSI&{G^uMIT=_)$%A+x}{}@9mV;1(q`BC8Hy&leVisG1do!}wk(-1 zE#ftnA(IKF*>1Uoo|b?`Qofc7bi*X+TUQn6dgLpcW+5rCwboQ-kin_T7=lirjx&$( zta;zXQcyiO?0cjK;L>HZCk*897a%NOEH(E!M+my~1K?!>-O0?UVAaLNQbZ-IbY>~0 zo=+vM;@3ng4GoZJUBqi8lg&~{m-FzpZOCp>5r*3lzEq(^K@ZiX8K$s*{&7 z3XpY#6LCKAOL5jLO=#J)lF+MHl+tPBj#7G{LWcn5pt{P7TTo-o)Stt%C+~d%VRJVe zOUUsh96yj_HXO&vF%*sxa_Hf3&V-{r9O0Q#8IeLtkoLhd!11kAJ6>n4qeAI()9~ zK{(herF1!g4ghhs@!}bwwKD1hRD5bf=hSe8&{5W3Rj3s9EDf1s&kczl8a*s}c=U*4 z2Qek~f7Zw{?=xiC%^%~1E}ceTblS_$8i_BKOLOXt0`VTE*rtvKU02=@K(w)dlyJHO zA>woCJt^x+Bk}d;(w$m6AFI{BQBnt(Esq-su|n!EVTu$FS4bH!pE~0U>AkjtF&e3+ zHDQ+-+PVd#txF0*m`TEx(zN{c(S*#n`~=gZ1k>vT)79wAXiNewONF118nu1;4>r4u zaVs$qwS612L`EX&Eg^Gk)}E-Vlh9ycexxnTj}%>-q=B(PvsBpzQ-u`dOx`KKMdwEC z6+Z}Ry3`kgOTbEL4Dz;Mr8H3sL&htm0qo`{qWdaLfrmd4@2-;Gf}QrPRgy>h=dEFW z24_cCSJ6jG;=Glha zAIQ4{c>{uf^IXKh(rV2L^`4N}3M0p>isf)Uy3 zDW2YyS~rYQV^AM(QZeRJ1oVNI?J0UPATjb^)<8@wk)jMFD;jQuWF6vXd^5~wcsn&f~O#O z+%n89BY{vg*BJGQtu0UgLGzMMqPR^TOI~cr3SoGRMai;g@zG;xkn4vn!!=g-4?*6< z$F>yL9!uUH-QhQ{bC9IupQHJpMrmPBFoW?`^>4+#|TzAeP- zCz#Uew-8}ZrFYnw=3@3!bienTi$9*?#I<>IQU95=hfQiGEQmMUd1@->Fl9ARimePNv9a{EfBk}!9$-*!Kk1dlrx$dWnRc8GTd~!8^ zi|u7nfWx%E(Qe$l#Q8F5fsTNRgHQ6D`byGE=TR{~zLGpWu2K^{9i}!~H)_necM){q zmDJz039dMSBn{nuVPT@vYsuh|bbS)0-#ga&ZD??spw;a%&Nv|4$|W!H^J{4cvjIJt zxw_DHtrra{Z?&clnc@|_SM@X=^1^H9Hj`O2Rv*l*g~j>ea%ox}BCgw&_U-|LYECb6 zThaa%E^>?$c^Fh^p!|89x`wP;_l-PIhLyVSEnChWVC=OnX{n~zzH*6J+qSkml2?f; zT4-f|=?RM3S1ZSLd)OD*HC-W_*KMJq3hF`8`)GmrHdId2KSHId6mUn%8U`Z8^qMHv zP0_~JiZ0y=17%Nh$6HwkM3GjGVXiJBh{JE`H_ZgiS%H&)C01p@DTZ*`o?002ru>MhG!-<072fR2I;_cy5qe zhNeIFsP&m|dAYd2M+mU`Z5TMxsu$zHlFRMH-%a@5K zmAu$+nYLLB=8lv%@T06cKR)uI9flw^+rzl*+i-MAs3xJ^gX$cKQgI^U5n|-)GsJ2x z52YsfFP8(|sMV0ALDQ)+!@Po`{i2B?Pi30fVvQmv2M}N4v0ap_HcB+p*|0H+WkmW_ z^lPvyf0TpkOgLLV53!z+HW57&&`7+o+Js=J_$SvEd zt(6iqz%U{r5O&Et+*FB&aSSyzvwS!Ud?@aKh|zhZkr2J_VPDbIQJ%!!Js?&)%HGZt z-H_>i7WvI}l;=vcqtVGpeuw!V5}!KBEu0>iVK&hm@zN}QbCOqUds@aj%l~S%W5mds z@+p>dNVIm5(^%0VvCBnnhp*)y7kPn}0zTBq=@d||lSfcMlAF9z8$JWs@34}j%yFRt`y`e#P72(Figv|BeV?b>=i|uh22W!i--KNyRP^e3XIvi@;V_F^2 zvyOa=t*C8@beBhK-EP(*8!9*BO>wA;h(BwIEgtgxhMIopZcxH@3)f7eO&@b_;yvD1 z(k)6rlgWV^Q9@nWhwX9`6Y9$EIo{G?O!h0$iK}(x*5Tgg(KU?uQ3f;YvF%sII+M#} z@-$ELGR!-Iiz9bY*{>k{WoGf^`3T=bosMV6l&E`?zHI_@WXvjHS*8s*0=N zX@Hn_A3BOF^<~f0-yJar7)u>=3m2m?(QUF$7?a2(saanS5#D|LP=^Sk>3M)}fNKq5 z=yx|kbF&iC_Z@SHwJS@ z+)-pH&QZ*3AopX>9K^W>a>GHtIslCnVsR2yRBF6Vx|X}hPkYK>a`^==qyFuQR9cd3 zz6Y$!SXt91*?gr!ivh)(&q4I@m*;z{`x=bI6e>-DE5hJSc7hN4l{2XX0PNWsQUdMYO_3aMKS?PrK8o&mLcqCGx|f z)!CLMCoWDjl%v^6S-1ttzCAjtcEgG>m%JO2SiA zgk6LWDQ<);W(LaVn)!~aNEllIpmzh3FNIH0p|gOB6LGc)E!mQzxmi2J$3b$vpgwER zfI{I7&DZ4c-L_VCY*}(u18lKI>zFPy2?-JYGd^uuL7|_Fic@W?=H(DnIR-hPGw@0*c>Kj)w7lPbVb3w5ETXcM2J!0@`Or+ z9k!C!sYGxR;#RmE$_l?0u8riim56p0wfv%jQrH*bNF%v-BY%uu#SD$nyl)IjVr}gV z=?Oh)7Y}>LHSL)$BM^ZAeMC%S`6{b7LIgIETePnj7M$}`PW=nQ)p`2BY8gj7J@_U| zlRg(qnm}3!c^M|QH<5iM2RI7JVTBg-19BXL!!-hqt#Cw;!wg44gdD=AZ5PQAa!Ut| z59+bjXX1wl46JQ^#4&hQo50oJ>z|35P359mRXJ6?M}96|HN~`#SpLoArfKY4MQW|fzd}@+w@eyZ)h;Whz!8&(HZ}$1<$wOh%*m& z#Eq)tUKyuN9>MTXjqv!wK|iDZ{-BE9A;V9XF^dSJcRYJe&_tqZ9%lKbx!g!*`9Fx? zTgwe=EhL#}=RkEe9d|SbX9_G*Ht4%Ts~YF;WP`E;oG`ZZ5~D}~ZwkPcSUC&{+lHmO zD#EwUw!|DChlz!en2HZfw_J#nyK8&B{?q^h&p{wA{d9KL6SU`yVnAsx-piggy*pa| zMHNcPPNcS;enN$2W!EhsC{Kk3>N4;UoF=;uTJ{HR_ur5WlHYRpkY;udN2FxlrzR-rgMm(ZuO8`PSL(T3C}pQwTt z075<*0>EIxAtgkJMj*YsE4H1oL3DbY+>n{R6D#6me>VO*VTqH&nEpF)Elv*ZITrWF zZ08-HTt}B^N9P^$$<+)S%vfU;l3_A)qGQP)*yno}0TpX`eFO?%U&}jSs6ue}>z2M< zl5*LA2}+z=o1|D=<@P2WyM$;IkOI|fa~(oYipLoYuY{3r8mQHtFxp#X_m%o z#*uvdIZC3-D>RHg5Ub1kMH97Dc=VM!vZSS=PhYuV-H(8mDKn7LEjXp3e&Y1;Qeo~Z zcL>QsQseZRwB(|dn5ZbVzYHtK8TV=^F>T7PNJo>lMBM8uPYWV1m_0?NksJ6z$0s8j z%9_PK@G=$R1SV>cNb4tWWr)$FKP3Ati!5vU%dcdY2_&lby!^U6cN+TArXdK+T572~ zRMu%z^L`7fZda^LL}j(DMF>O>6j!abB`Qdh4K~|N0L*+6{*8PV{xNFk(r=K6rSC_e zEc75C3X*)!M1iRq^F(HO4uLPb#3xR8YM~WQs5YF!D6~<1Xtcd@zsWWDLg6a#q4s zz@2sxsCi(_FLj6a$ud8sO10ALJq8tZX1M>S`7fdGDL z9=CuE#n&MQQ7Wc_4|;nfmk9g4b3Her^lSIcfjaYO`1-}M}(P7z6= zYtw!)aJ=lxKHo29jF&$SpV_c7p;!5o&{J%nstNtAg0}&}R?Dhigx>_YwU3XAPGr}q z!P$fjvdi_uh!hz};pNzLp4B#~aQ=c`&zgo%L$6%?FvDQBwvWKbyEC)gx;RH#E`_>z4T9)Q+I zDWs`T9g-=H`uY2Wd6FFJv%7u;u_!h85p`%Fx7;U=Pm*U0k~RV_Eh zwx#M*EjYJY@F-jG%bcp%?^O$aX$!toEjX=Oa2rhe=ob5O!bHqeG_9|4MAB56>~lma zeUoy;SM-g}5&P)dFh^XXuaskXIaO}{AA8=B(`23GkoH-;COhgg(c=SoYwaii@ut#v z(<9Q{h~As_nQ%y!gPb(W;?V`tG|McllVvwn5y{eHhTKeP9JLz5aRgc$ET_UwYZR@y zqjf6|;Vmy+hH6v_)}1v08ck6jv2l((fE60V>p5}@*3m_@m@9W;Wi`e0xpD`GqCT)# zG}~bLd9JKy(nrw8d7LV*WMn8eb3O!k#NRa^55UZG5clTGC)u^RVy{sSb&gs^*>gXf zztVEwDEnw@AC4+3FWF?MG}zgf3-1g$+?K$tC`){X?9BtV&X0wF=-+YhOfQ@fWPC@w z9W7^6Qv1weTr7X2NV?MSFFuv=p6&!o<4@&dj35M{)o99# zAcq_!AO~4~@R=M~mF49Y-{mrNco1-Vh1{kpV5KGW3;6}7fd9r=DH7K}_=5jGS=A}6 z6sy+AO#=R3nOiREuakW(Y^_{R>sN*B4i+5zPw|DN{a3P9+wA`j=L@x@|1IBtbG5=E zzLp1S|7Uj9d<2pIs&#U3Rk{B!|CQqCI=R*Vvv@0o?|K_|h-~f3%3Nu$lO|#vxF<~O z<<&BkOf&&lmAViK-^leVavSnLqI>aw~i- zCBMj{WM+-F^x7>SmZVQ0zj*GG>yyLMcAwlvk`}=0{d{>=JvcPQ-G8MhqoMOLeugb$izE%-6{RuGK-i)th`%`%n#)i|DL8UZEAR~`o zV4g!p-gznSCd`^;@;ZWlDwE3y@rPG(Euv}3e=V0Q%&os=Kj#~@J{#wSV52LLTd7ikVW&Zl!a^lxZtAgO+*A#=V46D2m8n$5FZb>K-_oyt@UawIFE+?JkB z{4Z_Ohw3J%&6morvN6SrY~3%SiIx~_j|4u5J~j9SU17C2s1?z%Cf}%ggOGSwle_EQ zj9$lu-(qQhh!-xrF)KP_33cT@V9yy#7dJkRJEZ-AaURe%4?e|-Io7f?Xu#)dnSYk} z#-A^Co3;-9!+00RJK(iysOmB@5ZXvuuyN)UbNiQ{21Uwd_PPVT`6M6$cIGyu95~4{CfTIC9yx^#R zL`(?b!R*Rtu{4C6SfA0tCzQ7jcsL3bQ=v18E#wM0aSN^B@D}DMkrIl6`F|Tv>O4i8 zL`f)rpCxS+Bf_{J%i1hH4&zO06m0?%RGeetLRW0xoC@PB7#}Gng!5r+Q<9}1oL|$j zgTuwv#(c8(whi%`e$dBLRTz!0{ga0oUpJ55o4>)*tO@tgvaI!@M+EQTT~rOvKzMJf zc>WtLKSl7FuB`ty@ogW}idRq3uOkm)(l$}nhkIF;cjU9RY*>QDtrOp(Wj}WpIh}c7 zy@f5~G^Ty$u-k{%mfND)C73ek93^j%Y}pvauWQ--`@$5%ceBZ|i0#57To=n22!0BA zjazJa6N*J@7e0u+ylc78g+FCZS({a!fEVYw@;{mXCb6v>Z^)9ih(EgV#|}vw!IP}b zmXij4-kF_UY0Ij3OZ?dfS)IR>dddIaIG`|%Dg zNn0wt{5M(d^ux6twnY}YK|IMZuA>ammiH7h2XT}3kVQL~zXQddEYWueKZ$1qEI~v0 z&vn^RA94PD-i#IcSe!rLleFsY?>?lVDc(yJJ?|xHcSh$zy>m%2%6QJlQk=}+)jF!) zdr9ka1`l9GK9;#N_z0%zf@Z__#w;GjtUeYO-0-XPwY)c*yJ}gEEK=uifA*tnSvQB* z*0Q7Dik)-e!MXTrF25G^JD6)Tgi>&T27!{DdH0ClYiJE(I#9AHuT-{N`k2p$3-GF!T72KcOagLe~*9b&v?tXBEb|7Qq@`pZw8G- zYz~-s`jpfVjjB9n*3YF_9<%XJMb6NnbkF!gB&$V=wNaDrZKObAFX`P->NXPL=b+D(8$U=Lc2JX;scCRnCcaX91tEK}^oz z4cNv>A~S>68?b1Sy(FvA9f}{}uj28G1>aEa(RDAwbD7O}aG!Y73z+}NhfQCjevw=` ziA)79Gz+nlpiUwqz7gda{9`g}p1Od0u&jyViv>KE`Ezk;0dL}5U@mkEk&}@1 zqWMDZ<>s&+>FF|l2b(d4y;>&*F686br|U%iLf)BOt|7FG_}i&|tTIt)9VPls#j5#9 z7J)GUW6gel0Z=V}O+^um`ppwUXcLIeo?^`&IcnhPlv&UC4mfchK-)U7A+D|H{##U9 z9z+@o%r8~Q0gyqQScHjSQJi?Oh&LanfUI>GZE9n`$%MBiNtMh+rH;2gdr^DRUmp*g z;;XOFw4Q$*imjLv@IVOe8$;I(K5C0F>#x9RJn3j9Q;@OH9|wrLYEWJ%7qv{>d(=Xr zbH&x3)_pWNvwkU%=(*$5Dm0cbCL^T+-rt@E>f4?|@sGfPMg(5hh7aAV*AIe&Qe1_Z z%BXKRUes93{XCjscN*Kqs(qvEvvlU9_xf7s7xTt}m&S#lq!={y*S{iOL$Ra0_%Qy+ zK0P>?*P09SVqTv`bIW&&c}vX1bH!CNU&4lb4An+%9x?qDHeGCT$AC3N;s#2uHW`Bo z{sGX;o4^fqj0R@CG6qF*xkN>JKHA1M7*R(s{f4xl;{eGH74TD|#h^?K{5MvM*_n_U z4y_hn(s$!(v75dNR*Q4=9lzT0Jd>|>k{16HCRPaEyXmk&D402pWWw&Mb7&Cc=E*Sa zi0xZg9rf!7-;&GYmch8yqcGvIl7B5_{}X1htmH?vY}zN5d0%oTElcF$lhqss(;dW> z)jXMX<)ZHz4%Id;=C9##Y-tT~Yz=SC61XT|!^cY;mPFoN%O^`;+zS)u*7D9$UpRce z;vYJYc0WV`%a>pAaOTmg28-yU1S8JF#tXqI5mK%CPu8{|ygwpN58kyC7jWLoUofA`*8B5gr@(RJJTwWNhGp zY)r7&x`77=ehkdKmvXbbJ1iYZ{|Kd zi1?3u$K{voHkeb6<_Rv|+sOZ=!?B5*xG#(9Di&?xP1(w>B6}0x$dYj7Vlxk6hqzd{ znSaE7x|47MW@Z>+UVWq;^-9TB>do^z{8O+Gxpg$95m6p{{lf+OtE=5!sCJJv6?(~*Hj>D zEPGupW_`M$0m%inZZ2c8cXDgq?zQ9ZCTOsC5Y9PMZiso_ivN*Msf5Xz| zh#B93;YEAIfbY4#M?Db3W!L&6F$VVmd5kT+{Vbn+&l_q9ciRu#$kHx|$RGLJ>|s|+ z`j5O&%L*@8`fuY2TGpt8*sz^nV-pNw;SNaTv(AYhcVJBJrii9L^UuIB%YmQy``VEY z&(db$bHnqi2?6ESyOvkw#aUpCYNIGR+p8P+N5jR&Qe>fc8Wl5JV^BRyiivUA5ygjxsTb@|>`<$1VV4>Z2e6=NIg@rpDfU~&aME8wF` z55-7InmVpx(;nW+p$|NFqc0Zi;a;8E0-zscihqxX;Sx+PbzIu=dwwq7c0iMdO_C@K zs)Ip*<|r_t57I&2{y_Gj!fjPmxS_sXK++H_KJ3nq7r*W0bNl{MQ_Uy%m_7qB%`QfG z#I-mE4a4Ycy3msI8QKY6bhh5TPK5OoZZLL+yj{R&!%e?pcSN zWet)paTJOBv1Z)1ALGVG#d2;xW^y+}0nU49YaWAD7v7;j)H%d=uwF+*!67VUisD7- zA#PxA6^od|7=BO>?;qw7OfQRdhxrEThTV?vG0gFR_~8iO&So%?RKQco{~B%;v3~g? z=_n7Y|A7|#jNVHu%|`WVk_V^F32KEZ;*D^iA%6cAi}c;w_K1^5`I=hs*rwOUkG`Z! zKZbso2gzslF-%*n_lqx&fgL|77Q?T+t~v%J{l=HFeTw+!Hy&PR$E#pabc{C@LQxh< z?0JseMZ|F)SubtQRMHnpc6m1cGB)EKbr}yaX``KNdnM)`#|VTG=ey(J@J>ZMK8{u6 z+C9Si1jdS}fnwDO{uV|Taq0y3W&^v6dnb4YWI=?V#DKr-rRaH*hxj+|u8vdzxRh9Y z7hS9@I>$Dl9P6nzLh<=Y2mu#fSb~1%1}!BswGc90>c5ts3V9bT(R*@=_hP1O5qFwD zpd!9?hVR!lxDRVmhRkj>YQLK4iOzHn9@cW9wvXd|McuPJqQx|vh(K^`1nJXYj#y4n zNGbF-^&>pks!JcM_Nd5t2*J!bzpqF>%j24krURkf)avj{!!uB(1hVDq(l`+WU~t&Y z)Hlf2@Eiu7@fLPn%bl})wANt@R%?0Z74gnrypGqh-l5PPDs`Kw$A9w~-q~1BY4RK$ z#GJo)!^SZPr3zPVkY-a)%PT`OOfa3aSqe}2G1?rBOa3l?y~VM=xPO3z2s$IZW{JlC z=p9>Zl(_^>6ztMF!ucHU!;J1?#5w-h=}Y7jvCWB=A?Nub=2Q4Ru_66B1~IyxkbWKx z++)bAr-)Y<(J7Fxk(aoa!+B&P@2+A=yTrfYY}qCee4UrE23thn4c<@J_ck>m@Bs!5 z@@93nEWE)JC129wiq7?OK=yTU5A#~VVJJ|C&i@onD3tAoue2?g$Ib54VEsDo&8 z2hvFg2kZm#`t>OY*7K~*dZGr+`@(>Nq0j0MFb}xP@1SvkV&NT(|ALkdUTfQbgC}1UpWWqC>TgAcvwy)KWbp)Zm*xq&*rRFfG%3#L zy4$Y^zY^}%PFxArL+&G~7<4lrfbGD357c}=|B%w=Ws}*GA3|=r;l%MPa+1ybjF=SYA1Q9VcAp++g@o1L6L-;=CA*pmA zwur%ima#|&4K@{w0p%3O7N@O#wP5S_+-W`Pt>yZ zpIh!d<0COmY!|&sF+(n^W%;rcykTBDESF#K{@NO=PEfsmFd@f^F2|I5Y*r63q>Oj2 z(ellJ>>lDk86Q+*`|&qoAfU@D{#A{V-`)rSDW})G4f17)dCf;?nb9o1Dd&qC9H1UW z4IGPu!it9U$uWxFJnvVB72TEYUegjt#;*U2Iy`TzhV^hnE?0c740i6c7+foeansZm zZd#>28@Et2*D9x&p@)!|63h$)RCyIiV`vjW|=;#eO51@s*U0(zo}6VSiCM!O9&3^m$skY`jOg@_IYtCU_!_%gW5*DsKw9IKJZu zu^Q&^N(y(AS6l|vIZmdGrPZ8`fziAD|HeLw6%5TIY3yxN5e-k6{IUyCCh*q^_O(Am zDWDrA!`6a_qgcn4cFg~8afvG}yuO(qrb&f%TeJyt($jd;*_2pBIUgv36(y$5=Yd#g zXNMyn(b=u=pV!V&e55EoZuzsPV!so;_8Bzb4`8Gyp9voYAS0bULGghT$J99A6*UBK=^bNd7tolBEv;#EA2#BK85{?LA5F@ z(pCB0*RftGZoFsY_v@oeCo|=ktNq}Gj#R&%@Yg8~oftr9V4U$0J#>n1=np=j&|mxp z|Iub`yTatzX1^T(+MSs(9la_QPFyBm=_8itlt7m1BYxH?%^aJmk-~h$U7gaztu7$b zks0Gmg%5T6c}*V?;-&=Fy6BB$Gu<<&rVWzE_ugW#o8rs9^cJ(+l!o;dQ?MCkvYDY> zY4Ts=j2}8`l7lgmPxlr(-IU%ebEa^rr8HyrJ{0Y1Dbs5X^Rn$|V2jX8SZXPL-bwH= zgdZ?uAAA}%bm@VoVezKFAoRcECGOW!yuCsYtXoLiSp~c<+%1t2*c|i{^=m5w+21q8 ztlCP8x~pf=@OjYsvLR;M%vcFa_sGpNMP6;ipN*X*uGLnivL7AAJ9U)aUKw>M3vQa? ziCDqeRe+=Sjc^ou5bE4!CTZk6$}LS0p6<#Nmt`K1d@^Ac+{9Bn#Ha2`AJ*JM+;&$Q zrPf4{E*)<_Q2ji257oS#>F!X#IlSoySqgr*gMSYns+A9zxfUst9tE7-FWOXy7p2l* zAtB=)COK#uZh*hR+{+R649T^>m|^cRUmKrUGafda$qSl7`-^0qr523xGO^S{@nlQf zagPCvc6AqJ9!i-13bfwh5KMh&-A{09+hE!l1qd2aW{;bI#kF4)chUTYgY1+DH1KJE zvKU)eX~43Q#e%v@TlS%&$gis$4Q>9mD(ddT>Q7afvfk)L7AcTLe(XGY8lHm3h(2BX zAlf~J3 z$}BcuvgqZDXbw}wLSLm7yE{qj^+og*lSC~);zS9BAtw$UZxgeALy(t|O7i{K5FKvz|0>-^0N2uOV81j}0ul}p+;g|>_9L|~Be zknP?eJc5<(>~}{oGFbV!PS&#!jd`Xco{L*BhU^)0htVQ51XD**UC}K>8P1YC#pV!n zhKF^9XQ-0Qwt0%oP-Rf9hjqK6M(^U{nfku-Wk>NmROujTZcGx9VM-$5FAPJaAz)J& zicSF!!<4|(K}S(0s4DYNO%&BLR=6V|x7eHmN1|%|RU;8k;hPV2Ga&p5C*3qM@ZW77 zEh=Idi=Ya}6_CX94|J&w##uNE#^w}= zN8zZN0F4N5q%;e0*HCvUfTidJ*nTv*#MTVO3l$u`FnAn5i>fY;ZocBzWlJI2JfwCIXr%^~9IWl+%uMXrbDzNp7wLxoKdKDW%r9 zWhFff^Je*Lu8J+qm5ADIKhqg%S1((MyTadcr@7*wRZl!}u>V6;snzbc+{;vM)nPw6Th6Rgv_XMU=b;?7{PxRoW8iDD&t70GLL-eT zjX^n$RyfmYiRXurfuxP%$`K_2XZ4mQ1&X(}`ECd;)&s-QfFP|qKrV{9f$cGfu?Lr7 z8SoNqx4FUGO*Ji4yDaY=N0D+=31MzIV(n2SHdV7L3drh8AqAT>+;eJ(CMyh`h6v;q z?(3?_I||U+1sZo4GA@_gww+tqUmWk3osWw&6}F40_fp@ȯBle;Vj(T`K~L(l## z&rbXaY0+5=DLI(+**M0OtR0?+(Z`e^hK!kxDKVX3UP@!#ivh}D?&p?El9wu$sgHQW z24z}%2wZU|a}T4`SnZtwYcOUfH%KtYc~Rk?c-$!DqH|rA-g>DBY zmi}8_+>^XqqTxlS=D4B!-!ge2KrNGtA6%@M?u}O~lD<^bI<7Q~AsKo%&dfmf$m2~Z z!F1g@qfc3Rwms=&7qfof#1N=TTXUZyuf{{ohroO=&PHhC@^&6tW6E(QCgN@2Ag9S{ zL;Vj)JfLx??Hf^U9>?5Y`mS(2p(L@WJTdKr(%Qj_jV#uvg=2DsLsU3Esc_6w9oRZmza-Yi*R$2vw-)phod}p7c9SZ@MsPI=a!%P(#+xa89q>BFg(8inR@3)(sZV%vsCc2e8TrvAx{Y6;LVgRmNL!3XWgrz!wJ;k_9 zY(GE8nbRKff+-FNnpi9@M*_ImM~bz@en2bBqu|{*Jf=nuQzYqw;oIU_P7-|bG~pPW z298s+gYx+xvTa?+uO``?*7hS1nwhADY}mkH5@~-bp7B9cM`WfOGd0;$?PqvhjyqJ? zEHQg*M?^?_pQ#_eJ@^!_K#Fvw{>pGV=PhN}nwxPJ3#gMxqUcY>z=D!Qqra3m_Rk10 z{V&CXHLD>O{iTG}UNeG7`5ndwM?(cXYlJxPmolJb51ao`e2U*9X}chwDhQ_%B)vwE zH_Q^0k1L47K`%r>T)g}GlHw&spM!*bY`9o^PHEy}98SbNKBo_+36p-k>hky*Pw<{o z0=+i@EUsYln+pV+2;Seh_ zWtjNqywaLV5_&<|LD&~BpqEY`D)bj2GCmz5Ocx>cTZdGZc>hHuOgDQ7a>L!|_}#?6 z8X`(BDzTjhk|!*;sh`M}>PP=_FdEVfsPaGyA@zH^7Q!joZ#V0^0mVAF3z%KN+YAx2 zFDVV#^TEV}gxcRCo@%|#p3_x>#j8t70Glyb_+M6fx!=JNw5&mQDuP2xj`M?r@v_pM zb*LfoE-ORWXM=?A6{Sr_FQjTeWWPQTCrTOXu^3Yi+0Urpa)3BGpZ6jS%lIhW=LH!3 zg7M5KUIE%FmR`Z=;J;PmUQvR57JWyQNC~iy8nY!4fxK=JB|(m%dCHMfE;5a6)0 zNLMY*AGoVccixf74p5dk4>c$9YL2?FdmVvS$x79tyV>RZ0Aiq?4HRpxDnVY`NI-yD z7HllkHfRfJRR^tguW)hhsuGvlb8BU>ybup&kuj{P#d1a zxoRv`iE@#Oc!;8r66J>~xDy~*r?dX~h!iZARwgpIGMExcP@&C~h?y@3k}BdrDY6v; zDs(1CertivrV(T@fSN)6qXtcSh%fS0a zpc_fBA>&zc8>I19KjC>D(#Uu4YmuKIMSezs9X!6F50tcY!N?0@74S^E(>7L`__uw5 zVe;6D&$wkEpF9++k61*DH{R36=yu5gYMn_u3Vym>6C8EBqMQt)FB^;gsN0?9x73jZ65kH-bdqnQ*q3T(wNyX5s1y>2Lv*{_>L>`f&$p>H2T@tA^7tZrAb z78+hC>|mG5au2XOgzAoxJw1=%iDJ4(G>M9&(!b zUi#43gCWSck7`HLJ=4825Oz?A>Hp*IP2i%c-ar0fV7M1Wp%hn86i`#d6<1JDP}EUz z-!O4a3r!6&l^Rq?ahTLnj}{e~TSjH3jteR7mQ+@j8zq|5pqM+0lJozZd!9Qo)7bL+ z*7y7W|9QQJ_dVx%&U2pgoOAbc@AWwW@K;yq_6%S{i`?7y()0Yz2k|mF#rNzX0YD{Y*j5L%xX!0O2Rv^HNhR>S1tFN<&$#%mq37U5d_XZ_8-SKM_j z+7o&faMitg$WlFD&yKk)>FZ&P&hfX@c#wClcVhX&QE4k}}EUe*E<^B^3`z{JA6x*OLy>`C9 z?O%<7{a=5=dxX>76j4+TH< z4c}<0c}~I@sfC3Y(=5z(Iy(C#y0;hCls6f0<9Vbl`j9 zYPqYiC&s-M<;p$Y-x1AP-g0j~_{C`MYh0i|Jmt%XD|TGp#PH8Q<4FA_WO=2Ukcl;A z6cRX9ngC#~8dl>M*78gEe&dm`8~X&_=&{l4y<6^2dFMy*CbcXCaC7G8DCU3Ly`}d@ z4+4=4-3mZ>wkI2V+dZi2<4km#AKo-!x{V9@tyY=ezwQ2ti#Fn%x$9l`9XjnT4|eOG z`*rP%s^*{WyZ3U_x>ecHLi)yCJGCmax=VT5`yT8INviueA7Z@Ou`!F117rD(8grQ> z>7|wrU)i9%M%iOKKi!;nCRGc~0|$5JysWH)L` zEo`M$h<9%se4hpTNH6hH**?-Uj-@($z?#*PTG>i16{TL@f|mhFE4YjIYe{h~TA$hG zQFWyIxZ{X-W7n5zy2wj?aV54|hA*4#D>bhki_~+~V_b4EmoUtiZSj@*c;2Ybw+kb1 zmAv2yX7!aKw3_BD(od@0Lem^mFY5DNPH&k4EsTx@08Y&o0#WHL7x7HoGxDy^7Wqk6 zv@q~&1F6Zt0rgV@VS}_YVe3V%(Y{IK}@X6cZLh#W3F)5QuQcLafIxMTPG^Dn}1cQ6) z_?46BK?|Ngu?IZ4*&CryjRKw2S+bgvbc3Qhf4q$@e7NSBRRG8yCjbmMwUI-|C~E zz|J+1x@dPzG}me>C1|~ykLO3QxLv`o`{;bfvsa&xx_JEZB(D7))4h3!efNYkP`mXY zYtmeLQrj_}z1&=iQEu_wkvz00z-Y~Hka8#sBLFmIL zBkWHZV6Gl4-NwX&(abdjh6ash!$PEP+VW9sRfsfJyJrmhsEy>KePa}>+Dh`*E)eOe z6Gk=FBpt)1FLD&?-%7%XAEr9Bl16BAMvDS(j$|IKC134gk@lK85{D0IpP|Vp)N>^3 z*IF8;^(5i75nMPx2#=3oM_Z%n^ihf>ucsB^3FWBJCwc_y(?*)4wT@&L+Df&m59b9V zg>3I|_H7$!igw6w*0n7R)Ep^X!Lk0wZKYuCS0hAv>o9XkTj?fV1@6X*+Di>;Df7G1 zqf_{Ideb+|#ArFDyXb263zgQmdiK_cjcSSR&OmmclhjeWccA%RCn?pX(uh@NVq93t zmUWT7(GKpBaNn$W|0}BRJ?&Rl?@=A@wV2v>j+l3?LD|)66 zlj^yytq5Y%!ldif^ZPZ`6x~LAj_KCk4`NforS94zRoSL+si6n;@rA1Ft8l5dkM}_3 z0_sO!J+Kx%uLQ>LH9fl@E=6hk_hpgYq#$j#zHDkYskJtz_os%yBh53Ao@@@qV)55)X3V<)~3Tg2a99fBpR&$nD)^o#1lMt6s~P6Js+cd1G1 zg~%>y$?u9Q2XMGgGLz@j=cUtqPQ_q(h0@h?qRU zv4=Fl)#WSmuhG);Zg{qm?R{3N%b$x}&{xW+wfSr4FS>>Ujqki(>8TvvnxXT;Obp)5 zhs=@vq!+c-lm{-*KN|kTCOgqz3K4fC9#maDKpLa^O+xHQ>~FRU9a^>pP6zl@dMQWh4Em*(lT z5f_;2Q;1N+1-l4ETrlr_N?PdZVla1mMw)LIq3@sl^9Ze;DZT0#q0r|ZMup$x>xzrZd={srdwCaH^y+xgR44STCZ>&L!- zMapx(^*z4QQ=RRLl4_gxFOwR$xLh#*xLjK2=CAavF++LMmCqUGb+eSH^pKp59G8>kBOgf3blNlP+4XJGt>CP6F&fLu zWw^1;KjDjeY`-iD41B;JEG~dY;znC)AZ`NTPMaC;qpcOAxEsyw z@kzCK0TQZEEhm!Y8^UrS?%b%B{T(fzscvp!mKNbvVFm2{z0!K^xdJvQNBRtDv+t+U zcn@h84hr|(IABgaDwViv<9C^pzmU4PY9E-*yG}}0tv0BH?fzDZ^d4l!=iF@5yrb|^ zgELZX*65Vf<*||5m1o2D^K+~8ANQXe$P!LTPcX^d9;)W>YVX$jX)cCn{VOCMGF%2bXJ?F?hk-dof15e(Qk674Kie&C|rSCAvoM zvz0$ceXIO>RTyaHX}0_zRnayTlRK4<#_)LwVp_t(BhoR2Ww;Xi=@wSE0Ec)hwy^L5 zX<)O6Ex7G+4(D-gKEtrLjK{7%ee5a65zx1gZ7+~UYBz0S)h|lhJUuN+-8cZo;X~d< zL=7Jcak(UAHJ^@wPIHp^qCWit<=WJ-v{-DGl7%oHi_5CA6PM6s$)4<&OH#u+%W#Ov zKMim4)mmO3e4kI0s?%WKbWb*}P^!h+|0H>LRZ8P#>&&J6?(YtnZ|9I4kK{h3I1)p- zEd6Yo^E~G2w8nuyGs90(iI;_kV{#klPTXxC({m-W7OLfC;P+Fbbpz7zE~W*xtfkvg&|5UVE>jInYJ!ExVjG zCwR!4v~}cz0XYACKE@Q<5J!%<3t$Nj(pY{nvlgE6Q-*XTG)ZfY>Qb-(-{%P=3d|6g zDlkDHPGGn|tUzCZD1mMQodntn1Pe3~@aHHpKUPitx~j`O^G|i;x7?Z;PX%EA)4Q2h z>YMy>Sh{W=&%l-9`nX7B(p3P6dy*e}&rfdZk;!xM^rjy>>nGRO&aBT${N$fKmek`{ zlv*yRXD)0YcXO#9Sr^yP_v83l-&NNV%U)^2v1!0W&1QAY-TY$W9YSf8OJ{hs15g|}8x-1^Ha%IJCmT+)e7o^)@bJ zn?vLXZF^64B}5)m@AO*%{Fahp=#8pSSp6C|q<%ba<11`)D|up=2NJkL zgel8IFw3ujSK3^@F){j;R}r<1!0x3P9!tO}62{F>$hGX_x1BMK?U!-sI|74wR)7KTpF<1dBa(=+O#N-W`lsE-!2hMi>J>N7?8<|uC| zT*CSw&v)Z7=qoclb=y}dqZF&m=US{D)zaC5PI7=@@Ff@QBM=W%mGnL8ABc>-Jh+xm z(QEs$?pbjpS7xoI!5o5^iDi}&G#+}c=mE5=q&qr4{fT&LC#&=(cum6wuHrYmOHpCVT(JFg`7kKEES{tt6B`Dl2%thVLFHGpuC+Aw8hKtywhG4o(?Ume-P;VH;OAg!Q_Tg23 zj;fV~MvK{Ro#l3hk^-3RjbTnUFt2rbuF|-)Tr^_^-aV7p)6zlIoA{nZzW|4#oh)|& zOmlhpHKmT;P5A4f58(WZR}&U!>7Z1D1rsbQ`y$J2zAB~VDlzp+Ik9N}`Dt?DYb?VyA6{Jzbnh$SBmCu2-L zOE9X^&+fyt5%|eJpj-eSxzZ900|fhtSJ`h}JH#q#`*ZhpcUU6iQuX93uB5pE@Vx+%OQ2D2J&Npq+RL5w&M!| zsgL29Yl_#i)neK7?(!3zz6^jAuT<{m8h01pPHopGJs*z@C4FP`o3t%JW9sR$;Qqiv z=oj5EB)yi+MQKd%TN%KK&f2;@n`Ac?9p&H@9Zm6O533FLo z5BYuVhWX5+r#!vOuMLARaTvYVBFY%_0}^e{V=~`MKK%G9`3G!?4@FoG@(^^+H(>Vr zb;}MUaA>o!A=}zh_UX-(WDCB^X7EKmMgD5i3<@2c?9-iP0 zHxTlH~$?gr8AsfBV@gw|44C}l9t5)6QF5aeOJ3qm zqX(bD5ZTv-`-7%Tn4{!khM-sKPB@8ZdR1rLqvg8oZ{sjDB}~R_ljeK_V+#FAIXsPZ zi9G7&-m1UpMZQoAJz}+t;#N#P2l1kmXt{n3yex!Dz9CGm)UiF$a$u7g5b)O*ui~>W zEGh7aJCw_nj7lEHPhTB#>m_$HoSU!MbVU*LZJ;mT3mu6{zlcpFdN(tPOG685Dr4>~ ze3e|;Qd^>suSe(QW9${p;qhpgf~KOa2hoC^H~=m>ja*#!32g(DQR$cT#!qvRgBeq3 zNVHP?9;Bg0VLA$?QQx)1GV4*@^C*ueE1q=&it3@%!_GmGQ5Au#q?g=L+t8D>>Mhsm zKaPJaJ&x~Emt#kS0F}6+{>i+4y>SPx6{TK>65QbRms-+L4OSlI3pL6itI=oamH-T_ z;5;S?Yd@a1PCqjShxJOI?~lcn&l2(iyU|B(RJ|+Yw`1PFig#S-TGV9?`^rID zxi0I~S5EbXzu2YzGA0I}JHcl|`Ns?qCv?uV8-eWSzH(yYZL=w$SWiBL%Y45$V;1uG zjvP^0_dHAPC)ce~n>WA|y7hV>d%K?;?bk`|2<+FRy%T*Q8+M(~QaES%U^a8n%k8zb zYqO4exm*2T(Dx5_6K8pfpG94@e3{6W>*a=3K0p@V+^nd@cI#z7pIO;TS3Qd}P3okg zpPpw|_3{&jT^QRKCY_()gBR~Ev5a_@_taQ~2Cq&ug%;@dlQVny1{^@50i$g4IhExG zQ|JJp(AlOiqOt;Z7NUZ>HhD*T`M3P&(G*?|GZX=Pd5VyqwaH7TKa79@A>M`c8U}XT z6i!!CfV@CBxYi~&JC)D1$(g-8QP>}4lh1i5H}F25EfhN26h=H$;PM$lUe_k?XfJHd-j`wke#pDJb$-Azy2go9*TOg?z3}&g|vAg?yAv zK1Y=s#3+vz3Y~2VBUA+;?=0kXZSs!xa_ZyqAX^0Nv=b!(AlOi!rs6yIEqCD zb#3yF_VUd_UJgHL4A{#D2>Dr?y!2@v0fTKrbGNRDfKB1FqF|F>74o$P2n^YD#;fL`C6OYY%gyo&TfGgPi%g;3~h zQy5`ypp%f-waGi$%YVSb7id5^x|$+jFV7J2vo?9@R2=G8YGFH}u-m3^da9lL6736Y za|;@{acM-zWmg$t6Fcs%FB9( zes^{xPC%jOq8WhW-gIoI__c|a%C|D{)ep^{DmZZEr(ee`aoCKTVy%nr&GCA<3(f}@ zmA&A#4BG*|q8HS}m5qK>y2>%#nb+BX5pvTidy&t}?w`&KBjnE7)TwOG2)TZhnaJm- zT;ry*3nOG7ZKu~+$q2cnp^wrM%iu}yW6|M}wvUA4n@Ohdd{l;$icl^z>Ozum#SRzn zdSS~_bPgvlxY2zNS6_-s#5t0shN$8w_P@%NC0zw>Bj8jPXBJQ$=*QcoG>Y=%!R3uI&UP)kEN6Iy|Q`fSiBju^u>Itm%D7p5q{=$uriKzD-rLOQR*jmA}`aJ6^ ze)pQ6Zhiqjiw+3S6)SiS6Uxbd`#CF`dm?&mKtO73thv?N6BNfmZ#b9(Q;$$X2#}?#);f{ z86)95zV2%DiSWQl8m5-UBDQ1ONj_2hn=XS!Q2wPP1(ASH~@Y?Ej(7~I4MZkG{qtbt} ze5gw6Ldqw3ga)BAMEKXimQ+>sDlU~Lj#4{mx|)54XX84b&M4s=ejEm# zxK0k6!@RX*b0D{QUfgRkh3>&=x#;_j$S<1A%kY!RAg-_UPeZQ1atd1?CpR`!hhe_L zA_zlJnPU*T^E~H4$VRU!L8t+p-v!|nRa%Z~?@ADK+%aVgE<`U?3c@5+^#oKO2BE*2 zy^Ck_AWU?33__@v8iZEdrY#7s<66CFY;EK}41$j9D?zZviy#~xiy-{WFZYNbv_oZ% zL1@VHoChHTdn6?Yw{i9UcR`q;O3y;71feiT4Z;NOz{8OcrK-LU)rUc7sb;U?**pkc z;j8^f@Tsl_!He6p1z{eqOKEKBxXM8&tfmIx0`mDtxcsDCPkYpD^*FhM_GLHLX`I}h zzm;%B{!UkRW1JkKeaV&i$Ai7_*06Y7cG9`B^msW`dsNGEMCmzHuH??; zM^t%D2f2aP^9`y(@p`8%NK@s9oy#Yy@=WLQXjPtQFUJ)rih!!1w^vZ*I#nL%TwZux z-449#v;jv{`6)X&4l&dSs0!J33O0F~Do=GTpRCH`oXewCdHc$8KD9!PfU4kGS>d5v zr^+v{bK1hfU)Ak^bNLZfzOs^>*RMLTK~+eoq)0BPI$`gg$5aQrKkgA|}Za}BX1D(qYuabimm_O&F3y!Gr zQ;M9`dPeS6Z3&j!Skn*TjMOxWjekZSQqBKiR?AWB0MFX>rcLXMk*raI+)A7HCL5d} zH?9`*P&9ocTfjwbZ?bJ%bSBeQs@h0)5hBA%WI1tdLlL>2;9Q=j%A=giC#!N_=kjQh zYO6LlbRReq`r;`ezRe6+id5|jibuQNlIR?-j=Cp#sLW)41bNLZfzMiqiXJG)n z06kjsKN!LyXJG)fcV0AFE$Zo99;C`Ia1|XXB#42OIcYg+g)DNO_|SrK0%6k?_tg zO>jjty%6)O@a0VG#&L}$w<@kx#ST_3(&5V1Mhw)%NzknZ-O76Fxn47y-t$~940?rl zyjIc6#`oB`!E~;uu_^ZAiZvBq(shlHsC1!B*BMuO#-i3X$j9{SE=VF(U!=lBN{3XN z+;m+bMxb&{cb^s)2rS(OlFNJ^;CMLUmDY-FdrtQ0Tv^ar2w>@IgXN-N$$&})>nd{o zPA2!^dc~njtbtM?G{2Hguc9lA!iWak1&Q*he|8W<7*0artatj0A>Z)9&RDyV$ zPai>dro4cuotLI8H|k!7cQP&Ae>SalOCH#;FucI+?`6U)! z#glp^7>RWi+sAZTlJRDU!;lzLjquM(Fr}}(P)+*Yh>z;9$w_j{wmioaJ|0&2#qvXz z&tuB0xA48hOSrnzCij+C@X5~SUaA3=K^POb)VZe@JDenkbnKyphv&W0Fv*r*ZE1m| za*6n9TxzqP#G*3G>J*onLQk*blS{8#?naxRcwVmI;-4q+%dxt0blp`Sb=Hze_o2wX zq+xM$wW#1`V>7^3f$+80?dTyxOO_PQpMBZeYwq1G>5*zd6tESt;L34UvTdU9I zR}rff%0+nHYeHcGS9l!?SPK`6tQkCuf50KeVA9POd1H89qELHQWX13-{yZH@dWo!1 zo`sKW@Gr~VEDyq~A#)Qwjk-%%#7M{L#8;1g(8UXTk%#V8R*wO_#p;2ZJ0GdpMqO5U zkaF$SE0xc+RW6M4af=59b+1CpE3FR7>^M%`uPtA?=UzR1=1o?r~>gTCGkW3NK(LERRJz0#_o z`;6fmv5ZQsN~LciVOfc<+#rTqQ0W4t(#71GDfAAHx3LrIY>PUtF10*k5Ssqb90^Ut zxg0us8lzRpY&?9i$I`Sf=E1=Wv^WfQ_>$_V(Wkkn{2Qh6M!fPmib+hjgmWw(E~J;P^%l&YU}Mb&sW;lrxeput7S%1FnXS+ zu&gOlIwf@rRCr@y$LO;d5;Qj9VPkn+i=iUcgPusD%W=~P>%kN#dw8WSfz)y$f_;)K z2iEaH-m$d23wX5_{`l=5ya`Lkma*%}xXXhriBej3tRzU2*b+6Z*7DWun8h7iScvfQ zEt76_2G(_B(7VuyNq4=vPQA^7i`eMM;3!4YD|I%asW)vniMnD;)g~&2jz+gBiNyT| zWqKao?@;LxD5*hFePw!Y__VvqEJ945go39CZBku674ZV9KrEGq3j)yiqDVfTOrafl2~&78 z_=Adehod4qL=f)pqV+)K_?n+(V>mnWk{mL8BUVmyvq{IWfOpp7-eB5R1lp*JHPJ2x zZYjGMqY_Wu3tTZhZI{v;;dnw%V~ONWo5JyuYkr^5(zXYSGsq2Gda(Hhxn-ZDynxB) za|PiY@M=5$Vm1Dzboe3hPB!TdLWoJb{JnRPpYqKGdS!hEe-yEldfsvkHyaFc4ZqC@ zTMXtr;ji|fpo#AV$>I7UEgCA2RmM=0}vgf zZVS@Ne)$4#gQ?n24_tV7(@oq@ue2vIY_JBZN>h}GRx=#3*Xpcjja0QRDDg)G-+>xp z6~+LsXx>xYYEc!a!&z^ov-_tPTRL!0`74oPOgng(Al$2rOg`%Ba$%ylS^NcJf-HkO z@}PvbA@F2b7bUeoq^=;PR$C8M$?VDbx13(wYI!~OYNBD z3(spI&XJ#DP-A<6!!6xv=y;_Sv%3pqU+Ma97~RizXLT0J&E%O#9Md&f%3>DE4FVpd zlkLT472CIvE=m&3euWp)b!X`dG1opPoqf1aj`Ul=6+%TLM=GYqkqOJfZp?F$T;I?c z83-&qZO4`I%~fm4y=Rkd;HY#)(v_4Kw3?*LSI;0SCo51vM?UDVrnU9j>7DvbV%3y; zZIXQW0c?^#KJ>MOZs+r@0ypBR7;)!D5(^fEe+N0*$tR;@Taj>s@3e;JCU(MrO@(p3 zRa}CV7xukUm6rfw8X&Lq5FdreT$Ss!6C3m8FjMpzjI^O`^ljl30$CR}leStGc4ife zzJ+g;##E?}T?|sL&Tabxa%?2E!^FPZ3^F6#)wZ3AYD&A2$n-}VR zRkl`}%Gi`NxtX>bW6RTUpR_SEXQ#=`wT_24t4pl1FXgeGc%G~pe<193+( zg%>Y}w!?DKub1$JDr0!VOxAR%>|etNdHej6@#>Egp0IyRr^#fam&(0kH2l*#QT&Ce zSqEbf8BE`B`@#QE3_7~z4+R(Tayi6Zi?ak{*bniSkX&G`UJc(5d(3ikC2Bb4rsP(y z#)_88Bc&ld0yKNFK4mz_2-5mrX3rWiK_u%F_8L#e?_hh3vcL3AB$Ntvu!}s=lPCN? zVR8l%`8<)eo3-MJYdoRZ!-n%jNdyupd)SLS@hq1_>}BhDVk?*A?qw&C7+TH~1v&VZ zEq`km&a2Untu02ZE{MgciP-Ov``(tFuX6Wwdy&Z-#6w=hx8+loKm67OcA(u~XWdQm z*!Es)12NQ&=}vLtFL97Dv<{YGSI2QocV&%`SfVfR(EB}D!%mvyC__As%lQM(VVDGi zY4jMYuDlQN5>X7xo)F^MtCcHwk@?;hBVWM2a*>kZ7bwc|H;0AqnxMGf8}IZ-i3y5A z_$R1ajIDQMKDNTQ2xl0;|6XtlY6b|%eJR+}Qe_$+E&lYtO)4d>~^ z7{nqKY5un;JX9&jSC<^FJf5#E+xQZm$PWJ)3&Q*T?#iglLW;S_fIUtmLVdK z9n&o((badbnVYqSnU>3b+QDmB_Hw!969N2Sv}^c!9G@rYuGZwwCm0)Aes~2%JNv!D zbBpn?=@;ceOuIs!92&h*taucd4oF%Cab;t8b0JE?r$EA+@chm^A74G%XwF=LRl53C z98qb8@Yh)S4ZvYiQG9!E;bFjJ{(FYt+jKN;f5}o%v!rY}3N95R<-eCZ0>fo4`VlS`^+?DZ&H#I9F@N z11j^S2KZ8sDg3uI6j@N5f*@L|{Q%b&9OlbcKZfjLC0QdbW6M{|{^s1(@+5adjkaah z*7!|qgXt>x^~W;*y<2Hn&HKAN^yfAVwhI5QacBBxslpPlR);dHAAb5j{9$JBA1nN` z^5&JCQI>Vc=+?o!@h$mBmnO6Dj;fDw-K_HOMWp-ScZ>3y4K#1bl3%LcE_P-Qbg)J< z^I5@^8G@~e@x<6!q&K4nJ8(|ksJ+mWjsHmQZ^(LG2)vW1Af^3Z5b2YYKA9}i@hd6+ zElR6;uZVQ(xq>&A2`--}m_?jPB)yuN6w262%$?VRd)CtCSxP2ZeqHdtsN~F&byR;VRrNk@5zHN}t?@f0h#h=N*%as0}*ntYXO#GSHiyOdS z2hzJlyiG`#LtP%4P>AmDIO&QQz*TY zSc?iwAs!_*q4Kpz?;w?*K$)-~c(Gqk8njm4XJ%14MdAr!J#xTI4%{d9p!$|_^7ZdK z%J8KMrw~6TT8X`>!dHnu5?fM*FA$FseaL}rRB;J0oa##hmG%E5Wz?pM#uKxN_lP~H zLKE>Uu^CnP9Pt3rn;iInD*lxiO7*>{uK&j=!;2~!MO;t3MeITqrV+m;`cs9^5O))0 za$o~h{4=p7)t98M|GAVQQALA@nZzr^wp8JZ#LtLzsKSZFkBJ&`;7zLdM`94wH(g!- zcTt9w*oP`yNxVdCP8B8*4-mbn!ZF16h-Ji8RPpyjKdQ$tnHIJZONo(GVFvLWu`yLR zow%DQQ$<6F?+|YghxZVhuO1 zw`%?S(WL0Ox$zsg_=uQl;0;FuLOzb<1>5Z9ssqoH{NxsUx#ED?@1#7@#Wh!s;ulX| zoald9tOD^nM7I zCT=C}BIXi>qfr{oU-a}39A$&=$WONL|Ait{A(-1lv|JM=s5a#Iu)e(eo=N#Z=oJtn zeiZ2}qUHkGBjytQ3q*bjv49wHk;)Sb)bu5#s~fF;%frpQEA`#@J}23oAwO9^XP9H_z%lt{QX&l|5oUgdinRm938-@kOH7JpnU>PuRrFhTR9SkQD>A_URI09vj5Ul#eXRIql6 zNVg})e@PYTGcO2cP&p?J;t?@id{OBAxA^fZqP{zV37Y=PM8;5RXuG8%-GjJbg-GvA z7SxyoM-fMo++&W&-((bY(x88}!7C~7j`jZkuEC>MJu<+`_V6z^*fCO$X|;zP^Zy|I zuk^4Njj-O-!)i~jepLkGFE{u<9nwWq;b>Zi5Qu-Y!8d7D{QpdYkJC2bF$zex*F=vj zC#KLw(v^m6vz0;~M-BadeS?2^P3d8?|7MTnE(XmiaqoWlv!WT^G#x^nq!7PU$Q`Fo zM9?&e`2TGBgd3lvpqvdG-lfyX_lbvyKM=1GbroV)TZh<|*oQcV_$<-8hd5(dNa?l2 z_lagfgXRm$C?wt_R{c#>SdZA8*qPXuIF;CmDom!dk@z}s8*xAJJB2vJxl9=&$-oUt zSAQTJXh!Tx96)@Em_p^0O(y=$r~K8#_lQS`KN7ET@MA< zh;I=;A*zn#Qu;e$5%E6JL(^BB;xxtr|BE0FCq6}dk!T{mK{OMO63-HgiJK`hWt6Vr zBI;>PJlUhKIK?R=MgN}U2yrHH4e=zgHyIdB)I^DL(_4`Es#J$8k;uWHst1wiL z*p4`mIGwnV_!@B&(Md8MX(+EIS-kL3o< z83%=74i%^dA2{f#`TrR){{Axqf4}@)YRDiSQ9k}JQj7m>Uald!s&YNb`d2xFck(}# z9!+CLwdd$Sgio|s|5b%YQ3;h3#~ckls=S)7>K`G;ylWUlND^!rBCRSo(wjo~kI_H| zUvO~XQ5CHEi+YbLujZ=_GpHFm$go<0s^CafU)597kKzRfyI1WS&{?AvOtTkg9#w&w z&rbHPQiu6};<44Rb(H9}lg$NVTMDL(6-QC=69sQH75Ne2f-@Tl+K#4rQvMTyC%5aJ&W)cCI7Bxt$T}dGykGzS%2Fz@Bfl#e?4Xe zLq(hYqlt9Ps~#KA94PYD-t>R|7g+M5M5 zj{eNEzuudwXWm`@*t6WuZ^q2vYztI*aFGaqx7gaMk5YJ4zM}&$q>LGr8z`+t@*@ZN zGFyZVqM)O}KTH2c2Cfl*Abv$u`}zo_cN0}d)WJE&KmuC^rBw$UBjBi~ru$JjAEJ(^ zI?|oe!-#5R4C?Bmj#54-_@AR8HGhZ0;2P#IsykD<6;T~qsv{0GXw-~(WH_CuwoHwH zns%fbDV3_eBc01J{v8$nt+eWZN~Ixu{ZlJcTc)N}MK%2>{#89^<(2i{nShT0HUH1L zMjceD{-ZdJ#zZA5^=m3+@OuBTw7TVcG->^Rw1QY0{x!LQM*F{3!JpW#q7I(sGuhJp z9>WdWuZR}czDoR6P|a6)z)_F%Rk_Mt*PNGEPJ zgPy9d%2iIeF6^mk!Im10ea6G|5h`G%ikn=I=2zaC{{fHK8tS|~W%%OX!#P6-JiG&L z$>I`88PTVTNUOvYAei!dIMb;0K@V?(D*d~BK(+i|Iao|gGid&<0Y^vwZh3qCV9nof zfIWT4qnoRUqtC-Q-ZoJ)L>xPX{OG!a)5Gl{DGbxIl= z=uITZCVoQvlz4>rCGj-z9PtO@E#iHmo5Nb**gr~|?;sonYQL%Z!8BnljHoBZ5fg~X z#0+94aThUbr0D8gq6JiTP^U=2dz4VPL21nhk@hC~6I&A_h_S?Y;!I)+aXB%I*oo>H zIf^cGZzaKTVryan@eWZY&r`_2X5w+xabh6pwIthY`n)Qt>)LHv`V!LYf=6Us#u*Asi{JpZQG!>KJMXP!zOl=E;k%RW3F=l{Wrc`7R^;PulRiQn8k&^H^RZWuFkI z?6;|zzs>V_vzEa;N?dh$Y2^Qe2F+is`1t?P(RmK#ULR4pq1f;+2ZcvD^r%SL8`S)1 zgH-+b6X6Ko@O?$0|6fqq@cvsB$H$3KtM;!`q}4R!O8pvDQKhQzPxHoTdxyRnX>iOC zY26g(`Gw=1=Re9(#|FI{FUlVzJMjhzk*cUtHTcw%f2~4^^irsTqkM2V8C#_e`SmYN zcCLsBhzSVZyM)3&^}dE&v#|FU-z4$q_ce^%FAPm3enC|84^Vm=@f`=bs<)K#lZe-T z7Itqc!~hiw|0D7hpB;=6)blz#75dp$>oca}MqXQ}xH8jbH$#+^H&z}#}d^OUbvT#xdZ|!V*M$R@iA`^abTn}~QPuxir1iGZf8eGl zaEbWtEs?HrTTm_dJ*E2+pC#VA-HTm6Df_UjGgaNp8O0vQwFWoqZ`LUM67WmLkEicA z{4$Ylk6#9UTz*pXzjQ&zDi)gI#|?60C!y&0fV__f8aDdp7!UGV!A{dgX~!&v?pKYt9cc>H$ZcN)JE{A#*C zux8-*C4SYV2i8vbt;g>ae)lEx|1|l5^*#J9;OFZBW&9rCw;1nKJ%L|S&j;41st>HI z!GHdT{^wpd!!H|^Z1h3D)Ouje!tVx_*Wee6u_l1wLs0>E5X=L+4=b@&fIou49W)y4@Dghjcmm7-SBxmJZU;AkdElqu zWpMsTG@zqKvmOit^S~$r7P3c|SXY8RPeKul0NpxiG_A(LV=x>X3cd;^f*;4D0`Mr9 z2c8EngU?JTv9|Awmmf_mu}%VSf|=mO$%qK}^AtGTMWgBSREaeX`~oy2W8sBqFa&B6 z5UQ>k&EV-!05icpm7_(tw)@DNxwc?$+2G@8b5 z!vJ^;)Pr$ZFaZ7rW`OJ7fdO#fI+O>00*k>V@1lI9M$=;ltDH{gB9bh&%`2&;({Xax`@O#h` zPr1+i2<5>hTTvc72_}H^K1O-4{Wg>b4VSTyhlSOjzyLU8I}Bh(e$^c?0G_K_lkop!324CEZ@?h;8ln0N38Q{!MQJzoR(Cvc( zWK0B$!8@QQ4mT6`!vLtug#mCim;g3C00ZDIFdOW15aq#hU@`d2A(Y1>=M`WuXgZAY zV1pwl4}Jt@fDuPgzPCZ6`5Fs($e3^p2Ef~(CpKEC$6)~U`3wfYjbH-U@pBjekAvCZ zs1qm;UIB~2`FSXhYk;0#pgi~{s0Ty7M0s#Om;uIqh4Kb0T*N{iIQt|FfUaM|04~+8 z1cSk#Z(so22_}HOzl8xXAIt_lPhrakhJpD!{T((?Tn+~I!-nPzwg+I?S(F1k&!Ijr z?mX6iPdyfX!1@aY{|EzM1sI4;vi<_rW3ZwC8yqm_A{+#ZFF`&48?K+Qod7GqWH7G~ z8zL~{7i`K0B5i@=pyw5ACqR8M90h%UMFV5u;B~D3dMw1<#TF1uE`0N4F59Z_5{l%P*ms$gdpnT0z>m)F^cBwTR)Psk4 zK9~E((H~;9;;B%m;((m0Byng!(8q40@m*%mgQa z*+_4Fi3_pon+!36LQmFb50+^T45?eheZ5#(^ur zgc)#nG}iy%B!qGdDggCh_6jI~84MMG`CtX;`xfMJsAw}H02aRohsPp+OR3ctOa}E} zK9~$GPC%%@KrjIe1GB-Qp#B&JE9Y@U3XJ;<`ja649Qt5D9x6tD572iab~<1Jm;&a2 z*2v(d#OF_@Cq3{&)!AYR!_oxU=1`k9223T{lMzaT;1fB)AgJq!G z6m08H!%?sUxE>q^-T{-rY;Nxi?0}{-r3PyS7BZ1hb1M4u9QqJUJ`cm7Z$A1G%m!D2 zd0++j_zy4y27{BPX*5rQHNj*s<7w>WendH>SAlupR?rvud0^%<*ozjRJkpH|3^0g= zaAfEqh~t6;Y@;tCR7jr#J(0cz#(|!f;Q4foX3bBf)>BA-0tO=eC71{n^ZXfz+-2AW zPZna7BmXMc9%!7wl%918lnmRS=(J(vn6gPC9k zxE;&^^T0gtGMEomfW=_Vm(Vp}FzD%4W{m=U!8kA&Of+C23=0{c9$XK`fjM9{cnYil zi$Pyqnbj8#XMBkpX?dWH8?w4FK~VFS8nQ zuuy@8Q($%tR0R6^!1Dz#PzwgY3NQ*xs146S-#ReF<#k~Q%mH(_ydFZ!<-TwL%=SZM z7ouSe;4sMi(N*ZeonR*TwSSqxT8xDo$OucqIa?z{0t^B3!9HN{^Y|hGm<%og^T2hW z?;M<3feGLlFb6CJJzv1~p)ni<+kn}i9;^VL0rhh=nwP;$a6@Cfzq}X=xyT5chgV&J z8Q?uIAFLYy2j=5&8B7L;fO%jt7>5?+fr(%-m;w3*q6@(=Fo(;7Febo+rf_T#>{Tjg$iauDaA6MsN`N z9E=-+3c;`^u{weJS%?6b{2VF(i<8i@O{nMvlml~K#GnK-79cV_y$I#NiZrY(V8Y8N z4`zeKo3Q?8FDbLSZHC97FIbU|^%@K_!ecPggb4BUa)cO6UV#vUd9T9|sLzBUFeeLx z_C1`&zXLr)Gyu#8yMe)}I7|nV!56@UG>zspFeeSG$u=x_zKqcb#(_7$Y|v{R1`QYj z>KEez1DFXWfW@E@3|oTp0x$zS0Oo@QU~oD*LU^wWz6cGgz!1-W~0Sm8V z!PBVG>;~h&GhjAY3RZx%*294ejV2V#1c!pf;B#P@3G@2E4Dfw0AN(8)eg$XMU^3{o z0SzxKIHmgLz;ccop2AM?gf3<;w%|V0B>%_ z`k#XZ@Au%q>$q|O#({moY;ZDI0j7fbH#C}e!A$TVSPWhS!!k7*%@#NSHU#s*ZeZ}6 z2r-xpCWCq48=&u7IC26Lz_SJ{!*j|7Ypyw9IKf#6uEC!=M-yN{Sxf2^2Fw=|%f!W|;Fzz7i zY{B}Ua}*xEj}6EPw45^!8xAn;3v4*RgfCGL%=ro}06o7(L_lA#W;P6egO-97-=ad$ z^E)^UhMh)axg0zNW`o6G4(Rp)8VLG+V1S`BhyXGYz@cE~SvUX|pNAoypASPkA3O!- zfW@Hx2N?Pg6@!6b&IPm_3@$)Jz~oDaAeaZP1S`O7(DNrm01O864OmFV!W}RZ^!x~h zz(6qUGWr(G1c!pYh3GS$22;TtFcZuNw}Ta69_afsb~<1fSOI2$HMc?z27|u8z)>(6 zG{j*c2MdW{F_-~*TCj-)gTWk751s<^z+$i%bo&?;7a?+BF{lSCz)4_OG3 z2lGJRU*Q;+gB4uXfr z+aureHuAwRa1t0-0tdisa6On0=77cEDX;=81~W^s{(J5~1WFNNGg=5HfcaoH7+eO= z!7%VLm%!d@zv2)+v*1`mQYcVc@1P69P@xph0( z(0~Ou7}SI7ccUVYa%(=Q_eB04cnSuC!QSQ8pmjNatKde@{H51f> z+rhX7(BtVqIJ6gzwz^@8VMT;FnQHdx%h z+2L<^K5jz@%ZkT9LR9SxIA4GkSqbEvp`=~Ps9(XgQCqETVl z&8P!OmWD-Tt}-etrqrRLa>|Sf8fwz0!Ezcarl82wsA$G9#bf9DeDAe3!YLn*&+m`l zemwNK_v`gu@3r>YYhTVj`)oo%gt|osLdkEF=oSKDCEN(>U=wVD?c(1?gX>wN+i55) zE@jPO6Wl1v_mCKNz`ZbcISp^1r&iJs81oQ4Aj`0(AwWgURR4{Ft0)LtU{LrJUDwEc z{O8z)6nGB~dWW0MumHN(Q6U@+-NdKEIj|5GQm$nK6})R%-)*KsmLuO_1>o^88Ql*T z2i~KF%#=f4JqclA!-3Ft0?vR5EEmeM`0vr>e`ihqPM5=uEmR2oO(YV2NKe4xPie65 zUyK1*2i@<}An1cJJIK4mvi|+~flweBA;?S_9R39r!4#NDKocy6zOU#Z*wIE0eMsW3 z=~`I%4L$M^@w*s1unsm6_XYHAvL|6{}uv(UXq(LwR?u8vN<{zw3Ck=urKU0zLKlE%f{ysJV zY}rr4w=sqe@Mm9>nQ7@FP=p{o0W;3)3N5DKC9o0R1_x1a6)b>tu!gvpIruR5{H{o#Ix<9K!2GvfxeCO46J;W2EvavLlW(V z?a=)O4W*n9HsL42c9;u;u<0!t@)-?*DW9?bm%mMmK1YCs!g>-yUqe@DBTR%%upD;4 zn7`4*Uyu+c!eZ!$iSN=dnDQQL49j7iEWb}V*aCemDeIz?OrIc9<9>5A+>kutGm9{Dy|WGFW-2D-fz=rpY=OYJ`aq2Se?! zCh}m&*Up;4Wa#gAFf<2tz{T)}sDq&v5WN` z@f-|ohl#L57#lbk8uTqKjyo9gL*LO<0Lx)Htbx@qX5hh4o#=2oEF5$&v{#m4%uf72 z&`_8Q{jd%eLfirE{4VN z2Sb%G2peTNfi-|BV~O8Q4^253N`W=g$OmImh=;z@XgI7po&2(#MuYdz556tHk1<)Hss)(m#Q?XcxKdIlC>PemOp z!vvU8L<3>Woiq@Z!}1RH|6(>l4MIl=3B)KRfh@zAA6R3U0CVr6#n68bT?;#48LYgQ zH5Gk14S_+pUHtoKxcCoI{zrNMroiHdX#nhi#XklRRIzxYx)># z42#!L0JgwY(Em6Mhvk)YEeyiFFtLhF*U1{g0$2mfU=UV|zLt7mC2S8c6Z13`!eTh+ zXZQ?*2?n7b##ECC7Q$j!{w!+>D`68%e1VE#&5P^}|DmU9iHF6o7}mj6umjdW|4TFg zR>DAw7|iU2zL)9p|56c5fh}+jOni-P1^uuZ*1$Sg_crB3ucxQ>GN@n*OlhP6umhHh z{x0#t_lSpeAJNeNk^i3u1EB(Dd^-q)#jqOsKVuuh!p|Ayufw|C^Ng~+6Uq)Uf%QJ$Zjj#r8m*ttkPzOwe?gNyEK3EQuValvv$S=#V z00slhl!-Ar7^;MIa3f4SBN%ED9kxS1Y&l4QGlQX+AQhfP!(ifE62X{@Su3Y}!n|_t+|5dCZLK6(a#MLAU z(RGSMuny+Jmd8j0JK!o9gf-$nP9o@gf`-7tr-GqDykkD4iY|vOYiS7dJ% zG7W*buo?zoBlQ1~2EjtOSNy3oD3ay0L!n$)4j023SPna2HB8B-9IU*62J}O}@K7ib z1}{1kDv;%QhXSE;W?FI&g*L*vJPOEi0R^H+a0LZmG4#WjtEmY3V7V+Wpdy&NkcPr0 zxEH3}z%$3u@OI*09jun+I}U~FVEIz=dC->y4uvY2@!dcg?&<9&!GVFkU7=#5dk-w!}22)@q^uvuXH&9L@W(uLZKZ#bdjbY9G ztSQWWfNcsBE7+FM4}-7+CdSeO53;7P1+IeS53wRJ2xE?-{KGT|*1=pD^9WC2!%A2O z19hwEGG_db5)emVC0z+~pP(XHev+{Ym9P%h z!6vvJcEG*D^)zT8`JjIwuK`WmKmvrs8rBq6!WP)^JPm;US~kTXDujiw5SGDWSP9GF zMpy}(U=3`CO)v;s;GjQ{4<^DO%!Dy7vBG~~|MxMo7$Fgs!xUHz{jd(^!tJmScEDoj zK8A{+4_3ltSOfjA4i>;BSPWa>D%b&QU=TLKn3q}605d*j_QFIMGnfXz1n7q;Fc;2& zg|HA7!!lS7D`6$v2y0*ytb^^a2?k*c95jSHudwFOw~-Zw9e-v2uVW_2%yt;_Dr*P} zp>HS!Ut>+6|8**WLAVztZYJR{8uBJx2n*k$LD2U$aj+7$!^FSQ;Nh$gEQ57$Bdlzs zypQEZ_Wwj?nh-K!;=5ER{5xwRI*b`XOJM>G!b}+RK5;M+mO($PhJ~;Zmcw>f1KlIZ z0~25e%!DypC=V02u>Y4a<4355g|HEp!**B$-N%vuCcqAu31haB04BmR=!ey?5H`Yc z*bZx;JD&0|0d~Ml81n(;VPb%pGG_d+8WzGvSPt7^4Rntp0Zf1$FcZc!kpL#bGU$iZ zun;!Fa@Y=Qp!+z=!vxp?Ghxh!l!t*tX3CiH!)jOv8(}$Yhc(bWnglQbcEC&+^AQPP zA}oV`SPctdBP@sQum-vlC=U~02h4;q|Db$=?ElP^A^2f6EQF1)9Ja$6=sunVFadVJ zOc>Kl0+V!@^HFUdS@6fi?ePFM>@w*zI7)XT*U^~o( z0r!a{U?u@p!c15P3t$T@gF#pgeP5CQroeWX3*Cv7hY7F}X2LpH09#-g48m&Y`-<`~ z1-8T7uh{?H6G(uN04rf8tb+xx1(v}etcJc;62KJL4s)S-`SO$Zz z8v5EO4^v<}%xw#h;3N_tB*03T3F}}1Y=LDk2&?A+hHJ=8TTJa025#(%!GBY0Jgv~7=+c(x03`g1-8Rt=uV~_ zOn@yg69!=cO!zL*EZ1fGMyY=0f*$%EJU$2{U00EP!>e3^u`P81oZnSLla<4rX$hiTM);9+(J= zp&tfevFM!?ge|ZM#{7>17OeY)E1wkNex)KPKk=)9@-x3iC_n3S2l%K4J6^G@d-$1N z&C7f%27|E4;^#SKukj&~9}M|6@!8M)Yse3qVEOA5gq3gcDY);ip~AQMYzHf0IZUZ1 zp5OfB3i)YIW&E&t5(S*T71Pb3z$&P%3xW7cGk6!l-Rx3Ao z1`eBY^uExEQqW8ZFeZYBpN>|WH+jamN(ZU0;pAP%>YSh0V`?+YCxv6-<+=a>~saq}%um@YZz zpl^#;t~$?{)2O%SQak=JC(z|;!awQS=il^*Yi> z`)xMSw~1=W+l+x(6V#fw@vA4QJ;IhGHNBp=A1A3L^`0@2ag+Cjn)~S<*;4O0;kb%Z z_JuB%mg+T=?zSg;&_wg&r&H9?4YcIc>H9)2xYQ-DdyZGvG|n`dOiEo!%@Kdb0QaI+dG_+HHt6sZM* zuheqET(w^CrP?C6R_zx2M@7F23e`Blk7}0SMzuh&ODz{HQ0uj~MR2>?E%-@AzXukp zaljROxtjeRjd@Zn5H(3H7ksGJ3$9RG1bfwPLAi?lI~b)%QGpxP~JqKbYWyraemveYcW$7+EfS1lLpROjimg3vl&WtoCf7-g{N_R#aLlcuLI@j8h8){s$!fizPHhoP zQo9A$tLP6vs~RUrRkH*ysRe@L)N;YYYCUkdZ&zDCpr)JDZc%rt=q7NX8Yg&P%@UlV z76>+|<$~dAy`Wre5gbyx1=p$Q55ZSzoFGTd5;UjU{};bYTn15Y*+n%)zcq)Cer8IJ|^gW z75xdQS80No>b6g4*sbcZPdrz#bt1NVPGS$4v>oqOwO~6nR;UNJd(LM3v~Bmya78_- z#{biE8slKWKdGx;y(D;49S|g`v`-05QV)L0(!J_4L8=<{FT`fGNRX!*{)Mr z)@=u?(Wy#zaEQ3|Z~H=YL-%C&%P5ZHs%?j7ux)$0O%9;U7ho5u0iThiS)C)G^3UkR zv1`fb9xzJw|4xG%LFF- zXqX)vFY`>2rW!vGsml!P==0uZ(qncK{+XsZM#hu zIPf|B60g#}0GrfuL6O?_h37&x#JCogI8wHdXxG7gp`#^(nWQayIc0XLwT^wG<3X_# zj^|fsYMa<^=i?VY^4hI(wDU6Uh9|8QQaxbo^XP_#j#m&q6YRY zKw%?)WeSA%;wrm9n%K9JuV0URbc@w!mtORh=Y*l}WA|KnIo-O)N~2!-${ykot)Nq# z(@N#L)Ph!eJx;wOSf>uO(g!=#&^AKeR|^FD)$48cZZqm@3hY;>e9hi7RxJ=*q#pa4 zy{A((d`U{&uwoafU5Y4d0N69fv6PtpV*|znaxfzFXC8?Nqr* zZ4nGnL%*dtW7R3&dd|MA;^cH|K#$dyZ7$oR7JX`%F6-R+J9+_n(v;ruGB}F)S2RWK z`PMUq!~Lk8oFVY0=#I11 zDZ4z!M&9$8?u2h%&=ouNPqg8RK>DDY+ebY_S%I)ZRY3bH2qNm5kmAkTIhb-$e zD>iqjBfL?(<2ui;6*dh1#dBCNf+3h)gXzh&j z?w1;3TQY(M(G9h#4ZGhD*UMVIuln!tjE)Fst=iUJSMx}b{?22#aLbytUH;-q?ix>Y1`XXyy(DBsEa?w7{hFS?ne zqmt1%O?P;v4qIOIONegnp2Th>ZO++h@(-RVv#QQXx9s9GVq0Z1N!mdiyOPdLx1Nwv zJ@iEMX7uG@x_b)SU%m7L2Zc-2q#x;>!HZ-K|D)#r=s9*o4aG{%OSiJ6n3-hj6z~xu zUB`bwD}DVpO8n>%t;9}t0-?k<5xZ~kFQMV;!yi1OqRzJSuPw4iX0(s>X*g`UZ1Wav z_ASILw`+;|t6j@wMe4K;&&XL)L&TCC|G8Ggt#SSOR3*%``t*tE7ga$w*!viM(wuaQ zFM%!hs#ngCk?kBqq)9;%pU1C6O_K`jTIZT3`B~?YhfUodC8kN0n7eh8w%Sdit&+wGcPu2rE8gcsdK`VDiiqdQ>T9ZnT>kLPWrAI z@gIirJzA@^wNKQwqMfTA{10)L+ghA@6Kz!eMd_A&{CB@i9kZA9S?c!3#+SS7)k)^m z+pZ%1%hBo08)N`P-k>J_*K=INi|QQ2enqzUKXu1{Nq&pg;%%*2eTWuWH80)jwA9GU zy(0tiQM=;ebZak-c7LS%ZkcOF?2x5>W;jqjk`q{sh66gM2`v@B@y~eiRI|A%(b*nGP5n{Tv5&bpJz(n zq|4H+ht;Udy#rN_+v^^g%rUtc?H(y(awRb9N6))lZT_EU%&_R44nO54|4! z6ZPPwG_7i%Cu&61f^_R+MwAmL8(G^U@3YNdJ#JNZ1*HhYez3Z|4!7|EBh?#J2y6`+`TmNe%BfLB+lup z=z7|!1K6?7A)t@FciG1m{G6h6i|2g86IjyKnc^=}FaN?#x40YB=f8MHj;OjZ-S(u2 zOtOb&(v`9IIme-XQ}32N=}vX(es-GARQ`T;q&;P#-K18EwpMFvY;CssH(Gi#d4D9A zyQTL*N7}h@AV-Ru(yb-TTeHjiEOVb9o3ym=O1Z?4c5cV7xJjiSpq&{t`ud>r&r)ID z-S+XhUk6=vbj>g7Fa5cuu${@qNnsuT{g+?V!EVR9TwiUJ|tb)`D|8 zWgd?uTeZ~lak)MZB+`=E{LbDSk#D!nz1r+ojQIyCHqa^dy>=p-a9TI$s=j?j68>Nu z9|Pb;<5b$t#Vd7Hg*bQe(|+fCWSjRIvkr6CVbf)s>$Mpf^9Ocglk@ebrM3=JEEne+ zUL#;~7TV_I2XuebV7{>4Zlr7U(<=2>PeQ*S+8yhEQNxd6mDWnCn@zNz8<;b7bks`Q zS*xAsN}R!mD@nD@3RA2D^XqlGCw{4tgf*sE%3yv!x6WysYeSW8K{;~qx?j`>URq!m zXfp*`aL(v15H-j)zclqE^7;7~Q_lxaNj+~quKTqZ=iBF;dZO;M&8M{)*@Rj9oNnI9 zw)4Fy=Hmuy;Bc{BPfE_CEA{1R({T3Go71fd8Ng-ces67fSM$bW)Gm$e%d^x)O zJtzi#_au5XdI|b7-Kt)JI`ky|F0DS4@r{Q}QeZoV{K49u$1>47&~wmFmB1bia*trw zMn5@B_n|kVCy8z*$&-w3EeY#6so#&0gwf9g3#32+dMf%!VR|uo5qfw-SE2KVa(~mK zHRu(;6W@p)sKN+8s<&Xs--Zj{G<(tO(dFRgOw!Ppk*x9WRFHt)`aAhk&^yt&lrsHK z5=k@%!@51)asy`)y%0SP{VYd>qL-oPp=XBamFTtT@nQN#ba{E&g`(S^#W>5ed95al zW(t&cpXH;5hJFT;Y%t^j3?3EYE577NLR%@YBQW%vb^{C%U(m`YSC*b z<4kg1sf=gMS13=Ucg*bO`_e6+oXE{2+%4PYh@L z`1{q8NZQkUzgi>gd_Zl6eTyp6t>^SLMrWiqYI6L8>DFJQz8*V6HRYQhO1JLop-YF= zq35kq-D6XEv%|i3x%m`)e2$J2(|Ed@A3Tx@gvjzYt98kKO_=}m?N@)=T}ct%7^)92Xcsk=O*qS0};-euf6T(_^gJ&znMRetnmyFLA4M)P}$ z;ro@hgforpv}z|Z7w5_0$}@)bvyJynks6F^De@O7GC-1zeAZ4D8N^8$t~N*!+t_c4 z_~~FTMeG$DYg-Mbno6wChpE{oP=+^F`1(I)%5-3?A7;v|wyir%nG|kW-a1U}=`M4g zG0HJ!3{%s`I?4WEj24Wehp81}93{2Xm|7CYaHTZV)UwdF?s;B!O)*xDV~w({xyEY3 zy4|sM#M&MDf-dFbv^U$a9R8#f^`f!ruyzeG%{bIw65g(@$QVv%uMJU4 zhS7A}SYgWKVk~pYTw_~##;UBjPpk)1BEyp@Z%{|(CeAEKl_@_1^TQCkD zqvjp$O^6y{JD0qudp3~^hrb_Vnz!8}6%DC1n+j{SW8G(4_h~D-3G0eux<~(Mw)wR& zeH>_#4x2wmOVX;Bbkai1gMTpft+TCbUe;C})>g;5)V5CltF~e|-aO`5$Jo|#W94F9 z?N}d1NnO`!E4l`2>L0pWSz?ckw>$eu+Cy(@ zvl8>s!{%eQdCXhd?7+PIu<5tWZ%oM)E*&NwHbaq;_Sk>gCHs|Q9vEnP=2hF8YOEHl z_p!b|i-UvhL~18Gk*gZTG0ikI-Zn$qbz6!tFFS00(pRecuQ8i2Cmc4H*=DY(&^L+K zDjaRva;k0JV5~x{zdP1mx1>xnjj6+0%~8!X<~iFu&6qKhd7DUDS$u=8HUU_%<|bpxt5CNbHeI%P(3tXC z)K!PgzeY&fA54vjT%=waXVy&KvgGUkvayP>COXz=+q%c8~>O5rxuM~?N3ZT&%8k>yxV^jBT|X})bdZpyS^6gp)F z*w%%njJyE$PyN-JSjzAUE?@t_U+Q|~MX(;HOu)AOXUfRCVLyve(~ok>+;7Ur%VO8X zm}dXLo4tJfuhUj!A=WK1>T@Y$8#_#yI*e?m%*D1GFt z-m5&EeEQkOvBr>>)Bfa9T|UPcXOg9y#&51Y`_=7-xIJJOxz7}l_tdWRs5K)f!n@FX z{iDCu9o>SFOA-5$r_{E-GuaYPx2zK#Ynp9MHl>QOx}r^~pX6n0{Z|@GUSPY$v7WW9 zW#8yd@TGE?k5(H7(+JxrFh(K9Rne-e+fd)>5_K4pqt)yol-MV=6n$skDvvD8;3{~n z`g|-`+txGb)&{POok>0gRO8p8Kj?^1^g8q^UIJYdrf)~jsqTHZ)kloHgtnqu%^Sy6 zbl#uU5@F|aYK^dBz1j>XmuyJ4wnPxz<150lO!C#FTjTnm&(z->aQLz+(Mw)bp7DIF zYJEkekN1u_t^Tj+)-`y}B=ru;V()x4-8v*6ndduS?#VZO`b*Pf@!z7oE)_f8J6OFr z-aFh?RIffKaisMQ-?kXz>}$PVm73{|QmH5M$tI^U-P$K@>hZ~DFS&BwPuE{x_P9YD zlg($+t!l}Mr0m?DZXGpHeMvQH+lk)6E^C+Cb0VFPv`2XoVO573FKqo$%}(@=2^8&3 zw?42}{(jfxe6he+lXmN6H50$6i(BQkFW*U= z6PsjioytZmz^@IO&#vZc8Od9W9)HNbkD-1_^bWl&kA;J>WqPdbMuPG}>DCD3p1Qnr zw*;M^DOgsP-eOW&yHsXnSUg?ay(OixAbMwnS~!7~&T*?1a9C$#hQ*Vna+^I>ZnN{z zz?w6YEfuBSnINCn2B&*S%YtJB1luP-@z+qWII-$G(l zdXo28S979z`Xuj|p}V*&?TX3K`LCkb$$$qJvHVe#t> z-ewk?Di07i-|xxLl(#D9EtRhuV!M-GiYFXK0NL^E&au9*6HvUl*@BJBI6 zf*E=bk!s{+%+{O?>v_@b@A%HJzvGid@wemG;=it&(b}g+JET80lDH5~tRRGDI-2ue`A{ zUTqU)tXAZmok=RkqN)2sgGD!!*zy8Uo^8X9xLPo*`(VOOch%jorlg~SHM57T924LXPB=c&7N0yT+#U zu138s>yRNs@Rjb)px9>6z&9 zS7zuNg60&>E@K7h;!n<$TUGYmsA(t5-Ke*d&D|(@5%^Pw-Hv2&R~xrqCGL}_>g%8A zPZH#F!*`?i+jqJ8b>Lm=cq?o#gm-#}XGCP>d9?9nJ2_Vd}=YpVaB(4N)WCyY5?|NGT^(QeY(3R?@Q=S9oZTAHoxP=`bt zsI~o5r0j5YW{P+88Fk>YW@} z$$Z=+%9F~e&3as=3-g{$Q!fk3gjNpKp&e*tPm8|WOr=?@?MywzcQf z4`{gok@(FOO`Xq zj~SMnCasLaSC)SC_~M!RlFzJ{#229F5I;oX%_Mp;dJ%fhaVq0+6?zGJafGBIQP1bd zk_p&I08ic?mqkNUi`Bd=@5te;RQ#(Pb!O@38f66&@)+3v$xshxd5<5Sw7>T$*zN%W zO7>@{PwaqSGpx%fX*8ZxVK%1UJd+aAj?Q5E|yRT`ay^Akr zEbvE%o?+hU0NEDh=t*AHHB%1o+z6QE9TQn`Os4e#KQ_70J2EneUsR$N&ccsBR;|E~ zI77WOi$g;_Z~FB0cW+f+&f-8i%oh9IVvBp#gxNH5w>oDwjhtv}OVk}`uKE)7^lUn} zVwBnnBXZQw5_83ulI#XG;ta|itJ2Ou^V!;VbuHR3YjS3hP91-uEF{i4Mn$p$X^XJUdJKH`HSPFEac{|&$Q(k+k4aT*lS`1uzdH1)E7bToTtL)brRL4y>Z|jrOzS^A;$)mR7V?FGdTgYQAV=wf5O%Qg*(29?jKxwfgvc>aDs~ zxi0WdJg(yUOzZDbZ`RVjJY23nvau-paeEP4zet^XfgE=hsUP6nseIov$hikum&x=~ER-*FTlYEJM-qvnX{}$~j z6)_hr&(yGz}H=50MD%ZlVMN30(_qoZ%Ly)iG^#fGlF*c%m7Ph~GltABmv3>CN3o6ui|!uFeJ=7=n7 zn_77>7o+np_Ih1aBh|u-IVGfWe!G}c(q#40#q`S;yg1g^|8n*3i|Lm`Dk2x{ep}n9 zCgh^Mug(!I&DMTYccAr+i_fygscSFw4vtJ=Z%vI?@8mM-ibknD&{I1m%Q}@U?dD>5 zJoQhWFHfbMpk~kajya=fT$XjIZAup|bua6qpFNiDjbT@79-n31B5TC5^I)vZ%CJhr z&zX>AO~!P`Zd7l~_fDAHJSofSD-mY0w}afdLO;O~p>&M=p$E>T!!GfT8CG&~mj1Nb zZ@Y zCv}?gKXapXYIi z={!w&^1TxS6{r8UvL<%>D)b3XBf=Uff8?bZUp|o9D*>hPav11DmuqiZ=QJRj!G|87 znq}4YF}nS0nKR{fw0bSyJHXYPp|c%rv-faxcy34#VuA;NmR%}}S9>n!p3bJ|-`3NJpOe@7h$Q7&&?|Ueyns!ZdYM{MKyQ^? zrq$f~;P@_mFlr1{kgA(sRxvn@HYzTUDTDU%~q4U8!ym#$T=0 z2x}Lrci_;}YqPA?P9Z4y=Da|EsE8}MnKex?ux}YklA44vZ0s>zy>19fMSDva3)iX3 zt|W2X^=ieH-jU~3+>m9>#&afV+?-MdJo=9!+D|;&mksul+fwmj{N@|8?A@Hpjd%%@ zLvJE0a{rhveF3x7;sw9`ul}Do@D}6Ecf9Gg_watRlQ-cdj_KmJ_@{b!W-25{fYn{5 zps$3dJB~L=*V*2^j#r4c)$uO1y&HZ#pw8xo#>uzQpv67*2NtF6KJ+B?qnWq&6DWO? zjGnVtZNAz&Cb8s}EQ?R_mfO$JH#e79w2zC$#I@p=$k@I}#&$6m!zV284hZDk!M-oW zB$mnELkKTIU(T|vo1YUozWlWt>rTCGf6tFu!q~uHCgtzed*V{|N|_{oW*M6zkY#1@ za~ty;Gk)^1tPfjdsapaH2#7DqvL3X5XfU#j#d;Qd-p@)PtP;JoM0pl+CDU4#Wvz3m zv-apg<3ij(^SxR6Q*VzwFy(GW;_|HD{JL=tdLH^E)ZpAel8un~ z3U{n0bcJpdJwGl(&hdvD?(1G4{cOm6naOvk}6bJA>R+iJ(OjA z;Zk?<)u1}_TE2_yT%{IX%Z^s{huh^!B6;W~A zCu({TpLpZ`rEVyq#qn)w4a)(mJbIp>^%Jx~p-lF$WFaCGp&s^%B!%sk5 z%QzP?$ri1~ulP30suIC`auK}_J!xl_C3mo#+Y6#^m-ybg*WQ0SFgkatd5gSb`qb~u zQcD+kkMEQEzby5aMcxs8lJ;e(4^aA6{gP!p*8K#q`;_W(M%?}^D^Kb%lU+Z06}s8g zY)95-J9;hpIrhV{UfFf_BE=oZQkUQ0Jw9Olnq@sK(LH`Pn7oo)=xf7tKYC86w=Q)Q zp!2c8`itNK=ewfWvGt5Qsjv*c7XN#eQACo*E=(_+Ui?W_9T$SJ+brH0+eu8|X+ z{hOy}J1ATft>)oRPV!{yd%IE*ldQP>rJ^cy_Mq-5k-1EC?(4jKqjzFttvA~WMCk9` z58cREPwKCREoOwY_E*yvGeY9y)D4R{Yb6cHw$7C_<|0NaaKA~9tCtpY)_PQJS?oP& zSn9xRD_^3_lnkpMU>yglqi-Tx$z5vpO?<`JdQ7&}*9n5sSA#0p?C95sZr8#$&oa*> zelmXE;B5U}n(d#X&sWBugI|k(x)iYeoL>H7$&b%CztHV^Ky?F@DYnwdZCz;wNPD?jn6Vec~o zX5YfD9d|;uHP|E-NQH?UBa+a=Z&qcZ7ondN7N3h=g?>($z8Kvan{B0q>Hd2DnlOKk z;0zwV>9(ZeYDq+aiK3d@$TBpG>v>Dk+iLu+-iagQ$7SoU5+#O7dcw^PS%IGJmNgu% z9=z2%cyjB6-us4BFh};>iP`!BlUbQ0K_PnHMD_Wt3`TxrfAno~xSpz}-^P$HnX2Z= za_4k)m$2$jYQt?Dol8>G=eNnx`P6Ld+kSdqnZSKBS5cOly@W&+es$LpcGBjvdUuVi z(VR!<;%{%vn`>8H}`a9135&$Hb@bzSNo{7|;9Ty>tOKEIu&R=kpJ^)uB8WIWg4H@~8W z71I}-p{5J-{;K8`GwfPl&F=M`l*G&52kU%QZ6I!b{cG9!)NSuqI^SaaxJ}tsp;Umr z%#~?=6t4YM_$Byl;^)V<+Ajq#-|0*Kjrc{cXIr=E>z(aV9gD|Gc#k_{9a7C^HSZ3Z zQL#B&|H#yQ6Ci049%Z%A-;tiD8T@dc*J0^LJH*e#ud2(|PZroe?f3V-?2__tau`+f z@8D6CG8XgR%C;6#lxCy~>`^JREsWuH7)LKvyagrDI$71dMf(U zBADJ3J&4}?4Y`40QY#4Wt`qO>7coHgS9RH zp#FIA;~&L)$?;@+R^fT&adg|dd$K8(LLXd@bb;#Ai?HYLxTN{-AQcMlf(fS-(Bh+guE`n;5*hxIRY^j+jB`9@8@ zOAbrlsvCs$mfCwL*;uF_?j%U`}L>7(}C#V%UmR-UCC;8P=KSiBb2{+MU( z4lk3lk;LyMzNp^}>pa_+w`a;(Csr- zW~>Z7uU%2DB#KsBm-0Pym1l-E6sPC8Zxwz$`WvE~Nlsxk=p}r|RK|^+)|eROqi;%4+Zw#f=+;#Z-EUj)iu1gwoy zTPf!%I$G@!HuFT=-F*EMf6NT)1IqI8h3`%d?^H4n+9}t0j5_yjuAwT1%&<=BtG?uC zW|96Xjt)!J=DX#XxojqXJC})rkqj(Z&r1B}5z4cS4W2VbO@@K0Ni*#C8TQ=U-D?S+ zJmWW)2tM>U^rNwzN!BkJJ?VGi{phK`6JLOyr{jB{nTs)s!V1WUU4>r3_i6X=&3Y5_ z&Buqu2dgDUzKqSAO0??W#^=Tf@+1A=VdWc0W{ z&#>gp8;sw+%OWq2t#vK!_kipEJ}cc4Y^k@Gd!t=d&#JAQ z@9Klk8TWqI*{Wf_cc8f*PDb5MNZbo5{XXxMq4Aq$*vA&9Ws+L{a#x&s@ILS0ggoqN zNRBPV=RC{qj_!A}Kn?1xRPPA2`#$ecuFg8ub)W2gAFAWZVbw=!b~%UJS`Op(@;0?W zSn-MaSXlHg)nzY#p^jV0GQT;Py^>Lw)S?!$JgNT68NJSY(z=v&Y#{VD=6i0~L7&pk zs$r#9-tE=4(t8r$y$rbDD{sKczu!A!=(BAY3jfO-l@DTZc>H!^hoPY{#*+0tK8-prBsD?9Q8C**q_hNc~Bma z`;5I<{Vd8lWh;wOs??|l4=dHSf;o8TqhyP+TcZDyF|plFQTib3P^H%XUX*NrgwLg- zZ4Y{<4!xSc1Y<^f( zF023ZgNas;=?Ff8KJ2w$7)CH}5gWIPhwI|iIf9GSZL4VMz3Q=5^wkda*($R0 zp07u|R}p!epi{Lyf_RY{y4rg^RW4sm&S~ni)p)!vPftN{q zM}ncA*L>1PnXT-I>Su}SR0AHR=2~^mqjcyx{shbMvJ!Lj>rFG6-A-`5Dt(kyxK(X= zlvUWI4m?WHU25oK-V4dF=rL;DCEz(t##F0XE6NV7Bz(KtskzVvbG+>XMV??joYYjC%7^i?m3GEFPV zC`qbKl8zTB1b|C2*p8Fsyz^aNXz!6TqIpI{ds z%OBI}xl2j2GIvU=e~o<7Rr@9vC~D(oZl<<5&@ z?XRZGxGNW@COt)=A?miL82Jp6r^uA2wh3xgM3wiRp_}+qJ@$5zG)&Uey}M-NudVW) z?0Sc-D|z458bpO7_v`Dl9x$> z<iOSbPsm59jiu&9glB7)w z5!Jf12BoD&ikhfWEv(gO(4bCHBTXY;qqI9}tri+I+B71hjvpn-sAugY zX&U(&r5ZHb=l;oFX)UyAL_DV})}YxYDqjnw8f!J|mF~1xS_={DbZLz=4SS{Ss8TJg z)o9SLSNdmrrPu4y8fhB&8um)tQERo(pwXsbuk?THmENFNS|eYhRKs5B|Jp09g*J_d zzvzmkPi#9)3;7zQ8f!J|mELQwv=$<2bZL#W8jj#&)onEl^&M)xh&$Al8g_$b^|Ro8 zHS~GFU=;8+$>;6J#{|377DSc$f;V2pz2J?K2Y+Ai9xLTA+o9mz?U2o~DI-jneM;wOVMyN3P3MZ>aNi(8vue|b*vwL=8l_>cH&LA`UkjxgcIiH%H)x?v!(MM&AN7WvCr!g% zZ(A=FfnS$sG-$MGMAX^dD2+6Ye2r2;l3J_LpwXrg@uuyK(n!vlElU@v=z73wqXpB{ zECDa9t>-|(JD}@{tXB=d74=uOr=HtNQLn3E4Scy-qRwd`s6v%CaM`fwo!%GGa@mmV zVN1T&*5G}rpNjt}Dk`J3?HW1rS`MwR_3|#G+a~{2y`E~%)}N7Kv&1ZOTl3)ou0KTY zH{~o9u`Oztir*G>=ISQzkN{)Co(%YAx%cvSVau_7dixKDEk7N${7TsJo4uC<)|rvL z6J8RwJkMNNISs5F*gO8$uw~aVz5M}U%g6Rwo?}@L4C!5<&MZ6Ep`V)N7#(JfeLmHm zb8d~!ymzL(JkZTH@?kRX4Ylw?o>aW(A{}qmi&t0ZWsixE{*&7Lq4%T#^?Jja z{`$eAe()!}kn7HQZ^q&oJ^O(*VOUhEoi)4KILF zzjdV%ZZ=$IxZ3bp!&eR8GyK$Wr{O-s$d^q6496HwG0Zl+;N?`ie(Opj+-$haaJAvH zhOZjFXZWe%PQ!hMk*}Br7>+TVVwi1s!7BkBc%>0;He6=7+VEM!R}J4Y{M2x#;XcF2 zjiv#HV+^MlW*c4*Ff&&g-fXzcaJAvHhOZjFXZWe%PQ!hMk$*J}FdSnz#W342aDkb* z((q=(WrnK_pEZ2d@IAv%4R;#uGmL!IG{A6-;S|Gc&46`*5w0}6*>IWRYQtv@Up0Ks z@KeK`hWiX7Uo#Cb9Ah}eFxw`d;xBkDRSj(Rp073?7Zam8TRH88m@G5pbm=_hhE0Z@ zhQ5Q^-+xFm`B%-whBbye4F3;tdZ);VNV8-rH*7L=yR@FWj1r!z6j_1yk(>nsJwC78y1h#$BrQ9K#C3X2Z^0 zSV*JU5%_gpOM(-YU;%1t-_a#m)J;MX9 zG6C}q>rKM?u!K(B5)-%S2ys=$Uu{@x;;P6e^;^z1b^@O_f$Mq%_Kf?`_GHi5Su zA#k4Ya}0}2TzptUC+;c}cl8nCo|>=g-4$4%XYx#-6_(Hmj9aMVE;>ToJ*L7toQ9gX z*6iMc(1}}Z;sP^|5V*+%ykMAW;_AZ^I&trrxM@d-`_B0PHcZlS0jnx3p%eI%2^?z@ zhQ~$c>mErmJri%@O2YCvah8c6(kqVf=ZyZbCSastbI=?K!xB1ie=>3JA0aN^_!k<+ znTk_;=9BU71QwdWsNV}DZiVqn3@sBEZxYIZOC~4oNfWo@j-g+Ok9b zX5uy-A+FQ-I}K}t0lkf@!V)@xznZ{xCSiEov6tzd`GaAVi7N@q=fs_8;vRM40%3u( zO+cz)g$c|%Lfl*vckdD6Za4lS!x9shYVrk~E_4F#H-Wc?1v+sXjlaRL$i&5mHPDIs zn~A&n_u~TAw%w55LaRRWkMPMNhYwWN5VIb?qfNDm8QVhBgDO7{Fe;lO5aR6pFFbIM31~HpGYRv;5;}1QOkC6v;)WOKO)|jHGI6PyGxh%O3@#^d zoC(~2&|DLSRXp4HsfL{~3ADlzI&tMDZpRVgYK{M_ zVY7*A&FDP{YXc_WZNvAE5ZGq?e;L-Bxcab!PF#nH+jN9D&lP%;1S~UCYXYmn5;}py zOx(I7#GPvVKN?nz8jJEOnW1QeNyXPQ7O zETI$koQY38Lfk)$|E}RQ6W5x4co5qApA*<-0+W6xQ1+6@|3}=JKsQybeSf!QD9}O* z2n88Ji%H-?R7g-_Sg-ervsJec!t0u4TEuXWZl2=bU{`CUX`40lhrvMawzVS58*1 zF7)01px0$G&j0P8m<`2nIfpthDe6CJ0RFoEL2o+blkqnMy`b>70P@==WBp$QMZZvd z2#SmGHwZni(2Epuf*~|rXawJ%a|^v9=tZGdwUcB4+w$n9|2|f3X zoWLCDMTOo^<5}*7UI2PdSSbD*iUBAVLNO@xN};zBdVc8nh2B%pi$ZTS^t`O+oa_Df zL$M5sJ}A0{!x_+X-NYp-fSwY1BcYcMJumd)-tsj_{r@s3`k|N)#b}ZuZMTG85%kh+ zCxo88Z(#Kz&{O}QSE&1f9)Aa*cP%sI2L7&u zUex+?sQPpjTE#plhNL3&214Ege~X|Owho2fIOqlcLC@KX66c{LbD$U$4y~RKdVzn? zD}}!R^a`QpFXwLpbwcRT`5%h@e^7iB4x`W;4Lxr;hq_l=y)x+e{z30{)W{6;m-=4; z6y0$6J5}Kba%dG7!@&CwdM`o#EdJ(0PnGjGn*3S4Qs{ZKp6UNWaX%E^$KO0Sj9 za4b2~RcQ6fpy&Pvy&!7P72wX84ZSG&Yo<>k+TlgD(v(%qhobA8V)57p86BN468JCu zrF%vH%LF3-Oa1@5e`>4$yTboxx$Z0f%>+ze{Vyh9;{O+Gz~SNdU#kJ<|7maj-}fb# zEP3&&MzDKufQa(BtQQ8CKZ3=&bX<1`%61^=;Z0xVdABp&X;s3zP(9C z^WtZkWK44G{!2X%*@Lbil-;Lknf{wbPk3oZWqD-&ou<;&sg-}nt0=ocv%FE7s;aP& zllznvrqMgrc0;LU`fr-bP(Ez9Oy-&N`3-M*Wd5B-zsjnAS5qEo^kEVGdot$_#80Ew zknPUZ^FkdsOZkh+8?ZEuUQaHV#nXARek}_8cf7VL`8)2=H*X?;Cs1|N#=qrtRrd3L z7a&{dpS_ny8oi(H-o)$L^0G8~6V^vOx&BEbjlN2)1@cIv*PZ--%Nwe|-||K(__w^V zqP@7*{Yd){3hY*ix6TR5@h0?&)!*?P6@2&acrLwlclDWSwJN323+eQ$s6RK&xXKCj z>ti3Tp0N=(~op{we6c1pNc3A)C-E;GYpU{oD#RL69y=yxj&E z&H+B)eAJK7cdzDzR+?2C{aPV-;#ua|(5Jp^Y9bClyRgnwc@RWKZ~)#Lpp>7uZsX9+ z?8qj1J@{h5=faN~$$nO$CRQ2!9MxJh&5LPNejUK~AP3-1p;Qa{3Wer=a9aZXo`UAB zA5Knan+=Rf*UpUJfk#GhLT{oba;YS`PXwINEHGcaAmKa+!ef#Ro+hpXM{ni83RUqM z^dn??~p&4bM>xtRGYVRO(A$5QQU(XO6Dm1){`*i0c|Ez=ZQS z^k+eT2l|lpvk*KRZEtJBc^Cp$xlW;d-Fhw0fQ6#!RzrW1;3Kco`Z+4kmJA8K3jGEm z@Vm?%_k(jKE4B24>LcEIXRaW1Uj%+%pV;L&s8NN(ca);!>Rsl z6^()*DhwuruLQUHw}F=mz6d-b_+#MN<&u4v1 zA8KT0?nCbKC8nINg8q&lZsh)NGrG|Ta#T8M!t$9gC=yjVA6!*WPINhVDbAMG&noc9 zIgatS9)gl{05U{e>wU zcpG>F6wD^N2V60C&JDi<5M+qXaunPn5;{R#m)QL^mpDpiNqw}Y^OEk=twq7Q8lI)R zPZk)xI_AIB{sUl8mU*K=wk9STo}+4uCb$9m2~nkUz};e|yANCi*uSlz$28aL{{k@s zzHAI~R8tZ7ZQ{Db{`Br9(a(4ZrH&!MS7P0820SKs4f+ml6k9h$$Fde(dJ(85IBA1$Q&Et$S;P| zhlbO+05xZ4#yIqE6#Bncr}(-myvQhAg9B8izdE5{b53Y80yieE^`oN1t-(vNg0eN* z0sMQ>x4iK0cRFzZ6j&7+gB(>L&StZ~2MFGlUP;mk#m^>p-n$XtlkV)lF#@awKMC$w z!F~uX=#gyj2Y7qIo6;n&1JkP?#R#|@+D*pwN(A_LDo!?nFNXiY!v99YY5gB6;J`UZ=`$Ei69yHsxMU9q-Wq(Z z;KRXp34S~Hx0>tzZ=2v52&xQ9u8G~?+lMi?7o#V@YYtE54d}eDo1o1I=Ju_%p5T4U zaUEaX2*D%>Y=9Nun?@!(+6?}&;9r3s2e*DQ=wzf5x@B~-pZ2w|{$B!tH5dv* zK45IJqqX2u1m6#S7r6ER2l(CNon%K%YjdVgj%RKS27tdN_)Ku+XMKIIM<0)ad!{jO z!dSfn?g!WRAI$MR1OW)_)LZ)kPACX|4En9X1JgOcN8rQ3eF5gbf!}EP&CEA~J1Zb~ zYbFb9XR*WPAh@lG&CowC_*dYrTiMTT2+)Aevt}lp#XJPwlQ_*Ej+%q20RFk zg^#*|xGr({4o-9z1e3wHl}|{w{}MO~z!BylwF(AbijwUF|5Nbe;MpsaYp5QzoldBe z;N6HjS}+mnDL z0dEX$6P*u!nb3b8e2mcF3qJFlKF3$TL$F*JG^x*-t~+O7@>PAoKM?vigO>^YN5CsT z$_29p*y4~NrQAakI|_a>{QN@`%bSp;KJD5UE$!%NZ#dn!ICO)_umGK|C-m*Rl~#Wg z^c{M+GRa^H4D8#K*5Ec6(A$(r`u7pneJFvFEkfY+mapOxR|o$V{%cn^r|;q?yJoa- zO7Q}v9g3A2a4A(qKF1fK_*uktuuq^*zRb}XT>rwoKJ>!}eOwJc9u9WyT4M(E^)KDi z;qcGh)4v{tLB23}9^5PVM(_e~J9OVi0QEGN{skR|j?{)+fS;2JK%KB91ew`f0$US9 z!6R_AjQyy(bbnU&1Gh-%KBIsBX(<6F%gdi>W~2x`(7Uv*W7p5YR_ z1A~^tb>MI&H;o^>4fOrbvi`zU7K{W>JjdMLyqpF3hs7O8gg4?Ql zow%;LxHwVm20tLqke|cPSmCE)6HYYv64!(?9OI@d3G}_ZNnh|JV~0~e7{oWQ!9i*? zeatZWxoSL`!0H!)j~4t1_zB|0?I!HUQQIN#Rp2UmD3t^J2<}N|K9Fug>Z48$mmrbC zd;;{Z0QbDw-RS*EcfR#88T?jJ(@PAei;xvU@G1;82>u25M}k+%<%Et4-iEktGMA`{ zF3@+?rvgy_JGTnD7J{}~P>$aZK1lEl;5P~WDfnW+)0%RkYszsQU$uhZ{c-}$hk<`1 z_&o6R3zHLl8oW8Uo#}Rg_YnM-rc{1Cz9$Mt7hc4f-YIww@PC2Z(QyNKso=}O_X$6n zz<<7weg|D2G_1{W6oRY<$-G)KPRJwprQojjyBqt%bYE8=c-8*v|>opvx_N^aodE%;^VM%;DSu!N<^gWHl_3O-%%ap3m}z5x8$#yz$z=#Ilfu|LH;=bTdV=MpBtei zn>qG@j}rQ4z-I}bdod^Ukl_6t2sR4AE#UhEe+>L*!MA~DHL)e*M85;~2wtlVC*%wk zf-VqD6Z~562L!(#e68Rcz;_A$DfqX{opV!fT3gPjN=|YKT7l;YJ`B8%;Pb#I3;s0t zJxQF}e-{MLBnfC(f^QT2LJueUrQkilQ*x6Fa09qo@a392boRRv0y~^G!C>6Cg{)Bb;KqGCTSK`jLLDpP9n()diOzFusl25@)Bb#8YU$W4x3d z6(XS?;QrT>d0+SmPvijKK>ud&h@bgo@c+mqRQ^=jnHj;(%==;RvN5Qxy3FPPb|rJr z1g1+ctm)FLu)%lGA0_l_UCISpJ%s}|hJSl^^j${?x{yH%{krIAY_v>=!S#mI(~r70 z8Dg7e7I-N*T0l95XVDwJT!4xYJVaa45#P6y2NxGOEZ5I)tqB`d!eYhoz(ku z6?vm<5Jc~N1dgIxm}BLpo+Yje5c-r8eS`fg`{XMj68!-BzN4s8J1&2PAn^q|ss=|5 zJ8{*OCHG#{g18Pm{b+Ix4T1hCp>O*{1bsr!KjxSKgY;}3-?lAhZ{@%WJRSNsB5)Le zQ&2;;GX_MB+Q10}rt2JYI2o650G9}`!UU+U+_!U8+mq8~1Xe$CiS0a5Sex&PWeY!F zK|cU}Tcb#n9zuvrGxE7gRe}SIKqu;Hcy;APLdCWwi0i%*-pYvXlTmFvb zRR1&&nBy4;{6?UZb+idQF8D61|1Jk;fF?K!9^B468~*L_g!YF#>p?ABKJiyestG z$G8AZFJQr7aQ`373tDn~bu|RhW;~TzgPXzC<;)WtU(F}3$GxvgPvhC%6|=)EiWh^P zMncaUKe_6FsEKtB1aTqQi~yc$9AE+*?T7wi=-YYXC-9K)Q>6ma! zBYS%FK_7Xlaip(nDBdZ#h87qO&CRjEkxd}!ZKe;LheaB`x9tII%a5wlK z!PkNZhjM@cX`JbP1Sk=G=qvDWa$j-O&k#hC1}fv)oGff5zxnSNV4_*Ku`xwHty_5ZK{or_v%Zm7XyA^x(OWYvS1o z+6#RSqZ^kjd=vApG5qv9M`k(y7>}|NR#(?`qxia){xAEP5qOzudQHtvcYeb7bo*7v^X}2KAg<;gAT(VO6G0A?Mu11BSZHI zNT5p)MG5+gs&nm5uDWs1|4()=QwO%m7J#SJV{SY38gRGZJp3{pbtMGW(LNZA72IxC zoY62j@EPboAb2k2tqWEHo{c8!Ph8hfNw`Mi4TG|9M_Bc zzZInP;lTOA;Oq+BG`VVrm||OyzPYLN5B$JC@c22-@s)K{c22-`)D{W3r*R3&P<3{z zt(wXFBJe3l$aNtvGAn@J0zb_h)^7~{m}3lb)Mr={Sp)i2WYhLO1ZbPa7P}B29Rbqe z=p*n7BJd&j|4R7(68er32H!*A2IpJHO8o{$apCAM@G`-x(K$wU-d>`_mx51Xet!Kw z4uS>3U;+43g0BI02f0d@p(ga@z78C^mw6X-#_zxbihZ1F&p494)6*boih53 zR2&J}5?ASu5=0j0KZyQH+V{$ZQ zK!+W!-*@G^Un@nE?KS%JCY<1(f`=U;_!@yjdUB>?9s+05O(C7AYaeqPxdUAusFzmN{lz9ra(XX8vE&CI5omj2wbHsxDf`g8AtR;19e#l{*Kkhk1X5_{ylgI zoSu@Iqk?%BOAik7ZZiMh%~-iK*KeXlA+S|?zMs_8`u)R1g^F+UxUW9-Q1;5)O6V1`3dRkwVA&K zm)I8UYw*JJ+{9PkBY^*14sc6V&ZxGJ9VJA`^1u}w`B`1H13w}3FDI^R^o@_$e`DxR z@=<&(I4A^*VQ@n4t(d-~CNzcZX}0tKsazr6|*(WOJ#z*U_&>6v33 zaosc}Et7lob>Ih0&oRKE5RQiu1glm=0rQ= zZ1M_tq7L&XP_WMpude(Uu5)NfWyYT!$MJbVlc9>!_4VM)Qd|7J-Ms2cTPT z0r!2t`n^$e-++hqF+YU$de#U|H1R2Ox`k_w&deR<`HTf2bc!J`h#qF%2LT=dcO7AF zPt$wBgWxw*<^aEgC(2m=6gqFakx1yc=2ZW-s^^kGS5XXARFnOvB`^s5%#P+Dq3zbu zFU)&ZVuQ2b-qXx;P!k$Kwpy58l`EH0G#iHJ`Y-2^6l(0=u+&9R^YGop5vnJe1D*Pk~n%!-2h3m`?%k z1|9*Y|7(t^;I69p;=dKxwhvTgN4D)(!62${Ezq&h{8y=Oz!E3~#-ge-na>CB z3$AJ~pIiasZy5>nx;cuqUOECiW;ku+Z#4+RD_n~wV_yuq!_^a^agc`D=dC2H248pCs z#ChP=#$$AVr-NsL?-1M$*LV*5x5L#ou`kzfN7**6PmXjI#b@_2u7jBBx|;wr=YPeH zya+r5`hkh8{~7pH>*sFf_D9p_!%z4y`=LuQI;j00Hv(Gc6_Vz;oPrr>+P z)otAkX$4++0@}C}bGtF1Ex5|-Z8W<TySEA%t)+2AqU{H+N7 zBzW)!4!i;U6L57W`+pexC+p{nK4$(=MkKF0MbN zJ_C=Q;2OFU`n9j-gd$^@JNEY0jQag<9yo!lHlU=W+YfvKS8m<#S1$~E*a@MSiD zX#3XQ@R_p4uaz_P`L4L9aXuO6Y^ByL@$MY6Y#(toaiF(zTmET?4NEA znB!*4r<1-z=+-nAEP_B*=_<>ye0H(A+V`ApvK-|RqvIg-!}$I}0{U5#xB#Ih+)JvF zBOPCjF~jVCj^oZ`pabM#Q)dM@8VpB?rChSx!S4l^Gv-U+aZFszq5sJwif`upNj(k1 zWxx8%8g%Pn_%AT%bRCxP zU!1U=>q>ABCXh5ZngSk0C%pyS(VRMCv=R6Amf+7>Kf^eo=V=q3IrdmbdwZMzzZ3?? zz+-sOZ`;1{6izhUh4nv&{uJ=IsL`e1?(4Y8-hjUI8U&u)`RGoajvSxxK{A`ahV(RTqTd8yL7R=QisCNA2jQvQ9MCn)R)tzTmEh zm?tW-!7}h@RZeu1O$gk51P_4^rLyFR7SOP9RVpyxJs7m0)uZV|9XX-B;6p4w!F*R5 z3#Nj{aB^A%ef{k)?T3C6+K{0<|0%W8I=YHW&RvuXF>C z!jC;^jUld|LKTU}XxBS12;ag1nj-Ln#vn^2hW9hXj{6s&?;gg1n?wI2@R&I5o(7LB zV10Wrnlp|2mJ>qfssKlAjUbD@kJ#4`FL+nty2Np@tiBH1^(?n>2z}@Q@K6sfSZxG& z7d+h2bkcF^4+QuVf*4M(Tfr}y&Vj?;o`#G{Wx)jSKyz+OJ5}Eb9^YQvJ($tPiRo=C zbl9o+2;`oD?9Wbk^#bS{Z*jtxB2G7O-yG)EIlP(*9!68w1)mQdXYQPPR{J~&^l%P} z;k*e3p0S*$?KAr{r@;^w3z}*-bE1KvT<|3bd?~nZEEjCKsd1W>#zCO2;1c`_gImC3 z*c@Uz@nbf?77lPV^f!PfCKYd;Pc<9Bdc@u+`2}*9SU6Rq8yC9k#EN)cy2d699_Y;l z?}e^24m{%GE)@k|4j!Lj{5a}II9j6xR8tD~D~iF7+5jOg_;cXZs4wV*{OFr5@E*kV z8hGkfo_@%qImSXifsK${<1}^8fWU)3&>05zfd>Y0$*O=qWdh`=2yQdjz-yo%naGK9 zczOjKJcwz=j`r`gABXyq_W;e9bi~bnl{y21#3}9rPlNZE$t8ASMz0J$4?Nt6o90pQ z<=_Xg>aPvH2|P3akB+>lEIA56yeB)dS6CO`%7Nvg`BLx*0@Ol)ao_=*+p2;uu)K_G z^nr?)x;H@(8^I;shJ^MJ*KHY%b7c;IqaUFk`Gc!^GI*U?oM-^wCaVcQSAoataKS2p zFPuf~Z+dk{4s3Tmt%HH=T>k-&G(!!cS683SeyZTbMO)P!!K3wAf4xlz+~$(mtYJ_;V_%86cwMAw6RaSz-!%^}0-(lI2e?q?XpZs(HiM9Es-#w81Mbf>7$tZg0^esG_+Kzcy%TLelKE65)Dk?J%{4R){2K7!A`aXX{627H zr*KpMB-{!?7`GAiFKg)Ikag4vO_0W%?m3#7(T`8^W<$RYanm%IC)kR9_X0eDi|#D= zaqJ8j7Z*%RVBo>ZtRu%&tHEWJeh3~Nz$K=2k2(Hh{S4+*wt`m=nnWEHx{}+>cDjx% zP+nX}*%Dj@uC{W3-l^_g2ec!lXh~|0OXj0#@HU-7RrevTnCDdaBnmAUpIvvm4W-(;QJwVC8HK_V4sf- zY#Zl;2fpUs(CtEYGqcWdu-S zMYJ3G!LID*YgFk8a2JMgUHET(4=3vQKjGf4DzT&o33R6MCEWJ*#pD|-$2}}t)eFEw zddD*z&Df3F1fIY)B%0C9u@BtkHBIBFzUUNZtO2Uj3xi7+azf#~9N=5%_Xn4Y%v-<} z7AEcBXA`&=uY7V76o0%VBgYAj;C^EF8eeoT$CUkTAb2>;`pe<_9&jJ-kJ;|WXYI0o>J@N8Zp1%x?wP_vy_f@Gk`T z7X)%4{H`?+_gFs%k6?*k6@K>8EU2Fj71~dYfLFYq6HP4Ugy`~-C!ww+&;~Jl@H`y` zJ{SbVm3$#_`n6~^Y#KX)x(Y)-j7OWMiAi_>+$SzM)MARShrAE_qoBRz>~lo@PN3%R}3DS$NG!VSN3SG?~OQ33yh1=5LAAE1IRwn7Cek4!^H?Z z1>BR_+mPqLpR`<@C|?6twK$=c*P>G#hQNn2Xcaj64Ls7Nxc+jwX%b)0hKJF~Le$o} zM#7_e8Vh>@ECF|86W1>Ii~U32I0XFwZVXyKKZD~om80x5UX?CFbYKrg507f4a=_)n z`ZDk^7S>l|c+LQKeZr%s3VQJi;B=-osaH1trT(%Nf*@*&N4rv|!M*pfqx~px%@F$1 z5pHVRmo5f(gAagy0dZZh=pe4qz33W#t#5w$4WA6ihruiugz*fu4fqo#0KIx7M%4zZ z-;V2SJoG;Wmlt8r&?-wWC-q$)GhSUVNL!`_jZ_GCh0&E%HgR17Z)2{BEztLZN7K1v z_QH7%cpUG;{F%lEA*)}?P4IdJ%ohhBm@AeD>OrpRARgjy82TI?ah*`?QEsdYkkByj zL~~BmZjG7;9>qL@7El`=#C+k$Gm|Rm?CDb&1jK6Wmw({Z==7-*@}bH4BcV&drm-j5sfV&%Wo!OIG?g~ycgqk?F-?l#l@)^ql z&1q%}Hs;>m2#IcjzPx_@g}A=YC6}L-|9)BOs4Nobs`KMAuQmd-0FNP4w2T@8o*2iy z{kA4-um}PCA8?}fMS(E%efm{GIxb*!b--}CX%e}fJImd+S3Hb_T5>P#3WIF$NC)n8 z_B8GT4~PruImFFLP;9AK0{y67X6o^GD*|k{j>OZWFTmw(pGw7?XawuhOW>yscns&3 zTHqtW!&s5&Q-T@)cS7L#u$xh(lbJcTgZrN05?=~_7ChqOnzM6zot3CLu`yr}xV+&$ zc_qcyZ67?!ZIi$pzC;`78)kPF2>hbcjRg1L z4Zr;;@txoSyen;I#?|2RDbriv^3J{UF$Cf7xsC08rL#5wb{N|lYW5hHz=a8FG-_xN zaXs$CU-IxP0iXI0`pXQbU*3u1BT8pcvX7`Y{oP`7Cme<9a9MZp30xfq4-er2*v?qx zakTxQWZn)u@Vag?s{h%@bPNOvn=@!4Gsh}$FK!833H}XnQ{tzROZ*%3!#Fuz4E@Hm zEH@{u%eeqA=mP8ZA4LLvK6Z=Eo;ScC`7%$b<>2A7JPKAJz%K9zX3R?9X-{zA2zH~} zro522xoMKPhG)7CXo4OvNV>g4&c+efP2&~cxw#(tAv_>M%c!TUpHrM@DiV61xJorY z?acijan(T>sK+>=@$l5-NiKohTiF?0KJy#`9;!f7EFG&5U=jHFi(ALY)yrC-*YDT~ zSdp>%1_r^$xf3miqpUEhv_6k}yMh`69uyBxZU*N&b?0x?Epn_tEHYPts~5TIhNJFw zg3E`qN35T&tiJ|{R#}Cb6EkBIaL0pHX-CCJUkDNlxWwyBCWprn0YhVIrTkeGVR)&;9y$u29MU`L=$jy3_Nx}*OC43T#aWrp^%^T zSEJ0Gz&#i@LF0!S!GR#!kPY@CKry&nT)hn*AI|#kAkp8z6BC%*=Q%Z2b3*=CxI0?j zNppSE#*2Ph1A!fDa0ffGBm6n=XcqGeE3&~YUvRg}OMWP*4nsfYus;8nK4JhKzOE-P z!cx)pHJ;;4<%M%|aCv1g5nR>mZ8GVEsxAii;-^>ac-lieB{gZ6Ce=S3hhX4;iE|v0 zX0WPRf=;xzuL1c8kV{;j&!VHb?d^l7F5q%M$T08-?ho6ohWD3Hd~*fRj7vbB+#DNV z;GfJ1y^X-1g1hk;v;p*gA+AUMDe;&jYrp2Xstnu0)1iM>`_YrWgKL|!5cGZ?CBy!5 zd%~Os9>yZA6%twqE}zM-16SB_i$1D81`o~WnycwY+gE>q1IOkTfAkF9obkQG3MbJN z^C0&PX5I~U+rT4O+0*5;InIE~sjb!;jwA1%wIZ%-Fq>B*=YN*-N*Dz3GQ>Cp7zG~w zjhl#;z2;a7e(vEQ_$QY8xT$NQ25YS4gu-{SpM^%B`bBdH%oApU?cr!Jcp{Hyyba(} zh?^Pj&Ax_s!ROcjVwD&Mk6+9Q*{j1Hnwys)uIOo8xKY&!7$hch6D&f2ycf9y?m{j> z5%hiF^2|R6+=Hj29A2pqc*x&_=Y^^WP--1vb=MX=4lXxMrmy3KA}zRvRzu$nF82!L zgD0-y0tb0oli==NoTz<+?~0c& zF9flWwo8l2FX;eHRcZ38yXp?&W`SL+k8#}tP4E!7+;H+HxC`G9x)2Ffq^VN-@i^j% zz{L>Qo2~XS~wSuqPX#28wsxhm$$ceB0zi}S4ar@pF`h+nyn0e!K<9852p&cu|Ws5?Zptd zT|7!EpadhVL1%92)8Kc2D?D+u3;&0}y%SkKfWRLR*At;%Y-v8G_4TxAVFh-u*V6+`=m;cDw0V;Q%Tq&daJm0vn&ol}FL6Dbqxg73d-z`l{n)!a zUUwKy9r$$!64)}aE0ZmbgUh!;s=S3hCf_;Uw^NXnIFs~Be;ZgD?T!|P<$O&?v!u81swNcDN}Qd2M=P$?A72i z!Q~Um#s9#cA+Gy`+rjs7Y#WzCkadQKB}TJ)500XE7;MiWhr#36k7j4u%3D#RUvra% zk?57+;njRvbS6MBg#`LEJ4f7kxeEq9ytvl{`j3JKQPr)%KLS_SpE?ixwDC{FauXNK z%bb2LbsJ|I#N2O7(8qF|##dEji|OF<-qgL|(O0?~#T!^%y>0bR@hE#5{5QjCaqO+c zRgs&*lD6+~Lh@zHQQ$$`y}JyKmRcU=s`eq#_pBd&vE|%*Er*Hgw)g6q(EZ;FM?b^B zjSHIT;FrD220^hUVgzwLz9YEZei8H^(40DT4846m__N^UcSM=-_W=Y4`9|iscbk3% zk7FmT9exeBa{#&Jw*zrqviL{b#`J&8aV7NSma8e-sr+X6ZSQ3i+ac4XFp#gAy$3G$ zl^zCX6m|laUkI289^25{WOxQOR}3z1pu7zp@6H8oh5|d4 zcXHt9e^_AeF%KrL+cEnRm|tEpX~EP{co(w7adt^&aV6Owy?QoloAZV1TwkP~u0!NY;>+L>bv zxED7-g5dK%r1I;kSSc=lmyv<)M6<+OjnBhTpfZooVHoH~z{6-Vd$s&Kctmk0{SNv~ z_Hd#eN9>jC3qiOl8{A_v1&>VO@!|!41YCY7=Vhxew#gm@_v0?SlLJRtG>&zri(SK2 zcQ1HfaQVrB`QUQj?jw3Wphq`yK6nQD9_&`_#Qq(%8-kd4RQVOSe2Xb#FDDxQoogbc zE_-UPId!g(n7D4Y`r=ar&lpZClsLAr+Fd2PVIW_rI{_Zt$4zrb8V7Fh5hoN7ORVAG z9^Ag`f*QTu>gx*-J^q$J@C*bIyYU8mH+Xy@pAIg-_^Uv(y_u5hazb|awFZ}~*`DCO z0Q)&i{>}Kmodo*fQyiN%;a}Yg0}ndmWF+)9IIc3!U1S~umv>@o>}UV-;ns;26kiWB zxe>3vF`$!#xOdZvxGu50`kkG88@jtd3_D;<6Fn) zABO)4fqb9rf{%Fu3yPaodBpW730B}4mHM|GPy6%rvB>I zR1`C39t_sOAdHL3N#Oqh57gwDa};L6>Ys36xjU^RxZII&mFD`anZQi=GXgBMj>N{k zx4`8-h5vwuu*RzlKW8l$O;hIpCmKGAhh8;dFbD#98*MVU`h?r^whT5{V)ezXl~=*N zH*yo$H*Y=!cTMNMN}oP5qgI`Sz$fkjH#*3f%2)b&g9pUk&1vBBnr`riGUsyX1E?;P`_o=RlMkWxhz-NF5#1^Rgz+IPc0j8%J14pfgK;G*;WCPsFHE{$1Qa|Is z0SwDl;Qhd3Z*V8IKlw8QTwTe2?0aeVX`V`FO*c-n7s1hb66nsdL+m7e3yxe{xUbyB zB~W!fM;nVrIfKBXSFwI=_@4}J?*A44``wIIj@?j*Yq)Z4|9%x*ZWH|iJo&*P z4Z@QUMBd@ndl8k@=r96{Jyjm!X5fl@qNBmxgSqDH4?=~&L*qEn6YyWEIZwiD@Gb^c zoCLZTM8prdehWvjjhv`mUFO6&(cpf*SPLOQNAUO-9#{5s)R(v!KlCn?qjl@+|Ijf% z&W`Hhg1UyG^r3p<+8}@}gpI&o1DD?r+5_$vTdIzMd+?l}PVgqaYD^={WP|`7#YZ3T za0ec_kAY7IPlz4*&w068ik?i^$u z=3;;R7Hfy;g74}i-v|0`A>m$O?@fDgcfrQCU`g7x93 zuOUb*?rz9y=D$k)X&t@L!w}xaNk7L*CraI9@&f)Q;<~D%;)|L^&@Z>$#rO*wPLr^F z0d5Bj!nqvSKDdj6&&Bg}JE>-WjjG0bCmT@!AGq8^G6y`aA7AVKp9X_41oH9H4)72@ zF50~U8zeNRP8Sy!YkB|Sgyd#ZKX~~kk7oQ8K@i@|ZDVJy2zb(m<0y8SbwuBB)kmiJ zFa75j2M%Jm+Ib}pTs~;?9i#H=wk-F!*tjZ$L0p`_g5Uu>`AZ2JU$auZ7reZn?_11paHeGrp0+5emTNUD^QgzdOs_Yj_UrwdBQGIucz0Kj*%< zXX>AXn<0?fTu*>|>T%PYfuq(mG4RCI(~z1-bU3(tdwVgsYh53sU$rVb8v2eEh|j2f z4=%@d?Qc1-!p)d9NN7BG$Y0$3L%O(=UsKx%d2}xqWGU=+TP`+*oB)s1V*MO6X~uUP zUvHb!M^*UgNnE!?`1QV;ILZsbFc^4$?PUm!Vsp&1j_&2AK86~6T5}pz0qhm60{!ox z@4(hN-P+MNWtiR9|?dT{@J9QY;#ehSMwBl>T>fRQF9pP72#(vxUU%}w~}b834(mI*YYnBy??<@ct41CKcPh|gLWG*0M*XcdhYYzx3IBd!yYn@Wd*%iV6b zS$%piua6AW(0cF?R&b670S=Ks2lkhkaj8ncf3%LU>*Q(hI>*uW=B=&sKU8~_<(oP1 z2p1bXOkDeqZ)X2q#$D7p72`g1H71y~5~?!YziED~CEg5sObB?3fX5EhQM zgC_+4H+U2Y)j)uw;Bhp8ZL;6M-Ok0F(Ns)W7yQZz`QgY`T`sr}fpLmaoxo!vp#sZW zvi~jcKgM$SKexrZ5Q30!G#flDdUXgqD)htP5z#d3tUmk<;Toc6L=d=y!KdIMG!6F@ zrA~n-gnp%ys5vB*g(j#E9=MEaf^MRjqn+V&q2(1N@WLP>xDPzul^qqs(e>albgmiT zcUXPlXDPTxb6qMs{Qd<&s0|0OE3g->BO0&z_!DjU9(Yi6-UF6j!TJr2l~O-}D@^Tc zh?`n1g}{dZ*5E9-TLj4bjjK8c{qYFU9NZ=JJAy00d;O*co8cD_g5famb>tE(!bxa4 zxJR`8?cm-P#rGYelUf)hPe)=eKpqi%3wTu2)DPfs!E2r3f~zZ&Yqkxzo4KQG4f-JP z3WFfHU+^{HLBaQfhXp?Y9!=uZsM)`Brtu^Jt^UE4H@O6b;BLY11NRDE3ho#D8_mrs zUkK`-=7hq6_XCd#J_kH5_-b&~Ex7=D!QI47r?yRS8Un8{$f0XiJ@xwq9|9f}{0{K2 z;4gwl&vO%B?SmkGUSPUo${!q9bx$rqXK=UR*MoZnzX#kec=!*BuS*sbf;V9h7Ca6f z6+G=v_7fMpIk@VPT!2B~Zbt}aLf{qrad5xjyTOBk9|sQ$Uh6C;8U=S6V%&Qmhzo-u z;HqbG31))31%C+KEBGtm{$%c`k0A&q3)D&Qu;8`-LJ0)V2agLr0bKP;F2DlA^&=c1 zcm@Vu!FPiD1^*U2D0n(uROr4H7Ca9;s<}heZ=0Yu1aV<74P5n3F2PE0x8QGqdjuKFYwU`+~rR7+QpTL|8VfmiUK!2N<} zrlJ6Xw*(Ih-WNRD$4Sm;8U%4+uozqwBjFL>>W z+%#@*d)eO1@Eqkj%eR!Q|0}`M%X8iSqaaY_1;l57pZYV|(PHp~;Lm`U3BCzDF8D#> z^kzSOe?tgP!XPGi^-8+LIckUC7lB6w?+IQi_%+~>KX2gvZ>wk?1SP^?Ie1v`7r<8v z9t95xegM4a9LM4@It{SfcpgxIuMK&f~UZJg1-q~ zAowTXUcrxp=L=qyZj9=tbUZ@P2!cGp+kv|U9}1o=_zZBD;ETZ1nV-L@^&|vJ7`zRB z>W}16@CA56@YE_?vNFLh0*@zgYX3eE97qxRk>tg!5f3G6ucvNNbn)xMZ``2x5MuS2!g`kKJYn$mw*QZ-v(YN_~+pM z^PI=upAd{bFEGQeK{YP1Pw+0_1%i(O_X<86JYVn?)oA|H!@wg1YhjQl_%?92;GctM z3w{#ZC3qIyb=Psz9U*81ffBqI_^C6=qu?s=gy3_*%LHEz9tS_SdmsYA0b#HkJSO-t z@EwAuWOB)(f@g!5CUZx%fgqABQ2oG51iubEEco5vD+PZFJS2Fj;k5C)NC8Y1%fvNFSVTKKYA1oLBtBo{HN4);3a}D z0uKwm7JQ}P?}LW~caA|&Bm^n6A3;}XQ1JTTa|G`S9uRyYc%k5TGk26<2uffuTJVp+ zeS)6>FA%&geXvLuz$^GA;Q5AA{oCQ!2Lg{U7zdsw_&jj8;E#f53mye`mFLBoiHuyw zH>tq1w@sx&ZN3`xgJ+^Q)C3QJR|9Vj9t6Jxx4pX(*H@2G{Zo5%SpR(tr=LaQD~|Jv zu;CCCh)a=cz`cUc2G0Yx*Ff_P&sFMr4y(=rJNB=^A)`C9| zM}JIZM>g;V@Kb`n1zslj9?kWaz2gwrD*6@%3Bk{R$5EyB+r>5LcaU^dmx9}b>Va<* z{37rOaWe^6gN_iCz`!Qd7d$NZFvIChBhfTd&*|gYE2XE8TcF?ce2tDa0>>KQdiGqK z!N7gq!00~)-azo@z_ZWZP@MJ)y;~XB#FMPCqW|n71%0o>^}5$0^Y;UAui$a;px`G> zpj_og0_gOrB7LMOMKw@8l{WU$is%Taf~%LTVh`9)bz^5r%z<8cCstqXk6M%zJQ_|+;*}Ac%IOAWpnTL2>l%Je4*bR z+{?V&1Oq{VFqjGM69E>2j~4o?!TmzN6udA=p9a_g2m-?3Tktta2DB@!9+x;M^c#Q| z3H{5#LqdO$=6ZrzDGa8;AS?`m;3Yyo3?32s>%mKf{zu?Z;%0)eZTuAkJA^?6`r4_U z!D1pn9qjhuVQ>}(ZeifMkZU4O=zG9DLccqBzR+j3H|Zlp=5nWO@m;iFjxW}PBu_s z@DiaP1&;{*kHAZX{?CRxv;-0c6&iAhcL;-~;4z`!5&VGA9|;~8`i0LSqECkuYpiv{P33oXIJ^no4c|zX@?h*Re zfaeSSyBe9Ryj@X3a0R$q1n`093H<=LN9f-Lo?l*HxBpWRc!j}6@PhINq`x2BC-naV zK3eEk%Hb0Gg?=`1N6+nr!XO_80b$S&e2&n+9y}=YZwD_D`j3N$s@}-`-!{QI2v!P% z55dDCKpeb8=&M{VaYX3X1TQ_OkMVa21W{qo6MV-x10H{qz+*yx7We_7|1fx5=$AMU zlnH|!;0a-H2>g`LKMk&`C69uPrd$*0;C2+W26tS-;0g$`g~3(eZlNCl&lCC~aF5V` z3Ot{At#fC;tq^#t71vMAY~vINhZQg4lK6zfy5OUQekX9h(C-UgDD?ec1+FrZdwo~% zba312CxE+z{&eu{^ZGn7EQP==3|4{Xoi{KO!xnIl(BB81FZ6!__X_=rc{DNWskuNH zT$IP$Ck#4)j~4o)!2LphDtMvLUj!a-gu!DF%n=5gz=J~n1MniD{{whP=>G-268zkS zN#hn=;;=Ai2VNoq3;~Y_{cFKXh5iEYXtKVe9)e(pFn9$#mTaKj13w`2kAcU9{_o&r zLcd;1U0}K}NeF{hMv$vc34{LNO1uF*0X$uNwdM|Rm(X7do~^m=|905C1c6%^Yyr;` z0gixsg#It!`9i-|D{f+MIejz!E`p#y81w@7l{3)eZxr}wp+6hkFZ36I7YhC7Tj9ba zAPhFaV2&{O6g(*Oe*iBM`kAe{#37;I7<^@BHqU6b39f`7oLM}yN@kn-p$+}bgk%3R z(a0OBz^39yN$zZ%)z6B|-#d*wOBEIsB=P6*4uxkH^ZJn9Z}c0hgt$Yy!f<-)4tG|q zvoLY`Nyq`v+xsL!urdgzmfUHDl|H|T)T}UxBb;?C(s&sI#;W=upsM*29DQ->mK|>Dk z5)1;x%Q7s@)|leiY(?Q#h~C)yX9-E^d;US@-Ih1uXVmlPrFL-022O3`^!) zz*FA#ngB~vIZljt9r~Qb*cAT%_@j<+nBy>O_ye1*gIRi84inhTSr21t)s(od9gk?x zE1>TZX^koFR-O8j-+Mz3r)SOz_mY^;K3f~f01cPSau{PZe(WTMHmEa)+@Sp@Ei|4yC z>sFXbVjiP+K+#u;tC-E{Go;`y(cEjnBVsn#MqKwoujqvbzzYN~V?U0Hh|!cu4|cU9 zpD<`bT#qvUSWc8HPN^pJc?fN8Yv$-_?h8@F>Evt$JrE!I6F!PBs>&y`;%1t#I#3Mp@ zQ(uw3p2BW##NkUJsMemlRw2r3M|J(;`IL2WuvTVvz0O95-UP?;!s-+lop!I{91yF` zC76vbJB%kYUH9rE4rzBYOgCor=0Y4;%HnsC(NZIJo`(1YTJ9%^-!jS2H%mp&NbP_Y zHPgiTS)va3EX>7sz)xG^x}gK2p}Vp^T_JqtHL4X;*kT9?bPZ0;<$NP>G|7jC!WsH1 z@Y@jBGcmc(uLSS)q1R~EfqsOzJ_UNr|F3?2fxeXlW@4)MG5t%YaQVfYf}LtJiksBQ zteet-|MW9|uKqHg^9o#>9DjUAS8Myu1Y5cbfA>x@t9tc>`i^h;yRE&9Rrb z9@_b$oiaKxkBTKyWAK2Ov+{~xrn1$$V-#l{5?OaO;;V;|wOMZrPcH2B@ER6byhvQv z3d^{dGGfb}B^n=oOV;Fo%$q#vYQ=Y;|PNu|2hg!&oh^ zpIWs-MrU-#2CXM>rN^#HuJmlH;k2iJ=|L70;kpsm&Ec!&Pz5epRyCzYHbcUJjNHr5K!s;M7iQpA$FZ?ds$7FWpPpH)hLq zu&i|%rymig#SY*xG2}*AeX($uS)5g$mUN3CPKcRe19(7m>RrTjZ;O7Ayi83H*Xgco z!Rf9?x;49Sx;LgW2Ue|^k5!Ls_Znt<$(1k&Oyh>V0_`&#+?SH<=tkmt>Qbz(Yz@yT z-b>}HcR$QR53-pRKW@a%%McF`%dJwZN)lqVvKRURQC&ZRC&Z;n#^qf6gy^Oh6VFj< ztn$6Y#kck1BZ2Ou
    Ay`nvFnzM?JHOS0z2Gal0UBu%_?jlpbFR#zso>`U|WBM?z zn5fng*Hu&^#!e~py%^ZHpicKNchsaexUTIoCk}%UCaOze@H26p=#Dm=&^GXvS8!vl z+RT3ZsVwLOzF2h4Ry2W`nZ|SqIyqeiM}x`0jPe1K=wCWi8LsZ^iG2ZaJFyZF{)6JA^9SqGt9oKdRfDcjb>l}w53DeZ3zZO^ zr2(#JU){|Wwi8Xz9NZ_SQLph&XH&C=)t3V}h9Gb$0;AH@HN;(1pFVM4Y&HU1_lehd zxBz|@5!Wq#-$mTHo(3;5{;8(RxA*`Oeg;R;>$tfthrxhJy2LJ3Aa1<<2z|AS1K9J@ zyyD{*XVx81r5jh27nk_9^>e{v;`T%r;yT}fP1v1Xtz1Lg^x=x1PbCd~dD7rk_-Js( zizNBa607SxO8?T$7ZuI79+n<)3+jFF#CIJ1rUvZs6XH6nU6(L_3Gse_{+Afi?QI_2 zP290+hX{Ou5zyj8biiEVdXTuk_Zk=D;HWdWPYka~#mAe_d^^YdX}6fesTiW!(|fVC z7ANTqY`xk1Y52aerku)OnNs{C#G9Gxz*F$!#r$mh>DM@GhlX-r>4dB+6sI($lYQeJ zT&Sh!lc5xrcO|Y{<$$Q1tr)cdoW1O2Yjj#b^Vi~TxtVn*rT66i@g>eR_LD+Q zEN{&H#wOC6xDHr|8p(#cq0oQO&H9_bZzXPezccL`uG5fM5iB4LU8ix;1(p(b(f@{s zVf!%j%S0Qz0G<$hGjZym7pR0-5qwMnPVGllQ9iMTtK5qVn~%cS!j@jbMG1^bZjCz7 zKh=i~Y-=rl;`W+E3N z;oHE2;I<(1;oomA>uJ$qJK)14Fe9hli^i1JujQv%a{GPp{EKM(RxV!FoK}tB;leT^ z3ebebU3zoD$BE16kw$#otgBh<64#42k(oZ3`b6xP;qLhRUQ@t(P|UZ%|ER>(atwS2 zaWmnjl$n4jzneeB?!3(Gl%~Z^^62Jxr#{@qe%RPHyBimo9x($AAbs7Ip|QzrKN;L3 z&cluglk%kb({}^3Vz^;c3sK((FWv4noAV2LMjkwmHJoluiK>4*A{{k(AFdGGm}>1k z>Xfb=LaO{Be##%d?AH z&MvZ5Kd$&PRJ`qP&4}xq5+bLb#C1+lk&_?#yME$aA0!=p{5;C|ag-0I3VSZP2?j1v zum7j3>yM7QIO5++2wW0wxeG>e65yab!~n^K5J0SQNg++dA4f!uh$I052|wgW15Z%N zfm)DDQwW7}-o!yzOGT%S)z3*pc zXLfdHXJ>c6cUcX%is)akptX_s18i`UwKqq8u@z!VI-51XNbtss3mt8`BRvg+mv zR^P>%YiNVc4!nbvn7hA7k039yDxx))G1K1?>I z5$n)Aru3_eq94s7Rlk{m|(uU+-gior?;;hZOX}5D&{sDzr{22^QwfjJoMw(0f`F?Y3egBH!(ZLDdSF`e5-R;Y-dgm{{XWU^vUvT4 zCRZg-MAI)Pp;Kuq;7@Sqqk-QA1zXAfG(;8^CJ&V(gsqcHh zuX;Ve_M0w~91nBJZ;9W}0`o18XgOJymqz+x(l7`KR+j`EkVf%6vS2hgUn$;d^v(S& zFss%Z%xW)@yobnMR;jl&IX_0Kg16%sr=V+npoI{+D`{uIq^y+aSQQV#BaQJzkXTG)~av09aK7lmF`-G){kb^XUJFYg09U4 zvg#@)+gqJLxaSPE@Ib0$Che=onRCxJa@Y#vMZ(M2w&7Nd!|A~oSQ;Ia7sohy^=Su8 zHNZx;H})|kNw_Aypc!qS>07SjF*MD`OuHR$Ws_$e*4<2jh0>J@!J>@F6%SyK_N4w< ztjYCjNNO>Yx`m24DTqKI*Vns%JHfs9yD2e}<7aX%0j?&K!~s~lW|AW&YwO`H9Wp;c z^|})X%8#ZHqw%9sz;)NHK|!#7(N`7W0ebVJn&iwQ+{;GUD#Nd5ZIEID)>hg7ZWv8b zeXBe{Y$4_;mjPE3pYshL>ZiZSu>4Wgi?Eb>7~skrFICH!GfG|rZSE2vtL8aG&9jfh z&DZ4mWhB+lrqpB{@iU|j_l$L<*dCX;V`2UI zB#_j28O(7+D$wPIQ|V@1tnwous}^<-M6%iUOolmMHDQ1(G#!(s@d4H+Y^I+; zIf9?f$@AoOsGa*cD&}Fe+po#FCrDcz({`SuI#~yQu8Z01(p3OqD|0H^u%kEH&_pJV z16(yp155lyP^RXmGv7Oje**9;CvBimVHORKll-zwly5S=Wyqgpb$st55qNu<6vQYM z%qQw5UEic4wUeN?_y3?J!>b-_xSU1FR*Ypz*R=q5_j2ryjS$_vyxlS7! z9G_}Ami$|R!&Op8=~RSe1wT;X81n>21y*j;1+-~8Rj!}B_zRdVvVg3*x|4pg)Jrcs zjm`sHHMP5t1bjyVo+kkhYXLSlZgbY?r`+d=x#cuAk%^|e2oJEZcT^X<|F1;uq_LJy zP4aKT%jgWlY)O0#xH?iSW=D!WU|$)Nz;xPSj<7JDO?W651I=pEG#YRdPdZqiOwl;J#L32G7;xy@tpEStVKe?4fuNiuNU6Y6)QktEYa9&4b|QNdP(M+v zODNA;N%gc7;TrKfNu1f!_>vMWCoKugDm5$%#b}O?LoU`F5P`g)~vI2rJg9i`9QZ#Xe!hz5!fyOL`w{7Bl1qPRG`Cvg~gx z;UShlJwkYZxx+lf;on+O=rZlNk_vdpkxjxng*LS-D03S~?YJBGmE9iJPt7DJb_xx6 zi1^O|t_&Nd{SDB)M7RP3)xIJ2is*GJ&~3=gEs;J0TOz`iQNv|rG5A#>kv)qr)+Lad zQiD_4vzG93!i(PbX`~<7^UPRM!`997fnQa(gH3BqfJ1u}S{bZCPLP5TEcAbf1+2l0 z{~FqHcoypSvW{IzTU{|`{taC(I9#N0>m>VnRAE2)NKFRenSiTt9%tcm7~qhj>UY)Z z3yA3p##E}AU~iW>Q5E2-lb7TbXf-CNEF}InM<8x?i+)4=D{^nt#~&i*r?XW zfuL%AgGHyafGcnG6Q2n}R{+=BVtSQ7li?N7cYvH(5CWe7HCDy`N?WteIuy z0j`u*Go^C@SIzzo4V7agv=FjE6@s12R~ku98}s3RXuX!4HNoLZx(W{-H6f1pTElRt z%Usa~O9UO^X|n31rs@wk9yi}X98MO6eo45O#o$W9yV6$Zy<|v`@a^Y)8i|vpZG=C4 z4e_nSe-QB^Yuza%n5fl41!5HC5-2=eAl&;Nw)Mv(=qteWvfP#`3+{LH%I{T!Hiy_A z;84JoV_LMQVWzF01CANo=6kSYoRyqO5+i>?)h1vr)e1}25jn(8Mh+O9HQ`ynRYg2h zksw*}EFS570A%HP5$1V6Xl-4RXH(bRHxxdp&W*FKD2@R!!)`}w36sTBHI?)j=ohZ%^lVj_}m;DwlnpZw+?)+)xb@R(>tE`z1RMpij z4pf!=s%U=g^r{(hO;9Y3x|WG7TeNVw*q#vX@wA90z95z-g&$oyKH9NLoVLkrtAt1X zWwp2x&fd^n&a6vu$x)kxQ|7D{U1e}#iaqQJ4v6+&Bc|KJ-JfxWJC}EnzNx|lVGTMc5O<|D4`8n!Xi&vs@0|CiaC31Gj|N1iH%Jjbf~acMnLo-q zGQT{}d*|@{a&LKgIHjs@G_Y5=ZPB&+#GC}_*e_b-2m3{>oZKXiX3i{{6_`|ATU9y3 zDxWd8yynU3nrSt4<+Xvzy6R}v>!M$Re5gyxtuwJ1EJ6v@p`v%iNI^a`6(fZ zq{!M@dHq7&rv0pPyJ1I{_92Nq7*10dt!9l5bowt1D3sZxh+B z_~AMFF@6|vr(Dn`yqPVCS}llHo3vE%$|L8%_}!L)EIcTmJ7H$*TqZAhgYiNbC=X3K}H z%xt5b%oJDDEYYmYl}vNUjog=t|ND8)xig3R{rzvRGxxlov%Jsqoaa2}+&d%X?(S2( zwr_4&oiFw{HM+#7rX>`vX}`&>?XJ#J|6Ox1w&1feQq=dNJnH+|EI00($1v2wezuB? zY)6RNX@_LLheSI~-b-Y4dDkDc#Mk-19QqbZn>zKfs&Uu#vWCjLe$Fzv#s94>7>a|q zmR77!u}6?;)$UlQ zU$G`y+Udh9bq}dpxn*o{%K@i?>-0}uKhmY=R*DX`E$T#sAXfUBX*bW4eH>op}jp+%Nu0Ld!>ebbgCuk zi+XVb7G!E3yB1`PqOs8Ri@0gO;(i?IB+@CQGe{*!bp3&J0qIWyxJr>OaZb}N<9-F{ zs>EfuU+27A({3PhQx@F9{Wj8Fq3L4_x2&sw0Mn_G7o0(+IfgMOK6?tnVPi@-(MZlZULcX)aQMh+O>MC(}+p{{$%?=`$(&9QQ9|{!84yLMlM|25BqOcBDe2-AH?o_9D@>kLG}` zA}OH!e&i2I{JkPSg!~T*K8$>^f{!476zM0VpOJn+`W1<;-{hV0Cy_tx$DOhK0nQchSJMw-{DSLqYKMMX2`EqY@Uaim=3u0y^ z8MA|M50-fvaW$E*j(bfcJ5p_=I!JWY)z?(3>uwCxR7#r5yF=bv;vO#Z)S@-=5fZn< zy}itLz`YYv7m2AZU0s2@Nm+N?dm%+jOy#|;n8-d-&=>c9NDm1u5r*K~)^UH8wj< z9mc&_=8xij4Cxn%kK=w)=1=2(M&{4r{yWki5}(KYB2p>RB`LdN)nb_7suYyT``@_V zka?EzzfO+~*rK5n|I`sbM;{VYL~ zMm_SBzRiBV#uM51X0M&Q`=GnZ`iRfpsqL@^E%`F8pT4JVVbGt$?q1Ya*89ie)E6`e zwOrGmZ5(PjsXyB+H0YCtn&$nzZ`JyhaJHgMy`UyIu5r%t169#W8VBhs8`rah>A%+x z4QlN#?WbEpLv?4vFk6!**m?EZ*1DDh`lt0nEpGkyuuz-55mrA-+p#p0K7&55V(?t> zQ_D=fp!2nj!bC%6d6WiWS<55e$sN@uV#`*}-`Be!U&8rF8la^c*T1g+-73@)p+6fI zYN^JhPta%32!FrvEM3jD(>Z@kKhh*L=to0AJvuDRGL3mhaQRl|eM8?F8X9!msAY*~ z)&#cc=gcv{;0Rjj&v}fT?NS3AVc0AS$V@?Ye^0M)>#j!4pw0f05H@`uV~T8bBc#D? zJ&l|tN1xKFbI=Dhjmayd5d~c}ENZ#Rnrx&M<70^TjBUsL zqy3yL9TedoUA%vEe{#umKW;#JS$-v{ZDV_5q|c#TxI-t(lr>u=Py6w}x&H~Dh_Yqp+8p3H4qO8eGl4JH_K6!f#7 zBa&vv)&aXbFQgzkd5GoM&Cl^BTVX$2FP!}nc7gRqaDFj!_vKkX{=sd zs|{|RkDw5P3v)&*Xgk~Wj4`$#uaUF7#=Q;o<0-^u+tXE!T90ZK7Bt-`wLRO-nEP17 zLNWKxaSvxg4F6g#{i|LjV_=p%R&X#)Sx{A@Gs_uP?_(O8WfFHn=Pcp08wp$9qffmM zL-6?3FXNh3{O;YGYre!zPDfVJekx1n=nEQ#2EAo42BrFYN#<$0VvI1TsnIg%N29jo z5}Tq9n1oS#_-l{!=LY%5ah09pIhwwp7XCi=bAZ{&nmTxlEsQY)wKrVU5~Hta6~;Eo z;c*wUj^Y0DS9r8nWv}%s`AJi0c5MeM;W3Ki&!031+x&ip&n+{^Y;{6qj0zg-AKYI| zhG8`h)pMJMS?Y5qt(>%XVOe-nu|X*trhMhFF)aA3BnFvTM?B=p76S; zk0s658M}~2{ZGhyz|eHnt1IM%(?rkpgjfsi$a1&V`)4PMD#->v!S#sX!>XbqSzoJx zor>hUe--urCkwvB2uNO-C+ato@@h?mJZVNn;+2t@XQ(&x&3UMaBb9vDpjkV>a_DGq zKhe=q+0iMyUm$`!NdQyxHQpiQ+VqM9ub`jW=&7tsyvzue_1EAeLH)d1;$#WNA&ztd zdx(OPdZH3Sz@@ z6X8tMzhk;f9BB=w*dU!T_o!&_jZJiTToT+h5&Vq>Kphp<74?k)S*i<-PjnOVw`D<^ za5}A510knJ3cg-$BQ#4eSz>J`BB-uKeeIN8h}+Z9fSf4v4RRtJ|AkoFf=Q(Tz4pM# zl9#2tGp$PGbH5XEDb}Lgy`(7;#oWUc_$rhdmzgwQEs{*jX&^j>mq%K~26bS2TCQVFPI|bOs2_D-D1hyRuU)~yC&DZO1M<~S z%AvRLe`79N_mKoAX3MJL7B5$a-B(fmOQaw!OszQ3WT}92UPZY>PQkM7VxS*Mer-9N zjx^Thhz5o;Ml=@#3NvYJtuO0K=QZZOq^*$WEvQJ`O%k{7A&89#c&rKLpNJC%vNviT zsdq7!CCz=p|HS$>EcAzT(h}*UQL-RK&aq~iV_OT+f1GJEi$@@${xjXCWwTupyCiY2 z98d>zL~~zaT9(gamm_)EB{4O|Kx<(6kz#rEgvQ2vF6<=ajxr~U+{H2|Pqd1HCrU*@ zvTQK~`zehyx7{3;SfqTgbjHP|0iDKjpv`7hS3&%(tiK-pu%%;!rDq7aTl)ud#+%Sz zLIYV}`<9)tmA2SqiK%jqSDIq}HaHCt7~hQ;{nR%!mOf$lRu`T!cTFvGW<_Ti5g|HC z{KLt8BHtkmdle0WXch>f}hhs9j9R-_@8El>FH`v zM-&uJsW_nHSkPqBZ)AYV7uB_E(2Oh>AuX3L2RcCRiWz@8xn`M^*N~w%^EVLVT8Kpa z+Co&I5q12>Nl<%H`o#Awg?!dgA;)Uu>(SmqUNW`fK);igbIN6GEX!W-39`g-lZCo9 z13wqME|yuaB$(Yo5L`R&WXXF{J`NS=pfOfrV+yuNpU5)>9FHXSnTS(riT+d1x`ipi zWrwdxXGt=hRx@#yf-$s`T~1k3+}@JAT$pK>+afKLx>8t3&agIH?i~)h;1%{qUtdRx z5ha<HPn#bkfYu133O@25~*ST%ZOC zGD5)>`1%q@E1CkA$<*()5cPHWpkf55Pcb#rk7lyOcwSAg+(of!qKx%7xsqt`ne3>$ zByL$t4#=dz`!$Lb`2-i&U<7Ihn*j6c4_AG9j+;9rgEYqafHu?1W&m||< zCG$Xh4~!Gvj1fj^ritEBeGo@F9}B9Rmk2fnn-&96)H99qS`T zTEM0FrbRkY=1!-Sh}(MHsH2DP3i(D^YM1+cp=k={$^(ujoZanz;jlvPS|z5lTV39# zVQSMh-9>K4sV1j;O0EJ;hTar8ptrEj$*EH%&f`1$uO_^PG}u$(WYIPLgzv}!O+7B; z#vU<8*3UD|@kC?pO(8rBt2G57CE`PD|k>GDhiJ;QT} z2bjtt5Jh2^q-#Fro}9GARKFoMEg~-KBzz8rVSeohypJ_DIm=osKkBGJc4TNap{}T( zX?pdNAvIfRY_DQGTDGjOnI6r?Rs}yf#Z0+?!(@Z%a^2^?DJ%iV*OV4CARNO@n@ZEJ zazN=~nLQ=zPpc~W&y@We3VekC!&Bfk?S4fvuq4R9f`Rij2nP*nP-t?ZDl*cQgouI4 z>e|O0M16-nijAd^MIM9VbUBZ(2|d;S-tt1%a_EUI-BcP8q5ZZ23AY zedzJtqJDvtFTQkKhs8gm(nRY00`s3`^{$D_R` zWB&dc73HI)1v6q=4=J1}QN;4qzV_Q|80T{<1&6<-hJ9NSG#%|qlS z_vmj{9a&&eX2Y`6p((14us70X;$hORhyF!7n3>xA^7^(LooEeC`+#a9^H zAK9J=^5k50(>Xs!PK{=o`%fKWK$)i9@`&6!QswJ;V+y9?+`!wq=?#dxtqhG$Q|Rqr zEGOv`#wIkswjfS8F6P)U>2c|dxf5KhSzT$l_Y9{t?TTqa?$(^9f*`p(%H->IoIChh z$ZtAYX~m{ZWgyN0G&OmqRa4wv%1!ZL93m`PI^8(`8|(OSgiLaZB-66_SUTP1Az~m# z{aZ2~ykLq4ugm&AQ~iUOO6q^C>MJz=8;vP2?M_?e+&4JqWaj45q`f-{&4&IV9Rdu=lYVdt|L?~7;m2Dz5s-;;RTH5s6U&n3tjsj`)1ev3OH9k` zCcKph-ZAZp@5xZT+5dDb*Wc%IQ|a0%mWPrr2b3x|r(zR9taQ?Z5>X$4kFOG_PUndU z(n9j4-No{w0coa48s(PDCeO4AUO)_|2F}WYK=!XSfVbQ6-&^a%GBf1;P@gQZ*yM~g z<#}S2X%kuxOH=>u5>sGVhKNRiB1E45_ezEr<QMOYU@t`UNImSqGbwW+VP`a=#w{ z(={8GrpNDm_3@kbza`SESIUZp_x6ziq{OtT+~_KZgH3Vb4?ZR1;nH+ATw(NMI<|L4 zKXu#~=~&ZgbpX7boyGLbc0#W2Leu&#l`p4KcRN{A<6|A~a;>zRCZ9Nf&`!QG5$_e) z{|$}b!@f@58!UZmCISRqFFJ(AK9gqs;S)4vcTH1pQw~%!S#F99T=pe`;9cYUw`ZkE z_nSJ}EtgG_$?2N55XAP&V*54}_)M<*LX$<0$W!v*{Z7(H!*d8Ys)e06Q`QTrjjOi3iS3|kHlia2`ehC`W z+yu7}k5s{;zLts(X*o6R5X+KJq`WR4G+JtfCd)lhpX%eMe%qX~rnn95EG!o+E$22& zHyF-BBh53tyFH9}K=Mq}_SzZio(7t4a;{e~;szQv1bG?#FF<|jCs)RaDx%!2jgl`4 z@=VJkQ%1%fe>k}+6yobW*-@E%G=uB#RY@*mr$yi}DgQ?vSTapMbcW9p?v`4Z>G1g< zKfTu03T22jG$ys7-vK26UKmkuOsR^O)nz4$bgejUkvD??7t@tIMjcMQlI&M zqez5;RQVtQh4|WvPm=#o>SVlQAd|8azBsYRQ-`bF$!v3PlTM>U}OHf%YpIv3(Ky2LKw*Dl&fY@-jkH8Q@!;IS z*Zc_4V5~{A-ZCDPmow1$afl?|`MZ+` zIEFv*^BlQ3rJfQtuu1tPn2?Bf{vzsUNqKWDOaA>&w8>eD4UHb{ai?L^2dHpPR{GSWHZ z?*Py*U+>B(u$vxUAIONA>5qVN{T(xQKlw8pOch_J5QxZh4%5_lVLFQ838n#+Vn8I1 zl7T2#28!`^(T{dQC}u=VyW1fPOfQexR}%7mra3z)15}~u+|V9QN(0U9C#KAZE9>hC zd8TP&JX5SLklSmC_b)_b>X@ToLip~-Y%GNL(62Z~lwfnTmUxrd>$pWE`G(|%gaeRV0f(XLD9 z|2&h%Q&2!olw|rD&cofs6eP*L0M5+UPg1U#EaAZv(3DLx9az3@LH)PV?qYLdKQY$j zU=&b;OzB+MJ^8wiM>CSYW9sM;shPv1Ku1Zena+&Q$vGAMeqeuWE>FG@z77F(7w=EGTS1 z1)7#r=#&z1`v5oUDC)G3&q83LYnyz)DErRI*!Y+S_a=g9`E$K|S#S{pCHXn|hUHr! zcWc3tIDfa(=!5Tt%|(M3`ihYnbNsQ~3!G*P^%VnknBJsxmFEVBKjsUX1-!e`?|)4D z^muuaiI)4lMGh-fdbPu}?*D-k&{K1?tZ4YeNV%CcmOs1wME3JKe1e?OLCy&O^Zx+( zy6h-Qc4VxAa+uVvg=?<7d9n*}>xckAQ{yx(vkf>O5J9-s@y6X*jx<4@N>Pum znmy$ln@%{7$fK2JdM*g!`glca3Q&vXDo7t7EVownKUPFox8^XNWR5@;vcy=^uU?*# zbL`ydlwFA1hw?o^s{G=?*cBVfT`_;6iz^x-{9`FEEx3soycjD zPi;hT0zb1e{VwRd@!(;4{InAZ1klV)FVM|N$!>E-j=(i!vR zHf^kXD*_QI*5a^}dtN8|zilX%YWn?uB-S%ExN=uCF#h4GT^=09%JnX9TAxay{(}9Y zev(x%xMU<9EeVZPa|#CnQY^|J(8&I{I!S`@CIXKPv6-e#WeB!ca?;JF<=P=i49IEn zp+=pBT$8^Wo+W6t;1s!DbLE+Cp)Al6dv@>9tw-XNwB(ty7NsP1>9laZHazjMfum+j zOPtYb=8O?q#-h22(^6+8rlrhCS)7vWFC95}bdO#dY7Ut*f9jMuaZ}Q#ct<4Fs;`%i zYt)Y#&73v|jiyZVw@4W=ZOTY3EhRl|RtmVLrA$vuo-<|PLM?Sl+QO8?w1sKOiE|gu z@E%!RtDeIc#Gt89jP9nTrp=!omoYbWz^r*w(w>T)HZ4sbksQ`q8#Qa*tn{(NNBTLI zj2N)wF+a)}-g|HtZ|1mKVS3@%#?gyX(x%NyoHlb-N?PKqdFd%>)2Ae-U_i<9)25Mr z7*g__#CcQZruchUlGbZ@7w^NfYB>ffhDe@2H&t+t)Z!Cj=ggU(tfkJGm6)D3W!}QX zDbuDUE}AtxaY}l68k>(SF@1hoa*F<7Y(wkZL~qi}TJ^kVUkA;nu-xFOrD>b;w^k7xSsd29^7IKSB*~?&0Wrb(G%0>W7Phk&K#67exVrE=&=d0 z3Gv#ZIrC>EE=-@2mY$KCm^MEneb&5`h5q_!DdXZF_SRlotGRx8e6=UXOqrT8 z$3Nt0DXH@p&Pr#TmXbJkN_z54ed*XHkCHygGgFdhC#FtGpXu*#-ppA~F453W0%j|I z-oo_wY1~$N&-ApE6bx@}+>}{yv(mIh$qO<4^OI*U)ca4EQD^3K%xWwwHfw4|ddjd_ z3)A&?CiJPkFm>>(IVl6@%wL$IpPJCD1uUFAJ0)%4{ET_&v1v0FYEqCuE<^(T-h_6+ zY^s6t7wIh~KHS>yol&z>=A@+0pQnwShat{im_Bem#yDkOdOUncpFJ@q=#f$J!}a$j zcBxEWHR>_lHMyyNV`807qf*j^lWPm1_O!&gv*yf6nKvtAuEaAJ&QDFJrI0v0HvSRa zF==II9)WA&thu9S%}t4dK~l9vGqH+hz{-oJ%*jZ>yiC(ZJu*_viucUSS`G9=le*WA zoBvqqtU>dpJvx0LoD90_<&*l?;O-uuG6$+J{Jh`fy7eoM7#WAre*Vb9y;Zz#uB;VS zr(0B)Ze5~!^^_|*Dlt(%;%V@C#M5Cx)dnq2hvDPrO`reyL+);s)dtZ~Xwb7;_e3=K zd_k70Qu7fD7bQ=bGiNFcJ#hZClmwb~YWhgV+(b}%f6uMeUw1xL#rw&#wd#Fd+w-&K z^V-=DEY(LmGW^lFN5%{%BUaD3(ZFv4^srDHF>qAeU>fniIWw(I{{J|LK%bVo%B$zr zYUDkaTWiYa53dQcR?V_&XEwKgv}`Ota!Y1Z)3A z|Cps7rht2x0yCDczP{3ETvMZFRuU4fPY8ST`v%CauiHaKLx zt}Lp=e`H8dQP)Oe(YZJ?84Wf4jaS-PG`;rPc)ixzJiX+VAlw@vIgp}Q=2)AFdk2}H zs*hdYT`yVN3AjHLw&1_EyqRm715|Zq%>qSDt!fMtbNTzBwAqXDj7GDte^u4$`pd0a zcN~|f92=6hupyP#(3+#1WTJj$rM^x3TK1PjJ7PLFsIEoI2BAEFYFaOeUsiVp?fXsa54rItPPXx1)q)i+<^|P{tilh#Iq7EI^3y$5XYy; zjgu?YE0c0^y%giLfc#xWZan2seeJO5ANAcDPA&c`I8dOq@JnGvDW?HFq{zb*xl@rl zlzs*%@(4wKj|WQj#}%a%3>G5(j8+K5sZA6*mHNY!`YuI2N|7fh{ftrMW8F%F$CQF3 zMLtfE&r~|PrI7Su8OwUZoH`tu)A0I(k--uUF(AMV_a~ z>5rBe*Jh>vmyI`4RG6<6tTk%mUZ8Yj9BHYzP?5i`)Zeej-HL^Z75Nwb`k4RYO2Ie& z0{rU}MSeh$`=p#K_q`%7Q|kYu$oI>iQqWki4*gd#$;tnyAj2<)Gs*{jlH(-nzru>e zvZ2RbMKR5x$Q4U#5sF-dPSI7ABKLnIO-0d4f$?-ga;GAvHEvukMNWeUdaG|?XX9G0$nhNMzw#7$J--y+yD9Seiag(tll~2qf&!xeGVBrlt5A`vp>)3@Z|JX$ zzviRJvA6lJta=b(EUk*i%w;lc~LXo%eOR>sW4*hBF+bRXoN`nYR?o{OM6uC>0 z;}xF&N>Jo86ek_4$RqvsN3$fQ0Iy~I*Gxs;(J#ecxl-hv6!~IBP6ra>%2ecd0mc{e zuTl!S8ntoHRpi|i`Fcf;_dEV8Pm%ZVOR)+Rc~3>2e`L1MpQZrcZuqYPr2$?d_^(1m zj<+NJYri7L+Z6v*tjPQNr3m?cIr#f21ttCh{LMl|?o;Ib6?vH=k5%M%75P9#t{n}m zf;f^J^B=4f3{o1{6}fsa2~*@ll===u9w} zShrG;rW7P8^3BTJ&s5|KmHMfQJYA75R^*Emd8U-RY3`p?3RWo%7Ax{xMgEi`U$4lQ zDDpf-zEqKKR^-b9@eiv&DR@R{P@u@=Y;kF!BF|Ln?^oo@6?w5DU*VUd$Ky)DN`C=P zM2b91k^2<+bBer7kw34tWvOEX^^DIUsdEY z75N55o~p=SQ{;;k`Rj^2^O$n}e?uu)r8Ia`k>@J%|0(kIirn}+pH!Tu$n%u?n+-YX z|CUmaZxlebQIQuY^0yUvp(1}rk?&XJ?<(?QMZO7gVSi+fD+TW<4N4UGW<~B(6G19|Yv!|2g389|j7vU`76`5&`Xs{3E4)m?HmJkvkOmCyG3R<>04froJeM zQX1qd4WbqKr;6OE$Ujr$E=B&iB2Q4{U;6Ej3S*UmuapK!iu`LuK2wnwDDqTAzEzPg zR^;DGIrC>K1>2Mcs}%Wniab}5Z&&2&75NTDo~OtQ75V0$mGl2jr66BvuuG8_DDvHk zyik$vQRMp-`Cdg{?3Y9TeM-S`e*yl2vGUATqR5Ms`aVUzUy+w7@&k(et|C83a{v6x zmqg@@hm;1viu?yfZdc?#D)KNzeprz^6nTiUn%ogeL9x;xN|7H?d3sqb2GIoOqLbH5uFskyQW(``Qb|0TFq>vmhwJF+NJT31J80 zgKiQuC4rpWHxh11xRCJ%!mSABGk%$H zIN?0T?$sn{O@dq&EGOKCa3Jhf^ZV!CkVGAoWOW0;r4``jK>p>Bpk(f zB;gK(9faMjhmxQp3G7^90O3xAHO74icP3o+5BmV&D8eO-+Y|0WxR`NE!d(d$GHyh; z8{vG$bqIGSoCoYKZ(WT9^tz@rmn&Ea(`%a2Ovd*c0QVxC%J@3rXu?U1{~}CpV@eYk zpCjCdu#@o#!hH!xF+NJTA7RHo;4g1|kOVO#uyci7g!>cL7;h!~5aF^1>;r_Igi9E2 zAskD%nDIu!0|*x~-avRD;e5s~6OJRC_W=Cmtyhy^5D9X*!g9ic31>21LU;(_RK{t9 zU4)YuKS4O2a0270gohG#G9FL(VZu?2M-m=J*x_cuP!bF$ft~RH!jBNv822IkDB-gE zG~lj;69|_uZclgw;bO)u36CUP$hZ;VQH1ju*C9Nbuse?h)krXg1i6f@gdZcE$@qSK z;KvE4GQLiDEa4=^e-R!>IDzpw!s7`$8J{3Lfp8S#ql6~{yGtD`I7os?RKd=87vaf- zHO5;BClW5ZM;7>ua1!AX##;zaAzaLOBjKrp3mI=9oJ=^M@ymp#(f(VS$AZ-)NTCY3 zjF%IhPB@eC62dbGr!r0>OuJEO65}Tb&mx?_cq-v12s;^%Cp?>Q6yuSE`Co*VI#@82 z1aql^o$&y|^9XB<`w*T_xa=UO>2*aZAE!gbNurBD|1rKI1xs)9L(C zn#Y1_B*-9~%h*bI5#db6_v-;aNjR19b;64YCo%qu@Kb~n7@s4&gs_wG3Bpekj$(Y2 zuzM*994t6Uf@Os5jCT=!hOowXD>ooqc87g{a3MzjVi1qoXglscpc$P#`o(2dkLp9zD{^O;Uva?5q_0$ z0^@UpHxPC*K0)|3!cmNmx=HXl2^=gqNcauHcE-C1ze!kQyp{0(2$$VrA0V7ZxPTa4O^LgbN5KG5(A2H-r-ypCi1Lu#@o#!ru~(x(WXB)<;ROjRX#^aFFnKgzb!X z5#CN%W4x8{4#H(O*arv~5-wr9h441y@_AVdd_aOLeS-Qyl0OuM+m4!m)kx!&@j)R zF3(=i*)B(YsemqzZ^ES5iMvO69=KNM!wZ_YZ=ArBPj>fkSJv(HcCPGU;lZw)`kkVy z;=$-ZMm1MX&Ct=vdk(sCVj7ODs&y&!)qdTA7Cnyk>7YS)5)UDs6A<5j4dNVItA2Q< z%8vG3dV^rh`krW=)8h(ip-vk(^9~xF@6bl8*5yjPC;7-^m*<>o#rd*?(eXuv^j9=p zMLX#)y19yq=pP06Zr~((b9!#US^U;{jH@I4+vzvn_(ia$`3=@3~gQ1Xo6d z^k)A4>y6ow`MqB7P4^!5BULnCUz`qIo}vQ!CmpV=^7I-m&!4_u5GJzYV8Io8!gh~x z!N#8dgt{H{7F#=6|NTcFv9(9{HTX-szMa@s(1EWZ-_dB0=iYEnS)8YI80_J>>N)G1 zd>vJ?mxc%XZr;(iZcPrqR#sk4zKB`9<~xeB3Fe&o_!X|{^6Vbwx#(M}$9~%_(g6mS z=OPc@_W@ePe)BUm%dtI!5?UW9K8JZ(epvtCw@vKFYT;=&qq?suo_jpDqFVa*-$u-y zf~um2Yd8eBFrXrA1eeCSa>iu3a=uK3)#5yVll&nXXwI@cUuB#aW4F-+5*2@dStZPT>4R^L^m4>RB{VIp2*X9ZbF!n@l9USgTMm)2P7_Cp* z*}{7Cu%5ZIsk{7p7;_qpG^hR_m@GJl`vB$)63w@XRw=z7;#WsGG1pN+tc@_NJ>ecy zOpAU;XKLa2ORiN~AeDH5B3j_0Xi?4gDQ3HX7RrR(v5&_-7CT07vTG9lw@6ENb*>T# z*Yx$jqUY}#UH3S=XknG>u8#GT`W@}tZaAki-Blj&a%KpA24ScCP z#h9%Qj2@B27k^Pt+1IyvJUhRo3Zki@Lc#+pp-q_dMx81=9!p*YByI|M}g< zI{%5HqUY4NAl6E0*Ip5G!zzp&jv|lkPoQC*+dHs@XudTVYOJTIudNu;kQeEo?DG5p z)3I`TpQ0N2g`!sRFQ7EebC33joF3)-ltESFK`j!4I*m~%k>U4U#-PGD=-k0RF=BsY z$K$9bj5i&jYnbPbuRp9^x|7IrGIB`}150phU#Y*pzfA|oy-zU_ihc-~pnH?b{ z`2kHld*gCumdEbAV#`@<@lDW=9vE6D70Rx-WLfc`JY)a$5PQvn{d%W^VKsWfF)`iQ z{la~-^^phLN9O+Sr@ZD{2;Ed~xPd}=Lq;PSVgvoXgU#v}LFC!R`eYr=2&01Yf9WR< zwvDW=_H-3%O6_T$+S3}n!}rbV4fwx3CF+yEZ#~{qq6}$Hpd+@xHVlzB^)td@PoXk~ zm|mMK!Y+Ie5}36)AMCFA9-Jiu(KtW7pr8J}ZDg?8{iV{1Zh20TRg5<-{NcKMBtiJ3}lbUb4BDEEoWj7+@Dwn?LP`q{3cKz=|q1LqRdbJ-` zINlpVXTH9^_b@B2oQ`>bMT0PXPbHL(8ls>4p?RkPsK#}& zD zk1gxJOYENATPe)(_=|j9|IlCmv1i=%oys~Hewr39y}ZEUbzosA>ts3p9=6z|C$O)a z^?ibAB%`#|8yt>q)*n7i+rSNRxn)7(+cIsL8T`ps{jLX+$&`+_2{!Jb4gajn>ALF0H@0>7Qoe=hD} zX{lE~(!!c~O7C=Jj641$8wuy4kd+TOfG@UeZ_5@d_jN!aq8_{d@T}V*D{Ym?ly_Y1 z&c@};C?^GGv9`P8lX39mg}Q5)=RYz~F?CFb-+e)qwC$bHBT1LQJ>xw^?T!!6Dvt{p zRH9ptc5wd(FO0{G4^KYNXI-|zEaJ`{qz%{?r{O@Hb^e(Su{le_S>XesQ7{_C z=R9fQhDE+^qE#@rBEru=xIKdPx#R0`f{5r47_#yz)|bn34Z7(c9bM7oA0F5s`|#x3 z@j2 zYdAp^IxrE`Wf09Fy4>@d{?||Qtv{X7Cmd^Njs8tvbgZY{kw zXTkZM4*t|;*75T40sG=2%Rzp;bOqGeK7lQI%~wlL{JCM>FVKSH1Sq>K)k1&z6;et{$_GUiKYI7X3<-kzRFS(ocSi5bVMT0fJ;b|k>vpcTcAKRTgqZfV4 ze$)T{wF~}dk*$umu_phlKYTo@VQq}=(vX!$(+7%$BiDW-F73M?-NTg8<=Q1DJNBjC z+a0pE7hvXL?aHvbh)ud-Ih@C!ntxm`Ki;uU-cPLR_Exe@?oWFE--dL34}&8M<#~PIiRNwFlGC$Wr!OJv_rcJ7pP{dK&tA~&paAIG_={fSWap5- zevd1H3n}9JOi49WoItq+?C$WXI3C`&6&W zDPO@I7U4^F!I_TM zl}GjVXFIviAEmLM*+KuiTwgEIgx+CkvGit3yM)2-+d==AUf*h-As$X_)|F?P=VZ_` zJOU3*ZAVNst-rPCPP9a97ZZH_2wR6r|GmfFw_-1R{;V(laCvzj3@_u275`c?>Wj{{ zV;!-xoI>fP+7(C+Kr}q-e0m7=)j_Xa(#@S3Ltn#}<7@b($XOGBHCn$HkJKt zUI?_QuK8w&M!tUfk0osrdU0hu2iU%(msw)z48XW47{7!eh(7J2&o!unBj`XHi8qqA ziz0rM@s%Cqku2z^5Bl9vqX??SdkWF%pnjh(_l-e_!;AIkrnRW9)O=c_U)j@ zQQsC3frjOD9G+iAD?JPuO*T7Ye}tS^dI@w!99v%eQFr_?(EUCSZQl<1n$6emM;z%_ z%2vV)WHGPZrYOENbBCR(u3;tj7V*DKe$AJKdc?y|E2}UjD!%3$j~8K= z+EFf!jEK{BR$;x6tfue)5|*GxdMG%4Sik*8%a%9Uk6>CvwQIi0G!FOlYCBx;M8nGs4I)j>z;AM0i3n>J|9itY9*O^*5P_j;=fZR%IT0L7BT zN$jeao=^127s4#-^d~QjwEpqE{{4mMrt#>Uf>aU*sVBkb4^p-E>5f0Ux(w6#p7jn{W61)58@Uhfi zL)17V8?I!crQu_JePk7vQRORHWs0og@`a)b_4>(PviMaIeWqf*<&i6^7F>l2rfD7F zTNEi!QhE|!&^0{!)ijjXp1=mi&B^dH5pMdyB0s!X0b z1r0qr#k+~qA7K6CJ*i#n3vKq0wbH|bcfiP+&&yp5yUBhLhch=9aVMJ6$x_)#nCN8h zZgf%<7w$k88ku-9QDdN*ul)gir7x<_V%ec%7`?J3^FO*pi9_S4gB44|om$9B`U#=G zdEtKjs;`H;s%(z8q;lIWdB2K=9o)b3UfhKqa$;UWuf7MU?RSwgcrED?p>GiiF$Fas zp*IP3=5B-0Y!u5<;{vMU*Cxs(}|`yf@cTc5YP_8Z)07a!@i|P2kGblYv=`xP3XD~ zHidmYV3(i=F1$1mqV;|+akJIFA)~U@7K;jtQ2|YlfQpzMVuxypv9qrUSXaU@0fQur z67UxKB*O>O?&{+?y67t;$ACTXP?B}y0rGAH*+X2joNAW)cF<5j=@h->ONeH}v6t)CzYA|PAA#P{XI*aFVktEc`^Fm1(sn>=N50{C zUH|lQ-56J(s2^=9{HV~4h5Ss>iG;j&O$JjeJrZN_@icw3N4H#g$bE=s9Q)qB9rV)^ zA4NPqkn)!GBO{{DXhmlYSoNZB9GQvEy8U=H;zSEUsdy16NB0$m5HkbC8Fgp`d>Ea| zOnWcYBMG~D?tyZB$CU<2cgSee;ZkyV*z`{bO6LQ_drsrPE!3*b?e|q2%QmCw!?NiI z)U-c?eL~TvcI!Q^wss$-nWJOL8wNpU=i|u*roq| z^`Vp+JQ(cW{2f(Jj|*=ri}czyBBF79`i|u8z}$F+hW;+W3C{YSKo6HjQ!WWPS{7qT zGJpmIyKBC7#MDrK^IA3gN?s^n<42cug?hoYPL}EV#cM6CZ|~Hrmo;mXj2f8ZFq+;f z#L<|a<1W<`x0)oswo`w!tjFM0Ffi>);?Tmu3#-F$%PnvPG4XZD(|F<^qd9`>U1H~h z(KO!*{a{&FcN7=kX&o;ZC^%%D#EU|FgGx)co+x9JHs$$wRT;y(f2X*_Ttz^vG0Ef-_VZ~)pNUYzA48t^7W%} zE3*A0_AU8TWv5A{*Gpb>!YAF*SFzF3gC{RVif`ihN--?hS8PnTsj26uSOp#0V}R35wjL7{(Ium*;cTW*Gt@%~O(1HVu;o)>0h*`$9ZRK{5bjv-G+uQ^n; zXuDqJR#W$8$Sw^eTX4TmanOM&Ny5jy87z^j>^_g-nlDZEVoMFEAzo9{j z&3Mm$lt1emgz{2~k_4g&lw*9Z-k0ENH889;5K&Px{gYb_L*p=+un_q`XTQ3Kztzv) z3TyN;ts6{Gn3jQ8VrPAO38RPazSC>puIru&h-MhnMPCgb_F3O6vdRm%%cu7Q>cWm= zWFZX$!?yd*e2Y=h?`){)5di9aoNPLR?zBK%oS`+?A33p9oun79%O#cq{hiw_BNm~# z-!*3eh$GgDe&L|J#gyo)WSf5Vb~krp=90#L27yAxG&cP_#ziz0e7yb-(~TG>9ztT@ z5V5FXL3#NO`eVty9q0?qISA45`SI74Ahhud+8*fXB~>;o`5Hki?@okerGDT}WFO3U z6NFs6Cm!a(>mhva8t=JPkVoUi32+0}ARc=Ao%gU^ZiDp}S6N?-LlSft;c~|<77R3aoW~y*o=`xR49FAN#Bt$c3#wF*e=P}; z3P{I5{T-i){%01@#vYJA?}if-acV)e3I*lRp5%*tbMXZn>C_QRMB1Y(dLz*i+cec++6Ho7aqrVGx&C+3HHth6__uw7AmdKH0HL8j3sq>i_F#?|;U>At@{NwW6faCFio8p&8 zBKtDa;s|lpC#GPJE4%)?2ij`|9cbd@H$T{g5BtUvg=n?xQ>Yox=+Ghk@}200m-n~V zrVXpqp*6E-*AGHB!?Jq}->=8qeam|POTFZ7S8LC&^?LX6tl@+@R{4)yG3hJ)uX~YJ z{c}C^evRax2vPSRIPu!2PR^I1zSS?XiKm3Q(wEeIbbsFnQ4>~kl zxtB@94bs^sPspT7(V~SUJ=6f2!=TF~d2=IayF$3RfIDEsu)=&RC!20(t9%}S1edK># ztuO5Ip0QXO>i_$%t##-wG2V${ytQ}fmh!<35A3XHpx=RqWw&m>Q%@?-w~qa6Z5_)e z*7rVLyW29|`q0PTNQ-5d_0=819NWEHES9d;?mLLYX}!Lk2zpt+*-n=5rdust8qVHc z(G)9yVTwcFkty=6_doWgRsuo%$KDn}mipGA-+7~hEK@^%+@cud*=^qUgDkyUF8a`9 zfxQqY7HE_24YFBAS-<&~ho^n(U2VhgMt|$wZo}~Ee7mkPM)%uRl-BhQt88iFPWTB9 zonz~L47cQ95km1m<@wR~*n8m0Y5M4|?X_;iip6 zSrh6s$99Ep^{+BT6a<$!S7%tZ=Bn;F;_~1dtTVpN?|ZLTwsfprbt}a^MAs{o@K9|# z`i(cLils%DU%zRuWhVr!*kz&D4p=XDd~vYQr6*A5HI%tlMB`O_EWOOd7HNz6#=E?V zvE7)PuIxc~hvn34wc1;|zNK!J7U<7c^&Rh;dY1a$#HyCc{a-;x zlI%ZEfGi8mLG}zvi)?cOWOI=vu7=>60xlr@Q4|_R>?^oNGuMCs z*Fc4nYnr}SE~S5xa6X#3Ii8URWd3ErfQtzy73&i zPUaC;X#cGtew88=nhApD9h4T?UdE?XNk`UD>G=?;(g7^Z0L`K2gi7OxCN|GIw}vIM zf0F>si;x@Id_;@dW2?+GH}S(uNplNXqQQGETWPIG?=Lkhz3kFn>IySU7lr^vu#hJAL;LL+xPgC>K>A78oc!jYessT*RnLU zAA46-w~pkTMx4X`U!3y;oMotF=vs_!pzAp191`GkDV+TbPG<$qbt}b87Owzj!5hM1 zF4pj?wosvo7BojtT4dXSPpMiVvWCNaQA0Y+CPdJH!-Ptjo7vQ>pLPMnu z6o5Upfy~t2iH@t3zha)_p3Bop}B`(4VuqTT4c+^ zr&Q@JWDS+pR*&?ic1HxBfyx1u?k;DVs&}3R&Dqz=%e^D2TI%(GC_wZ*24LuuB#7EE zQD}fDOcIekH9*Ar6m3+AW(uOQj}y^DAmZKDzY5@rpH=fK*H_`(jc%aa>nJU^gCQ6iT|h&yTn#3cQ}~oDr;#O= z#$Y*uymw8MrLMPkGmAZBXa%ka!FA#paP3;Js5KX@WB|F1ff$7S1>xf;EwT;5rz9MV ztf5w)VCgCEA}9u2Qg;i_??GHmnJcvasVaV&=?cvoXbHXcp|r^M1wJLsm&g(g&D8r< zW~vxlE|WsmWB z*HpKJh0H<#45+rkYp;(PySEgSzpqoQxD7wrl~znrC?}yc)Z2v8BHJtYlUuNdjDZD#AmdEfpcFA(2|v8X>DT+Da4dfzpO@%h49fJqRM&QhZ9?4k?~?BKj%g$ZKxJ4IFFzdI~hKuYK6#>Y7`m2s3bS(%?N)1 z)m(zJN;Gjgbj1@V1h~k+2G{Fo4*do(SGNFHcgaOzDgs;_`72f6`f3U5S4&?EuBTs? z`guD=SsJ>TWEsP-e8gY0XR7TMm# zr&MhdvZPwOVcE6~TBP^A_Li>p))lzsFBYnOnE|dhULvm6G0g%r4=Xewf+m=0ZkM+= zXzn0OG%cairE+gdBqnR_I#r{yPYRZQ)4_7#MQ>(XOS70|XeO082*Vo6Oh!v6GXteX zwlM*g$0Q4FW<$%pUE1PdlD0DYZUl*dU!(}CTBC@n?~8^%T3T9K#r*o8pRu39xEURQ zaVJWPY@gs$nlB$&L$7!K^G<0Ay((8AD_$h@TDK5n&*XTcnp+$(-2*J2Vg?PpZoR@R z&6uS|fTgBnX$rk8|9Nwo!ym??Za}4>lEs$>mf{z@#o?Av@6uM5YB6j7^()j~p&Bfx z+$b%w&BdowXdbeLt55yc+s|Q%uy0043Q+CJ5DG;Tl|xc>2)9&^x%rQu>Mi(+q19S6 zhwaXww8(Y{pOWeaWQi&QuDA0a?-d6;?+T(rfU2{gs=RCgs8YO#!{K;C0wgsQlH+Iy zk}gcrJV4@*BrRaNdjEL4w6;XrdsQHLD_!VQlnRnhRtwX;)XY*VChvh?pJi|rL!TCc zYBfr+kKt45^Axg%FU)-)e8Ig&)u*_xxI2hocx;)e=V40+_j6h2$bpI}~`0y!NN|7ZNa)#4)g)`)1{Rcem`U1h?B$kNh zX@)`{%C=>op%GN!i-tCv&=x$2%riW|^N8f3J#oNY@2S?<@@rP$aZ8>z=71;bIp*;? z+gK_OJ#)uTHC~}A&taQjo2A$9trkrA^2SD#>_B zGMGp@Wr;|>>9(JwgF^BiTEc?4C@r!*gHK7~M%Ex%c$*@5YkQ3fEJgE$Dz85QmX&xe zryVe%5teOGfNBkvfT7Q=Y^J(;i>OZFQ&OEqmZ<0;apaaaC&JRvJ`!~URtuL@=VpQG zARfz&b3{xc+Dg*}DO65D70*st1M2*GI%;zI%Nu7LINxu6qY=+ z1k1g@iRB_bC5sPPVj*2l{VjC)?>UvFaJJCp#T2kC!lNqtcSrd5bhMRb`v6PKQ0O9> zgDQck`Uj{Ul2qj1-TwBz))D?4SAnX(q&hYYRNJ2MUhim$h}l}^XKAajL<*L7P>Q#$ z_>>Ci$dW?6;R4T>c^~g&8E8+-QWbjV389dcSpHf@3N;@X=NWzo-xOT)bLC<=8yZbS zduVh4rA4-%@F}^DAxm5xq0#y(bgZ0K}n z1#{)06pu6blsdhHEa^n6b;VU)ts!GkHxPxYGgnpL6mS(kEgyPg903|1ma#!ILeNxW zn!8v0H207t8rqqzT=914f}N>gxk{5YQ%sYKc%q)BEutyZc^(a=(~VVlHlr;}w*aL@ zw!{EWlH?)NjlSYN(Zv#OuT_EP<_y8}W)gUwTS5<@p)t2E`&o`)4IA227A$8`T4ehH zpVDYQB1_uP`-xqby@|n=Cie7aRc$gPOAxVKeoAb3i2;_u3QH1NLYH`E=@np!mMpa4 zb-1iNRqV}FseYd>6dIEVsu)RCc*(EOM+g^&LZ6}~sJ5WA$hIDzQlVFoC54D8=aSg= z8dP9$36{q;O$N)0i~sK%&8`8)<_cq7!PtZus|6UVOGYwb`Cs1o-C@FK-Kt)@Q&_Jl zwTbcGlYYi*+vRMG)-&iXn!fb{XUmY?C$c_dtBY(2vcV#I9N7z^8ICEoMnbwDS#P#C zq=zMJ;_$!NVgrk84EU_fk#9r`)hUq+FkHG3%s{)}*)A_AhPP31?0*_9CPg;|%50-+HD@mVDL%3fjx) zp%-Hf5oW3w-zl(JffGvsm5+4_&zj7?TQ?*k3nXockj|o89u}^OMLk6$O3{##)+82b zC_5D4tH7ldSV~$*Nm`UlEhN29Rxp0NNHwxj-L5%uxSmEx=lTyYas(SSNs`?QC`!AX z9=c%1iO!4h61+%(=PIz|YIR8c7X6Wk*^TW9d)GL{wF~f+tO*F|Tqot>dQ0i6jv`xK zk(IJ`BsyO!u09G}N`WO;H=S#M;(CNkaV4GWl?ORoPa>pqEt`jHYsGapppbPdJ#<-L z6Po!8vo6bR1akUlMNJUo4 zY9~4k6<2QsE}_7ZYkr+;XT^0HnP^Stdg*=+*AocoTpQ)#8m_pe0}5F;(?gfFEOFfg zTY}a!Ux9y6V9C{TU&%@%4`eM)TocDCSvvqv$!bDK=X#D1by*jyimR!}R#jxBtm}zR zImOjefr}}ycU4TEk|}San6?9&lC%jzI#UNql_Ti{Rc$pC)hddrl=Lu_ z{o@$P)I))bDzIeAb*3|jnBCZem>v?v>qF8DcXOB?MM!5lIuFx_N`Kn`g`^wkp-b9= zm=0D)`}J2`*LMfkqB_^sz*Dj|LP+Q8nulvG#Wg~a4N+vJtbbGKcO^<$ ziz{#;1(sYt-&S1L0u8d3A+D1~D_MWPox}AILOR#kgsAtLRjS@L0SZ~y&_kDX2yv~X zxXw~ws{%`|lXR}N6<2FFU_TzExV8kIlC>d1I@gdqTs;)ma7DI~A}eKeC9d;EOIZyH z?54ny>&IJ4*54r{WPRHe*j;t5r*GwOJ&2IbbvGgEvZkqu+XyIRT}2OF);XjzO>v!} zz|$01a-E=a?Wwr-C$7JZRI(-jPsv&zA)RZ}JX~KW6;@VcgA`dQt3Po~93^FSSK#~# zEV;hFsbqZu)k4;bU4R{}b3J)8hwFZXbguUaQJ3{=#dSTPkaYz;bXhZ~rtXZCT)$Uf zivmlo<8-dyE3R?Gb}S?eLBbG78*dQPb@RFMr(WTmVv}@eHM~hxT(BbRugFSSEyOiKaV@C8T!AIm*VmP- zUn#D$I|2LEFvT_NdJfm!2^_SsN*69kIs=$(KlFs!e zDipFZ;@Vf|+5~t?*4hZ^Tu%|AE~{NtTt!8;ydo=QT}ADqsNz~cfn5|>a((%?l692g zI-(=6FAP<(9{oFqYdS(Y*G_r3epD)42`FU!nI5{V)rjk)VN%wq3Y@IKlIv)l>t|Fb zWWCV=*o}0qvA|QZ)`hXC1SqCewfr_lJA}eJ*MD648P{~zO;Lm@_LP@UA|B|x0 z+ZETA#C41CZ;H`16KIO*4uo{3lk+gWsx-Kq$l0_-imGJViI{d(Ow$y2k^)PnBXy>M zis}CL!1hy28v#u*t$~ov)FThmc*V4WqUxilN~TY#b^JC&O6sb>AFq9p^w~94t9yY4 z{Z=NXGY6|$Jp??(bsIuD*X@L;>vxx`wxvW5Zvqur$#n*CjaFQzDDVUYmZA>Vxpq-p ze`*Kp&w~`#hQL!?t0AOwO~}KwlH%&8$a*WXl50icx@NGHl_~K1t6yaO=cRb;3PjTIXkk0iYA?mWuQ}y->(X(mu6d#Wh8N$0@Lsb*RpDqT)KP zEwKL@sAR1VJjFEvA)RaIJX|%!wVWdBsmMyM)rsrWK~mQ2E3!~;=>bOoty>>oQL<*E z=|WaV8(=rrx$Xy^;<^bTovVWoby*Wt#VuB3=P9z1>tX5%uLer4lNETZ0!yxgb*?iM z*N((>&j2NBJ>V&>;RxwmC+6XLN2#!^BI}{ZO0FGO$b)e35B@we5 zqloML{z}$5z*Af+BcyYko`>rpRdHn$*^-K^n0*_W;DQkblwP!leAZtnD zI;J0aLF5gpcK3gOas$BQnS4aKE~1$6$YD2J1nZnsfZ@X$F8#s{<nIY91@6n=)n zzaSsRAH|hsES&|2ADS~SzHOCQ-<<7W^+fLk=EHBU6q6HJ_2e!)ag&(ABG0hejind5 z;eN}hLGl*N#l@atXL+5g`AJ)bwC>OVN-+q)J@kQWoZB(k70%AT_6;kLSA~pd|y;-I4QvJXT|f#jQ0S z#ZVxV^$_mA9j!6Cb?kACFqcOazX%VC3%27fK)Y6A2R(vpcWJ=UW%Scd+%T>RF8mGK#oi&tOAdwqrQwT{b0b_*8h8xly=jfW3X zRXFy3%+5Zhl|mXNZW9e#vcTjg^NHkj#|Tg$@sGV2cH?gWy80Y`*BErYt2#2L z7`GxB)sXlZO~!8A2=b0%g%})@zb*p+KU92Nc6PRYZU8t&&~wzgoS#ImBWZiorAM;e z*dN58v;$O2DCK2WSvz0JRMburV(B;!!KhlfWa&|zp!TQ&s+7KXAminMS!*0wZoQ4V~>8~uUH*o0p zXsfu@idA!s#_@yFty#ISAyh0~7pQj#HI++s(0K!?A;%6V5lx|$=-HZO2c5yTnLP}e zqtiVxmq~;@*es7a+6D*^eK%l>j`=GVTqtd+6nQ-2JHBE8LG5y~l6tZ(QPzf(^-)?s zLwU%4Op65Sr?0U6;jc|$F430^-v>f4oG{rWYPVr)*=TXQ4Xem2|11i%Wo?-dJ=(G` zzJ9T=wPm4ftk~I>8CjNi*_PGgW&4S0?N}Q={~Iy29Si39_lnK!SZTIXWVK_DjD;d- z3sPcH?2)Y+=8|*X1ez-_8->P4d>pmUNeyRd*MLC!HO$R0?98xgC##C5?O9CpUnfId zV(a=D(#`{D)4c7WE~qm@8eMvB>f>7wafhP=jwHI0H5hm;I3FIaz8#ng(^C-K)3$D1qjJ=jFHOg!wtDzVq1L{AnoEO|NzCmnHY$8k+o;WUbuL1x8nTTKrXhRToH885@b;xoS_RE zAO$gtg}qp`-~2VyA3ovir?d%$j_ciGEeem~TuXn^i#23yx2W+oG`?GO`nu>{jP(vtF!9Y=|;krz8*RrdCR-f}}>E07W>a z9tC|=WC+quiGXidu*X(l6DVGRlKui{z3BA~D_?Pu4)V}JYfzu7kmg7{B&ALgz|9gX zzhQe=71667OA1Xj(_|&ejK`x&%7{0&#TwkQv`J}dz%ggyE;nuULXq8%O$>-!h&hO@ zN*#L8p?qB1hvU!Gg6aMflg+o+Lh*BdR@rlv8FNeA61ow|3HJSGZ&0Op3=+5cV+UkY zk#7JCkFO6L90DD^K+>FuhvkGJZ4pl2%6kVRkQIl|4QZ8RU3oYQ76enL9MhnDw6D$& zsS-WTiU|W)Xz~kO;IV!&Mn7y|&YX|# zhzqa2f`-hg(6HS&7Z31AeSwnOjLQfC*HA8jI_U^I&E~9`hO}uYEx_jaVIpuK&V4SO zFS-vzVTz+LBuy1q0KB857?e~VvFPK5G*3Je>>JM>lv>%I;-*IG$czO0%Co=ILF%Ut zS10V3`#HL0&hGI8P$DyQ(H}S-H~{moq(Y|iFdpdl3HBYjj^o{+rn0)8h~mbxFq(9j zb1QOzR*0xDh=pP6X3IgWY{~pYr7fNZdyk(pfUJ+PM+(Sc4E;uyDW~2mh>*c7tY9bLqV@EiC%O-2 zUd5*&iW^c4mL}-WHs8m|V(MU4A>rd(64|J&mHHoZp9-!0GL@D#wH^IQhAiz5;7G1t zqbNY0j&6<{S8>6FBMupyb5-(4@p3SW;{j7e-65=;q5foQ?V1q}=;3JkfFUe_dAD9o zJqq`Q(Rgs}4sHRkwV(ow5T}Q-=|Mg5*)fxK<#Z?!hO{dhLFb7mS$38d0|>P@$K5zF zei-vBbGw1Wi3V{W-~jdbD%qAI#r9#WwxJLb^+_ux@*~BEVeBqzByJ98p6;)VbaMww z!VEO3g2*?54P>pvuo2AH5H((sswW@D=3?Op*3xi!oJ@SRLel?7ycxmb*gIhy$tnle z0nA`=m%YaLBOzLkL9`)PaN5~c-|=#rG+ORB2s@H_vQlF0NY zZn9ZMKw?O;ff7P4o7gj%EEVA#DlKr-6VZJXi)fLxT$ZBsx3b1=InhL_p@l<|NeWUE z5XT8hFQU?qs`PRy$J5JzIHlc=nkuf2V$1pPUq$k0R+aZ?A~ug^O}wXKmK|$b@(gz; z;O<{T8hxk$h0ES342dj)dk+^eiI^!RiIha@=hc+vKf0#6o(U8GlNSUu2!FU70ni{?ZO7(g9}%y{GcYl&BwU8#980Q zT5rj(nYcax66T$lfkMPC0HU?Hj1jYvSU|mpNizBY4~hKlPfYu^=gzF6mMW6l0gud( z6df0V0(vte#M2}e>=vXjMu_rba8}(EA!?3cmBJ$>-KYTo=9HtzeW-03O8kX-j$!^aQdMjoJ*^Gr!K<8W2CyZrL%x%LsGz@#xH)7YftS`GGe8*un_gh&~iieLFHI7-` zB2{dwa2?Oq@R)vL<9Ifi^%9jQuwYlOA)(^e@hna(n!swYUE&WUvIy~M0&Bwl6|oap z19nj00`%o&{e8N} z6ttqg_U)7>;AQjg$eCD zOi*k0m)Zytl@Ti*ITjzUh`T{!M`AEEH%fH-4wH^%BJDd?E&NGOHg0BqWg`-&4)u;9F z@UG~*D;DaL&!!*^+}y=fEySI0?PROj!)xu|L|8>bT36Z8wSC_xyPcM$-)`V6nM}xe zoIA$zkYcwxXkto%3D9p&6EO0umuGcB0RmM43~4_C5w^F3Dh7>|@r&})ng{z0-jV_g zmiMw38`CM@;{|%bX96e-o~$nelab)O2vk*aNP5do($nny68eC%0g8dt5=3T|B9quO zOUr7X!}^Wm_n$!Bdd!)rIDYO7)CmgJVCjhb*m(tX`q{J+VA#U?IN8}n5k5!QEfsds znk;R&j)@9!js`)!TVrvB?1{w_F=zIYU@M*lwe8b_2T{xkcbc{D<|BT<2Z zK{}>Az6{ltroXYapz`fQMZ^rYn2&8IexJd9tnm&PaAqlN@_uMe#M`2>WQp(grg=-! z0Gsh}TP%i+XPAr4H@&S8Gg&}fo6pq$jm>e)DGO^}wFu7AM(ZJr(sa6ne$KI(XfWzV z2%E73k@^$MN1Jc+wjz5bt5Cb`NV3P6AdzOW&pO-Ue^%Z$qWOQWoTr#{zl&XS|13Js zV&%f`VP)lLh&ee*_97s2QVT2xK{=B69u!ZjzI zORW5=RH@lzAmk*!)NER8Cf!6nZ;4Ix8sy1`6&HT^zJkVb=~kjpG8pDj60Xj`DL`uh zj3mYBIG~jn<&n&$wHZ$BBd258wEF)Of8IJY4?Hr%Y`lRKEm4ElBy*Nwr*_m8-+H*o zD2leC*|aMq!^FJVY!tgK3eI7XK~v-gWkcE^%!+X&O~oul5Q7`@HM%+08tX*QIczMP zJ(uRNq3no=`;qyv-$cJ3S=XY|T86q9(xRY{Xf3&=`29z=temCemq`=u7fQh8)v%p^ z)7sT;93^JXWr2R>+o0Cl{h;^sH~Q|{oW=2jr{cm~Hq^H=`WNhDMV#9jObVLoH&f2n z8h}uQ=su72;+F=AgY)2r+0&NDd#o3a=CLY7JtOS!&@&my*WmX^&Kz!SWmv8Kl?K(=X(tUsdUmdivg+^usdU*!6g*?hq_K z6JAx@4mXGoAw>&nywaOm2}2F76t>{lJmd+@&2e)EbZguH7UxTlW3;Hdkj41@(n=N9 zD~C~eFtTYeH0aqps`VF(7P212p7)b$AIlW1dNDHf6NP?aWosQnD%O>PfY&BBiM$pU zc~`f?Hf<>ZZS}kyBX)ovs>~zadLzNrnA%Tt|A~3k9t-%yqp>#M4hYDsaUjw_Ix4Vj zKPR`}e{*|d`hnc-4KjC@#S7x2Wx6=KW9FGV2Fz-XrW9zCP03&x_g)SGvnkP#lh{`! zuEwI-u@1MWLsLGY%p#2UJ?ZGa-Q%f zj5ihV9Yc_rC$=tPmDzZ4V-c(9UKd}aqF=1dn9xL&Sj-j&6vw7S%j?N?>w1(#$dKky z0AJUdj5>*OMI1H)cN(8x%+jmXog(|k&6YG>q?z9B{nU7O#VWJsEc=$m7gR_c3>v7d zus&k%&#ZNCMnZj@ro>O{_C|{TFQ_;Kt{_4Y-3Y;^!hsY{R$&u`MRDik(@Vx09c+=EuJ;1_rWdo~s~eqmM16-8r|&Z%P5D(%{AlN;92 zCPVBq@Xi#Km$34MovAsQPl*moFf&`-NQfn@s;NVQp1BhO$b7YFp3H?oFft>?{FpiF z<9fUp^GAH^30o?1O4d1->Ss}ODKoj%L;?!8)Fcjj8#|IZa!w-!5@)i4+u^Mh007Z-WLA+bW zy7+nZgAx-hQv0~|*pOz2fkD3umOVA9Yx?Nrm?MY8bjNx|tB!w~?eu?5E(W8D%^Z)I zv#_i;%_NSjV0Cztgn28O-R-<$^^=&p5|igi;^|5(JL@!8Q-xStmF7lKauvusMZHz5 zEKlnyx~yXHMsMt2v1tbU$C0yPHdbK7^$NPqYb~>joa~(<3lFn3X^J!~WF~BdA=W8z za~12)U)2@yt6}8_i$SZ|ZB{vDVea}G7SkVe`XRN{;E5@v2{?OF?bef0|hRqZokyy&7w(dK!K6jpy zHEQf4BG)tjVfSl&fwX!h`%lq&V7Y99-l?uZSvW}#G@7I7wd=^7M3dN~*mMX0y*es_ ziC3ir>rLlD<HsB1}yk~66-d= zZ{!pgH=vbN6xkbCJo`(;Z)Cx(o1@_>5B2csikvb|m%A{;g}*3D$?d$Y z#5ztm-TsR+E=nBQ$f7*MN9Ry|ya-h3>k_ZEZ`%s@U$Kf=O&S0CR_Zq5}5^Ni(b2%$0)Ix+hEeADJqOQn6MO_?**@PjwQ`@bA zi=$T#-c1+)cuaLX=T?PuP@MXe)hWo^lIOyGS{q^5#A@n}>`kI>8`KvV@%CWCuS z@b>CyddN2RHFw)yi*k9a7ISuB72RGO*})=#^Lhsx7FK{@U>1?6diQ#h&3+S9aY5V7 znlf9n+LGrl6U5A&EGYKd30Nltj3RN8f^=jM*A!M4K;x}f@L5zjnmQi-L_OZ4F_KYy zr!0tZi+H<}`Iif*Lt~tj0j>I*ky6^Cl!)&rj2E@i;kZ2$Ek>lXrrzGw{%fw|Q$sl`$?r4EL`Pztf!lE(jTG$gXJwfeQ-|td6{l3ye?;g zc4&mOqi0F!c8{i2Npt_1p!LRQGf_QP*XHDyg&Z&?&s2^8VcW%G;6OaRi&aO zF@7JsS=YsleHgI5nzx_T;KO4@&Hb!?dDC$+sP*w5N3Q)N(xMtH^orxP_Pnu}v!4xP za|A!YLOHIt2tUBW-7@-0ot+YW53rRbno~>;P~P~6BTBYS_#9-zxNBcwJIE@zJqjgk zywKQlkkw#4#M6Ut&<+&^GB8RO3lO0hEHEOi5_G-0pKkZM9sRG}OF-ON0(Q%hU(Okf z!^Pwb*2rya6ABhB0^l_Mvv6-U(VYaU9-or>4m=Wwp%Gk~$@Qks?QJY_SSX9ZxHlFE+ zMYBvc(f2z1YO&UbyJ^hCEN(wN9f#~RqFg&9?qsq#1?P2_U4P~|k$i-C_ewk$>T;00 zC0J%SZiQ0oIkbSeShYKdIPRZD*RmODySP>R6aP30_DUbPv5%&hqkSJ}I7?dy5dF~E z^2y5a?H*1IC8)S~dxUk4-rFtIC7LCsuny|OHuF` zYg(o zWt&#-Z_(%k>%uOJ#V445a?S2CV{M5;yAU?cM!!+}in`B=u@%8(r5?r0VO`e{tZ9t< zYdSqIKU$LGna7&8mfWS1Mx_JH_ygiuT3dfr-v9AJ*|aj)AE5hcDk1}%H^9eAxSu(j zPws8g(h;?{{ur4NeQ8nx)^Brai9WxvUU4J6pmmx)y1vMqg>?2h1er5mg}9gw-S#sT zJd57Y0yvT((aLW%euFsO*os=F_YkjZ3b&KYzvl&v1VjYgVQ@-=s)_yZ;$$q+=*3Bw zcUyeJNiLR$2m9(Xby^YwW@=deiFMt zA`gfiCt0l`Z})?Q71u&*|LhmLPGL^5UwE8iwL)g)0;bAPYqzd1`m!a-k37x?EFcWfErJ<@sjl<@Gtq}RXe6LzV-CPo%W`mk-ih@ z$TYT)Ba9sXsOnZ|v;EDX#2wW?E?^MjLsEba0*h z=sqpgv$UTph$Cm%{$v|=)4?jqEs>6L_)G)J^9HzZd+q5fE4P1R*Z_yC0(ApOrsLJy zF)c!F#dCC~tzs(xp_LcjhgJIGlZB8!bdo~m_k#^o8!xY-lgTrnsYm9WWj@KB6~^ZB z(rRUn2Z=LqR!3by0*bQ}5BYg+_3C0d!G9(AZUz5V<+D-xJa~HaPH2zXq~M(td@$fn z94PtX3|dWa>vew=rxgJ^5-`uJi>Tp>KuxjtEUT2f3~vO#I9Soit@Mh}8a1BMXIYJd z{sXsZUH%g{;bWG>Zyh(m8q&38#Y2Vt9BWb`6;{h+#n+b{Rolx^OMW}Z@FQ)M>u1&K0Poc~Hh^bl zik|0LQ$DDbSaY6*cB|G3M%xST?$i9R%0Pb(LPD&~3w}7fc}5cMn#Fr#vf$XY0h{XX z_YX`EF496O{b*5Pzf9wn&3(rcfUI^G49Qtqy-gzg0t-)WC=)H6{vj0Ywu<#qu?;kB zuv!KnCU49(EK9xRf|iqF97ns)Y}!d*c*5-?0FSj+SqAJ_>&8UHtd?)_pV-@ETf9V$ znNjh`pmzJ(_rg`>;<((NTKL|tx6__Kc&o$p=6uZZ0;@dCycFE4xC9se0;aZsuZRPD zEN(Bg%Hh9ao^iDetzSUsqnDe@wu^0so_Vn}Nv>&@6%6uQK=88)MIDC7`tdKhbnQCwnuck$;#th@5Gy|K3}#} z>_e2NE){>@#0Ie#@!=*bSISsS&9u%q3XYUQS3`fPa*O%9HIcqH*zFFtSdiO56`Lf? zx3Jl4mpF2ZMY=Y|b-Qr6UA)~t5i4UmMDx42Vm}Em>vPm$UqUiJZtd_Ji_FG{xHLD`>M$QK zg2CNx>;fo0w(M}sj*%@Xv7Ey#mYV2f4?ypVS@)P%{N_b+BAAwlIi};m2KolbYpZrO zgFMcyk%qzKd_H3Ck-1K`*g2bNn)`3g_o) zp-BX7R(ES8v-?jJRqkWg;cx&@Pud7P4QaJ8bwDFou47kmbf)77)c7+K{Q&qbm&|68 z1=Fqp@~Mp^fZaHLbtt+vh@f3M+#Ac?^{aLfLywAqQgxly%VN{UDxe(;)|w!}#lca0 zoBNOO*V9h2A()nDY5hKixS)f>TM-n1w?g-^EUfZT_&>nLf-UHA4_MW%DP=IDi~C+m zIuVW)o5zeg)YJ`W;UHma@x3i-%ZCtJ#n_A+5ODN|mkgiXg0IH%5hF5L(+$Xt^QrQB zU4x|`^5LCr@(1xd7?taYOjL#}EnW|yH2L8)fL*<|QWzZQvz{MBT?eaL@&5Y|7g|rq zPvJZ|oih)$M_D&wSwtI}v$XNHCZo!pO-^p(<8%$m@NwKQF}|f<{gD+*L^j@yjFT;}(`*w)fBC z@FUo^ko0noSsjKQex0ANo+T%)q3VlzB8x*~L_mf(`Gj>ZneTrA+YXAl|FG`ts95k1 z>*BrfJx=#nS7x;NoSkI}9`~6(g#_CWRaO*vitl&Sm?OG8WnrbJ&q3)h;-%u5V2FE$ za#t4%p0Y9AeU&KwjK!8tJ`2kpy?Ar~&v0m#mIJTRor}TG*ufGH>!av$@AunYQbol@ zy?ldZ1$cIPNj@;R&8>NR#VV0mmA zg682cMv);gUi=}HTA<_S8e~IZ7c-y}8sOp~Kg%V{P`AQU-1O2T5>@d>A*0 zbFW!RZ$g7+7&?gD09U&!G1q#35T9OSSRO0_-mvo+k8(y3W1HvdL(Rbn5pQwC}`lWY60#w#jQ86Tkf#XjwMy|V*j8V+ugK|8mS%A|wd42&woAKUT zNMY65kR?W=v=g??-4&BJTK*=Xri1G~C+R)B=gXGhT&xp<^)z;ov@cQ;1{N3%_~Phj ziN%SodraH6SZsOAYV#?J#iO^(x8P&!>w#tKzEKo^$Giipy+e1vO9r^~_f$gm17ylf zw!)4R-Q^Ror=sOMHj`IfByPN8p0(S&fuX+jFUB0pB52U&Zr?ygvau73f6Ef2ST!?J zY?gg8JON?MyLxR9;qO@>Z?ZwOdk@37NBs1jg>aX*;@Eq(4;xdlfZWG@U#G`>gfkiY z;PK^`RkiBAfd4b{Nek=!Sldd6tgmZHg`s^%boys}AL^(hrWO^`ejKn^8+JT7QQ#!e z>$CJLPXCN|NYP?D9>wAgQ@^*YLBGd>_`xCZ^fU7+J@=4I-9^^G(h@?UsF8<-Z#FC6 z{_Z?G^+D5AEbLhi~V?Pvi~ytYav6{Gi+maRy#xxbx)wu`}h8X;t9enPx|=Pbq>)Z^#oG>ZR)>1p&_(j3NT^nIJ1!stk=(Iz3< zU~w-Sle6~Esn(+A&GqDU`I)(M+wrhu-;6w>Tzo1;*^M;kEVP4^{p|+8W+rxzx9^~( z2S|0tUMjWldlAgwHr=6CA;zP)p;=#S*DgV;=sy%#twM|yzkoj>=;GJ`@G)&@mq{Z!Ovyh@p;4-vzX z6dmCDQ|jCv8~!CUcW+@~yg6PBo@2bSYhjnLd7PK$&TQe&`B=9h2C1HtVhQJg*c5Y= z^Qy)XPeYK?Sp6vxhziDIjT^MK6#h>#wzj(QhFE$RkkXQz>LD;rV5{5V6(Z7=m#TLV zxO>nORKIZSYKtN92-Z(Dztv(M6mT(EPN2s?oj)O>-0h>A%E5StNOk2k+%^@JB|9ee zyK+y@{3wK@dVoIoKf{{|liB#)GV#cj`;;HHjO@TMM}2>F%wZpa?NLpYJN<|V%E$eh zcT}-O{}4NJ5LWC&Rqf$PnQ(?~)J2^SCY^MDX~Q|L?sVI+-3`*twD{4UNY>_)eFGy%+VKIsZ7 z>&0$Sy#T+`3`e@x9VyPPs3hMxfavj*B zDiD_Sj@2^%234e1O~x%t5VL8%xIN5```7%8Tec!!!{2_)@;hvSi*@d1z#Y|tPF93lPQPBWT)X42l)diN6CnDl=16W+v=job?&@mP6Oc zU!mOYQ9vN0c?-SCCMCm-cs69hm_lM&HBZDMv+<2JdefZG&(Ud>s96|w(t5S%QJBB= zyM0%xw-LVAa)UyEUd!*+G^iq}C??t!V-GB*7X~V@>OXzLG{6cgo!RxyJ z4%fM(f=XI1mQm6hO1c^+vHFOICHO_TslOx-;qg<%lak!iJ9r8@_dV1!s_92~uN@PCm*7*4neKHVR&R|BOeQI4_?;o;dL6sJYET-2M-V5lA^3MjD=f5^zo!q zu%(tFG&hMr`=#?{GjlNH0?30ms^b1wRRrqfJuD~vvb39e2#Ke2f>y0uif}8%+P_8BfaE|Pl>4F>T$)#E<@~#V%Wf|u!RLW#wdFa2anZjUW-`oZfOd=ErN6+- zsDbh(CFjpgP76@jzl&R?d1svG~dhYzI$S*3w&#av~!fl7B>sMdg>*y#+z3PN;?taq9v8FB`rYjhb3Zo4nU7Qw-$mC`ya`nG;J5bf_hbu@f0z}nP(uSxCc}B^F zbCWF-fE^n>beCbqbcD>>)%&8P4{vCEa0>Qsdts?Ta>z|SNN;Z7kJ?XwpNaqg^?{fg zA+1@oFbG7OMe;{6%ZJy(hPvZE=%SnNiTggha)Yb)pc{B!B2ju=394dgOVPE>8SH=? zDYwsC#}Q&_)$9Prn6sH-MvCnF+7IHZvb-|ye@`Tr4;V;)YW*m44(nF3MrI=N7`I?eTeo5AE3h6<~T26AJ3CeCw zNmy+w0*O&bYC=g;qlKtX($H(HFCO_~ZehHjH%;qlrzZW<9>Jn~IbMz%E{F!@cw@eB ztVk=zD~6vZ)8<}BHr{ZuolJ(cg%_~Ez!dQ7DAc$woLSh%*<`G^T#ozlu(9GzIZU}X zVl-mZo}7>2PS1*4Bb!GS_+jKro%fkM>}e=6d}$qHI^>=f@q)`N?6&Aro|ZFNVo7-( z%9mw|Q{{P8?mI?&Dv#bbFdLP9H@|EJN%R_^K^77&=n3gah@*tVdcrCsT!$rg^j0&t z3M5r~6`oAtcon`)VWSF{CTSy8cp0$^LfF|EZ614bwQm?1O{InjkY7Y^`jPG|ma9lS{9QEfF+U-EhI-Qo0)=~N7EJJT(Hure|#Y7)Z zyo#RCLAhdv9?M;G60TE()N1L21*+YLd-8&}Hm?>ylf z!234-fMGSp`gbGSkG18_jPpr<(4Z6hz1)={&tRcTn~&skoMUqFvG5!UDR(wSRHC_K zvw7l30Pn;b_7LR)(G%-W5e);;us_>GuRvZ3XZ4Z-c@@5JmRJ$UgFI&*fIIoXRJG@0 zILb@h>dz2217RV$9T1-bc?7@yqlgINWjw3dR4FQ>5ugbNpvIw}ZlZS(Z&>o=bOI*! z2jDCmk^tcFZ4j@>4vT9+ygYUzehA`0braGQjlSsrKy9H=FXCmKl43(3j6+iei~!K) zLD#x~nrms%wIZ)nq1o@UR^FeHYoNzbXpgCn&%(Xna2Y~v(<54}sL121t^R00B+}u^nPxP(C1NsFehq|ca zTv^(FR1^Iivns+k)7>Rw(d2BtYmrW4;TBo*#__{cn<<7?&`*Zp#&ic}sCZ1H{UaXeLAIvM2ss*)J56gAsOAJq#*!cb?VuE=op9e$H?2JzQJ1WFK!1AoZxcYy~fQkRkfQ#3N|(Sz1AIs^W+3SrxK1DrkRz>1T`j3cjQ)ttuvH^vlwM z_UKCu5*2F5(n{_T4MH$R93LWvhG0=_6^lZ6Z$s4~RN$xxdf2gJv`8oqU>8M1D6bxD zJqGcjChWwz3M5c+lsgE1BFB&G7KTIsV=j$5{OH*EG-+pOZquN`;&W7 z)DGh{d^cdjkHgjuOP`A$Kv%6Y%ty&grXCg6Fdoj^9~Ha9c%|sFNKLvO-{&#Z?c$OG z&K?6h5NE6~`huHdJ;q|JFk%Pa>}%5sfiRXCO@SeXRpH*ET4nAL>_Hjq3BhpHol^z; zeV|^dW|Vt=B-SR{)AnL`Wgb#<5nwpvM~JxXACVS)?wYJmo7vk1p~v4(2)PLkW09U< zAELR)sMc$sI8&KdXV(PmMO5Ug0i^S&*Yv=8o_tKweX!UUohg$h12&`oILw;nVKl^b z+b_j{D!f|!Y~VZ3pg%jX&LyYGxi=^TjyT~JE(l=bh{^g7ta>PsDJf@EVc4!nzx|{e zXK~~Oeg$!-3J>n#uh`F)?8)PEwk*!^WIL{4@<2YAH&;#mzd@FF{lPX0S!vFOUTgg% z9cgy0*by~wUk;)SPqVbb`$P1RYrzFEFr0S|8g^LmcNU*RGdVcmva~KpgZ5k;pRffD zn=TKF2jM)ZWa~^t%`1mm^L?UxRX)5)s%!z<2Xp=Y=!2?X?_7sp8*FejK zk4meW8U~%y=F-0C%=F9B#+=m^&>l*~KKG+pT2lZ?Cy5?b2R4{sITFdomLGbA1|(X& zCsPR8i_D08;@BT5t8uW%iiCeQye~-fVTEiGylu+a8a1C69tUqi}P@NGfd=f474ABXu!w4~UXAc(dXi3t^jpEg`T-ysZ>=HyR&^VKulfc9?x%gSYgqvQrLYlR*Ma z|3?h?vXCMe`_(WpDOT%s4-NXcV8v_>y1JwOSa5`R2IvinaMe z3J3%mr?;=eH?o$aHihKbLuJ$Y{WtE>25}r-Pja=M&9u3j5R3jTxh$NG?)I2$>u**q z3Q3P{+Z!>fR&i5$>w5e$<3sL>(E9vVu@M{4jtprj&>X6&4{mvAz+1-_N2SqFdcW5isNQueM%YX(=)hs}75He{DHnKRvFRBUR%1Ngf2 z;*SPAkf*H|?-36PZ(W&8{4>LrsJrLMJ+l%$?vXp9f6C}QL}AQouNO@k@^StXTUB;p zW}^iUmqf#}Z1;K+t1M+IlI2TD%GhYa7L%R3ZvL8{6w7U{$<0l< zrJE!f`Dl9ShRJ9VL~U9Rgv|~{S4p)+FsVZ1`2!6r4#j2#6wBd;_drNk5`+Y@T8=l* z(CCfNn&zk~K$5zNX$FblA!Fxh(qR_KCjM2Y2@+FQ#m3)BS}UPaRs1ZY;}NxKGZ4md z)2dl0;J`fw_nE=w)B_6KWVjV=LgcxbT>jnFQxIuZwc&UV6Ok-dl1WwPE^8f!uCNHV^S+XH%qnsdLn&Y;5j+Pb?q zf29s#-xt^r!r~0k+JPW&1O&`gq6o)qd>xy^Iz+Ng)tjWxX)(Mx5A3u{!liZ}o}#k# zCIr&%H5qM#D7NJxtjn8$V3O68brjV|6`NbrR368mCwgs*N6mRnzua0kWu*oBp^V4# zp%)^I*IX_c`I?EC1T;X1)hmIQD_{B|nZ4~2@n9w}v$Pi{bBy-AlVWiKRda|PpoLmyYLqE=C$J!_}RK*Qaj#=e_vM|YRB91T6IO~_IwUs zR!6LF&u8-Dbws@m{2RV5S}gCtkMY~J#o&%0Q>C`>>%^n^^ID>7CvN7G7l@mkFzu>e zOBg!Cu7xZRQJul|SWPjlGdFSjd~vQb@6Ekyrq}4gXE8plhB($034t|4NH-qCZ&gnp z(v7z(&HXls!=w0o?y^~Q8_k#SR%ONK(R?7k>mvpxqCQspq_0ZkT^VnTEG^lwBV)p|rQA+I+KL#ws`5e7HX&-3S{@Br_ENQ|)_g+CuBp1`D^ zxh}H!4w^6OrEo9TH-^fhV+!w4ah`!#6@Hdo$Y7~WT-+!Wju6!J8$1z^BTI;DDZE^5 zfvB#v?-7(*Gb2Dhv$2MxM8ncxq!}zv;IAgFbr&Ksm6zmes*5J6Jlvm@;J8yArYZ=g zN}p)zkC=|TpsTs5@L8S3m4>O@r}eIR|4~h^qPeP>2Z+H^i`bCGnkJ*ch(a|}5HV|G z@z0>4B11y3_ko;jqvnaqQ+OrMD}%qNhn&WiCr}Q)gg$5rFXx)+URl_t@ae&|-M=WO zC9e8Y%85ltZoMBgSE{G~Tv6A|D+EmbwJk;aa4*K-rDzA|ID?n&= z>3Gmj*XevjWs{qklWuHSFh_Y~k%l*(#g*~~%Gd?OEKd>*csC2x@2G-}AoB7%^O0bz za41z*X`yg5M&(gPmo^p2!rn*XYrN%FSqz=VYxj`%r=lr3b5F`gF=jeX zNIo-DD(4a&vXIJ(?Lt&4XH!nPu~&f{ znp9{#kW<81xu@%k{FT$YTKT)e|EFg9{Eude!o!)T@$7sbmNT_}3~!ggk}sdG8QR=q zu)M+0f6~m=DN-}M_j~bpF0X7T)k!HPNLNgyc|0yT5XfjXRq)88n4*YE z#b`O{#+~n|2-M}Cq!P;p`h4t$j71^_#S~JlCafG@A^jf>#)4EiQ!{J3kqIWI@c>*o zgMqXBI}t9(Z<;Dv3tpS&OBLS<%n0tKh_&=QmLiS|jO-&*gztRB+oXtk^AZ1)EPj{| z{LEx=lAhLNp)J6(RkCP6Pmg3Vj-J;hi*58=IywEx0>7UJVZyLu2${j}^!cK-3sn6`^=E^pJo# z0Q8Nw73&+HC0!7}osJ~4X2m~4cZ|=ml^ z4Hh%f!6_CIlgio`=Luo!DbL!Cx*K00&nqYIrsOGh4>4~2m%yN3Y`F)6q7~t3jQr`J4tL?fd&4I7UJd# z9$vaf3rXz3XpqLTw_0R&wnwuTB5)-iQF##(6RfvP=38&g&-giq^_clSRzgjvL)tt> z;eDqm`k`Sec0{?%5{J%d<v8h8WTqHXkj_lr8Q7|mVbW%iZmn7(5}jUX z46%4wgXTQpZm!+<1|T%qA$pVTAfs(5YR6*vT9Wv%p8G`y6IHV@MCPN;UP|Ic5FV^x zei^HPm=hbja;70E#w$sp-3DHZXWS9?4ZL#9>^sD_@UvLM7Ht9w{>Y6&BN2jO9+esUsi;%k05=;Y>;5{RSSK93nAfF(kq;LZD*ESTBmf?}+RF;R%hm9x@x>-X^}3 z;SO4i!O~67@TZKmM64?`m>DCRdJF40=PQ`#+-dP1nOhcU)?j2$w$!8iGytVi+){-I z#O$W_M`YkBnGVA6v8;#sW8~?q$n&~%!@dyfB)J=MXvNE@3!(||=^)Yqn{125+fqlM z!cmD)NHQD4Z--UUW$~67o(@vN7nZSGh*7>Mot?{V(c)KLHTgKT@o23#9tQJl6w79u z1kX8KMy1iR+Nd62NSgtORqF!?_IP!pM_tVh;MlJrRAab8a((%>V9uQ;u~D7u|jELXdJ2#R+U zcQVG9?-|q=A{>3zO7oF6RJ7U7D>Qs-gaIN)8*VxBl9aS0cw=drf`jI!uqrc$B4*DQ zmJssDWIl<}Tl+L5)TOySUu6W(_Z8c>^YD@_kcB7F2Y`10XmZsehl*F*c~_4b*Zx-z z@37MUW(W5xfPmD&_d9s4?njZ0Hk=p62k#N2U*>CVXFa%fv$Q2hLD6VzvLNNGJBA?a z)cHEpWl0Gc9E;SH(lVHcV8ddKU6S~V%sr(w#lmkVUX~l{iLZ9@pyU$3pdU!ILf>(L z_Z_}>8G^e3w>IjD2v*XA4!)WiWjjRmVPg03c_t|71dz%gmFAZS4o8p*nx(Zs;pm6N zb!d{*FSE1+B3BcseN9<1DG|2>x(`36VRy#XMlJ_hZ)xOmu;FGbr$%q0E*O-E4A|ps zs}hi3gr)N)$v$Qhcyazewz;9Q<0;GY~D9oU}*pu?Hre2si z@Z9BUVC5gV8kivSZ$*Bofgv)w98uL&H{i@AZWAnoYJs6CisG1!{bg>_M16_;%l?{_u{ zk_}17eTf_hf)K}&kgy?$`#xGnwRN_MyAn20+ZEI`T2!=Ey(wkmO5$ozG|r+_D7vXS zN{Kt~=b7Cc_4~c=yMOF=o|$K!xu1Dv=9y=Jqb;2QH)Uc9zIixG(iBXwBa72}So4hc zV;xl!2<0Dp)tomOnpI^@C-d_0a7WdY4W*o7L)K9>t&z3l(LX_76>QhhTi=Bf$0Mhx zcvL|LWSnV40u{7fLgN9IZh1nzRc0y^wXzu zL`kD=MW$jU=v0}hbes$%%zKH#vgtLDJ2cvU9DG5&82^;X((RabvCr~f?Iw$BO3Owh z0|y)eUiK05urPQ1LWX^6$hb9RzOG=04f`gLWA%oON-2>qHp(YX@c>#jW{jzXTrb0Lc#=>iunye;gBT9o;q zjnZwuRCZ+ZAnG1laoI@@q*)UD3?Kxig8;xo$-*=yXDgtXM=`f?FCfJ-1#245hYKUb zwL;dMosST8_px>z4mZVO@Rt;ep&Qt8qJ=LfesA&mTz+D|!^dPeccP+~eK(MjemL0P z%hnGROZKtBRC|BzV~rY2Z-$JQ>lgZ=HPMDGWgAKX7gv3$OPCBECq&!*SP!iq2}?xm zMqQev>~F>-xa>=GNeOFdGTb^QUO#|+Fpyn%fGwl1|3TK4H5nlW9b_$97XDmW6|4GD zep(E4RK@!e3gktZPcPuZZ7wxG;(Y zm;p8jqBuVqA5J$jU$ZG67*jYB3W;-i$V^9f8 zk@`=35lTS9vH@RsuC`~fwH?Z}L-PQc4D%0HjHbazSD)?G&pw*EOUMb((3rvl$YBSd zC#52{r7t1nU~JAO4+za!2zmDkWB8b#nIB@a zY2lRWyya9;<$(GUw6HmYyIqpEd?dj%fS9C3?qTK`{TRX>=DH;fK|yL~nG9JnKFvZy zC4PU3j#;Qjs8xemD5;c#o)*1J~j!I|A`Sp;DirXjfk_}?!6DV}pe?p1VIYI|e zXa|JGoSOFnb;71igcR=xFQuFBlA<}fH~b*!SA<~ls|cwxn`k^E@sfy|qYEO%=_4%E z<1V;bX%YO5qYrJRMm#rAI3H#4L0-CUsEy~?Q8b!f1SRZ^CV0W$1hL$ z4vCdVao|zdQ|vp6xvHe6xPr(mV4w*38JZsn5u*3ctPi^#E;bUZ=_Sto%trgzSzj`E zWwIq>kcIE*Pd&#gyT9mtj7?_64aELqY(?9b;3CO6naHq;m9aOF^x2VAOGVrX0R7}X zi_xNkaa>~*9*{`m5aOrx6HAY?x;1(rzSbBOJEEW1avUdqz59vV$Jub_)jhjuEYa~{ zqR$D|zSW~{lz6-|_HZ5}nWkU?@6^T=)&!1xxs?7N_zeNcj^OUb5V+7$CxXZyzM4YsRdQQV-I9n&u__z#g) zC)sOW_5bT9*WBI2w3BRv*OvVMpgV#}PqH+B2ib0zmhWP)=8j~VQY{3pguTX|V&*Bd z(2l)AoMK^#uV8i}%rZzrrW-89{1?{i-87O!6b1YY*)Cx(^@!4ekU6O;6828%DaubV zFV=dmsC$~lGROWa~|2tQCm&V zFjpr)a+%!u47apwHtwYYSuNh65wf|%uR-X$@X!X>7Y20Y)?yOpPMpsdA5gQ+Q^~A-C?3~w`A79N+ zCtWvj=r=Zp^{Oj6UxGF~s4cw2hE#4`lwLx2?(ZcGmsxuXoPC-3Iw6+K_u9*BsUv+K z(e-!W*?xF61xNqbAoovUIyNQhX|j3ZAmhFgW^_pmi?i^Poy5c|EUNybPGFffZAv>s zd$^3|#IPCq`SUP*Exa>4+VO-=V&@ffYh>fm74}BmvIL-MFB+r%GMbKRQ=;x+IRB9# z-YvoLI&i)(VX;AjfkWN+ImV9Be7W=@)zmb;@^=k7%46H3UGI9vf!mEc_$XH zE(6`M$j%l!D)OixTQ}2+=%NG``N>W~*C@kFK+cZSS;4+ZAft@!N2i`4#-b?4A6=NFO$h zZomi3<|cj2{8xOYp(6OX_9FfU8==4X^?&+}`0fUaVAw=EcY_V6?29dKvP+Kc7R_>d ziTFQRnpg3c|B30~4#bhHm;Ph}v=pIbDSMs1t4rCgHf^0Dxni5;P1&3s0OokyF#e=`t*w^JieYaBPzy5jUyu2%# z_%|e?eefB>J zJx~^Uk|p+9OFGJcZtoS_FW{RpQ(m8mxZ7;By^u323%TLb%0fuY=q?*vcW86uI?86$+R!Tp06=H#+FTUf#RUrVlt1sXW6EW1 zl-O~P%_EOC|6n@*u9@}Ce^@PTjhSG8xVz)2i4qz2?WZe8@3R&9@OA&`jAG&gHpsJJ z?SDLF54CS6-+jQ`LaWV8e_{^Pbif2u6TKzDbe%!fjJA@4{$-15A9)dJZ<;d@BQgzB zqs5+oF%sWgBd*gId93vit1ZtpqRm6JcQI5A9W9ImsY#4h~CYC-$Y0@IZ&Zj8d zl$GN0Q)XZ>D~10v)_$D5bab3j*_b6ODhszGfC|?j%u%>a608fbf`LE}-}c(ybb58r zWY{DlQr_lD#99;&<(m>9@}EJ@$!#DWKEv2*utG#WXFb{3q8+$rcuC?*)yAH@roB$>Nm^)7A;%x5QL;f z%T~~ex6_i#R=o9LhiKVq;p-$`Cfw;!Cc}UaD>_oNFV#=e5PL-jNhk!6e8n0hy@-gZ zvoprOX`wxpt)UJ5sA#kT1;)1+fq>-F6Xs+?MQS-@LYD{P&2rX6ym5=w?)^=$qxxt2 zP-<%d+Jb+iMO9KvA(T|{Jng$$dxQ8u-p7@SWOJd(Fkxv$E<4M3CL)9G5bGnJl(SPA znM*30`7Jq6w+A`W87jdq0AiMtSk$SKr0-@z0+rSh+5ku%(uL8PMNm8rybQ4jp*4D% z+fZ2Pl=&*%ej1laaxscx9?=N^0`58El_r^O(MPKsdcEc1%G}hbN5$L}=*Z2px&*EU zXv;-^t5)iCN2xzLRaNT0b1F)GK*q};GRW`tx?(0%Zus9`RGH2JFCzR&fFqqBy~Hd< z31~z^(l+XP70J(J6sm$*GDaH30LcknV!xv7@IP&-B>9ymk=#$Ji*#V{v8Py}D&a#v zlo&)|yhO-#=r#_K&@w>DSjIt9BL$WlXfYS{t1+jgJ3282GF{AYxevLm7>A1$$})?ODIVd}d8wuaNRwY(C#7eTTnr_n`DkUq)4fg6v*AaJ;zcs-7h6l(LHyJb zpt&WMA&%$g3;&lS{&lLBguk;gCgA7yE1PSBJJnDtA4hX7b8jftIV(|q*%F1?Yna4v zCk(WBPas@%RstFK5n30;yT(OtdzNl_i~24~e1L61CGC7Y(f$xTQFevR`i3IYMe*_5 zDp82GSz^p44A7npgdbg${;{2^Xn0GEs0tb`67&U_Z0YIzXdlj!`$Lh-!VlLI9cn0J zg5JW&p^ISJ^N@wc0)Q+u03Ru#_pc}R)lfYBre#+&dk;6t;(Jg#r3`d*Ybfs3P}VZ_ zPqDbB(rEOutjfZScO^_~h1Ta_34RGM<~Q5(O|-y}j3_y$Vn~)9rtxz?GNNvh4wX1W z##=&Ukf4WaL*ZXbSsgA+6*-zzi_&=pT~9(7C867ZECG2p#Kl@l3VZ8@h;&sF!)1?O zvxj>8nfVpbzo|*l2Rfp!tJzR2b5+LH&jCV3=iNy?)o!F6hI@)le=zeEs0IjMHzm<4 zyc(iiUx4J!ciogO)G>FvDSo5V=T%lp_ZpO1U7S3~7H%&=CxEDwE1&-_m2&Y=Ri#8r zI#emDjCaSwUgk$G4MiKhvYryWs8`xF|EKn=EZ`|t45sMA@Tbvm0bg5IPY5rwAZuCz zdR!Ap?n=*$e=@2Sn)WCDQ|Qu>Kt|zrQFWjOXxjvLzJ9ZH3c=7FNc$-WMCl!)`U(Wv z2nyN{NuGj;;;o-Zw3SXt0Cvqt?j^J@M4j47oM+o=nXp$VMm-cT8Yyo(H57|#V<@4V z-_}+lx<+H9VV^YJ1zq5*(>nQaD*24pRe<41%J|#2Fad;?^%>P>g;!21i)fH3fD2blM?^RV&W&RG= zsm3zy)lsnhc11*cDANO|)1}o)!CDYvpXRyI;$11VEt(_tc_{u$PmH1x4<(c(&k?Gp zGD%s6UY_o$jH=ffoj)b&v3+nh2Y?LLN8sO{N`#_?qpp|oR!|oDe07eWKFAb35`I*? zDYY7k^ng8>{ig|Wr>_mpc}m}OG=9al z)t_}0qi6q_uM$C9b*`r*x|UvyL~$|G7x9}H#fo~!Z+4A_BEO!}M`;F!hquyNp^n?b z8#VAN923Zq2Zx0mAHnf8IcC9egdANp4TTMk(Dp7UuHz<&e+rVAcQ6wvm0n$ep^{?= zK!k7~r4ReDT+H%O0@%uO@ezHem5V(34lEbH;+qjyjy1l6PUG%XbVlb&IzH8c4b_5Y z*n^+Gtcrc7TUG30d+=}7g3GG~-?aztc^MU0nfR7!;T`Y*3ktFBrTEYnd7b-GY@zSS zm*N-t#=jJI=o|74zBvR;m;E{Nn zzMnr5AJ8}Zk@$+f;~t5_^zHgc{E2T!B;7i%7)+h2a((fZ%(crSYlHgA3dTGph}{j8 zH`0trG>_G!A2eG0!;F>*c+8Vy_@!8I(4M~)+`nLgOE=LdT*5y5A(i#sCHluHDY(S@X`F(Gwx(EhZ4`r+_0AJX zZIuqpCr@OzRhqHC`dh7SmFEh(x=n2FfPk;IiH98!FsrvUqN8${u~B=i|0F0)7|Y#j z4M|kAD8XJ4W>ki;$b2#1s5D|<=8Mfn<#}!Q0i88FgHbuVI^r)szQDRCSy{u_-pE+!Y<@|GXXuq;Z`z%%QIOjfOP1BIiD{`yQ>QD`D(T+h+f=2m zHhWcXrJbbGp|>);Zg7!Nlecs)NL9tl87_Y4t#oxx_r_$8$gBD&EoF?>eUt&Lc$iqy zM@e(ayl+GSi$smS%6N9My-4e;e8ytiTYdULDASh>cu}Fvi*-dCkv2e?!+vZn?hjBl zv)!%4X9JbyYp&$n?&Qan)5(r1=e8na`5TfBPXQI0(M&<3`ellC8| zdQt-6Cd16;)-%JECR&ypBh-<~dRH52KcQc;`FX)DOu<`5Dpx(8z^haTy7qf(1ujnA zte=lkvY6rv9l1uMmG(*lINlnq3{s-tI5b)bQCh=MI$B9)K8>v{$ABfWvt5LFoDv?f zHmXtry}vsO66iJbI4ObtA;Hts0fS@2xp9ybzKB@$hSI`+Y6Ko5Hq?&O1P)C0Lq%m}p-VAdR5tVP`-$A~ zN=Q&mAQ~;qXxi^Cx4MTSzqhiDmQFOL!Xrh=c;y53&VDiBO{KqgJjMtFm`IpDp&y)H zaK^P27v5B&GhToc%I(!5jI8N@#jwMb;M7gCn+ApL!f9#JtiWYnH(2{jJdhcO9MP*E zX1)seww)9X!#F>5Vw!?vM6+#j#OM#8B8Ge?1a_8@lI?v*_-l^vk=00k-T(-1I1r{v zgrxH^g_-R%cxuGVr&4^QJs8DY0Bn8M*Qg~Tkwr%w7Cy5N_3|`h-l!u+jnOdnsJQ-? z(xAoIqejg;S7RO(m?)32|4>iYXWQ-G@CEfznTX^f>EIP8O~D5A!S-(}#o@W36ozLu zZC!SwOPIMHsY31lv5fNw=6WoKwV|T<1f|oM^Qn=Vyac41eQH-6B~PbqX1)`sr3Uam zRD5laqhIL?z*wQ84&^iNmM*H9yDvb$G97d$n-B+Vf8okAOqH8?8$wEi+*+_4xjH{V zY0JXv3(tuP3?!wBwiA^o<_?wXiAq{{BXcB_38rS-xr{BVL>i_D2tk(3(Tk}l<^b`d zD4(b_sg+^cgGxx>P{e(*MVm=V+d3{lsC*^{8+2cVi0nzqC6>EKjGnACsMTy`XHCH% zVz|3Hh!vB;aGSl?8OQCPh@+F0&`RLJWTmCoWsD;5{A^h1FSpTh+2nd;w`e~_Y2<%B zsB#oqlEdv;n?ZF??D)C2?II2j%P(W z&rzCrI}?IFYd_jLg)U?6s;DZ<93{H4stV>Po&36ig8kIMN7Bkf2P9fGLCgO=CA_ms zCBk`Fb=GH(a3CC&P%0pKI$L^)ww+>Ee2ER8v1&ks!)mM}bFM8e1;2QVcrsL{w8lZ7n1XM$hovxoy@ z(7M)aB)ViPbNrUUl6-e_ViRYhz6;L=kYFkz{hL`e8i~u<%4DV3xsm9#K>4%w4JTwn zpS4i|0SiCx)JSxFUm2iaiWIr;E7>rb8op4O9JdSHNE+0HHD)O47LlSZ=>}mY8T1)V z%RLUKAkK%0_QV_f~EUb>i!Rp8;b%F*a1}#cuA8cw?!fKFr`p*gZxktrtvoclr5zOVJSs8=8wfaDL zOM6{x_&^!RJU57^A7Bc6m@9lNN-I41m}*hH+B(I;>I}|@u6#tSRQ5l8mX_+v5?j*| zcEBEnp@FKnfq#VQW5s%l;;Z!#yDW-dMm-1WWIHNJ;QFi&?Wlf^u)g*%vOAhK6C~<8 z{M*_6(XP011OG-2|N3@+XYx;me+!3yO}jrvgeDD&aIx)Td0knC2diZnYEXa=0y>Mg z7AcL{mnX#PMM`j^EhoUr%}LGl`SbJOG$$G1+9_QraP5+=RJeAZu>P?~+1ri<7F$0( ztmr+I+Yn}d{7p&dpmo8t)2BW3@Ujcht%K8!87;Go`L5*Mj=TZEzga$F;K7nApUC_` zEJIpcQo1xgwXGpCC`Z6*Tr|XHJE}yoC9?##0o)aT8ytCjTe0zy(lYcN8H2_^8;LQW zB47+Ol295TQTrAP626y}1gDCauU}TOS<4?p>18E|rEC>7epiy%@hzhF@5)4sQ)}Vx z%5t^O5o6VY>-apdi+Kk#QGcaPo=Dd5b+zE%s|8O)@VKQI5+4GgYOXOEGL6=af1rCQ zO;Ft5uPfdx|10tMI+i5&dWnWNl)-Lab%A9l&zT@^<}1614{ss(F#G3TeFrRSGK8*$O=^uyyz#H9L9Bdk{vFENI^Q;&j8zWb>OB( z;@1J{v&hI-%c8Vj0B2!R+9MsCZ@EWN#guXr8iTOM58}3{60+- zS$`@>@3t$?3K>zBsP{}0hip#dZScnYhOQw-cn4oj~jNw-qak=^(uBC>`AXp*vL;!!>;JQ=g01?qJie@HzU;(ze2UM_HgJpyF_o zJOl442Bi%eX2e~ko>xcew5K9vr*)^!oZk&WAKX<2xDCVIC6FZ69Wbc9xN}!AdX<3B z&{N2Pt%*5}*Xnne&J~Nody2OheNP$6>_GRXll&ksTD*y=v}#EMGTl32kMuMh^u`0{ zc1u~rs}JN)MS^YrQKonhas7_WBgIB(L@#Gce6iU3kJ8D7e2fxwzOSrEl55DSh2Luh z&#_YXzhTeYU2tF9;^s2Vwv}tdI`*~YxILAq;_7`RSm}qf)dxya*VNI-u=#hgbsY!& zR8S8~*h?$S9?&<-CJ!z_2p z%OEVGA3`>y6iU+}gaUPoBrj$@RGQU(WksE}Q|x-EgxM+RjqTbWA_})2Dj`%tw@1q8 zS7YqS6Z0P_?NT);ILeT9xfp{nt)9`WULGY&rCz2wsjQ37FVi-Q(bAsshWdD3y*_67 z(hftI%(iQp;vc%aJVKMw=5F;GiI+IhGZA9qr#=$h%dn||PWV-sQs14r4Otj8pL}kd zSA@=VsSJB`nd?N#VLnT5MftK@qNC1+ZBZ;FvaVoc%T9Uqv67?=Ll231qC|v^ zrjfEKQ7)m9&1#rF3vVEl%T)%Lj=OUH4oQRl3^D^ zre;wOX-*k6rR@+gp@8%b685H!7Qa7H-eyONMfazQPYsH0%<(*n{7!nRyr*DGL)>`^ zZjyOQBs^1MT-YL*OSDASTqI^cQ`TrlSnEAko@ljcqV`MWBr7~6uD?_=S^Q}+u3Tw@ zuXRJYvOr4#QCc;N0yb;akrd$0)YaOkb4V~jzXM0M{oKQFVu7a*&ggf1XdisT!tffx zANNvnK1DsARMcE{D^k3xs;*(~fM1wc;;goeO1>QdS*bAIXn`TNeNwP9yRIcq%M@?pyr0O%Ar}A3Zi~O2Rc{yB z^YjMn7hN$9GL5FYnYQ7%VuP!i;#n~gszMAKtXem< zlh!pokmjN^?7A2x1&UO?8l@~iADN?9eOOSS5PCJvvw}laMQ`+}_(QJ_>Fpql#e{wM z*^?!W$I>nEsa&?)%&3$P5(7v+xLUEUik09gfS7rM1H@u?wO&TKKV|{bV<-LlAD}bQ zRk9A?No0!DV(5+tZ$7xEM}*PzEWl~t+QJ#e9nFmU^;x~_f^B`^(czkz`;d#~+wS%d z7lKXEA0Z;1SZ2nYPWX5|pft^V5k7d`w-aWMq{GNky1$62t@dZ({$gQmHFWS(KcJB+ zEKb2n9-FR_mgPgi=SH?<03M7zrv=nj(ExHVU4<5-svc9rXmZRwsI>Q?*}+D<10Lq%%H97smd~ z{3u+uSeQ;kFB}3l{ow5CaGDIZ*%etLKYey+4SSZ__=xvB)dcp^TNHY#{@o`_JSoT> z_a?+57l$C1FTp&3$)@+*F+|=&8YV-BO2X?T!VbcR9Jj<%>9q{C8F|n>X zuQBy`%xP(!_8GB!)${U#!gd&f58*PJ-dl(YN?M5B4u0v1@U5pV9Twakp*;|~E7K=Y zlRpR`i2yan;|8-iX-l;!NMeo_lFk+lc)2BMi_zk4>EzvxH=c=8=BziULlqU00XSUy zN}VQj-s)NRPrzCo6TdNMH5kk-Z}n)%*asZze>E(<4%YvJC6E{fn-pe@?&k$0HJtNN zGg#3yG0<1d^|P1xR7JsBt*9ti;}xQgpE|x0VXM95+wi!(z2sjl7c2eL2)1;C$oEs% zRU$g7=+v?b#KX(PG=H^E!%T3mQijfG**gX$v9+uL`3WOw2amhQHEo$byFLN|HWc^$ z)hn#~yW(_!8q>CdEz~HGHT48Js9vXcZPsyArw3lGQq6~=L!cV04A4i3*8)|4r8OLx zy>@V?A0G0(*8~g9P~380wiVP z6fNPW&z?bqF*?E}^cK;z47c_TRU4|zJx^qWtD&{=6pqodeUMyFCmr5}Gli|;s?jjb z39QF%7v^m^VQ1+XxJVHn0l=PEQ`{l9v!yN);VTCZh;xb(ts~UlEHu;lL4?{(+iOHF zwivR@Kp-jWRGvmbf6guil=*aWUU3GXtluP*p0|bide(6X&B&YfBpFbFga+xe@%o!4 zZyS1c{V4UjUM3hVfELM9JJBK{lYJWy5#7az%oPcx=Y8Zu&`Al+$otxZpaT*D(ReJX z^9J#5)}V%Jh7&vST;#^60c`VgQ9$3N&&BUCs)@EUtYez1KWJUve@c=kpYc=#wnU?^ z8!i%Bsva&2hc`r{1tdHb!&|Ch0W}E=OkG*oj8^S);hHky>iTI=?I+_1)v8$yT znDKlVVz$`_WjMK~;Pvejipp=0w>e;ygid3o$|9Fypv%%mi=FJgKUAX}xrm3eApHbA0DNj?|Q1p(0sgr@@WvS%)^%>`aXpja#Xs8GkH3Y^By^u|vgI zt<*Lh-yB@o<(HI2QuCt^$u6HM!HWPPA6Wnx%{Zil2+{f5hav8NQSu>90;}s~0zM$ARdViw6;73jFI&mmTZO;nV38jk~ zTK6LGa#RLV`UNK?>LQol*NJvr)OO(-BsI>U$xJI*jfsj<`_;I5oN14S64Rz1MLL?2 zwPI}-bxIg{!QLq{joiRDS!2%5gL-DEFTBjfID^SuC7N|rzh;PWsjE7PISXrwQGKDh z9wt$}=jE5>`NbGZ19~7#^NIDR9;#lOara7Ob(3OmBvdxrafCqhKyhWWSy~6vV@02J0mU~N#=sAckTwD(lObau zAPz$+fGoVbM8^v9S0V-RE$adt|K56G;fV+;;^FwGpMy^|1t=L}rN3cQJQaW#q8kFS z843c#5H%9|3s3>JhBUTRP&T%S9{kVm|0(~QGP)FBenE&n`#i-brO!eM${R%H=-mKJ zd<*_@k#!k9n8$H820LfFR>M`q=l(7lr>aNTw#%X{6-;C4W#QXfjc)teit`g zA@OzUqado}dHdDNpmi?%k6qrJWdMA}d0)6nuRdjL(X&(B+%4^Yo( zU5}lEaqaTD^b+#UbHZzox_am*tt-1o7((^g-_y<;Rgsqj*8>E1C_I9kwlma*Us@|n zBi{n1pUE&>5}+o!ewO0rP<%AexwFD~u z1N#HkXOmTB%177JLJU>2opM`XH!98`>JL*#HFW@xM0&xHuQZ$p`vNU0D6~CA6y~CL z5rxL5#MWWz>k+Lh!}Cv4c!(o>i-bH#rZgG0o)qnds}a7XxI1Id&J-DZp9VCDy?#>6 z8LrN#%+9mnYPU)tWrS)l?vJU6nOscC(BPq%?Id&wP##!MdFkH}?o5UimDqkV{5J=- zE7r#&)K4?s{H3bre#fhNZYTSuYPV{^`PG77w+A<>7CgCH@H2aGt!lxU)q-1N(#Np4 zeIioaABnDY;)HM?MU(vr(UiVRPl%rMoq9q{q;H=SViA2?oUnc~N^SNZL*6%DQ}v2d zNp7+xFE>{_8>4<*Cl^4n`Ej!OK51?w>?z3=*0E}s%cxJ1F$6M4ePX>nR&{3;k*v?& zP@C!+<$jf{u|=b|!KN%sw8qn#J3+tlAl~rOXG@DxFzc)d(P)~zF8WVW2eSAs;`>Qz z44V)lu1-=ru*M-GWU|`MsoCo=SM)5&I(o8dV9G`4<9s$%UCqcut|1KqJmQZ_Q(Lkh z{KVQc^#qGqBqpS*5jAo*QT99!1#hyhOILlhbz(a_FTeGLvC>v&mXA0xO^vcAFt&sB z$u!l6hdf=H2*w-Se#mrhoDyVzLv=e^&90=j!P;VuIt%LF&}ZB-UyWk%)2+YFSKrqf zVix@;X7^WPeun&*i;J?u5P)u@DK9aavJVrm3wd6jrPi;?^QYEhSt^ux5U}cfwRKg% zChM>7t4}!v{5Qs?Rf{2f!F$89>V!6l^kpExaJ{2dHss7fji&Z~uKoznls^GwX zivNZ6uO+Hh+w}j1vr!iGzs37+u0FSR{ZJjG{h!&D`3NKbvqD{?Qpv=n9M!if^)JNp6>4BbZo~gabnpMQ zMPDg_b;U|GpR;9;to_!g?ll=!B;&qNTi|P5^M(4l%8aSj@~_oHit;z)m!j|0Kyp}Z z->a<^+wNyJnbcF{U;Ni0vueMNxPl-{dF>Ey@*7c{c zbaJjpPCP!VwhpR@RW0%KS_%0TNm+ZIQ**Q|{;IhAtJ;8wsOQo5$iwM^x|L~8S$AJh zpVcCdyqoGKe66qjseZx8;qn(T31sB6zcJ4tBih^QPQu)CTirnL$UEwDLL7cqtxYto zQ|_tdIyMDmC{s6Teb27MiZHD+#P?%xNdrxd_XFCoS~Pp2CizZY9c7;yNUGm%%$ZLj z4i-2gS6Y`mQAcU@vQok1NLE6*tuLRdziOMLQVlwMsr<4U)4j=SArNH+JAzRULV2NH z)HkaZ2dyIhexYvEzd}glzEnN+uSP%c65FyR*TmQ5Y9khZ&H8IOh5nxF9hD$)j))K9xUZP! z%U814bm8sChqH5O*6Duys+K)?M+^zz6MWpaC2RUaBTuR@nqCH{4L7}Pmar#yoApWn z_ti4Z58_!M@9q;{4bMn;JtSV{HtWbBKHZHiI4A}u@kY$}y5LQC7;AA*e4E6*t(}|j z*;N{4=l<+Gzjw;-jt;pth>hWx3zNjcQ4Y=lu6bIo)|kB9%DVk`;+qbI_j@A)C$wdcghjrH^xbt%j z6E$NJERDl#b#S4G9*oQ036U6H{$Vf^1}bKrf`426n=i^u&xVdkFgFgXa_ZRHyl`l<>5m=IJZ|hw^lhfS2@3}a(?A-4nVvws=Pm|a^_Y! z*Ht+`u5t>8vxu*Bxb#^u$Tc41$rMeeaj%SHnN)#p@N*dC$VVkXyJ0zA;4*ARpe$)U zbnDVm{5|5k@~F7GsulMDe5kly`EVewyYX=pH?PXMy~??@$~ky*mHXQ&=T}wEFRGlM zRXKC3oa?HbA3K~ye084ipU#8W*$iQr&iw}Fp%G@;d6l=id1TV^0919pg#8Qj~w^$w(`&;A9~j43R7hj5nKzi#HqB3S@1=X;T~f zO=i3?Ny=mfNgZ!|_M-k|s6#lVS6-lNMIfYzznC4aK?rUbL)#5LvPW19Zg84TINQh$ zWFj=kw^1Iki{(--_RZoxuUiq#_Pd)St=s5w7DEA$=$YeF5?VVUo z3sj+>i$|{NAS!gC!0t*9;g3wyqXr9Bc!+j$cp%&0VI4Y$H`g+cMPkW&d@=iG5tJLb zW#oq1m96*w79#NnvL?IC3<;hAXyL=)hB`)&#n5U7isX8UiWC7SRU7Q5Bba_eW9T@b zg##+$+8M$n1B~Bei)fSqso~$vq8okBZWeFScgJS2kiKHGbyEgkSI_};oaZYc~~>7v9TGheURc$D>x5BOo6*nez| zS;SrNYMO^=pTkpGP+hS&ho`YGJcPQKL%GdEG+)e<*j*nnb1`qpzV#5B7xOoiSlA2k zS;8kMKfx}@!X><;k_E@nC48n6>Gwkvuy$L@qnOuboFBlblqdRwe6$@?@qp)I;W94R z*oGoxIjTIVp-5Pc^X8#z!D`IIgXu1AKu-X$c$wKgtSPj$oEwU{%Xx}hooCqjfYr)K zY@F(ziSx_3$#rQ#GSmPHdtw#7r9g~d!8Y zVG;aS4Y;(l}lV>keW=p{YYkjKsmaF;H9SuP^i@S!ekR>*2%p<>Y*9?MSM7YEkxx7mOY(QGZm zoJJv{?^<*Z&--HDTK*Z+tQX(QC^yhijmfd67pvBNBt4I*!UP( zD4jyZ%o{a8dfqCfip$GEK7W!Y0h-bz8E&&jx^)E1BeGN^ZQ{vnOMkI+6OWw^t8u}&gsb&3=#XDc|c(&hD{P-1b$hKb>CG^c3C~AGp$GH1nr|v2xXpq}@Q)GV4 zFMGeN9j<}J?DxFOy~cD62fIix??-M2iu7+V!i)pOH{b9q7CcbIe9P83j)M!Q4yvR>K4L`#n>PC>&(T=dgKS*5O)n8@8{{D$Km z+L;Hh>dfE%O&r+Hx3Y|jV)_oOW8w#iFL!VwTU93R?*R8fGlW`sG<(5CZ!7!(>iiPVMCTp!K9jJyjZ93<8j@OH?8 zxKIFopZY?)Ea2h6O9x3t719w6_!mal^MqaY`Q%7X*#|{pA!LA$pIgrqa-)`#3EU5n zuH!T7$o;&tmgs$cfcIiMkBNr|_+2XE&4YZOHYngtFwmT?#I#>buZOYZ4-Z?pklo|? zc=6LuJUS*9haHd`8$$RrTA~k(M-xQ|`vXESac&(i!isoOljU?C zw39j=ewlax%A7)WoLz^G0Rb2rb~pD6^EZ~kxHF!>Ze$fje6-dnvIE|_Y~v-gN4SS~ z;kXE>4n20CZoq%DX+C*aPH76pdx^$Jcxa<{5K0X$?T_ZsKr0yL)eYxbC+t?j)4xx! zB;b<2Ymaea<`Eto(gG3mCVIsZeLvI@8)f!`69ua^PV73u`?773LVuJ$a5;*6BKGbv z)|x-_h0Is8Po8sL0}rG730W85z(t0F*`DIN;}{djSM3wr+o=sQQP9oH+Ux}XjI+XB z;_PYuob}oxPM_iZ^`18BM5qVYGAKAd$lCfWPgVR$i7O%hJ<7g5>0ZHdIE+P-BQHmn zInNt=ud#cLLV>@qJEy?8$4mTq9>U2QFKh$yz(5Lu**sg*o@hbK-bkQ;^Vxy{767;N z1#~V@%)9{hk2v36;Jz{r-Fo{Zo4CeyibLQMfcc1X{Q_^sZg`2h7cr;(y-j?5kvDUF z{TfP9ludgt=a7YqJgaue{&w`_cd&FE{Hk5@egREI$z>ZwWl2TPw=JlqSGZl zF|bD6Zkjw#{6Q2?v2<>hqE9@W*+!FI14DOei8y|Vd$$=_(m<1cjtUJ421;(IAxu}^ zNV_0@FmXIJ46}GbD7}P&cA;~qWJ~J;zq;1I%UspQQ{|@B#O|;=qNG^fc7_H_H^Rk! zcUtXaOT9c8!2m_HsZ_==-Fp` zLu67zOeubf4M6obI{!hmhSzymJ7+K~sC@7)U(?i+Jqkl2Pd1Q=H-{H26cwiVLNs?S zAQD4|m#!ls=Eg+8e4Qt-;C{oSiGY#LOGKf$xB?A~n+|7%fZUXpCFD z#sNktMeT5rcLQuT{3~$}cui)Y*TkGci@8Db824V6)S`*E9&H)8Y~L$gS)I+BTDY`lkCgEV%r0fbccwG z4|t&OC0y#p<-DwOb~>>~UdiL2A)@KOym15Pp%B{X60*g05=Ft%eY{-=EIRx@qpbUv zr#2bL!qGC(wzf2-1Vbe-#ccSE0LalrVD&gMJey*!4~^k7jo-rV)9hL%3wJ+iN(R>Yh~eEJAkJ4KzHe?V3J1gm$qig%J%Qa1ECPNa{e z<($0=@y640Y@`^0S3u%e4|54IC`^BO%}^%PunqP1^bi#S11Sy07SijA-etTE!;6E9 z%6N?T=~a=M45+sym@z9oOg5iQPec@#IB~X&ck(#c8Y}HQGw3JeEvHmIsw)~k=DzOn zpG?ArC&uj~sK6fzUN8DR=HcGU1ax8;c$93Od%7-27n=0zt;-&B3s=6W)kOrqjw=qkUpKox?6OTaY%ZB9>{ zicz&0PTVFx+(dNH>gu!2O~h!euBr0~8EH@x@v&Cd*u4uN^H0;pnTzk~_wn{k#5v&B zt_fe1JDb|ssCZq97B!g8pB;@BkxUorw=0_R1Pj^B*yeHCuj5QJoi%9uhn5|qSK2&v+m|H!e<+?uJ+Z$3A+%=_mry8=i)BsM{TToZLjnEozSV$q~ ze*U1L5QB6RT??Zi`Q*SNxS4N^5(zq8Up6XAtkUTkX0%6;K5H;7nk=pn0D9zjIu-F) zxabF23NBp2_s54?BIKuoBiYtPPTF2Mcb!V(( zzu4}ftM4X-w#~UuAt3R?bF4Q#beFWR3T@rji_>1Zdu-+x;!s^(H`cVCs9jID&7-&m zy4rMSJQTNMMkMxsdF$!o*Lu1@)~tajtEU^mwloj}y)hW#8;BxrT^iGb3xkhtaP9a8 z;9%yzaPLe$>};qfHu>nwL_5ZeXVwy_$gR7 zyq5O`BG3h=0>_?d;LoF0it_rpoeu0y4(v{ZeKbtx?ZVz# zV!a-%D+wi4ho4e)uqgy(2;K){%KJ6zl0G^ZoqgM=hk| zU@_QmimBM%z9&3(>%tf^)@HY^QwLa=(%ANZK^ZOm-SbKAl0un$z8mHzGuuMkN;;9> z0HwzKa2izuo}CtyVoCC*!tLCxYnwqdXhKf-zmiEdU#Ote*iNZGDBa40!B$)M{!ohh za4*$DX$fX&@@oyh(&qpyUSHx!5^KclTtIoU`cN;f5_uBi(N`#FeR1VZA9zyCmj!LXnedd3jpgsaZGsUqzx?xd{icIsc81~^< z(Uy1z!Ki-of%GN$!H8Nw17mk^chP&V&U08iwK3KEYb1LcG7*I?2TytUq`b7J6vwB+ ziw@0kMftyFG9o~h$+ZVutduT}S1Z!QhhpDeU1%qgpm*ZP40L~b@I`t9y6>Fb_j!4q zBk43(i{bX%aA-=~eus({I#;uyuosM@5!$!BS%BWyAYa!h`aR$vr)jdI{)Z%9&NvwN zN|Y7(nENB=iu`=tD7N&t2rkgIbTZn|V_N%&p#_*im!4Qvs2dnUQoZF}Sd?(BUmQUb z)8{9^G_mlbWMCeo{p_o7}9a34TsC9^nx+yP0#|LF4i8>MYEr$i=Pka+PH=R zkACPS$y=1WvKAW1OYVli)rC7%1v&wVISN@{4W0K zN5`4l9Bv%-Zi*)uuaK$k`_uRqoMM&ZTgo)oG)+ zzr$I?O^$Gs$KitAw{~8m08oaT(Zmt3A0H?&7|Sj~q`r|(`VPP1-xfLwr=8|2v?Emy z&XjfyB9BDCsI3XdwC@v%zCa$@l;({ygpqA)R zKspZOl6I`jv{KVBsmyT~S6r~r%-uxO91=^jkdmDL=iKw$k)g5rF8#j$|DTuR`=0YW z=Q-y*=iGC*bI-+VeSBJNp)b@*_jB=1JUT{+zQIx?X+sekRJlIp<7$2Po~fO{oo&+CbB zfjR7;0^d78;Y#GlU#S0P1b@m~qQjlJ*2V@kddv{18<5B@9WzAe>L;@L#|@sk zCAC?HUzP|u?FqEs$pT%Y#H@whho`}x?3ZLO8+qpTsf$&U%pS%v|(O8zi zHZC^;k9lz>h}Z7=d|~in)lc9A``4MQ_X$ID@6Tp(N?nJb_cOl;_wFCk$_U6~Ke;>BEs-;W6CocDF>}5mxZ} z8EoB2LpxrR^Cu0Ta&2=ScG7jz+1)&xF$PX&A*XQG?={_C;~A$6jom+*hSKOg_``RT z{)=hs!&8PHT^8|3tlXv`c(j7BW`K1zCh}ko!qyy`gK(PPZa0U`;j*FK;p|-f_-U-! z7lsD9M$@29M-UIrU;a&lYxr>Y z6}cGv0`Y}WeEnx1>ynSrA!Z+&nr{g7-m#xs;!^-q6S$!^+z_TiBqgvd`G!GJn|XK3 z?T!l-+*srs(|XWC;~fjc5P;SBMd%Fbnn-g6j_#iegMt;SCDO&!4C<{{M z>i5#77%NTsixZ$>^1K9$TMF}uvAFn1M6#&VB5gPK?Jo6|HmjhYjyU)26J+=8;jWQm zu12PYUgeFe!3$*DOK?p;81fivGxP<%@&p$t7lin_$|~p{QFIgE#RIDLyaeuP&3P?S z!-7PJ&&bC*2+zu0O+EdvvA`834HH@ZS%bG{MP3UtpT3bRs$s7D;{;|qYj~jhZ8!V* zYeSFdwDDZU^Btgv`}RaF=69j8i|gC#y^uDe4t(bV|BU7Oojj8O)f3CcDV|t9j-{P5 zbZX&?1n66Jfx;j7z=ydSvZ+I10){Dc@M36NkKg6B)&Jzjiq08Y7~jW)DP=0n=R3HP zX=OH$t6zj@{%1#m*l%4G53kKgNz0?VFCjEo4+-vE45&)`kSA-(5(<5|yEX%!MXrtRvn?1TbbiP~7y3+z%e<$=J*&j&w<>Yz zJOd0oh|AS~hzU|?ddx9^i}~@B)xTt@?w2zL$5E_mjyitFm-MOtsdD(?m&$vfHQ6KZd3lwf} ziOKU3z?ZJle?OYJTsE|OHkrqV9CS}lQOX{ch%hdhm65{Q^Ca3RL_ZjD?&%{Hh2ZCS z0_={wO?&cfb7f2|UT_&VVY+N*TQ3{x=zbk#$-8XOYjuy}*jHB!U0Uvn?brQB zuzA-E5ut8eZbIx07o451?4hfq%%tnJ8U%~(+mm&Ck6wXj>-d{!un^z5*q(D1=Nu!sZmvGLmVIY^u@;+HV5nzo z$0ezEFah4B0u?t1QP{&L$i2th>XjOLON>EZxNo1!?bm_S`op(aMcCw`h7@5 z-p8QxsHQRm%@IS;FCx@a467Gnk*7J6d!0$w4Rwuuwl>nt!o(}jXR#5PIRkT@j?ToTV!Va z5X^kTkvuaxyA;#fgDDnzMo%o<}d&?u6=|JHFJR(3-y;(yU=LGdqTIH}>K#Ma)o%?= z`)wJ*%NiTthvM;V_!S4PGm$2I`59;GA0x^=Et3}?(-jfGrP6W$Gu5ygf4GI;!S`K; zh@E_3K&3N>upu`M<2~FEhdWcAJc%i+<`9;D)6l}>TD3p~g9`u%T?ezuw+w-mx_u-X z%{SyD7Iq8w@keg547+7`O{-gLwcPp6@VQ>s&x^fRWcX3{MQzLLcMZ?F=*D_kF5fqt zG3bufW?M@PdAeaC_5ezmq&kPd09mNVCJ z3p?u~rIcCtLCNLfCKj)kwjmX(V~`Tdr<(c^TWgS-*;6eOD{qYckX=^D;ugKJ6wx)_sw)^4!AQ+PWF9@7p|+XS2m#Qb(P2j^(JAl%Vq%n#9jw z@w9^9_R&Wtv2Jywj;^(4;nr`i{?vJvUPtPqD>%o_)saT%#wW68e54p%K`dM3BQ?-@ z3};zB(&xH1GuRkkDWrj$x8w5?s}W~K$_#`kJ{MtsL9At;uk--(4yLlt>cP;gR z>a1%rl|}eT!*$ND=nYWNRyO{p>M_%_Pd{i3%@BWs=oAs zu4t-Aa4LcAtS|ZKEF$c_Dgmbt>BmuJB$}1L9@Uoy>Ox8As|cSL!jj2sb^~O+bBbcg z{jJG7wYg#`Yy#-4jds$93lvY)6n^Qv!s>S+% zv1~?DslD#b7|Z6SQku3*kL9x{tbG=-F3qJgx>rWA>Mf)#p-+0OBJRELSFD{1u&KhYRxC3X(-lJG)3(32}agTUuqo!N{{nWcS(fHc^weHI4tpz%bN2Bw~XDs(arTMzb%Ig+r9}RzBlg;ZQwGcJtIbMEb#a*PeQVPn^6`uiiA1Bqcd=@U{>iENmqRcA-OCVH zJr_TvZOs`0i_lzrMyYvEz*21Tr=g;?V-`voL1la2l5nN>EfFcVQA$iep_G|!r_f0% zFOv3XU$>lCBr$ERA5S5BzF68$#~wO&aT)h-S^S3{`T%lmd)B}#&6L7X)2=(Zh>rS+ zS!$xyzGS(aA#LEUv!uQzC2O^P-&iUYHhR)Eqj1{TBVc+Y>bI6x3Pt>oQ`F338IBm} zzm1kjeV)?SEg8$CMoI>sFPAzh&2s+@si{`mmHDia#%sT}q^&|dc?r6`B_%2iwBsGb zXbUV!?@EpJy2hWecR!GRYOeVNi%`B=g6G=&Grf4kb~`m7;4y#2xBw**&)L!f@C*nK z+AMq5OSt*J_H*XGQHrh0?+D|3xLP4jf6V&VZ{zgG{^+3cJZ9P``O9N*1mzz_VcRz1 z7$3f!UD}8b;VFYvYLTCEkj4a8<>4`=2g&O2HPMX^U*GM|-rkdcWo!~=W#h(yo}a0E z*@rVa<2dEU`hF+{4Irbf6{C0<&F%3ywOIZLS*Vt8RuY!OEsEt^cyOay_A75W(#>-2 zLn+mTKjs*=MfyP3@kgfpSUQfdCGTTtl&jRC_Y`~tCEwC+hg59PWgoRP-!FBnplg|D znQ&0D>2$XqvWZ8et{yL+Y*=QNcj8Ib=Y&*?ojxLUth(r!@@Ck6er;v?W`FAu%;%^y zzOIK7o!+Err~GHcY$3u*w{1D zVBPuMY~LB_Q@6ZAC2yPoHR}=;<^knQum$wkS8Ja?@ilEL{;o zK06ujV9h&=HoM}@*jcHb*N-@@<==$Q^wC-0>i39Gl=7;(@02%lKQGl}H_u8Qos`sg z*gAbNfBO5m%*QzdM7$*IDXi zDPK2a8yk2<`a);=j0Ikm(sYe+ns`-uRu}%g<-t|yN3FK5<=bo0eyzM5H;kh*^*t?{ zuS-nQRVcM|FO;^*M%Uhf8u_Tcg23<5O6Z}$eStdyw*;;UTom|9;FLhFzyX2X0-p

    %;~bza$r{BZ?5J2Er49?l){9KfqOYKH3F*ymJ4JEyeg0)@RC5Hz*K<=0;2_D1qKPk za1>e&JeE#Z(k`~VUQu4-(s;MkA4i~`jos7U!6h}W_crqg+$(N{8!Van=6KEu$FC1! zL)_&?u6aBbZ*K-Mle=6;x3(4A;4XjXx}&9XH|CR;mX#iIXKkGsEpQioKhChDJL!jG z+dUm0eZnJD&%rG$KU9@vt?v2emXd1nJ{R4BMwT75WTQ^^O8^V;mOJQn2e4V*a*%FO zfMtWX?5ovH^tT+TBY&aQ6}-<@`pUtBb$Et=N$A!Sua{t7*83|#UmuRluv-nduzTZS zh0nc)_wbcjeOg5$_%}uLY-6-v4^$P;*5~&yOA#35l5mr-|NE>#UAaZrd`0VlH9}Zl zqXc~!M`l=@65`g6W|q($1r*^$B|3N}UJ|S;zgS`Fd;Y9-UD>lsVo-l1+N3;Mcwn8e zTq*AfCFpyIBQq>R32}=*Wx(Q6SQGle@6~6q_2d!0yVhZNF#XOS)?l`7SOp}oez8n3 z)u=u@Ur%mX*0X!qZjOG5A=2zD}{Y5^Sn0=cdp6B)g zjxUYzUIH$X&~K{qr2BY(H+$OZvWNBM9@4V9h$}U*ZVlux-IRsw1ZATCAN0rv|@RIU4 zaoo~Evtxl3`FMCml{a&g2jvuoAP3y{O2fG)o@C|}Zh8{NT7iZ0UM^cAgdIw#DJ)l@ z!rhJ@!M9cMa*`IN3s3XLDBNAHN$~AeRUsUr!neybAz!Pou##hf!b;`(#5~6g3m-+} zg!)Mv7T!s5tbn;(6VxeJ!A?&K(4V`c@R6g-F&{HC_}n!t_>dVkfq$_9-_5h$Mj@3m z;zM}&iit(o3xkvL_@WSef`$oMVhe9XbxC7iHj#a*q=@t#k>0v8o&Ab9pY6HOSEhRE zW0}%RvCI61ClS`Y=`5(J>~9=>LyK8@BCDix$bTdvc5~-id#9Dy_G90(S=*vMl{J_} z5ILsA+7JQgRYwb0hl+%F;%aWO;SFSv8P*2rckzi+;vR^(HzsrOvYO{>th-@R`N*xf zDH@$QfPK|e_VpOsNQbkWU+_$aSNw;xH=4=MXwzByW^!QPPp%^$GZMlV?rF79GOU5Z zONF_p`*Dtqqegs=^*0m>BWg>`m$9tmds*mS%EWqk)^{ zy_{@dUh9+`>#K?x)M6c$o=NO({SF-$`6h0%mL}s=w1ZW@pach$z=k4^7aH=_p%35! zjAs)XV0}i(1{+~Ws2qx{?+X)(OWo7>%il=oa+{d4uLWF*I z4`t*^Uhov0efo8_rG-4A%IN^~IyWvew}^io_wMALx-02ybW6FdeD0Fcv0rEJw3M6c z4yCY@E#-RsN25^qmvom~^E9Ho^R)Y9w5Q3}oj+w6W3&DUpQ9yT5b0}t!~4Ge`>H5; zJa#~_Z@8yrBTeKO{iUjbEUcB>GU_^tkJ8`mdQ`$GM%Z%b~ck@kmk-yWNbl;&X9XYfjp{!wtChR22%AC>X+3|oCx4{#oXd8$lc#j7 z+b$3jhtYb?dYA(%BM@{Mv-uWy^3$v2s~Ct6gfK$^-{!zS@@U36}kJ zW81Rp!Lpa`(E;`-SZ>|+G-@NB7P})Eo`PZ(n+Oml+jc(uAataM={kl;wC?H|TpH}zL}~Jm@l|qVq_+M% zo%gvPtr>IBJ?3zK)Jx$_1LvX!-{JhX@H}F1<0rT)O!hF{h&J!dK@6r$p&}75%ip2M z3=ZDiSTQ{Srjg$}uzOU$0qOB(#k*cWp*K=JX&fXmc?7Zz9pv`9K7Q<42f1eNX-Hcb zi$01zW;%e%G(^I}c%FZ>`E#BtQf-D3iox@LXiZm&|C9288s&`D?73^7KRQ-PE7mPU zZsK|XGbG@oUG-REh}<%uAB3j-Lt^w=>TS@NR&uk}ZZnl;#onFLiX9D+>lsJjDvi%R zz!#8}6Pj6H@d5jH<$Tf1dmo0eK`7#H*~;<2s}b@sVTqhVWp{-K#_{$*kEx4EkLfws zN~~o)gLX$}#mI++(Cz1x*4>ES!OsHj+~hr&Z~EEpT(m3TqVF^Y3rq-bPuqvaNe!E^ zm!)--X9jPWreuN<$NDxlqcUq46hqM=-_P<CUa2c~6 zdq3W{HeDEogR;`*`(rTjS*s+mwVmYpRVG9JAo8O-SmHuItOfhBlN_k)(1I0rlGA(= zm4njlVUhUS3BDW3zh($Op>f`H31n}C%83nrno17Ee)2Jj%#Vu;rXY?V$l;Y&X0hgB za_x!>c?B|q3n~P%K4Ef%ub0@rl;e7YN1_j8=@qzZFIj!3u}{L}cDkO;*zGX6a~;OJ zv{^k&n0Sa^MBTA2n8Ct3%k?S_M-(4!4m4#GJIlVFFJ~!D^)7ZxYNWykv)J36oU z$PD%n88orWdpgR$00@_|Aj z%C0b8RS@zhA#Y-r_jHs~8@t-&jU45xAV3orVqskJ0LhKCn+?Z_H}3;>h1=sDJkU(Y zH`(R6j`D{%oke<+UB1y#{=Se;w96L?xl!HEpBD;Ic7^ed1|H%p78x|L%X>P?cL=$w zUEau1K0?R~Q4jKfA~)Ix8ojshfL-DCI0p|rs;$U3+2y&8@+0+y2ki2Vj`Ep8KG7~; z$mPZ-BV7}r5M@^w?`YrxPFJ}H?DC$D@>C&rwaXhh%G(HeAskH}fZSN7mw$`XQQima z3b*4OJg{8IH`(R6j`Hq8ZnDcaI?7AxD)uMZaNMqNd#r=}Cps3`<++aXjdU!q%Qrg8 z2MhT`yL_S1QK7O>h_Wk;ca**yS%5P zd}B?~2ki1jj`D6IeIa%R?g8U1tK_Iq=%pAqP71fIw?{j8V2zM(vdeQFWS4Jr zl;c{8chZSMZp0bSLPv$Iq79?$2F5$e$5J1#%X>P?D^nk^%Nse$4+;+zDi@zde##{| zD$EcH;_8&kZ;x{DK!A{MQVei;?i0CL{{^l*aE5N+x2(hY(KW24Cu;2YbapT%r8Lx$a9a4sajd ztARTkk1&ey)D?5}@>cdZQf^f7B;tA6R+Cw)C^fyomuY}{1Ntt0q$P5=15nPDc&BhHk+`ucw$WnL-7ch9x z{TuGS6c&qXq>}0)i)V0-g;L?Nra7K0;8GS>7EtZu%j>07j~B3jmp!veaK1>@6&Dka zC$hL+at+<8Eo^o#d4g`iL{`vCt~GGDC`RXz$akHRSJ-bDtx&RNJnBpS^qOC8Rz_PC z9zY01cY|5$9_-*KiF=xo%AAy9&;3R`i+)b7)9uYHf4G|$Ox%kah_j>A5?i7tBH(D; zcoh-u=~2iyS3eQ@Xe5m?FEujLH$IDPdQKju8$5v-qU8p<3m>tj(YTPS?LI@_d{_d1+(hK6wLgDvjFR}0obt-_#9&@?peY&V}_U5tP4gcu?rNN zM-kf_vHYphF67jY$7Y6oiX_TSUu)~(tV(aWwr=2P)}%MO$~Y957ptz>^Zm{Ke7fv- zTwvMq@nKTaA+vr7kIPZ6?L>*f?OqK<;ZsYnYV{cCU?E`PaPHq8rf;ovRcREYe3nOW zFd9R+zX2Nf&K9M0@YuonGdhi8s18&Uhp3HoRgIQ;H16~1ch|u+{77^>ahn`A<2`g_ z^GkT)&SmkaDKq#4F3UyRTM%Cu&(rXW%3!Xqw9mU-f5kX9;CZ=$u`>*l6H+(*(FxOd zoO37msDP2N4;-5Idlj|!^Fmio3A+ZmfP!op931g61`JT{+$2oUGDfURE zn*PxFgA=|{rLK@FPWWYy>VzAy4o=vns$Sk$)(P*Z(Z_f+cfw@cnsV$3fmKu|Ea5io zJz+7=OR4M}#6NMuFZddqh#$%I;e-`2vbV0-i)F{i&*-*$F>8$6MK{HZHR+3|r47B< zguaOXt`=L{S8l2MxE9OjoL%dUez@%vT#JSDlY@1|SktDT+@Sg{JW9g7_J*dgY;2*x zJ8QD_{p3!@*!}*RapRucyBa9YTGH{hC?7@w+c}rVt8zEz@(5La6L+PY*ynGfa{I=> zJ8gQk&gJo{Jj1y> zLY0qoE)Rs=05rO$FMKcdRhoXgi6RfSmR3hAo6opX7- zDtB`(k5J_|cRH=0F;G?5<6J?n%2zm--?~R0NN_GcqRM+Xl^d<=RRtfX3f6R0{__r} zHHcT`dCuh#s{8|Ia$Fq+stU=@6mD7ds(gTR`K=$x1I?YwkEn7NCvtvuv|d%XVsTo- zbXC61xjbH#XE>KfsPeIYlq*+9fvQ629~Jo3k6xA6a4x^~19_lmyVD9BQRRpKAUEOy z0v=EmR{udkk*BNjMCbB&RUYkJ9-+zu%F8kS@&W{^3Z+~D;kg-QlLf96mFHGRA)&vP!1P~{(#mGfCn zss~hsL6BixZJ;T z%#*13gV+onW&OmijgT8up8G_!cOVNI0a3e8*k~@2pNNJJWXm8j z9?o{^!S#hyfz{6C>8d=@xjbH#M?05CklYv;;9Mb4HBh?MX#w@B{H$~NEh|+Z%enlB zkoT|!ra4zwuNsJTE>BnG?Y1&q9Ct4CsNweZXF+k?xgR?(yP9;hbNQ{?RQ5#Y@*}D| z+PQo^$-4&zI9Etl4U}$iTEKW!es&9MFiLLV`62X_dl8550{nsB{Lnd9MzJBI?T&@># ze_LRHbA?;C$OEP9dOx{l#UpC?EDw9KbED-dl|TH*-f4c1VfRPNt+Zo>dW5PTD#C$k zxP}Pp)o>AexxZY?6)QI=L*ik!u555MJ5V-=rKS3#Ea|FtvTTnCtL%CkxLzpqJXO6ce0Yr;e3L6qw=2%(iZzt7nDl)SD053pAA);2 z!;$MK#ABXyM+6aiPJ}{5C>Wuj9FyJ?RPNsH)8STtwR1ETna}uJhSz!B(_698@v>(~ zS;0ghfTcTimx_eN&y`6SrO5g6Th=uZEIVHIF~)iEx9D$KOM3EKa{9gq@*+kxZdhiz zB3AB2nE%lYWwX99BJ935g+-yaQ4lwr&H9kCVY9v=g8X)--hM+mrlJlLRVr47fdBC# zyb;9)kCU4jPowwo+aHP-AMlwXXwQ_nn8kTx+)}fCJH*59+@@(8X8r71{KGGaRm}Pc z*i!F6@|)gYx$->vA(ortSyYKqypdR2v7M`LLo(hQEVlPJz3cC%Uo3 z@p8-dGi#!Rm)+AbotEEN8HQjazZ*OWchzk9*i7#L%xNsEy;~x7Icd_7o z_q5)~f@jB*9ST)=2R6k`PAmxNPP^H4-XNL5R_IxMdMTbp z9S>ILD}Ahijly{R_oTA3guPE8FXZ1?Qg51ut`*LT8q$SqU;&6=c`y0G{Kj8{*K>=x)h+4y=__rD& zjhR?FT~RahxJ0356j5_|6n}LNDeH--cpioCY4C5z)knGbD=KbgeKl;Nr=wte_ZS9U ztj$~b$?oyEyHX$i9Os%EZPw>kZm8U5bx-5dYL$E7yx-!TK>a~zxu<)fd*J($8(8xM z*~{2rgVLYe)3zcS+SQa^_7XICiUmrF8W35#;M*kVjp-v1*uifw=jvl2e4*Y)!-&b%?uZ-q1hn>SVGPNn0ou#z`bwr2 z#KMS+$P{_DL7sOOTPGWZrXMs*`oj}=77m?V4Nxm(;vGKDV`a#dMxX@PL3!*2HG2*f znV(TI@5?jyQcPlIB?tNRG*(RhUtz7se__BKuwSx>Eitg#N^ldNTA zU6`!&bgaU1sghDrEZ+q0o<_jBszhm&w9QcAl~wYxK!VC9^Uh`Nz$?r1S_l=fA9NS} z2G57!$Ty(u>Yly`QtO>iHfE|E;1z+m-1NL_SVjwf{7Da1z0&8t&)%Ji2Rhx*m0(pc84TaOXYsiAvZ~C|j>!mxHEz+Dv#e zI&*zK@`}u?JWDZjG$N?DD;_N2^sZ#CY)bHe%k7^;cdh>Fc^!-r)Ys-g9f9QwTzPcf-NvwzZoPH1OjRn-ID#D~G z{Otk=3xDCR$m+yXAAs88zPwumA3@RZfD5ZzbC0gUa7r~w4ZG*skbN@^XE@t_<>MQl z9^IxRx>pL44SrLZ$2asv9HV|m6_nn4D5-8?9c3nO*qg4{SxuKPQxXb3!nH}Y`K-eq zkp+CIyhrd^7#grE?@yV*<9UkAuns5>GCCfDjPem~t<||Ab9}eYx;=y~nl85-v>iJq zc9u;2Mr>exT090!&xWJT`V_okmdXz?D3)@FF)RMmFXD=(^c_lTgtgGZyZ3paGsAjg z8;=C7H##%78FF217uIZs+#>26Pmt+(NkQ1Sk^mIp`aZrWI?7QCH9+oXAjJIKCcqm_+cIckL{T8mVzYl{Ej!B3zDUqv&(J%YCsw^~>c>L{$A(%8LCMb>9|N%`_e zk(r-!H$iw(>6yIOHQ~ZU@m%;Se1a%rP42MFu=Z#_1Z5FgCPKeG1AWTk3(=o$^QZ`z zP(AT0Jn_vM)e{y}-K;-@u(E=+buR8_V?r-V-VlE7x)+@q;{{H)^hcrNo?gV(&ysy4 z+wbVz4MW+nS#o1J1%X`spf#*ymR#4rrD}WOMa6alZaYa-dks(ac_$W0T;E$JT4N~b1$pE6gc zNxFIG0=!aCiVSXJU*)4#8~5!VX=f5ErTi9@3QDn>3rTf#){Q0{Gc@`C$R%M>o5{TWAp>QC-B~-kAlslZ0mc$EGtR&@{R22&rb(h#DM*yo7}>yTL*rxpiK4ty$!pb zB=>9H6~}O1A-oOg5ma3GJ(@J=%$;8pAE_^;4Sz2Hliih&I5Gh43zLPl*qTDslC3aK|f3eY4?zT=9`^L#UIm+Y#XG57^xiFbUa1(BG*ycOr@ z{Lebt@*9>=eNHh@2}`KH#}oCuBikyk`iRw>BRAGzDYNi7cqH2IBTMWYnN{!#5tnO; z75C-W>yB5)D)aZxeP?;HO7rCCj_b3L0baA=A9$7b<)=sTuSmslopN*3arW>g&iuG; zjmVd6DJR&nd2;>0SCAf$OuZl;Po}WQa_|^z7yf`xupz!rHakC0_NyL&xP8+XW0{YW zZm^%LZ=KC5Cd)k|Q{KThbb9c`Q8lL{;Tg=-aJia-%}7&?t8p$^M-G11;VQu#dbNND zU$#xHgHMH3wccNW9CBTh*r|2co5}KE=|OjY%`QzID@n$D^^~7jz4@36qB+RA&qp}t z5Suh#_LF9ErSQXS84rBh4S|#cYzGe{E6Ipkw2#@33*_PLx@-wRAI#Oed<^0*v9CEe5^=B_%z>{}at-`hBd&4R z`?lP|QjBsB<5HaT@|TT6G2aC<=JBnJ3cL-mU?}#5SrFnis};+5l6f9hGvC0mGF6H2 z9U#*3Rl>rovz6j+#Pa<}FLDCNjO2EwN6dSwdbph_f~m z>9%cQLsR5>x;tCgyc9VWi@LLmDe}~6d&89m#|xr+Q2WJ6w-2N>6&Ahg>Az)aFh z%5GDeZ%#w5$JW9Hox*rHF%rJmhA{t24U1P2^4+B<3(BMT?s9`~;oa-7>(~&b-)~TQ zWga5J@shX?bMWQ?FFwCvXVqveyzPeYkSnMluizfZ~$!MprvpB_0 z(%-4UUqvw2vtE4-Nke@1@z^4~R{DG8hV0W<crSdo0hSz(S*y`i%TG`)S+)dkw z-)M?o6>aIYTwYM6?b-$1(8?N3&V0fAWWf|-HgTyFC+;M^P4pm+Br2uEe|JN>E1Mm|1TPVrb{65q#7o4ET}1p_oXB6Z zm=ZigJV$Iu30@_hBR)e0-XOk8`fZ43hz&^p72+9U8%nnvUZMmIiARYwDZy;wQDQ?f@G5aO={F!A zBvvE+nZ$#{29)lVE=JK7Gbuq`;yz*(N-&kUk64!sEFexLeQ)9}Vnx!QMBGL6rgSeE zX=4&4s7c&TG*E(Z#O=hIlx{Y09O+jheoEAl{%GQ-#A=kzIFmL;Q-UhQkBN_oBPij= z#440-DscqqRU~dE{ze>1={6H9igZTJBr-6R6b-};#A4zgO1Ob&pmgJigGf(De2@4O zu^**-kEm19q5q901N}(xG40Q5DEtHQc}lp3_?S3?(mzjn4=FvP@NHsG(r3hnoV@?P zKn8k};yp63l)?qXa7wt8c#jxE>BC8n@6!A)E?jhO4bh+IM{El!`(JB{=ueCxen*Dy z5vx-v3qyg{}*=`if4)SdWi58;^#z7gouwOzD@j& z*zQ>&PbK6G!8L~qJ)0x_HTh}e@de1mwM*orbdQidtS6GTtSXbkZqVllBBWw?xZh1irbevw#{G8#%;M=T^7+fjz|h)0MXl+g&{ z2I75U7-g72JWmXyj1!4fDWieJ)x?{EMolZqa5gcAScx(kLR?2IB6gq*7ZT49{V3yU zL^sMXhRBH56r%q(r3_~fcM}bi(E#FV;%#DE%J3E9DPkSUcoI>f44)$|Ctl*@`(Ges zm_Xc4)KW%$h>UoH*pf1wPdrAfNg2lzb(G<=#7yGXptAq_QHJA*pAbuly(q)w#H++6 zl;K?BA!1d^IF9&xSFx9Mri{~xr@GPp??oAnByJ-9OzcJ(E+&3WtWOzEC+;S?QpSUc zzfb|5p^WDfk94E`--9xGfw+!XMC?czzCt`jtW6nCAbv*FQpPdFyHtRdlyMSquaP!n z%BTr8_Mt{;t^t1$}o=j5%E`IBo*Km6`&#IH-%{YoHjI+QBUGB;uT_3%5Wxe zFVU4U8cckjc%K+f1=tWH`apN0dtW7N)I6ey+r)FkBgAcLxSuf4yT4!uqCc?;v6S?_ zCte`V9wgGG64xk1|Nnp@b`Z}JtG*x-+@i3S!i}E7XGu?$tKk^ZSHmxq4fFkP1VzLX z6Ns~jFA-lRwt5QH3{<%q{<8wK;wK7!w*c*5=>B?Dov)=JTP~11!*g*<6My{HIsePy zVfM*RxemLxLze4&Y3QZIkCO$nvxPsy-{w|4Suh0SwsbB zHG9;|R*9BxcFCjl#?zAUWd2D}d&Rx@&jo*c`#Q-za-GW`kv#58=km(bQq#9NmE%}V z3iqy+SI`=G0lKL2GeVB0=Fu9hK@@N#$>ZL4Os~@k`81NpP&y?s{&R7`<0gKrT1pCK z`jVpK;=&)|YBcLq1DhN(Q04nc-ui%}TrI#klKbs+lq&_W>^&fl(N^%N)q~$UnLj4w zC$R(M9Ews35q?-ulSe!#n1W9RJNz9J;W%OeaqV#t?{`8Fx7zvc#{3S;Ib(9Z5}6g8 z71Vr9nV%E%J5O?=n&HoC_yXw>?%LoDW|?9nWTbaJi< z8>;P`Tjj!+BYCPoqaS%@lIo%RLQx$m=HNCv|I4{9;&W~b_9V;7;)~c;_48eX{ya~? zi#Fk*9!3#1rwC3Ec8!|qB$z}Qr4jp4ylS`r4+{8S+E_s=h3^qdh#Hd1L=U1D(T^w! z+C!uHnH$L3$xZvV1niyiuiyknz~9TEGM3RSA-2_!I-xN zHxWN1`H*xG|L{#gCl&ffD}0k0u6(}#zpL=(_n+!uWkCGf6)x|o@?o`w%g6so_#bKE zk<`N&wXoXK->nsn_}dl!PrG!;I+5Y#)%F(nuU5G08e#YUGZpqCM~ouAMh+?<=F=eA zpStYa_e2G=Xw3P4eT7@RCk|XWZ@Mnk8e`rU&+ZH6i)zHtbO?2lLiQ>l_bWGjVku3N z$o|i!Pq^?&3d;4cu|h?0X;F#jPYfgWCdL!z5|U^q`0xWFUsZQ;Ca+ zZxcTz<`N4ioib$NzsnR~OmwX*G7cnmCidp!=lH`YB9XX^m_^)8%p=|={z80AtX@TA zq!z@F!okES;xOVwP`So?@_#0e_`-5iq9j4Q~U(tQeqDAJJNe(q>U<7g`qmc=EPpavBZ~%uM@Wt zPZ4hr9}uN#!fq{M6JjXQ_yTQ=CnghD5#J{c^^Z{cRgRw{J3b{|)eHJ_xlz-&oWlBY z8K{PyFQ=!*|7S%1`_Byg>**t?BI`JL{|~A!T>4M5U4uX7qwIhGRK}aBrmFq&1z74I zA@+Y&;b~M{<-}9v4L&Ws8n5bm1_)2Y)i;WbJyg;-Y6?}MJXQIBjYi7wSh)f`ErVNs zQ}1c%)p)gHMm0iDE>Sa370Of9SM}8J)7Yw<-A;DbE1nP0s0qI=SF@*OpvJT5Ar-w? z&Ihj9M$H7#ihd!2YdZ<%PZnoU*)s&gpAqp(pA*b!C1^jJ>PPYI1^o^^tBiT!&x>$) zZ;F3but0=sX#7Z_++@_2#&QK)+EOI^R|}RhP^8QGn+3Z^1+D&f3l{f(R50?G<}VA@ zdW@*?^!`LT;Z;wJbB2p}wJE1l3zTollu<&@@8I8R%D-Q*aOy+l&)C(1weBS{zSl!g zEtuMrt*Hq?|ixe3?tzaG`zZc=WVE?Mej86KPve$e~W9#q~k}e z!)n-*;Ws7*jr+uD=Du0*vUYUj)~Qc0dfh?=McDDQ#t zdTRJ>vJ+1nNK^~5gu?5Is%KtQ3!wJWo}^ITkQ%Qix!SqbQ_a+ZnkZ}}s(ni>NO>C7 zh!fOHFA~+7sfN{Xd8(dLsp^;KQ{+ZXdBuM!tQJ6}s0jL>nxR@VHLNPC;ivKM>N!o% z`@d5`nfSkI8nsjXm7Sf`C(2UU|H?-2{rJBXR!6=+q1gZbM1hw_^Uuj?clzft5aY?y z?7!qnyW2|(Skq5j2N_*{6$!?xEEQojUS(BPUXH3is%?2c zyToE*DN(hrQ#vWeM~Ql?8?idkml#NFNo-H-NDL$PBMu{uC93V=lze$>4?MPud31TQ5r^XXgh)aoUiP^*)Vm`5e zc#o(VCkn8N^4T$-?r?jMpfOR+Ae_Pjh!cnfWI&!E3^pdl5YtpU#JLooPb?w&O{6>A zH)-PEUvWCMX~#XSm8p0$DXhjPQdp(hgtI9=W}+ytIwMk3ks8}Rpyuy%^{Z|dmzTEQ zH8Q3e|0_P#S~jeWu?`bO`YS8{u3QW|Wd{Eke#m&|FY54zRQGnfdQ?)~Dx{vw zZ;xxvlhM#)FUGTtWY{ZKR4bBrh~iHZuMzJO)#^Pe7gpm{+DKlZwy>W8}P z`FmZLRxhB*!3C$pTpXxbX60SwAnv(*G46jtqvr2s?DcOJ^u&0_ihUnnHjIJi zb~%No73gW6ax|#<%L=LbCug7_eBi4`1^8c38F>GxjI$>RSF85BP!p%VJc+{fNbiE43gqHEqw*P-4;$SmWAEQZO(u}xOyZ|RHKAG%RbP#Fdo0qoBhDeN zB<>}u`t@y!ezd*!SJj9bv>`qq4%Ir=tf5YX-=g^a#9q3dENF>qbxXrfZT)R?ZO`067gG&Ulx8m9MIYEmsbOkWAWQV3h`4DXTJD)_Sia>Ro}U0 zFENHM7t=x3ris_?G+0WL|Ft#=<`Xqo0F(cP6FpuN;d@I+Z>eD1GQrj>NlxTxwRI|V zifg0MJZI2oLfaJEvfALfY)|K6TUu|t1>B|BR%0L@PJqc!$_OvEodv%Hi$K4w#WuIL z8qGp*0NAEG(t*Rl)!^QUV%uRbIuiPz5p-#%(X0V|z%yVdSh-iREdzWX%xZ_zo`JoK zZC4PH2>uKP#uVEEf;F1PeT!`g;1+N-*rs2xEf3rZmV(dsFSa#*Mx&Vt_5eE#fMHNO zu-LW@tOe$QEx?=L9nh`4MpI)D?1Q0T4130@vqY-<;S1;65oZDYYvqfl_L?-+Ol95faM?uh46U@RCG zUu-ibW5YTQhQR3);i^s=&A~}f0Np0T#o(2hXbQ0XEO-dK2nK|rDQ2TUVC^}@whzG2 zrI3UE%TVwzguww|4443Z4`zT%mc#X6yVr}2wmfWHd>thOXRUyXI%_muD^XJLQ!pA# zegg);>I??JjbIkoX%z|%ehn6ZFTIKMU9bl4TSyOX1Eaz2ZzDZ;70du%S&j5y&^tyL zz{a_EU;v!H1_r`4nriRD0B8ZD!RWOx0Nw>Nz~$>;0POM}(t}@tMc~}`k-jVX$_Gdf z-T95ii)0kF$QFaSOTGr;v( zFaQqRg7l!r$4Czz1>Nv=`^2qC57x{^dN2n}04IHd^kBfJNDms%Vj~Y5uYLvtVE1h> zfO+_jz~*4i=P&@y-3|j_8w(78E<0cV%mwqngq=ta*4>5lc(il~Yz|J@jr5?j2kF7> zU`?0y&ke*vSxS94$htaShezz@MJFytW8gD1cu zaM&TF$C+sn*c_aH80o<(N01(T7t8=#A4U3}Mm#UZMjj&i<-!2?E$D{9EAbc%fcoPw z0KNexfQ?ST0JsCp0;5hMJ$MN$0w?DoJ#PP%g3ZCqQ%Dci{Q~L1tzZTi{w2~Iv2hw3 zdEn@L7yuuDe7bzvX&3-K&%gk<0Zahfe+2_z9+(A|f``H8XEBiRFj&gvUt>3YPNUg# z0pkJK{36nUrC=TyeHr_|TQoMVV*dqwzJUR-2n@iG9C{7=F<4Z90SC;wjsk*LZb1IL zMzi``j1yoHm<;Z@g@Fi6zKx;055iUy94sxwI01$hp`f7K52#=a3iu=T|7dJP|AG+^ zOn8U_@Ng+I=!*x`rh&=eYOoaC1~wn?(3S^=f;Yhg&}A^11PlP92R^j*0JFfc zpxYqW0TaLvKx6C+a2<~TU53Cg7z(-#Mgc&dp{NPi9Lxek!NXuQcm<3Fe+Dx^x1sO= z7yxF0q2L~H02n$9o&lr56=3XC6nH51f1f0{au_lIqruh7pa3Q_WB}%YrJ&my$YYVw zhwuP+WfKZK9PyhU+I+wSFdEDQlfjISP(yG}HYx}vTTqY@s34dDy6u2`1or<>Z0tcq z7Wgyhv+JR)`A8T7W5L)xs5$7i4+Q~3L7zCt!33}fOaq(mN5Q}Za2uEf=7DeLprE4= z2GhWdgUFckFg$7G0&H9Xi@=}3&?9i=Xt)Xt0As;Wa5Xpp49!JnHz@NbcFpJv*4}@kqiz1_kamt z5ts%h+(wDPEN~l-2lK$@Ru~48!BQR%)|d{1h3LFs!X0=9bSr`#a5cCZjQs%>0DXQ$ zp977}?;+s~WDK?g6YirRU@4dYUik?w%mWfSK6;-F`<+W@$9Pfj>jw`52uK;TGTuFdB48giFBy zaE}ccB_m!_VrvH`gVA6XI2ODDCUd#A#I^#A1+%~m@G!U;ZsUIA+?K+}ND!BVgX=;l&l ziv@kaL?bquVDK>@(kzVPfTs90ST7+mOwra}{L09S(t{7Q_rB5V{O zA~XqKrUEm-y7gfQ3;~1z74_prV%)ylrm;fFF_kg!Sx49S}8la$HeJ~3Q z1xvwUVDyU`&1`TrxUvD3Eib}GHX=e_!o?<-0e%l&0bTu3fO$Aw29v?=U>=wN#-c`h zz(nv0m;t&4pb5d|;2tgyM4td-8=+vSkT-@s@JbUD1WYzIMGdggycrU_kCHY=#$XZn zGnml=o$>>;85jz##>(=sVDlgph{v}Vv&$>Z%lfa zED|!dX+}9wboV2}VmHdcN_WV(SEgGz7`is$-+m+|VE@$zZ(eK5eM(>>3?DXeqozk~{*=V~@5=$%Ioz~Y;!0ETa& zWzSO4ZRCT&I~kO)w1S?IL+o=Q&BVJVymi|^s@ zE5Q1D*i4>c##O~=g!!-;24M_F;ee+IM4=@T7YY8C0jR{x{eJ}#Ah2EvC zLl}UM!7$teJr8hI4NKuZ7=p+BivS*^M_@6W49n`7DQ3pCjN>}YhtI$u+zg{I4E@VF zY{F`I{4)dqPlMivXb}v+IWP>Xpyy$}*9J>rJq*Dwp?d|pdRPokc$V$Io|*J#3E-dH z$$cLwtNFS-48Y^o5&#?l zJ&)7HuoM=<5WF9{pWw_17Q=02%+xdUBXm8{nL8VpvwsOvW14G4#X0i|im^JuHQ#FHs?^ zuH*12{yHjvp7rc6U;=jy}28N*zy8cZ$!j0@`VBi%R1gl{q^lzb@wQT>vtwi)Z zJCJv2xiG|z1Nz@%#{u)-CnF4gKnq~Bi5`Kj582*>6#Nk_g^`b`5JqWfb%oJ%Er8r^3MJ1OUTdQjq9j3KAXefI%36-mfU=MJk4AFu0SJ zLw7R`fyLj@gRma1fDsskQP>FG-_iqR%oH=T4+fy?B?^LR(9^=Yg#kDfy1rwb$ug{j zL0An#a086M5OjUdK?izZ6qdrCb@gEQV$I%mkU455q73qp%jbf28Hm3wOYJ z7=dAEUq{8e={XpNei(s8&=a8?So|}Ai4H^1^$UTC52ND$!uH>DJ?jR+3q!C7Mqnw- z|CO#29lAEK-M3QF%iLn!OUt1LW}ruZLx-N<$q)Ve2>@2ZS{Q=$FbsFV2#mnMA8h}w zf71hh(8aINLRbt#FbLfTh#Y!g3#^7w7&u6}S7|{TfxwXcV5|{d+T~y@jq>Kh`S4-b z2-m}&8`)pLBG?Kyz~jog9*o&3&5PG2x28sz3|9My|>0vQ? z7*@h4+yGs3Y3Q45|Ir%{#-a$pc?V+||Dm8;NeBaQ0}R3ttcNY)-%h{{wCD~3g~b)L z9M;1PvV1QE!!XF z`z-5_3>#t3E!=E|MQ|V70DC+~K%^fHr^0+#Ouo=sDtOy4KHWrxEboUc@PyZCD7qKU zFWX9l%mkpTfdZj>^TF5-5}X6mSk9Ma@wd|D|D~n>rORP>8x=xth=PRg(G#%v69N`~ z${2t_Xn%)*pc_VE+BU;@?~8-6vJ8X>GnLT!B^5yr^pT(*mO|G~dI*Mh(L?W1@HccV z417zEyifWc89OitL!^5by0>!{4U1vY?t`&2AcdRcyld@uyvVakUY(6x;Qh1vc+%oHQ!!%8vUql=**hM@a>3J@Qla6?#8zi47U?u#B1c%tT=| zEG9wecLV~%uo`;5rzfE22Lgh@A4v}byBU-_X&Lmu(w`_8*27X+j!=R4Fa(Q#CZG7Q zXEWu)G7mG=ztR$zzn6?K@;hSzmhLAbbpOFRgdP}$Uf6RNErlMK4}G$HfCj+OAx1lN zM=1xo4l`Jx7Z!g@Ag~e!4!4!Xs+p-b4#gUwJK<2Q1y&~>in&{8Da?T0E{9@MVHnPb z*K|D;3sYbP^nAnpJy;6=14Hm@=%yT3(xKQ2(&xhsFba1-x8qPOB1|qj6zlmN5vLrA z`JwB0DuAUh0IOjwjKX@+dr$z(?|CS;PnMzUdwiGy{U=Zk48mgQI+1dqyEg&+U>N=T z&`_4of<0NzD`TdJnPM1*{(cxg($xbf2!`Q&Se$w&Rt+PtQI^wa0rZ?q`rY)N(^(ZT1f$TO zPnZ4#3s@B}e>VA`_Xb)9!*B--&825x@r_gzVHu`DPYD6S=$!-zOYfp*B5eP~tb!my zxSRsSsGtB@hOVD!F-(L0yNMWj@1<*D7*@i-eY8~c#RLK)aEJK!6S(*fk^dKZ0D58Z z!vp}su=JNQgcY0vP}g+aIihG7tT*AV~=z_PFy%q2~R0O>+1gApxE37N% zg|)C6*2Ca`$R~OOJ++TP1-;PINB}Sl1ERl8df`^m!{GY_`hS%Fze8oQB4%8lkq{Qc zTIl_pbqMpnV3fn)mxp5Z{peu|f+24-)&f6s*AqOnG1>c>$a3}!`R_5&o$j>giUA9`U3=0lf{f?$}xio8OW$46ruU^Uz! z%M+rp2y{dHLGnX4EQJ}+Gcg+T%Q7s2kuqi~#h4V0Rl^|M0NocvV#k z##~V(cOSPw%mw3Gs2>4WsxpQK+FjrpMKAp(QNuvB!o zLiC5}dKiYGKjr+NnHGfl6+{f(D=8>O*C`5uL6{Fik5Ui}!xbP&dcGq)4Dxqc-JKGQdYA#D{MFgwGG;=K!?6Gi zo_aXeC<$N~x;(rsD?UuKlL2~R>1l^!Q(-+UhW-(UW0f#G@^CB&z3GQzAsB!y&^79C z%-)$mpc{H&2CRlMdyPcHdjU>X5*LBH&9%mX8rAC48t^7O-Hu>don{KK&gFjznaSuP?&R|>d}46qpb zVf1<`g07iVB+IZC`ezd;tcUxc=cdCkUlP27bT9~OW% zw~kfOlL}!m%!ie*7*@klxB&)W2v)-uSP!Ex1bd!9dC&tR&2fy zUA+hZra>?CLO+}e^IJ_?0IOjL24M@Vhfx@UJ$qBm%d{N2Hqc-g{x{oy zJu?wzcEBi%!2FH0tPdGqp(W7!8Wq3@+y~v8D6lVqyg?Vj{5J^*y8c5t7=SI%{T2cD zqd~9|2H^%6Xe7UzR=$KInd%3WfirC89%DeXcVAB@5x z=njz|dSNZhhmEilw!ms=A4Gnb2E)(?qp%2;x!1^uk)04;x`AY=PC#o<@F{2E)(?qp&DVw*L<(0Kp4uVLohxrLYB7L;Da4 zfN3xceJ~1(pu36u&^}{U4(q=6}NeLY83=R)5M?1nWOzvxDK!Nq;h1#TV=spdXgP01Uzq+)>6% zl$j{>d`X7k6b${a8kWKktc9*Hfk6*!fqrN|h0PGA!2tBZAS{9*SP3Jr7P`J7KlH#B z=!a$YQz?L%G#G$B7=%SI1S??#)fg(qI7kU=S9;5Uhj|SPNa>PyqD67U+lebn?SA7=S()ghem} zD`5oILf5zChaT7h{bkJ9M^OMwg8}G+L0AMsuo6aKEp)X|0QA5X=!f>v|eJuH58Vo=mtcFD}2rFSdtcB5EIJ!dbuWbJjX8g>!&SA#`J+K)1VFZ?n z-bzLof*}~)#|{ey|KQBWOS(U)2+B|Vf>3_u*9hfjefBag)nMdh!?=~7=>^yGR18L8 zy}{3ODqrCuksl1XU*+1*{%6b&>tWzEGQ#RNxeD(5XRP=?T-(8FSPH!jr04fO`9gl$ zSdAXDn37%Ok4$8pkl3yPF!j#xWxCzS#f8%3W&i8poi%b=~&I zvJEC*a_U{lT@5$0`TYB`n_9cZF~H_ZR@>J&auZKY-XD8DLCt;M(Mz4S*3qNyGso?Z zohljilm=Z1{7mCGHGQpPsBLd|bvGP+dC&c^(25|pN$b;f#R2q% zJ=GU$srZ4Os%@>~Lfh>ps7cSW{Obv7_VbPr{pa;QI-iF!ifp{;kG@Xb@H`)seeZck zkHmw0_s2RVsQ1@62CJl?<0RXLe(IEgFcB>`A=iO=(?BBOPZ*NOLdh)>y zop`4KeVcl3jbo@f^#w$a4=2Y}?1JqOG zJMUBc;gavdb$@JDC#!|G zBXoXrFsnqp@rk3CV}55IOR@N?q2AxhD*Nh1N56BgOxqtzmO@z-6LrmvB%FeNspw|S zwoBqwdy!i3tME@!?`@@PC%@zve8zP{_Qy_;^pulFVp*l$MDA}Nqf6vd(f@(IOkGt+ z55D`7V~Fj^q3XAn7>6eg+aKGh13b6R(a-kAFg3l7b$-=wwYJW2o^8-6YEK=j=+aYE z-*t?E*G^Gm*Wvf{s3k)G2=&D}(p^1L^>5({dyvKfpjn0)Sqh|LzMGnLUfK*qhH3jVeJ0c1G>b;FFS@MWU6&9 zJI43fdCC45gRXs&E@*V{LW}CY!O<%rtcGuJoRlz4O%!~oW(f+^V!?N6jo=?@o1jJQ z7F?~8{tddS;es+X5!imeT+RNsV?e_7YO$!h)EdDIwN3D)+ASzhNgKfjYPevcnkaZ* z%@SOt77M;nYXtdfo8W7;TX3yPdIfx@h6}Dx69r$ZS%Nugv0$fKBPdeafbGv~)Sg!e z<^h%TDr%}4E_g#t6kMui30l-*L8)3JaHws9Rcg2343+d6cvlS%gvt z3!YFD1$k-~u>F3sTJk#e-lo=wN~D4Z)owu_l~fNNR>K8@)I>p0%@Pb$iv|BsYXnVd zn;>277OYlDZ-C>~aKR!q5!m)ERI}fprt8#VQ9n^@1mo2o*%>uT=_oyWe#5-555p}29CdgB}1)EjUW-wX}7d)*dfOGv4&1`l^oW|cg{70#V!>Hz z+ZKl+N#R?LGg*4{EywtT2DR%gM=#rf<*My1N3Jb>ugYq4jG)iwHInF6wMI~ zecmRpndZ(&y1ox>&1*vM+e@U9EM!mz*ZR&PGy4w5>VuR|w4dki9ZHS+#HQT6ywr!&s&1&Fw zb`dk*+8?7E+9$JL2J(9bRk7XC%e0;CCOc5(i?9pSy6qIwp!N!={9QV+x0?7aUHuL} z3Nv@$I-gnOAl}zk6{NBqXjH4-bqqMW_uKnpoMN_5a!juz*^j&bkJAJub8ncNoGSB7 zQcNv=s@f}+ova3i96dVkFcoH{dQ-8pnp4yils>)r^;!G?6)z&gv@5I(kA~=%6tzq6 zoErWfC{Xj>b6m!X*d|NtDc`4{&kpU69WN#5Nn+W@A+uSHi`zHHJt%hC5PpTG=81hd zzqsnw!IqXz#m-Z!-)A5csLcX~kibw0AAn3XP*ASM2^v)42ePvM+#lme*FI%1H-D(C zjm;l8&g}Cl_OUCg5xY)xZ(=Q#t0_%HbDQx;?6~$K^p-HpT;td@oK!tJ7oo6{;~9?a z#T90TU1HCqye=Keqg#wdGk5n79mD#(irumCa=5jhEJ02A&>Z5c1hs!IA8{HU(@*xYP3e@uoS)#o3x_4HOrJHP~W_71k5W>vU@ z?C+>GJ4l(Ty8n;PnR&%uo86NmY=J8L9|f`Dkcw?L?r6kdtM6E?Wy*#pLAA5*FE*XEMhUdd>JOqfPsH9egvak>2 zNFa8tWSf*__(af?==JD%=p9$C=sVC0{zi|Wm!O{$F9b?>c0#Q~e#za!YyGf$lfnIk}mT{}-S;;8@TW)gis!kJ=Qy1B#TlR@RCCf1Tm1UVk zciHKE^p5+F4D>YgX7rv=%F9ab{XnM&Z7Taq$B;h8w5;~;8DjH;915cwYE%Vwm#=P= zR=%oU_|h>r;YLeaVQOpCVbRXBv!<*IYPLR)BQ!%XclwN$i;miF}xlJ{oy722Q_;%=0H8KxH`_vUhKQKu^6WmMvO|iGRr8DbQfAFq@&zt@Vj4vdq8wONk?U%bC~|xac1A!Oa6$_&Fz!e zjTBpRmHOyw$C!z&|Hv}T?BkQ0Wi?5$J=u4a7i1ZaORf&O2R*eg%UFDjZXbi7rtD;= zaH0BeC+FJded|c%{Pgfn$4UKzUuH@2_tehgQAV!rz4fE=-B?me9VovsM$N&WXGG%4y(SzAkJOd`NOR6*%CGPJI8?2WCu}j zU6ygJRG31AIe|{olhc>ls_cv6$4jX=jccEvTK+8~{%5o3f2%jXWhCEfX*H(ymg?4m zmZe6uknR#wGt^aR15>Wg;-_xZaT0Y*s_L}J?oUn)*vv*{aOB;gR+Fde3pdFM-9Jyg z-{KgQ@TA%+1r?a$cdGw)6n?#>rI=cSx&bY*b!L{)YN$7-ItP@=m3H&2EMp%*+ecVE zSY;cZ+?Y_pc_5q)-2;=HEIf> zW&Y^s+P{_Mj~G$$bg}}blCJ6YEaPQ0d5&{%nRHVn(z1nF#-0vIMX#2sO0$fC%*Q9u zH=x&{cidNs9zt(GXUlD$B(xUvCiEXfowT%5Rp%>`^8)roi4WK=u#Vvzy&CQ$Rx1G; z))W$SGPf>s|AJq0SC+wRKF1bdNLTyBFH;xq=AK(Yxmvi}F`$2IMV9GF7MWxV_0g4o zE{X4lx(vHVwxqf0tKDog+f>3HHl!~qMY~R&wg+vwr7bbFvFc8=tkk=+j9)0r?zJ|Y z_%*ow$TAbXH1oaZt!$G_=g@s-w!{EVwRRFr=X>phapq{})mo`)OTeni zLoj3crM=!M&oRvwZI)toK4K0q%`Yu8F@)K)){5hQPfM9!X~)elcv3q7)45=&Ra-I6 zTz=mlKPs8#ZQ88IJo||G+f!2Jqn4TII)TpCWv(%uu+El`^D*BN&}HVE=AZ|yE(u~j zvBnCrhv`&UPM0Xo4Qu{TPQNp)%WA1=hIahi%RCF`{!C{Yw=yz+b)+R#qjfn_NxGS# zTvrmo{OCEWk{@cMsA|he^7i6KbkDWNw#qbh1c?F6Vx4V@>3pcOg>lX~lFe?K@9Ww; zTuLYEY_C5lwY~6|)w`uQ|9iH*wz;PHkYy%@Fl(N*f*fKxU+Qdb?zP@{B->|CNSU^3 z>r6G&kF{KxWh|4ytS8yZThI&c$ufq;MJRd{eHnVk8{(q(WXovy8{LE6ie4n;bl3;` z(D^&EWd`Rl?UNLgk0E~z>SR$nWSEa$gU(T@eUkJ6bop~tYys_)=(Xrg=ufw+Rs-tM z%kPcv*2*kbGVH*RzgXLGzb1MFy$1bUN!lSGdw(`_^fQjp-RPq*MVK+i)z zs^_GBKSnu5mw0L@8H&)Cp^rR9FGX)aKQ_=6==`PJzw~Gjz4>p_H=>udVjR1N4`aw5 zhdXxF>_bmMmmOVvl0aPpXfgV+0i~g*qaRzo7d;c5(<s$`2_LE3=zdC+Dx9VH(S6TZVV{8pe)k2uq{9u+*U{hD$>U67*_B&3rWj>_#VDwE{ zarAYVROm^ipcQKDAKdG2{->G_M>naXk7d&GO41qpdF3F8PjW1*PNn6KsI`AMhE7Ud zmBowsao6gZFn;D^S;i|zWV46&p&Qj%#*L!uhDkgwj{YUps_y~fNqt<66=pu6ro+w+ zPh{~yFY|n3*#Sq_(Iro28P7|79X5tq@~5uOGVbr7ONZ5?*FB}SAE3kXo>py?cTM?o ze_dl~gBZK$$8N=5^j7rKD634YT-eSy&Sxr5|#wj_Jh){ z7t|hM>x;_K2A930PJx{Y`X5mDf9@FE^`4&m;}ZSZDIh3VrBrJx+@3tn-C2ZRET1CqguxYVCN2 zat75$hdAA|ZhgUOS1DFC)}i)H6SWh$p3W1* z$cg7U-pn&i=ZRwV?5(y-9+%W}iq7L7&X>}9>3YgdD?{h0##-M?%|0=n=V6^Ef>A*p z=RD4toKo5iI*a!d_RPJMqZe6B;~vZC9Kbk99qi;B()9|{cw6TPWBhcYYU|`o>)Oq9 zE_}&a2Of@qubikOYjj98UF)o#F2$-kQO$NyjcMFr8C^mcmy+kF2rJukKC+x7H@lhC z6Whngt|TdM**dGLV$7dT&{aKRT34>Ota_|XaqA+}8u@R_avnDBV_hD% z-smcIU1eEGL9AgXvC0ekL1CodG{u(KK}^5j@k2on!}lBmo8G~ z&u>`Ss&Vc+l5MeRCcbHz5zI@Dm|oNTSm*R|)-d3R`D>ySd))uboQVO<-96OUK6JBb z46}?bVT@OM=>EFOwD#|?@_0C(xwnV{8??%Sx)ps$%zM6`7#alTPQ5B-d!3?6<33 zCz)9W=q&k|?jz>mPEuaZmsX?rz^U;=ceOBq*iB6Iyi1{zm{K_&DJ)D|el%l4~Mr#^RSw@#qi~%XSbv;aLuFfML zQ2qHh)h2liscE9F$vuXnIr~x_bZ*?$s)AVaIidl8Ue1-2)xx0T+?dSRy9^?+!|t9Kk4BR!TPnE4kgTou-x5-ePxXlFSn`Qj9U+zR%dMmu$IQH zNv3tbw!&EXaVx>J#%oKy0DEfOdX=wmxx01w+Nw*w1>5G*Vcck1-|1ZPdDv}nYp7}6 zrE|#_V;_lIU-5Y_ceksxRg86g+*)B;?`W$YYfRj_z_e;CE79fUwt-8n9myCmjjcLQ zKE@8`0d>bO?8x|@n7iA3+6m%3jbol?m6%rgF1@K?-4wTmnU+gi{&P9$i(6mwp)z;3 zY;9FzrNpg&n$~fal_(#o-RV#Zqul5*jXpY$_dI@o?a)DVGOasw9{FhPoetIaFnRbe zn!DRUohOXpkLQ_ZTA%7Xp7RZ(pF?eG&ok&7y_zugBFVz(M zVBX44EY9XMxK1tX>l|=R-nuMfEvLruNiHjD@s0Ia#`3rbMXyJ1<%7^A$LKrIYe>&7 ztbKAbCm*D3Ua#7y$5!{U>e~-yZd7B1&9A8GaCFnFS;n>m5_fo9Sm~p@OoxN;%`_+>EbVB(7wN}{L zrnU>y534=>okPnSY}v-UX5$~QUCUDj9#fjpOXJeUbKReqZ49=tFlmuJVB-lvl_a(< zR(<#lU9*jIOkW;QOi51H_fcgf7U3JtZ0q7xKckUyOVLZv**w&P1Dt)Xsbk@gteFn2 z-9VzcZrMhErT@+__;$wlP619pLPBMhnTB zMr9k^eL89&)h}SP;RyVc6sso*YX^F&8koweXgXbuO{LUj8ESf}b0{y%R3MktjL9}u z#j`-kRXdTO&&)PnK1Q!cH_pm7p6Q@VBX^+Jq0^&gXI0s<T%-AqfCPbvt|LeGPcyffzFd`spqJyfzF|QKI2rqi=@z##FcRytydKTo$_kMqXV7i z^Wx6u;NtYWY~%NMN+@N@7lqTOWE=c4!mPB4H!P{vOeKZ+@Cz=^HoA7`GRc>ZUUG?= zJ&3+)n5vcxa*i0CGA;Wr&!QVimx_M7gjOqs5x59V1^ zW`4FYjWqG}5=W(<^yo>V>q+!#^t!*%H=sBCjUGa8LeG*ibUf0Y7WCAsvgMHghceTc zBrC?8B!PW04JHA<)Zoj5$(iypLHuE#3{CmMa?RChbQ(jmwLncza}MZJQkeahTcW-@ z2v{vobN0HT0s8@|V7#@3NHy|d=DcgNjTb~WANXBhKJb%8@ps@G*Jc~9Sz$DH>JW$Y z#|DZoPzggA%IVjszC)Y?CYE2HeazD?R_ldy1Ntzs#3#uvpW-|#u{p`&n|0Po7hAsmnXX2OJ>kp)v0gf-Ky3LKDDSypCtNP* z(bfSc*i@S5W*_yog`|y&e~d08tLGH{yHO1~nUR$_ zPfdcnH8k&J;_B@^x*91)z9C8{iJf_KwvmYxpQJSTv}m6C@MPyO!afKlHn2Tjww zj}^`kw@SNRs&OAU*E;`6dMiz?B0e2_z`V!RC4zTV+*@dRzvAuu%F#cu`V#8BN3A`D zJy7#}wfz+5(9xL-vW>Sp>}kwyC0)vG93UlRJ(=A)mEHGkYS5|9q1P1Lq4x-SOO$+5 z@$1kB#WO+Ci_x3Wk2^-ML~lhm_fWcP+@z^S=M{>zr?Nx1E$cw6<0zD_n@^VxSG!K- zp2!ntN$dYOMJ0QjgAxv?3J>SZmzv_q>MDV8K>@SYdr4utmzei<_ zU~D#*s@Wqrg-gFP+i)I}e+B6>(PxORH%;lBAbQ=MY7_Zvi0;N%gfaCky8F*bvNvE?v;A5{FW(Ee{{AUECG%guDG|x^d7;B?R4}XB;P=nzkSki zWoA&nd=ouyX}0mBq3*ib*<0O}?(A#Jd_b*Ar^3ADYKzd|*1RzNpX&HgaM`13>?k=y zdF<%pofKF!odO?IOGZ0KCss3G@U&Vxiep>e8ug8^u1@tG?Htp&WJ5NGfy1MnBNI!n zWP^Q8vW)4RzB$|2sa_a`96?U`uWA#y>0OQ#>W0&i^Ybb612y|}3TypHJ!NvI`U3J2 zZubmE%nd7~UskJgGn|7v#a^^}t4o@xDzy}~Z}aYK>*a$Ehrs%)ID+j_+cTtTKOemg zWnJ&X&-+=~&TtN$)V%kvV=zc({FE;Qh!&g z&S0IV{GZx%1}$sYuYQAN^7m=DbKAbJk@7M)nB+yX4LK4T*+)(;{pcm6?VM0fXD{sngGN4(V5(=sW5tZ1w;Nni75LW;21yXIw+Bc<7R#mISRX zpL&@Dwt{5!#hEe;mnz#?G7LFUhNDt?`K-5PBr}t=Ex(XMA$rH1l9o8ZI-3YHMMbS^4Vx-S=ta&o2nict&63F-;uoi)X$=Q zqPmZzj)zU{U+Ubk&cS1Ah{t@P)I6=ZsQt7?;+=mjV}ZXx^fdGF4v=*bKrip3R*jXN zd>^%GtaE5$bDGb1m*1XDa1Ka};x{~?9OvMdoTg4WhhyV8YRWn6OzZgYr@Py}ZR)Ob z*qJ&^vCH+Q*rMJ$haf*!dr8=3fT_(^{k_h?wv-1|mY2?L9;s%-giN*EOPWi+mcp)4 zZ;&S8IJHYOm#HhePo(oo!F00PPeW< zD@j+9s~$R+@sxUj+I}vLY4WQ*lCSkbpK+Ou!j8GLGsoBUjKe8v^m)Xacd?o-EWc9S z4JVf8`;0MUi%-(Y8%W=PJ|Hea(L?CX=!DZg$zZ9x&M=m%z30)^jr**D(f@qfUtnoB znA&W0gJ>fyEzQ)j)Ej6+Q?BwEA4=?OLz9wwk56vkGL8$H45WQCIUp4HIR90@%;7qi zYwjdNRzX0n=7i+sjg{Pt+Jv#%=8baw6Z*?@!Uf+QXg7<`Yg+rJO$-F`9A zKZ_+di;~hzkMDU}=MVLG3*z3FF=<0M?zxB{C+-WVX~TxSf>XJlc#*tv4LW}cDFH(fif94yZZ za01;rL)HybhclU4^z!R{#_=+aC&|SRw-T(Es?8mGBYw?H^^uQpn0bS0^En4hl0PW+ zNQZJ{yrs?MfOjL?gXnq^-HV=%-thn;V`VCO-i_*-9OszP={NiSa^hTzpNU=IKmzTiS`HwnQBkt+%CZyGHU(R+GoGAIZE`-87zhTklX$!9mS;`i%cdy*Z0I^RBsd z)yAT1$1NosC+_$s zae=H4-sd)N7zB7}Gu0}01%AO7Qr@7%dgjx^YCB~Q&g_z7?P!m=zLdU}KmO3#B}es` z=saVraZ*nF0<~G{kUg^e6^Lc%rObDHBUKiq)8(&2q@=35Cpt$Y3{~qU(y1d~l#ZFH zzM4p9?Nw7Ip?&{?Xe-odlh7J0?Qv7PPTeWmgKD*;%QLl0)O(^$x3o^Cc9ZIVfpf4k zeMpXx$WF#SX^Xnx0%!kAn}+2WV6V%B$yzRyn=cth- zBg0Q))_!(k#Ma+jy7|tuZZa5l+(M|H9OFZE+GI{h5Br@?TdPMoCUa0&#u08Z z2cU=tAd-w#+eV59S38XXY6HFiisG zfK=7VdK+1~*u}<{dUlR+tF(ya_{~q5m4W5KuQ?~j7>#M4yG31jk@K|CshK%OXGx(a zb6s%zDjj`TT!hjw@|Pbtnr^ztIkaz6c8+z)+Trvyg5R30+AeYq==_DFExyiP|3Ny* z_mN&_(FOdqwIl9a(_I^P{kL)?KH?5E-MzTx6{q}#mSsNWn8K=P^{G>)IERjH&7nJq z&TO)dMgo_X_}Q*gb92m#Dbq)jt|~%L&s9sLoMpLctuSSR+Ab`Zp!NvMCn(3otdI0b zIp%o->*JSmohPcii=AC~)WVC|$z)DeYhhXQy04_P!n(a@Wu{>kQ^*gAe|8yZryG?YK)R-sHG< zis>ynpuG^DJMQg@$@X8>ragDLVf-{im-&e4-4^$X@ixW1i%jpDKM$&3Pje19<960P zA+~P~geRf9(aX_~XWraOpma?Jdd=Nx`gG?|Pt!d)2G{b2J;=bjkTcy+L)^w{6MZCcje@{|>irAtCUd^$%zbU?_CSNV*E|2FJ z335FBZHv0&YG;32`4ei@)jT0AsL3(Dw5b6+98^DF&C|%trWt#OWCZZ7f1u%QVWF*{5HCP z9Zu?2wY`9vo8Hec@;a**=Q~eP>4iMxOx=-Vyc6#ZC_U#}!Z<=7CAz*q$Y3@fJ^g=b zcA;}j-^`sk#zW%kNqRDf-@H?8Dx~6qUFr+c*$Tc>$6rIlCBLe%*Ko%t@3$Odh2-I2 zT4kFkm&)cL!d#>GQ!oAx;!jv)pUN*nZ2DQJ#8r#m{Ckd3BfgGH^m_Dip3HIstNreR z=sP6+(Yn*zd?Of{|EJmrtW!#-Ts8Pw=a5dzn7QCuXa7#+_FOdwrE_cNT;tL9mw@eO z*9I7IiMd9B)T1Y}e)Lv!y{Vawv}Xsp(IwZIV!kiyOk-&uQbCto)v3riq%4o8#t%#C z4nHEySV~#wtB=wB=r!Gr)}@Xj^t|L;<9SIxE&jAlo|h{nV!G7$NCy^~H6JkdguF_!%6t%1_EQ zE|y~SX_i!Ae}fUHrp(}&b)T9w!#T3=vVplqp`_AN2CRLM{|2e`GbpWTnc6dhhm7e% za*fXML{R#w=Q371`W(^CT6p#>^GxDr;Md`g7s2$WSVt@EPsKNe<{Ia>`*}zC^QC-z z#vz9&T|v4eW|HQtAVCcY=8E7;&J&0(f4(VY*wMNK)QDb=en=8to?IeZ!y>yZSovDS zKRMSZ7okIW(Ph#t!*l;~3Ep!#6``A3iezGvq#pE=;cDzmw(Mo6<{oulQASZIe$AOvL&ma#GjfeyQlg%uWghks<><%WtMZ{YppT3vhLS!X zy%l}RG5UP;yfL}PxMOsG1AkkXKTdD~uSvCAQc$fFM1~Qf>buA?G)o%{;b?mc?jkP4=fFb{pIf#ZRSRb` z82QQl`q{F(&QV{?X2>_?s5V*7oTvuPfvpqOq&e)Jn@4H1(>ZyGB|x^`CU{RqC2z=ZML9SLYf%WJ}kR1X_(>@{e5WHbMt| z1A0S2?ooFD{P@y}>(H%t58}_qFF-G5UbeR?n@|5l+^TN{eiQyK@e7li%})gAr}~nA1AfDQbB$ZA z^PL@1-8;F)Ns_$7jj@PS^NwnhFq-jC7hgXJkYdsvp;_Bc|pLR+95W7)zcrGuaRI*sd;%u@KMy9}Ak&^7a+`qgdu>n0Dz2gmw~W^Ly!669@a~B6dvVj6 z5w`+ZBjVXQncf+3FN}A%;E?Kfm~5k^wl->$`>kUFkFiw{{qu5uz_5~2a%oj9dcpDu z*5O7EHxGI}I=|`NeiM6!x{p=cP3$SszgB~8<|v%foNGLY9Uq=j&eUpl&ggo)q==ot zzhd;JU25UY>_GCqQ|rlZYuc;6kmZKo)$#LT%5iGae74q-?&FP1C8Iu3m(?zR3a_cV zS~8!lwE1|omh@%IdX6{vPAn(f@{XB#omV9XBuT%I^bIGBH!d-K`P{}8yozSM$|iC4 ze1h@YYy4jh@*ebZbaS&JolKJ6hu(m09;|%HGWwimMfp-tZ#DZCo<_I!9&hxK932jS zEAUhLj5l5vT~Bfp3!*pil<5|3?4ym5ACbr(N2OZ3;UgIKPn-zvLJUeK(U{OpfpU`acx z@l!o&?X9fvnhf<3ENeY~y!nB{jvKsvH3Ktq{9n!z+~@`9$4j|-lJ;ewm;X(AKl-x2 zNneCsXQe;-;9QE)a7=~_Dp-Nu%oDZSdAc5AJ~dl?aT}e*-MF^fXsj_~{89ICC6D(h zR`v|ZGp2Li%<;xg>Z{wFy^>ZSXU-mPEL4YY<8IO$w>$gwZMgrh*;`20biY-l`)P`L zK%KHs2K<9+(n20cG}IlPNBSW^x+e4snU7DhzG~4^*Qxaj*Hr;-WAnP{+z2N2XhJ1^I@!Pp7@!I4%+oCQH+8*e%)Gmn) z^^ZH8Nw(GvYW5wR4CnoOyj=9lMq(ZXWH7IwkQ(&cL^qEDM+Tn+y8%CS<9O@c&kh$` zA@of2V^6MI(DTsqb$Jsx0x}+D8f>=S{x3!Y3Hpkp4?7Zw8@*|xI;B(|-@G#3dVJZz z@A)x-s=`v|N#`4{jz8-1-CP-D&qMDe#p=mi8R#YGKXyXop!ivxm8Rw04j? zNK#O*zA1H%=~J?Gyt!|QMPs!77;UFs%b!*^bF3irUCeh}u{}SbLsVgz^CUjdRZ->~$@7JxzE{6sO6$OqD*Bz$}+XNgHmfM zn7#VkcUSbNTaPj(c9=yBTtpj|sd0<`CY7v!v@fKhd5fH9_PLxt4WmaJhP8Un=C^l@l@-3r#@zPkho3_TtX9Os#!~D!gK1;C1m|fy|%=886|YT zpISc?@UkXjs!5F#wOTT~YGtT2l@CRE&Qdm@ z)To323h#tUH7zL1)F@Hzvy`6S${H;c$}9VmPXZz;-IRt@$R^B#jJCtFGeN~)?r>C>A+kCQs}By|>H zr>J#ecg5B_6f=R?@+Zs;wM)u7pvFDm?2*u@&V9hyt8*CRM^ov%k6AwThf0@An$Bt8 zNte$Pc37akKvS8*m?SZST+!hKgn7Q|w zxh-L{gBEW+Y)wJ zNO=56ZqpuT356CaESS0XnYk@t*W>KOd#gTAFyP-&6Q7`vchsyW*cckra>1+WH337? zT1tA-OnJ88Gd1f;yjJl}Qgu%{yRw6b&)Yk%*w1)YyCg|hl~hB9-s}uB&R+|Y2S{U~f=?_TyLQANyKyIyXwuD_45~Lh6{lKTpawtIR zEfoErlv7~|t1VEy)^}M#!qf5UwLZ=g3N2JfIc;YAmay3Z)oVSW)+)dP)w}J7%=j&# z!h#vUsc*J~T^7vvO?}|MtoSXM@kh=0En&3 zsSkXCa`>Hzg+dDz7FJu>Y+;v$gcr>W0|lvSoP|OQ6&6-o*lb~!g@l*P3q%Ls3W4pw2DM~8_m&R4sy40XA!mu&D~Zb0z?V6Fcy7zl zXVP-|kl|oWzEbhB^T{r+lzh;&E1A$mKHS}QLeg#K_g1mg>MdRSs)j9HC#%-ioxRJ}cQVs660Pa;W0vo-AML+%%yPpq z%iE7x{;tc>`S%~aTxR^HPrBm)WSnqxhLy)GuhLhc@$_qsS$^f1+Ezw88S z*`b%GpR2aN;T+k$X^tt_wEW!h%JHUiz`*-zt>n62i~}{+a{T;q86R!u=ZZf*sb-VB zQ`@7NuM~dJwJO0Dnj>pg^?uu#SC;symFYyyp_*efb2X=F&d^+-S*5vB^BK*Jnp-tL z(fnR>zeT<(_L>erbExJR&0NiCnlm&PXjW;i)O<#Bqvlr4Pc*;R+;6gsFSu>e0cZ}@ z9HW`5IZbnh<^s(s&6S$ZXl~Tps`-iL_nP~K)Ndrdt^?2` zn{@!1Lp8@}=4wvUoT0fuvr2QN<};cbHMeShqWQhaGXB1=781AU05pecj?v83oTfQL zbAe`+=1R?HG&gE))%-;Bd*NJz0Az+K@hyudY7W&LqnWEYO>>6k0?jJTm733JZq(fR zRwn!XK5}|szcQw$kwt~`3rF61<4to$-o9|*%)+_XkDOUlJo4rRBX7E{u;|8H=Ko{d z{}cBfP*PP{|Mr0;aSCIb z%ZzC(&`~g!88MaPIAAU_Cj8Dh`#DY59{a!k?^@sYy>~6W^s|3w@00Jn=Y~3D&q@35 zGrWH4w4;xkHD}VXsi~8;9)8TsV~;!jxEaHboi?q>GG*$l;j^bspWD!CarMdRp(A{u zOxTZnTaIFJ_6LmH#|tLSJ!bZ#v59e$Qj?E4aq{uUNhT0vu7Qb znmK#woSCyu33i|EjF{lC(K_?(|8i6OT7uIDPi< z(`Fv;(>i|4$+PFooIYvljM;N0Pn$Mr)^W$ooOSHf8D8)w_PNJdYo*GrZXNC2nNTsd z_`Y&nO#2Be`%DNWO|qZQei8dT`z7oP?2GKn>}ys^%|%z9Xcv(>bZ?mQdUb6>o5j@w zhYlMyY@bmF9Ju@b_8*cP`G2bIDF2Tqs$~D7`@7-QT<2a5k;T6 zuHU;3a$!TE!LG{N+|25bC27_f>{6NSrM;SCZnJPWo5%aF?!cL-N(?HVs`q zs@}+*_a5A;Ya^w=ompfZx!;Mg8SUl8cGbr^JI#-PhWFm?*s0<4e^p2AWq?)eaCx+K zr%KBf&ToAyd%kY%1C-5W;fTJWR7pZtv#()qU9Yk$;PonJp~Sv$gS$jbeA##Dc(q$b zw7te=d$X#7ZEc&`eO%Zs)y56ih+QVMsBhb~Z@Ff=?@_6UZJR2`7w_`^3qu9ieO^Ug z8UFTVZpfFa`!uBgWh-<2zii7lR@J@j!yn{M_>&D5UE%(k#eDAaWp2go`cXPF*E3uDukiW;WPqE*-lkkP?m$Nq;dY(2zdcyC`AU*He%J z83_BtMxwu&z>Vy^9~r+IfphTbe>uSp%V?^@6efogHRLK6Cl(`u!qiO1Nbd;x{>@%=d)|* zzWjDEowf@OLe=??|JX#K3WGg#z7QaE!Vn;I#!!Gz!y$d>8_Uv^Aauee0i7}gXzIO` zAoSu8Kzg(N@;CO-6s`C>biVL+=!6XuS9FZEUi*2&1uHrZ2-mKOo}(UFx}xJxRd!nK zoQ_YHE8$X7_L-w)Z;q3Fa+>T5?4#4+>~ri(?4vWp9zRj`IrfJ18T=MxZ(C@J2n~Ug zI4A^1GsT`^Ut%9k2~V=mu`jWYo`m0!p1%w1vxhnRP-Eh=2*BR3PaQ5ieggIr>fH4I z@GBh?)m53k(;E(WyW^F;BLk-UMhItZw1|DR-p|t8S3f+?qq7?(zuocp4yB*vu-&TR z)wetL>K$z%wOLo0`dmp}_(f8;mejEpQYZhA)Ef{x_v?vnec{MfRfPw}>3{8Pna^R! zS_ooOdG0<4E4R5XO1aH`2CL!l4>}I*?Vc5Po9paVcD4ws9`?y(cTgPKQ2d}{ zs`r%Y@m{sGz3g3IwUw5Bwf%Tkt-S*ex?ukZd*I)w^uJ;cJm^CD4Xed};C;wPun)%` zc+ds=ZrJxDowiR>{(~;0pNT!)Li(&qA9Nx8V(j;~kp3x^KIlUFx5S>#d@J3!@U%2{ z{2AGs7uhe9eP$_t`_{7mTP}Ym*_YVoRtS$iFZ=ilvM;{IAc%3;v$ zfv&y<-Er6t_j`LBNFq$&a9GzW^Nam22XyH0$ogHFz6c5)j6FzQ_#Za z5Io5~j>Cv@7<8-9jch?@W=NC#h%Sb%d{CX7sf0ET!VO6HfuI|Ty&wB1x}tUX zXS$K-YW`a%XG#Yn*qeO>VO}{5x}(umx1d{qeI9#*F01@4n30yMxdP$ZKGOTO@GP*oZ@|n~FV4&E(J(mA{}% zqF+>@v;8jwUWnjK_6dY}V(2YPhvISiN`yT9LebO@C%Li0;!XP{f;XoW}T^jqz?4#(4 z%3si3h^{8+(n@#-f*kt@!h8$5N6}TcpnDhltLzQBEdJ7=_Xpuu2-j}TOsE3)I7ymh z1NLQfsTOqo(5?A%UE1u9U}yFvgbC#^=nh4mbhiIpj&OMk!bh>+ z%f5gvq8tX@3+R@#petklkK4QcpGR2Ue|;x>yjztC!rw^H*n+P2ENPOi>~rXf&HTCH z8+3!vEwVa4{f0yVGFv`u%E;}gD$&&os9p`34`!_g!9)6T>+Y= z>N~LK*r(8?R0f0YQFQhHrE@3gc6%4WtL&2q6Ut%GeSvOb3%bZ`X_9vA6X;^fUnGs7 z5Bs_ngrl(Uz&?&Hq8tX@-sncQpgRG3I>|p`2+I@JcS2V)L3k>d}>3&|QzeEV?ybXZv4PISj%)!V(U(?k!6C zBKwu-Qp#V@eTJ^sT<7|K=>3s7(rJnWUXC!K90px`^o165!?E{gzXV;ZnLj`Nf^ZbV z{GSM2UzmdZ2=XT+u~Om3?&@VL}NP z&|4=WH0WYVHyvFD-P(B)IHGj>q06Bwqf3|ftE}qn5Ec=xL0D9}8gy&XmC)stt~I*o zX;R{q=(3_qH}(F{PY^*J!Xm*cs2HnEY3HOy64C@9#7dc%Dlxab?-1P-F{zf94kFcm5dZE?Tqf51*Yov2!(bc2N zH}m(5J+BD5g4Ox)pKL*x3?;_lM0D9^4&8VUy2u$);zSF&>XXI3j?q-N*)$*De!;4; z3WKnQ1aTZ{-OkuYupfyoq5K8ie&}LNx^!jWI!Zi}k_aiH}iL-?S$ykW-Y=}3&LNfN~ejQDf^Y^vdtX2ULACG=!z}q-lIld^LyL> zmLp8z@Ml}q2U&+f_yq|HE$F&1?Ao$lf-cd_-$d&#=xWgAozC@tE!-BtR_q&b7{lRV z)|soqpsPcdYe83{22<3`B6Jb!Z%Z5BIEVeaSJ_`2mnvR_Fxw<-SoO1go4mZYbh7`Y zypD*FxlL@uHX~v?Y(HuHZMWNIyGgN0 zlic$i4cDLDdE?Gv8yT~{UE}tq5-A(>% zna3-64^w^4pYe@MZr$mqbZO!!Z|aBOLc4Em`%>i4O8zlC4u1}P$5!YM6aC9@ z_fuZZPYM1xPXZloc`KW*WLJNEXzMK^DNewU%gA|O;AaM&6}|xhvcXYAE;Rk4wbphM zyrlR;@cbX*r`r3m@gKm@SYfa&178B?9UxP(+?A|&E%GOE#{3LV!E4aFnby@r>=^O$ zf}D05GZ2G0Rt)l}fH6tSU1y10Apt^0Pk?t){1p5o@UxhjSnTz+<}TGVcURf+y8y)p zC4h7a`;ClYtvoNmLkS)r@LfwPGxRip!weke$@kJ6iwAmx*%~a7Z#m|TE;t9eF@b>mOUKcn!O?Wzvp$~@QbTMoV-vJ(( zDSSKIwr-mQPn;zDIrI(i=xpJS!=Hke<_JH<{%yCkS#AH}hRriP7-lo>w;w(4XV!L+ z@g16`s-4t?p;rgq86Hu*Cp@8eU&~vY^oAyRs^q9UB^&1r8=B1N!e1vx3vg7eI^EyU zCl`o*Ec(aLCm98yU{Ay6yHV!GUns#V80M(qS0X_E90_n`E2*KH_R^M#^Mr@z&XSh9 zzOwM<%1)hvXPR+8{?i!ZU(>mmST6Fs))ao=*g_F*u-tW`#3d5=RWkGzJeCz+LjM&! za;5NB&~I67%kLbOt`fr!QZRFrtrE{0gwG2f#)ju0MBd9b-|CXe_QIUNf5`8jpw&r z;mcKt_qE*BP|Y)Oue(mB9qbh9w$I^-n}vVKoZQcz5V*hv zHGgd9c|S9daUV*&2R!Pzt^ZI(hhT`S1XJKOY63YGK2q`X;W5Rpg)eG8yj}YrcCb`k zURB*4B`AGiZ@6yw6n$3piJvS_yWx~cRE~lTI!dq3#4Gux zmiquj32+3%>_GHM+L-CXytHlxre{T}h$EJA{YGRV-wdM-d1Sg_TsVbch&nbQZJo7j4 zA8P1Y$KCn=ay0|q=M&VLn^oYaEO#Z&_Z;mr`ktIZnbib1R@L0E@Py)BI!g_uEcg9C zl(+^%Jqbb^_k|~wqpjc*m3|wzc}Pm!$P~Uiym3iWP0RQ@&>CFHvYSfD_VDGice>yu z_-?fEgE%Uw;q)P4%rf{`2YGB>)9B^*%wZS+0&|bKx&4KHR>fG z_t?h5uWrU&d@~KhgBU`9E8rcEt90}Pd`rdu4Ic#$emdEMk;~B2Q!4#z+nw|O4={uT zN08u0#m|OcS6}JqF8CvgzX5*@9{m3Xf8&I7rK2tES8H5Ozdk{DNU%4&{fU))4m>eK zxLfOS+ja2F>B9R8HqXHGaJT;8w=XahFodbMhy75D%TN)%8vPJ>;S34z8vHTI#K-)_a#!N=g_6-1Fie4WzqMHbT>D>wqq3Um7L#CW5`>bifbXOD zH}LxA0j&RxZQHpFou~LH%k2xA8=6~{qp2iVs`zE__mrbY;lC>VNARAvH7l{rP=}2r zL)+ap+LeF(o3{BjKTMT@61(>6fOhp_Tn$w!MYoJ%`Tqwdd>apakC6MskPU@YZD* z9ou?t7cPD~(fg^R(~U(R-m?t)L(r$~i--op37*N2;3GA8zg!N0;Gfu3evX{UE0@bb;5ezqOY_zYLz_7M{(Y-#&9Z zZGX4rbY`OQu+z#A6EKP;JL@70E4(HTHGfs0p3&zR*>L(#lL}fA5b}JHjav)trZ^ypP=|u zcq*+7mtnXR9){VYmbze!t2MZ_ynmaf z3q<3#@g#^XlLY?f!Y!ZW_5I9yG(pJFLikF>Z@^EHH@W+^^6t~-Sq!;uQl(e6_6GA6 zJkwM7K6Vk(Z9QwH1o4RQBhmi_o_S`p*Zpo+zTGwj{!dlYmw0Y3LjJ7`50jv3U}YPB z0IyZNT|ddtD8+|a?wTyCYGP;fNvF5{ujwr47z}CU=tB4nia!8QG6SCa^-06_(Qv{>$bz; ze^(hg3;tN(zW!~3J2AW&4Bn0XO&NGu@n7K`2UnK3-vG&I{A0;b7kjzow!PqqABAt( zT6lUkhAow&YvH5dq3WK7Cl&u3o>qRk50ngDqxjCk)8-*%IEDm872rbn*NQ&??>wZk zCfr@8N^k&t5Y5XCQt?+FhDc--=|JG@R&hL1^bj^Z5$ONMS%0k(lZ zrTF3SRf?Yl|6%ADSN`=A&Yc)~467WLufw;2hw=R@d|$=;4Uvr6&xCmYyZZmzVF{nw z0nbeTyk`% zN-!8cU-1Lr4T_%ze^~LG;BQuN+x{vtl_+5%2^ygbFeN4OJ>^^f*d!+Y)LxO?7gh9`mVRvevnwY-&m`Z4~TII1T@W8ekF$Kj_uT>^ZH{v^1WDSRjVU%j<0e{0j( z9t0%7g(SGoC+KW0goiVkcYI)b2{vN7S5|kB1fQe7P3gODBL(~MWCp8gk<=wB^|ZNDyER3GRZ&FOdv&sS?8*IJ)4+aUO)G`6T$c zO!W8QXT$BK36jm4(vSZdYj91l`_+{tj>C^tydFnKG;`!)oZ`8?2iR(w51FG+obS24 z|LaO@x78HD)?C{6_T{STR@mO>swnreB*@Tvuf|cdD4a7lbBpD!0Hsf*0FU`F#)Lax zDV5Qe(C5BU=l?%oh<_=LI^t-v9i-~&D|@dQWVs9c)HjtiHv#>8r4N0=Tp$H-^N-(- zB*8+J(|OAza7Htv2JfryKfxqVTw*Kur;J2GG6uiN{Y6*WF9)GT04GLQULFf+RcySOK2{uO`51cm^JaSJSuhJIg^U=)1#9JE@0|Hpft=6NReV(sO$> zJ7&Ch-G=}%^icw2;k%;G{2(RXVk0r^2QO?OGiKdZjK9ekqT7ifBsdA4951{q@y!Cu z-MG*78tXlWRk1M4B9}ahJ-PxF?MvsSHmLAhWRqI1)#1pznk}%=ur# zBg#*Qon?4eD}8s%T>;W}4v_$%N_QrKQI6{1YgJnwhNI_|pTELCRs0Tk^-v#o{rUfE z7zT);>AK(-_#TSa?jj|Nl2JRl{1#@wlA2dWpkGo^Fx&oPF=UjZec=UoI80Bp+*RG@ zyH(a)2EIYOk~hK!DPDBko&P5=gsE{Y36k&!+epcx_A=I;LX|5@V9Wsc8pY)(x`m0U z^Zy~}OIGjue;Aj$Vpyph?d$m#W}tEu4zES@8{rN$}$_Hm;1n zYe=vk2{xrIm%$Sg#L>6#Ro;=E^RJa*8QT6McpTo2Dfvry@;cGW>4`Bv>}un?88CT$ zrK5Eu$iX)uaMfQ#Ur@Xnz6u^{A_D(F@lD{Rw8~K}hUg8Fp(ud|!sCjECW!1R6HDl% z!>ryl!AFxSo8VyYr=KzC(;=rvkiaA>6Pyk2qxfC$B7E;E$>|#e7)XH7xBd-}!ij5t zuLnb{(qO`4RE6o48Tti%Tm@*qo0RxNr5_Gor}!bBr#Cd4&8Q5JCc!R>-v&Qi@mDN& z!*Zm`(0k}lRr)q@$>`ONr){nEp^b-NSfUaf2!C7g)8RiV9u7j~OXVQ62>&;GeP^>U zE8N9(@y&}EZo?3UUzkeQsHyaOueUdz=Sj`o(#CnQKMOocN>)Bi_$Lg%11zV5;o)3zFUws`MEs|x zZT*KSbAJp`zDXT;NDzm|Y5SnhD1In`lfxvVgU~OAXW_$LO}qAYHScoz+HRF4Yi0j* z{7c0L!K>q<4<(xbFYhiq1il&`+e3Jg483aq^y~jw3@6d{9rmD4)JXzPdd&oQ27VX% zQ{b`jqL0AugYQ38c<3wdz^6~$UHX48wAxbwFH{NEUE$iSpQ%$*>>#W6i%Ko$=*;13F4fBx^jc<&Ott`eLD|5ouk;i*fcN-ra$Ps2-B3g4N|_&L0Ajqn4! z-nM^tJ5##ABW@5w=!`qV_lAdIb`1Pj#V>>>bK>XS){-MPJ-7+y-i>7((URsLj;GD$ zW+gAES5ty#eFFQS*N+!ZW-lVs=){13AEaJ+1} z@BbH2MU$A{p(#`Gummn190OD@Sj^6H31dUZ?m+@UhCz zYR}X5kt${AeWJAGNag4o98FaGC-||-PdE)Pa#p>7n*PJ;UCqV%+nRIZuPufF`-ma# z40byaz8^dfw==Haj)xn5D_}SHrIx!#xiU=2Vd4s>^W|oz^9|38?X*QYGkUsD8{Q;& z#Cxz0>QSW7+m^v|dx*ZC)_uwIt|moq3p3ej_))#2^DrZtAK)eV{RdkKbn`!g;Uzoe z*lkk+bl6v_X7y63nlML?fVW;&$q%*M4~x~}r_p;e=6LjxXGK58a~uCs3`q>rNbrbv zWN&Fum-X;xf_}L;Itl&-yacy*$^6#F-;1?34)>bw|Beba5y#z|XmJdo>Uvv)pP62i z0Oyck436s1hw&Be)9tPJp7^mp-0MA@fS*b5to?Ba*9pyy7|siZmnA_c@xAawQTUxS zX6WY0%j&#`&^zC@dUtMCQU&`2zGgl5@y!X8sfDOIw8@C-aj0Y<>* zDE%&$yBgi#Bk|t{{jmuf-x&re!$l;hQ~W{r@ru6(KUeWK6U6^5iih#IHr;GMx=anR z30&1x?=77r^od>IHH!apr0AoH?}0w1`2O&b@N@`p6o!aOP!Aud_&j)>;nCcMUR*Z)Hk%)^kR1W}1= z&Vpy)i{KYq?n+kLQZl+DN0W!(@&3YZpkVKN-r3|CuJi3Fl^=g$9LKJdar^`U+S(Ik z7a%%B9Gyl^hg$AxVp~<>(5np}jtT`Eg`ZMf{A@=POg+HHcXM^&3z@5P1fCZHkC6mL zO7M3d*xo-!A4eYoM5g>#0PjEd<)8ac)Vqr?yM0c8I|z^@LEKx72?2^1{&|4RSAQNL za!F+Y9>RYdecac-4N{=QIezG8K7rT3EBZaCxliGxkA$z{e7);IlF|5Q!tD~S-*yz9 zHW>_AI>iJMM81*)dlKMkc=BuE;V}Iwya+$By#)9f9(R|oc3Vqt9C0uiGOn}R`VUoo ziZ!?@N>D}Jd@}o@7zqkKfz^|tXM>~G&XK*D)J_ttgJ-LS*HRPPA0mMZ?)unn2RT2k z{byK%3mjL&X#okM8%ly%E|uLLh3DY+2{x@JN`Pc%(Z2y77kF3URJ2)OxnBe-ZzP6r zYV{}yB0Yt#z|lwW68uJZheIWBwwLIShmV4r8sYX&zfFZFYxn_xUtR%NZ_zJ+kAo*R6F#L4<=qG#!0?dM&{=!$$>8`Qd^`V6- z@E!1s;t%5|T^cNoP9sO_ND$pmj&cLx9gkpiz^maK!s`?d!!aEAYg^(Vh&3w?C3L-d%WjFkm=5vHc!iIST!rj=P({#aWWm zO)*R&L7t`1_u%v3Q5JuxAoF*4@e~RC0Q$G#iHpSlRq(HapD*|H^N;xmL;Ito1aVd% z{stcok6s}G9;GuLV!7*dNp-q?bkLtD7g;^7+D-m%pB5)U z;wDM3S8GXeIlS}9#1^3&?&tz$_L ze*Lny=*@NT#L?pDZuo=nC?%Hqv%l8|k5oxboQb~2WXX`Jmp+jW%UioxgRhBiqy)B; z`)vXVqSGX>EvVm4foGCZL)XJE3jtKyKk9jB6XDL}D$%FSHzbJlmMRV3TH9f{cse&K?0l( zKM$^F%zNQ6CawYK-#*sH_jCU2u^w^RZ~h1g5@S67js!a#Cnbo?7v2v(6J9t{n&67o zVz>=n{#N*E^dG{rebQnGC1^iI0z~=ZMCe?*!81%CRX92x9-))YhNm63oiQ?0M$=aC z+k&6T68Cod5T4&&364s8_`feD!D@JPrqobq`##4@M$6+w|33QT;W1UCm%>xCrO6&c zpMC^G=4dgj!|-89Fi8T0o5KU@nJ2jQ*@%7zc>GH#u^e8FIlyv%<}*(Gk3fH#@U)5V zBUKTOPB)RD=x$Hj?I9984$n7;-u79)eH?gR^pDXtgQwDzM@j+0W&8o~a?YCn-zkYUM&Q($ABnfbX z_%VA~?uOqQHNK~!kKVVZS3ZIMGI-)d@$)78QFv?{$><#V+^d%R^Z#NWsk#h?Pf3s( zFKxCnjz-vJX_wLH&Y};F#=(;}2`{&m1ed`htgt*DG6YY3%}wA{t;O<@GuUC1>`~{z zb0ipK&mMgz8Y>xk6+R*G))M%IDltrjM<AV^#!59Obqo!AJ1? zjp8VD(v9tzh0Bnsm%!ENN5Lc0g@=RIp_aS5P>a-Uv=h=KC@+uzTj1z#K0#NLU{x%P z`#aF5CQE<;=wE|J)nWG+xcQst!^LRr4C!0xh??7ndxL!}j^A636JS@%U5R7rwE8%B z@&W0L?gPL3syba2>&O%Jl1#8!_9BP&F>hZHR5O)y#GuIT;5k^z(ZP#;YfI4 zJ88=>Ri6xxz1i@$BRdUCM^BKFhpG7^?3u&GUzqSVPDz~1i_#L?5N8xT=N<&ITW1Mx zrozi~nO^V(@R)Rgbkn`s+pWP3XG4vLKJz#UGW4a;XI^*Q4u-Niq3L*%WVDc!f?q=5 zZQ!|jDcI${#_g&0Y z{&5JvdCG0@jj1ye2dFhMmKj3eJJB0HXeG0seFYp|JYM_^z|ZH-PuljS!Y_8lv|Gvl-j214ILDCIBN5NzLrC{yg=gzh5?|b#`5;#2hbPox1=lT!aY)1{zt2@sVKZmMA z#`f^YAkp6+G6c`1r%F}WCimN13`r)ccJO=Pv7@DATf^Ui8+FK72QR-TO;ANfd)gBv zU*PW~Zu(OU`(h}(ECIr&Hs``q4@!c(pOB1s8E&SD-nNL}+MOZ+;@oBo!*7`7ZsIIf zo(HAPt}cN)T~IURaUlVpZ449JECM9l^LuvNl5u$rys(#K^f)qlKRnACco+q%JhzvQ zC5|n@@GS|Ve1vR;4`aVGbgGoBFji*NOX2&&^{~7q@XnIa;bdqTJe#t8!ks#9i=)pl z#NLwxod=7d+i4Owd7M=BF*Mmu@aXlzH-gWCm$=T^4*%D{6Wne%!g3ei+#eF~7F?+6 z&*0g5NowL~ zO0dZp61aSj45#qznEm0=9fi+pEeS4w7pkRC>bFE)(!WDEEO@I;uxef?YGG7M#w5#29qxNTK%v^z~uCETt# z`kAqCx6F(+=zCi3n}(BSNoCAm;BhXxyW%GuX26&_-d{q33}V&X>->PN3Z$>UF~l2`8{bR{hOshNx9jWumZ%L30oO8f#_?Ztcoi*B% zkKnnWKS2ESZ13vdZq-*xn_Z`#3tUcu_&+7Viy}8=xS2i1lW^>)Ia~ac)P>g|%Uz9@ zP7{3>{7g<`$ZRYD?D>V?t`1yXPuxdh<3;#pTk&LDb zpGa>v?Zh(18eC3e*Gt=n7n4s6oHeXa)n~y=tYd6RfXCr+u0QOI?zh+B$$fp(q|G=w z#jha&RT?M3Hs?x)${$MS`V9SEaD9?F8=heGZv=iGhi7@^Q<|V*%W0iz)5T+?pM+

    ilEr}o|5EAv&7;7xc`R-w~oe;oaeAxS@xFve`R zP%@Nq?*iB@1lR{&QX}2XN}L09RxpMFw-UEHr{N^(DNm* zUb;LEo>?pU`qq-*jG(_;_$vgy1+LfQpLE>aKa0`P_ag9{!O{Lw;vL|>!}a9X{{qQq zVxs6Lx0M7(!jpT-$lJe-@RQ+go!(CZ*Aw7+4EjX)pCQ4~QnGdE--jDc@i&0KYG*-r zcW4ctkE@2ay-+e5zg9A2FCS$R+SM9df&?Et4+#<^D5xv>ddrQixtmTGNBB26^kr^s z`X;u#hu@Sz542PFFWf)4}#mO zKE#kym;67$&G?2BQg+cKwp0>+NGHqMwstjAo-)>l4hO(Scxnq7ZZD9rVhep^75V}T zgTc?YaF(gkCQRcS*ozPsIK$e4gfXTTu1~CYf|oh5p3Lx^1y6n=qo)JC_zt)|Qv0m8 z_y4#3Wf_JdH6>%hm|x&o?xnmziMwU#OJ!;5(3gh7GwKSz&T?0<$dOW`uhKP=PVayD zjZX}WB*0t}l(|7a41R+TU|&5_qw0a6-%aZ52=wp5^+njt_AJYtPP$beKVBmwsJhG< zHa9s|h3QJB#&TDJ>`v8<7gE(yf%bEBmb(l^Zov#}*VnJ#S?<=k^yz2&KR+!^ zo37U2sxHrEUJn8cf=6jHTEwR+)W8kLgm4&7zzgbvdcNiUAgCUxxCDK~U1GTLcQOH<4UW{^ zqYvPE*{5BDWYlmp-5Ni`;89N1y2B5KmpLQrV7VXvXJW{_H_A)x!OU;Z!gGB6c^mjT zxalJ`7v}b!i>NvEV8Fics9JEJvdG4FZD0IG+NR7Leu+!q7Se`DTb@new{TS0K>|-g zzb*t&ONU!tEg90McKgE3!7Q4DGo85@O5Bi}LEwAfS#^uyS$JZy6yV&XBv=R6OAbA+ zkqn*BVZ4X0f1Bnn81kyq9SqO#hTj{M_)K_#x4py6cq?4rW%>tPuiU5K!chK2rqZyk zv@QhT3FA;hTV5+ANb>IDL~3YX%iXv)Jg?ITpW1@{GSBTV@5C;YHeN@`UbDUF&rUX1 z;Hb2Pl=THUfSYgN1^iN82A!$w$Bo&$%YE^*@iC9*QBs!x?rW^V?#0mL-AR z;GbIVOMIUcAe8tg^yNkgJQRH&ds^-fTJ9}wyWQam?ArejYjDTooLb&Fkpz{OdB$80 zFL#nox7c~J+Y4~RjM+}Gsk%V|n<+A5hNkRoxnDGipCmKgb~M2l5>zbjSZ9Y@?wY2+ zhj&I2-~{xg%_RUWV{Qz7+DS%RqkrCV)7t;gv-E%Bns-Q$xLq=I1fKf-T}q&zt=ti= z?|Dvum%2%Y78Brn`1*_6v}EZ%XK?55!=x?SlG9H~P`pFh@^Tz?%~7R;q-5a?>QH!5 z-8?x7E-Q8G7wXPW2ZK7vTntY%|4d+n=k?D7oQEOB*N<*& zBPpJOM+Qn6$~amLk6t5n6h1uH9`5IKxm7Zhe_8?uzJud#(I!hj?Ly#mNWfE+VT9iXk3=Ov z@3xX)_6O4K8Y^!Knh()OFA=@`-9Ex*kLZQtcK$*I0@9st)@29w~4=pH^N zJ5bdP@a(wEI|z!Myh>7(W? zc%efl~iswjE98Q2k;N`Z`boR8@Z7kUT^!w01W;PvxVE@Xg_#-2PyFb@Z&A_GoHN8ZQ}6xA%Hqd%)w(U0EDZ< z<&OK8A@&~YT|}wsG6~{5rF}jD2Hq_tNX?ZRT8KUY*GK;O@Cy8$h@!t z0hR!XOItsSKTieik%^C*%7`oJinjxo_QfdaJ|NQq33pS zCeNa52OK>>0{vwDyYM(G015QpS?=cp^(Eb(gPxm2Qh&ybuoH=E`|N49!0xzc*IxWK z4nyuODZvwz;3Rm2>tQLkF;~N*I|=z7Klj0l4C8k2ci@@uB);{3u(Nf2P)d+_q_XV? zTkdjN;I;5g=PzKPVbH_h7Z{xbULVky{#H06&=Z2A2-=X>(^ zV))CWmfK!hRFCjYaom`t$;3;u6~mp_!a>D3)1#aAH#PdRqMQBOP9yAr3kupbED7oOim z9NonU$`rVM#`qGrx_`H`c^E@EBE#?Qc4P?dr(lb&3K@DrI$iX+hEp%L6Ik*NY3nHC z>R@Yk8P-og%z%ei48t3Sx56W=;T%bvm*Hi52IjVK0`?<3D{l(e->fFVuqP$3J~ZqB z*Uz8K2)w_Hzjh4Ub1iqnIr_B}Y+L+ahCcd+=$CtLJMg0z;y;Mt1v{m1mQSFJ z;D(twYGmuQ)W&y#^^@}3E`_reYjf(iBj81r(I&&^!1W!a!}56kbHR_i*wXY~%ZHY`w$H7wHR1Yy97o@hAjJjEO!!X!lmsR9NW?*wyYX#? z*Lh`s^cOpBJ9U)az5sp;y!jJRe*C?JVUSwh{sA82N!l>{HhWeA=tq9Hv)q*|{*kn? z{ljm&q1TUG9sjH?zaM_g$s@?=r6ka=nLP*B&y{`%kE&iS2%z(q;*=^Hf ze8^tp^bib%;k$djU0cb~rEvX%-fi%Vx;^#^JgM$A!7XMqP+em;u%c)Xzqi_ef z{zAYcc$Dwt{VHxu16(hlJOz(UkOFT>fz$0*NZ`m1VhC%@`&sVVavBp66=9A;Z#aFI zRQAn4^yam3J}YI8qF11gaL{_4j6NZJQ=<b5`p`l2gIty!XGw%gF_+g?vu?n+*eCu-AXERI%@KtFT&Ej+^~ zMZ#RZ$qSO96sJZ#T8pF6mb(DvVX3M{{7*n%*}LrsJq3e)%=$98zIeSIt{=5s8Upl@ zR?E-{-U$jLXy($?kbH>q%1lu}pJ6B0v6`vmT z>Qe+adv4EAVw*};ho?$jB!PaV?t6HV?^2#oB{|*nHOWvxonjpb&#?BqGX*<6=-mZ~ z8-JHzxEX_f%i%?M>`JMjjp(Iq>}>C+$BOh@Z6c==R+IUkN?xH!QFgH z^3Y}&1?Q0jY+@HT{PlWw zU9a8^Jm^g_#$7ZSq{f^9*K0vn!b=&6Kc0*|8T5Rjq!IoJJSXRn>u-ktjzPaqw$WQM zftA#v)j-SLC@FT6iOu$Dza<^FHLJh=un=DPY`E<;IUk_b#F;q<;^-a{l)0!p7XBZ2 zAu2QHA9p1K#`{$*F#&Zx47uJ-ayrt`A=4TJENWvU;WB(syk6{V-%f@!! zoo)qOzp(ZVT))u1@w=`jHaB@5IuF+sW=n7AYD)Li`H=kxyc0ZnuW)-T^V@jKUCouo zNQt|`XTb~V8u0>nGA?aDv&tt(oBJ{7wcb@Bz*!RDBLcL3PXZShmV@Er;n5XRL*XZX zX2BEth@bFY+69icwnxnrhuQu(y5AaHXQ@+968{57$yel{^H(W>>G?iwtZwD(3)f$R znF9Cge+~U_>NGg5ANhSHxcpK=B{+_?|3E_No4Ui{dJ;Zqjm({T@pe!2EM26VR$XUW zgFENZ&()mbxIMgO9<00+xdca`nW#jC902cfd?(p1Ul_xNApxJ<%Q)&FE*m8`+_f|&YQ)6Z}e<AfEO#{; zS1;6j@R5!00_%5?e)I`y?K6uq*$yP|2LBSheqLv^prCU%~4iJqS?q z302K|ClA6CaQzU;e0a>A=DPl0MS>g#eS2v+yu_zAN4Jp#Wyfu&i>Xznf&Y;V>4!~| z@aA_O{rFpmq5QnGO_;gz@QM$|+1P7>Bl{g!w}lIPvswZd8LnYo83@-m+7hd6`CVH! zyIt%Z){}rwSv9>noPig(^Iz@N#xw@KdJnA#=ZwF}&l-5<#k6#~ZCZ<`$Qmg@o(uTL zS_!X%>s8v6<*w7|quhC(+xg?S6TO3KGI|Amn!dQ_>)#rmz@Q&<{T`m-V;#TZXo#H{ zWa1j@NjEZjAY8w_eGxqQ#GYQi!3N@J|7U{X0WpNnsC@y~ae zM#JCkv=?{!YibW-kMP3orKEc?aBg9SQT09C)JmLMMoFj7CBA!X&TSjuXRPI}C5(K< zAZ_9p4j@6cN*viy?6=c`qbsEgtfmHUa@>xp0=FbPp#L0w=Ahj@nGWywh0Lf?4i(bW zwp~YCgKHvvc6kCkzeoa4C-9AM^=y`T%yFBcGVe%jMBs1H>kmq8@}*-CYE{CfzXJ|OcPJh{Jgu4+enf z1UG3q*Igv&Q+64$XVL2DxRd2BL;9i8{o(p)w^M`O-k5h=Cu-<^c!@K(bd12Otic5? zs5=Q?1xGw}aufQV-_Z8_m{A}2QGvf8fe(s^;VR3W|M&~zJctY4+1x{dY&V%9XK~d0 zF>tkv-Px{z_~Cj)!>oL#VdLkk@5=B0oeR{@q%^nb9IuBH#vBPx?$_{{^_Mog)%mOq zk_?(0@nZ0OncyBE-4*kudu8yq6ut-^y3`Av+iwQhm%QB;^efRX6#e=~yg$N_nJEDb zp1!f%RX}F6Wc1(OojpCF;8~TS2t0F0rJv0MKfXD)17bihOlod0CKk9V*$#nIvmvA7 zEq56;J4;4GP1M6v+X)ZT#yrQ}gjn9b(r_*baywM=hTsT&XKLaecvkVJ;PE=~GY|d0 z;DvE@G9iW>wYArPt^`Sy(;n~`8KRGyzVMtXKnz}hw;R9d4Alj`qm+0l{tpeDj5a;uU5}xpN<0r< z-box)Q?e{Pq5|jOM%Cy&K~I4vh#z~82t!gidKX>_IVJE~cw7Z&_aik&0lK2!1YV#C z>>`@qMtE*7w6dziaS|Anp#(g(x70)fj!u9_#|obXKO^XspG)Bx7uWUwF#N8^P})Tt zg)^|bgQM}nf2S>Boe{7I_1 zi2ewl%Fd+lq|$E>Pbj|oPi8+q{0ho&API6*>G>RlX2LV7N>7JpcW7Akh&`y4DS0&+ zy92w~M+zADQg{R&3jQTLrg-82V?V92Tj8F*gtJK;se-+-4D{~jLM zw|UFiMy>f-avG~J*t36lLh*WdO7RQeS;d#Y^NN4!xIfERhE0Bv43!lh50C8Eyae_u z^zfMCx55*OzY0%T?mKm8%U>{LRf1Z3&FZH9yy6q!Ma9p6mleMo9!WG6z{fYQV~DLc z`0m*1Hwm0jd`Ean@e|-##m|A~70>-<%-ucv10h;AO?Tuak^M_Ll;rH)Gt#FvKtfJ^`Lkd=5ON_?7Ui z;t#>|l{{_U!ceR=O~#e4ig2@a@if|2l;;zz<0il61VyM?0+Hub0naM_Erc;ukUj82Bf6#pwcq4=Gx>_@d+6{VEnc@kt5{~DfGe8bihK=G~MWyQzA zBL}A|OE3dN?BGg%5j>%I9-dPCWq4Ncui^Q?rT*x|ve=hcL#DaG@>%ftieCgzDSk7&Uh&7_NyXo>+`idwzrUdj zKawD!c;|Mm#CD-V@&53*;$z_>6+apti%ygNAFAjy38h z%Jl|6{5I_f{ECit{&T}1uMBsQpi%Ls;W@?Mhc8n6M|f88 zu6EVk#a)lMEoo`g3&J&?yRQ6+d0o=|)>yiV~}8%oLI zir2tLR`RqNh9OpIFyr9^6+aFhRs3vtjp8@LBZ@Ea+&=hStqd=cz$jjVuidP26l~C0 zN?cZa8~7T<4}zB*cl|#MzquGzssvZTi;6!4U#|FD@Pgt$!k0ATe*E>YZyx(m(9Gb* z-w1f4;`_pLik|>qr1*L8tl~FyvGboF2Fmaf2{MZR2%oQbU;7I>&QD75z2NnV&xI#9 zOINmK4#Py1U^zUY_}B0{#XELo6ezwWd}QD<{~5CfhFCE8`Olc+-~$yuA0AcwE_jXN z&%+~%r&nXBR)$vgc?4IbM)6JHYd5W|xn1F9#V5hnD1NqZ`%4_k&`5%nioXUgD*h{c zx#GR-2a8+*3W{$HU*fr~|1kXa#E@4B4u>}?ei}Td_%-lFiWlJ7=Dgv^mpb)J=VsOU z_6}KT(?hNX^Kg5o%x~S`1$el%F#ukKZ_Tpzu9my2$N0h-75?}1-2N<*obIka2|EzO za&;bjG`yhrJa{8KTmvoeyr0QjB!LarrU*SorG~BK2Vt)t8RrN@C$k4{{Z58hik6G>~ zfskN(3g43CTZRQ7)_Sbu*v1Sy3KgQ8C<*2oNmDtT~^@{iP zyr1dOcUnX5*E$Vty>L*&_}4n!nqD-Bh&cWLVGbTTSsC7_^pP6r-Fc<2g)dS1(eQ%s zW)lpCZn>XeLL2`ZL!C;{#(wS8 z&0q-?peKBy(r*t>Dt#Tie!bquH}x1&D#5An`Rfz-Zh8$oqx5&d7b^XW@T}5*u!+CQ z>t`0J1nWqUQwbuyr6wAcJ_gS#{b=|Sr9T>8NUH>MFf3OIu7DSn{#N)(rGFM)Qu>wf zHSnevb=G1is{|X^ufe*uU#kLa1vi5$N5L-eYIqn0N5CVM`m~vWp++UR1RkwSU~=$* zN?(A-l>RmNNTvVQ^Rzt$QVH5@CMB*@3ATVIlzw~oM5R9%o>cmJc)jDU|A#7Ch#{pC zTmzr40z3xKDE*7@g-ZVgJljm~$KN^(i&TQmHeUku);^a*%g>5qmlQTo4b z?yvIfx5!n3D@d?hC3p~CRQhM(E0z8~@RHK6g|8XRRbFU3@M|l)lpzQWFc6eh56BRSEurVUbF36g;Q&DR`sOXW@CJzY)Gfc=x8G-!cq^ zp$#W|XfL&vD~E0SOG%2#VK4YfrQZQwQu=Z5HA+7fUKYOo!sIjzYgK}4;bvH6ufH2! z4G+EkC3r;XKZMs*=xxXR14C3L=(?rU#K4LKc2O1{Q~ELRkxG9IJg)R7JMJz_>QsU& zNsv$pZi7!$`sd(DrGE!ruk=5|QLv6q z;nnKHK^qQ{5=WH254<>B1LS;yFh3EFIJ62W$&slDN((%7n zR`0`*R7<_jct?HhFZVPoTWw#-%1o6E^uS})Q1|AS4fJ{CN@-`$`C`uZ=(S{_IV(Pb=%U?9J(_VUmqEwiCB^ z;I_tcS4z`EIM~GCrQ!14=|3>kS?;o3&AM_m`~c5u%@$u&*6z`k+u|Q)HX9-V?j^xl z*3i>_OybB^0@#^LC4pHG7yUKp@180dEzPVf{v8cnKkL+M#G-Lx&ZR2NPg-}beDR|z z`5Qi2tM~nG`sC!wEZ-*4?rUgegQl1G)b;_nZ=}NipSrFDJj&{7 ze*;01kolN|kPrnhbMD#hx#uq5H)A5C zeoDB^w{@dg+cUtEJqSd3XQ@MgA9|auZU+BY;B8Tsb0zSZ3b(|^HzcKIZOvn$p}8zH z4NObKL1|+cGCVt3Mjw#30}mJxc}nAWwy1~hB6??EMmA8wYH}gxJ>r)`I`l5bpd1e` zKO&q)LfCR0@PU;t-Mk#~0|>b;h8ct_1!Gx(4%%Swmm1Y}6!?#%uwz=uExDPo`L4mKdvq8@R|IZgb6~c{O z)Wv#gEkN@o;;nBp7kIk#tlu6h@yVZOz$%g;5Pbvs-G3Q(S=?p zd{eZZPRYzWi)OwLxX1LDEbSa_=0^%IL9?UN7>{T>#kP)=e!FA*yO>Sf z11Bl5cjAX5UWSqWI4ecHvEFB?pMu{N2-*W----OK!8tL&6dv37HCi|2_TWB9a}-D!cK<|1?vCjSM% zKZD{(Rp*@VHk$c+zw1++8Q||rxU5PZ^|{9n>;Dm=^(?Jf@`*0>Z@z)`>$1CAksZI{ zOH5sY^RH(mZAK+|8OkHZ%zqNNp`U^YZg^%If~z~(!-IDxKO^@tusdraY%n%#)57g@VF6&C!opF!x|R+ zRr4Ehc!&8XS*JhtDc0Yh!9@s)UdLmPs*n2Xjs9-%Q)-u9jtxpc);||;sN6ALLsP|M+zx`Yj&9WrQQgIey(? z)_cIqjr2PQIf;gx#Borc&u))^oZbqzME8HEq<21z&aNq#X{t%~*pMh%;ne zcovamrumGFT1~jL`qUVX#Ts{tQ*lqCm0`UJ=(Lg zpl^sE%a+Cbm!9W1MC!LxwbIA4n{lj+bthcSqI@qsZX{SeW?K!sLf_NhzAO z8R@qkxX&mQ%^ElO+Xx>({d7l3ab!nmGguDTJ9bQ?)`suqOD%R7>C{4-vp@ytcbV8Z1ncN^919>qV<8e$B* z`@!FMoORZr8y*9m^JnfIy(szv<6$d+>NW}uju1gQ)n@_=It1LB$ObJx!h!-_nBW8+ zH*$I_s%iw==W&p8wc^)8#q$?ak_*QXCUuU*z|+fuI|!G-8Ecf%UqENk=WNUG94xO@ zv|gm~@&d?iW9R;vB4?imxqUtlw_a%7)2H)npuP)_r8{YX-^hbn!e#dqAR2m2ybk;U zga2vpM=^wT(Y`Q)<)1eut7FU`w%UwJ`H50MzrJZC>;tpdAfJ&hXQBAM;~c7&5&7@5 zLB@QaK^tu8u>P)i7x zef=&L4vzp|r{q(lf85v8h`1kuT=m>tSnK-L|^FZ~V~6{uE` z!|RAF3zyI6zlYGQp%<%xlh)(FeHR$7%4W*5gv&5qk;nKxG&lOEFfv~$qRGEONML5+VWcavV+uO?h} zU%@qu>x#Ea$)W#Oqp|t?E#&y1ULWWDjc{5yWLW%~^A8)IPoy9jqpb62`d{po^e=oR ziY;BK!>&-5;J_(t(8!5wkp5|;@fsiA+0Y>2a>-Ke;JEeSi&X{wkHG&d@J6L3{9q}E zJ#H)_c2P4K_JENudkJ^Zf4hxA-U|M5qni!`4;lE|gwv=@vwX%`!x^?KsetW@8l!&z z;nK~qa6`M9i8F{c<9N*r{_{6+1D*F1A>VDRd2U{y^iHxOhM)y#(0GjF{xp6asD^Nv zIPXp9+)okFn&8ZwzKO^(juH4@4!d^&w|4PnLi_U=)U-Uz;&gsKL%14ZsYg{yS}g0j zEDk0(`#FY*4@qCvY-}4X!>=h!F1d-rI_zDaGDPpw*C=w?mPJes7)#A9)J#r7?Zzzn zCd3_li^bgw?@j{u_hv6X1O6r9T%1$Hnae2I`|>4+FH_YeICm=daR9A!pPhkk5u-?j zh+kItxUnhuDe#CfWk15&4yjAw+u(99!|DTC{|S5}HWRH_UtQGv#^$4!XzlLI>^m3- zM{#4`FCbiXVBs8av>6;L2$!kiLrM&RA?p-QD|+4Gz*|LsQW&0sBN@wBT(zN@2lGfG z!uV5cKSC(Q`Y8PknAI_yOSfM5eg)iZL^1JZ8FgNHCtOY+)Rv%IF5Rg*S32l+?v^Kw zZ(dB69F$Cn#(BwHC6LbejghyOaPr>rKj(wze2Egy+mI*qUG__mVHvBa6PhF8tiB6( zzlH6KU|phD=vNUg`=K2DpwsVW@cWFRRZh6HFKXD=0R9~p+3sJ!`j_uedc#%}8K6F-# zL^b7KL{@X-cplBiKprZ#d`A!7igBD9Hxi_taB22j2lL}%-KUy`x1*W=1D2{+;4#8w zdIXGg{|x+}Vj)E<3iWqR%fUuV8=p9x)$0Y9?#6V&N&Zl)!zgA&ia;w3a|^51saX*s z%PP}i*!!>|J6eS|#d(EzqlYs+`vh2{MkYIGbHQhBz3EO0yZ%j|a-u7o`5M!4;~XwV zI@E)}pNG2rJo|2q1|Bd5|4hO&tSoT-unL*O{IvE*?&)>QDkAV;x=-I_4~H9WBfpw8 zN5Yer?hfm_oYm^+xQFG5v1{6fcZfr#Ln3=-m3Lvv$GWBWTe_1yZ|RDKrmvQBuzZG- zmS}ZS0Zh4-$sVI)t|nY&^(tc=j|3huvOOPirloK~YQyIeE)Db4qvwduyQu{|Je*q78I~H()$zGR#iy^1Yn2*B>x5Stn?nzH?5{r6$@@`F+EpNFSR2Mr|B|-cN6^e| zyfO0}@az7=;_gSWIZ8N(_7iZFrgPRQt@O|LO0YMD7O}F!8;!}rO}Kn1z|3>4P^;{(`yd31Mh81h6#=5Mltf^7nMQY<4h+oYR zMmTmrPSi-#C%_*yc2KV{KGcfftZy|moIr!wuFg^WM%n8~63a6wFWu^rl{1@TxW}-5 zh9Y|xg4|*lRj+UgG2ZRb&i#^`{f5ZW$M#$<3#jwfel$B`|;^+m<#IHoS%vx=YI7Bc)yp-qAGDHLU$yklKJ|f#WPS4N`wgxQqDBH+Y_coOVOb5yIt6RP13n z2O#Hb!YOyc);s_@c~a(~i*Tyg1N45t+whc&7P9JZFz|>m-X?2)V+Alz;Sg$x>MNYd zj^!eF1?BU4G>IG8v5RmyQwG|6x}CZn0>5P}>OUh~jtdV=)UKUTYO=b{=aBU9a!4MB z&}&5hY#QlabqVJam+aQ4F(uC@Tz1%TBhVG#j~o7O27lb(Zw9~BzHx;GPpcg;#WCN$&u^^&Q6l%&^q~yy2gW(^^OUC9C5?Fj?aHZ0eZ4 zqLo78^$5mmx1VCf#F%%kn^ztNO#mI>G$cS?7%hB%dk*ec=mE zB|`Ce!g-r;nK;v*p8us|0cIJ~(&Y;|Dt-vi2|t8z>Cw`E_>{;+5Ijza%bKE^(W(Kz zRqMeK_haf6$E^8i{Tf;~pmj*Kc1+--;Rf}|y9>;&6C6BU)(-)1GivS$)ogkP$ew0y zmWyB|;BqYZCJQQfbLxHPwe-gj++impL z2aw}_kL47D{{rFCvAc65P9^R4AUNqvTE;g~y~Y5LE`3DaAetbG@jUL`&n7i0Zs|MQ0TlLom_+8)+8Kw5;N?dq1$n^$!KjG4f79+_H6D~XRFL`-N zfv!GpfiIxyJs=!HOXWf8 zk~Kx{m`G9lMIY4hWr-q(*AiLAHi}guTSiB4giEET45hCsaZan}IOaSIp|9b9D`1?P zBv58aIa3We<5kA-Q@w6C(+9U);N;waM06Vq_2t0*n9|}1)q29!JP_Ulj(}03egiyW z6zGG%-#X24(JwK@fv@+-Ts&q}~NZV*>C9 zO4?@-bUWc{OP;Wd?vzuxcY-%=9BpnUTqb73NX(sttCeC--9$FmXB=$*84|5`x&L%Q z{X5}G=d`onh#NI3y^a(EUB+4sV%c~>*8f)m1Xrc)z;z}W%c!|LuG|^1vRyc%1W*B#UwMYzP`3% z;fngQX%%(#B6Nqn+ErXpT~SY8(#o?It*EUn_KPh`>_MXU9Q*srie^qOE}R}qn`{5N zi?gn*-cKeLR@c=Rmd0Z9?JeD$+N7BUvvS8Y)vPLr{d|%Ay5kaFR#Y0CDFyZyYs>6U zlZt1|tXWZCQC$`bEVd6iGIjg%DP?o&tm2BQ$-#=r6}95w20JTll*c>D>lrh;WOPpM zsN51yNlDYuO&Lu?)~3a#mfL^qdPz%ldBvS;nx4P=^4OJ?c9J7ac{H`IqH0z}Rhfs3 z4mDM+>nrlB>}FSeZE(@DvfBKb71i~5wM**6hgJ5J21FC-1bA zx-i@9=`)*x^D~;<3zA~VYv{-SQ&!f63Mwkg@+)iV%0$n#_CB$z!R`Zp$9l-$(W7#t zzanpro!Qi~G(j9+YfrpPX`LxD?y|$$4}Y+>u1xyTG<8AWSnFMOyQA04YU-()y88SY z>Z)LMePMNlIJm_gCf?tXXvYq$vq%5XL2HU8t+`En6}AVkvH3MCV|K)z=;&8ew{lUi zvT`AXE5D|+%pa^@R4xNFV?|YoOp0mi?G+9uW#mnigu%+m!TKO|&lR!v?y(O!nueA1 zjeSa;=;&VP&#SDgSrq&JUc0i3t7yjbTPM%>$#jxn&7gmpdi*>~+_TZ%b18mnYR63X zifcC6bt%f<+k=(VUv*-~Ci_s~%F3E0CFE3X{fbaYEvHhQwYXqOXjXY`S+LY9$}gT= zAcdEdR+dyyVl1qvFR2X$>&q_Nzm6 z4G-A4Ka7+mW6GBlHF+!2V}Jdny}pZ>A?%MF&k65Vdqi4eZ!R#6*xtKt617|H;aSmn zj7M=gH)jG0iP-&OVy0-{YP(V|Y-64a+nDyHI71?nPc<`qs#y%(W{*xiALY;Iqs-PQ zmTx1?8y{tO6vXqJ4+$O4hFdw={^)C37Oa#h-X@XJ-FS+vRkD=u*VirxSaL$WGgxtiSr7 zey`ZOlPp*j<7s&nIV?Y)!%qjCJ1B-eOrJfk@bQr66|q!)*5A~h{fgN8JyPZ+1ol*Z~+!^X$5j;#TH#Xa@-ul5#;4S7`B|R3cHzr*n+KJvn{|@YN zcZ&F;+0O0Z#xocR42#+my2_hnbdxl=KR--ZAX=mLnBM6m6!EizK{O4r X6)Io-yX`(n_dU`;L}b-GTn7z)g&=%$<%ev7{?UIe6^6g=@O%6^Jp%{+F7nzK4 z(06FnJvH-FlE*Y`6I)Tj=bV1YmQugUn({4NHKjJ3FXd7=d&=8zZai~@Kfv&rWxvOJ z@@+BsjC-c?XDsj;k;OEeR{WRWq3pUSsGn)~7pAkb4_}+~Y1h)#s-;e`x-5ZSSWAh$ z9FamyV#7L+4c|) zvbBAW9_EXurPXSz#Wo&R(&}s1QW9m9M{~#${ff9##u8t$neh8Lp||~}wvo*H&2!o9ss4*?GgE+_cF)=v`VNj7m|h0I3)hUhAq2n(coe#6JR0Q}9dD z*PF3i_;5I1i`h!KUb<}e^8hJy123bRj!YM}L&FTOk@tc^L4_K9knzF?P74;M=oi5O zG>7HMoUvOO$^i>c#NIOM*FJT*Xf3FpO$6Xd6 zCAE+TZ(hntP7P4!MwQ>lh}fo;67=ByuS_p>{>ZfVp%<{d$Kg*Edc&57Z+;{OFelKF zX|vE8VZqvy?K!Xd8q&D}@tDVRm|qN)F3GB)?q@`>3y)zP!|}A0$1yYt?QK-`C`cV~ zoGD&4ERa+J_**rQOql(q$A7KBoVq?841BEA@c;+q%!| zsI%wk1}r)9EL%K_iby==pR!WR2lJ(ig!$I7r6d$eQQcw?5k<53G+hH_cL9PaA$2+j zx-it4GjeLEIGa=UwzB8TqcS+yjjl}wYCTd(RR_t65M8b6#GyxIC$XM+Sf6{ z6;ylS2LF~|%OA^c^#W})S-C#LPVT;x|^%J@b&E}D$n0>{bO7&bvXX8LKA(w z+>0uJ0y1<+BQCH;u&_q(4Ba$X=5tTx03V;?I0nUYSt=cc=58nM;&=8=05mN5`D&>= z9ki(^bc)vW_7vrQZbniIqU_J3VT;B%%Ap+S%aV5Z#acaC`KxpP!0=DWBlZ?tT76a4iRejueGoHb>A zmNMnT=yQD8+BGx`V8p1fS6u<|KghvQ0ND9(1n{Eaq&Axt5>w^8%_~M{!C)^6%$~~U zWTZr?peR8tdO#4oLJLT-&PP3h#N7pUG6HOjpJ6dKC7y?a;07%q z14w}p%m52mMhnn^rgVT9c!m++1ykvOZD1rbz`U8DO%DiwV{`z+FHC$t8Ze_QWozst z8;+@DoE6uqO3a@;T@;kBV5J_LgKY#OT($U7BPf1YKof0Pd-*6lYBx#t}zl`=yTSCbJq0CF-w5H3q|@7RJpI)BJ2T#f5wPZY=h|Co-^w9grdOfV&f@%>=c{ z^GY-&m{^S_135SVp`DPHHa1Z2QTt$hpc+v|5P;cI9rS}{*$UUdN+P&$05r^CJ2$YK zP$C5!A^RmdX)IydwmOX**@U4}|m0Us`$R(Px{}HQPNJ z?qoioS;|nKRdm_a}4`UUx4xJw7hB$GGZhsbIdKF_z?`soq13;~^8zqV{ zKy|{+u+<7E6oEz^Kudt(rvOH#A}rK}Owhx$o85UEC3wvo!vbnKLso0<4D11Ev;kg@ z=Kwp@u7-bbL6N0GtZ2^w_tD_YIe?N7?h4d!fIq^at~!eY*a-m_fNi`x8fB~al??82 zo6*IpQx#e8wp!C4?TWm~3l(Z_2NkMH>Y}!Ap$*po4M#J&&p))h7Xubv+h4)-Q@kW# zj5lWzkbxNkwWu&u5lS5r? zWuI=%s7e*<28{@ls3eDQkW^gI4S<&^o1`UAloX^XG57=K*Q41CLSR#6(2Zb014^M^ zUW{nD)`zn@e%iyz4`5|OVNF8A$pWew6_3*RQ!AKoI2DBg$Vo#2#ZAD>Y&S{*a7X!| zj-d8HA5o|nNr-}sL`V8UU)T%-;!vgmR50)zl?Z)OA@*>ml7n)|KosO8Dn?4M@Ft)^ zzB&B?CvE~_{9By`dM!IbFCmn@3csKI=3Jmw!AP1fksx0Hd;+A4H(vu#k9L5ri5NB*iXpKNwaBY{Nmt12<8pA=*u$F9flCdC#|NR5&GssFIWYiAj#1qopNV z?b75}r07vlHEOTKaqxfZQYx5>}h>hpe) zy=9td5@>j?(5ft8ggj7ZtS;Bn^puQex#oArd*6w7LYvrv`w}R2zwPFI+Rg?_6ai9z zJ!oD890sr40l3hfD6sAkAPO4a1{iVql+WyLNpjtWU-}yx6uW_oXjxPe6!~TPD5}$` z?akLSR&(YbcP-4WR0#7lSX%D6;1fc9R#Ix$$wTMfOj*5jIs4fvs%iDx1H=1gO`B7B zg5Ni!(~J8}ZlBHZJO9>Wz; z)YC0q?DPs~P;Zz466othE)WFlKhSEh*Zbuai?mg>@)DXV2hEO~ zJ%jB9&v<7C!=!dQQqN7(4jG<{?p@#}GE9H}`Zal|v--pNwK~z@WBV;u;$#;6cI14O zC`eyMgZlS?-MIEabO@t~{#HFkF~8MgF8^mezLREM$a=ut0e(Jg)`LOVB2wQ&oR>Hs zFw!^sSeGx+iZ+uwmWG-wNmUaX%c5uuIxmwMpa7*B|BqKz&g)OHOL~Am9sx8s@^gR_ zWCy}PEy@dG_*;LZ{I$|xHuOyvqRL2e5nA$Z{rKcY;?Pi_3zrAmP2=59D`svq3w8@y z7JlvI$XU$k4E)|=V|vwbWid9s|Ne?byi2lslQpTWzc$kOC3ZRl)T*z{p!w=;Lg?@9 zXLz}DwZ7MrAa%07ff{OT@M;+#3mAY;%K+2OZZ-pKcC)W|fY;Fsb()+X@Y-sd--M;w zWk{2p6tCd;&?wBq(l1KmJ}&WQN={oi^!rqJ?3F`Y6Z|?-YyAPAtS4WOk~T*z*!8ytoiYw)gJG}-acaPJ{+fg2`s{&gSKUN=ooUwlBjbo-^C)%&C0Egi0K zB$s#ljisBcXpaep4Bsm}&>Fg8^kcHmjqe$)0nuS7cKX4Ifk$KH!FprT*lF@3(OU{cBvoQ8WTY zTjR)YosOy!wp6GeO2Eol*or8_k@PGs%b1Pk0cdsBkbNp8w&)7Cp~3#CZv#WlyiFb7 zKd{q3ysnxFs?kdpz1lT$G8 zDDv;U4}RZcX;BMXjf?^90Dzg%d$ZHQZ43__fuw;0-MnR|(CdH)ht5Zxp;`dm{AQ?} znf`c@6V1XgdOLT#HWRzZ8O1G8klJGB9e*gz$HYhdYwMc((qg}V%@ScHaB&a7-u|)n zVmWdQ0Qn z)UDQVxWV!S(RleZ(30(=e0bs6!ciUGFS%(Ay8~kV%R*9A51(SQR?}T9U}z;mo`wx z)|qxb23zlp{0x2y4gnSTeLC;^uSh)YOS~dW1A~$YvFBk z6U9zA%*oQJ$+J&#stc@jY_5Loj*uW;m|F4BkPmm8u#}IWCb~Y#h|9$sxlQOGD{U*C z@4y*hLidHXpx0$a(^2v%`!o-3XboM_$D;j53(gI_oA~VdO@PLjA%7YwSF!vAqnRYd zOJDCv!F+2E8`=lWymd~_WT7@y3a=KVe_Z*IDw}_BP+Pf;FiuhKzx%oHw6(`#YB$5{ zOBU&aCYt8{ak4{AVA!o{-1ZrhFiw{+w@Wzm@z-51x<<{oX7o(1+qAx3pi%Lqn>p@r z-KHh%OE_Wf&9~ZMq7&x1bnjVgSX)k3xXs+>(>?^@A2)(RXlJxbZ>o;G*{M~iwv+X9 z@)<8DQ<1g9>SwuTj`|IFI61w@d-d_NN1(OZjP9fEyis@6nUhnuYFemNBlza>u=)D* zrdXZnc?R%cIyA9OuLQUW_)5SRgS+Z>#q?(PPUW1e4vJUDH(Cy5?Uk*sdJ)l=rJW%X ziIs~LxppLJkCmQMP8H>=y+JAUEFq8C)MwPk27RPg*Be6DhkT}qOY70ahK7bmrvmHM z-3|@JaJ3|c2^T!cyYuej-2>?xoxzDan{Y4a+LtrOr-*Cc!PC{Z2!aQ@!E07Hw??tv zo$)=p+DBFCRGp8Ne+Jw-72PZB^l2*u+c&zGrh-rN4QmV}1%LXgY4^C(9%trZM*mf` zp?ZI}&wR|pqnSYfx*H3bV^JTf2e>9POqgKTM4=DaX#hTogj0}vIUMHk373VrwQUSt9Rb> zdv7c$+4UW?dn{8pA80%Pu38Rw+WfGlOz1fzud47whJtS8D0?ZsCcL-NSE=Jew107L z8AZKkb)3vx=I)`0*cUmb?cF{&N?gNQyEWsdBB#0f=bzR0Q#K5W?i^Nmu-~pP`0D|+ zn5rfH_@4g5MPnkB?R3HS0;9M}3rfTkCaUz=7YSdF=I&FKrX5=$rb9}-p8ULMskq?U zi#~rSSn@k>mlJI)!{GLE#rjO2WdqK8@ReH+ zWy5?0o7@|GG$FvaeWx`y=P3Dw57rh(X1I@okWz-vs*jY4x`SA*|VBKzw~Nm@77 z6-ndMqLN_%`_qU;!UDyqdSUXmTae_9Hp?^^V?JBGiL zHun5-?|2&Cgz)CulT)w0^tc^sAy@BxQ%kpW%EjUIxhZv~8}pJwMPtM~}2ykHrW{!gWjewpY>Llcj;e#lCUqwFN%m{$+%MsLm3J8Nv zPXR$pE(!(4Jp}}Se6ZvxAOyaE!k9diFgOp#IGzFg*f5A4sQL`xC4+DjA7~2)ain1Q zGavzEXaWS33!ymVQxHb+paM|37y}@RTn(L$GJw23e+WXoH8sZGg9Tv#otpq2GB%P> zJ6ixYFt-T^z{0$*YGTJ0lDo|~(@@YS%ClK>5- zf!pw6>~?a4naD@s6(JUm@{il(Q@>6#gel$o{(ANKm^-@W>d-|aXMf^KpD%F*5(jF@ z2asa{a#j2)5kfYfe;oX*{FecHM%xQ!wC!4)!sLYbw?GUxi{KlxGAaq0UhRFKtr!#c zn&rz2c!7x({LAUFI|iZ^BfRFczsOWtq0NEZ2iyTUa^IYK%)+J4*ij~?k> zEthvH4WgpT&8cau!~4Z);z0%uwC}d3TF-FSP!!+kmH$cs0U z*tb#NyKr1ejFI`kJ^K$-;B`*4I=?D4X(Q=Hk#XcPtX0I-15Cn6^*bN4a-FDqx%0bN zJYjKQ(WARYqu7*g|LW8 z&`~MRvMU{XLm(4HPcor7w7~oobO6Ck1#Q6y%kQan;awMa=^$DVoHRtcfWt@8+X=4w z(Hsb{?;mq-bq_uZ6#B%kDB9ha6d_nTq4(3m z4dE>aH-wx&MB3r~yTYMFJmwk|l#=jCx&;8aAb2@MXdHO*d8GV1lpZ>yM{Ekom z#J5c3rzsrTwj~(?Kl>9G1X%)*LK*%_e7%)OvW`jNe}qa4{7tAAzi^A7-|(1UID7C` zA%wJGv;hSMZaThP3_Ca(iKYWPFQA1HQ0{rO5LW0{&Tg?)&6Hvvbz6Fn)csGMeF}_wJPh;ZvRcM1fvFYHWvM^t8k(T zjfIEbQckEN5$4*^MPy>Tm_DdmC3_h%QpM?f(G}jaKj@%~Z&2#-sN;9}?(VfZ9)-(L zSGCMIOQ?T=o)Lp{(}u#`@Hqy<55D<<_S$^8*sh?X$^IVW{@G!0;;(MQ3WtX??Tv6r z;wC`G`2-C!lTqJdmbCc}@x@}gDF80`dc#F zFL4_Up%qjJQ{)&O?0+s8JWhjApadM-d(Y3TWDwG5FtY&H|55-L;RNsyYUnV=43wDW zvPTzUzsZ9vJeVCMX|Zs>1P}3Gbg2*WHynxm0K}?e2-kQpQj|R23FP`Lru=%mjarO# zwW=BE)X}-RW(@p~BPw!h2@^Xo>R3n)v9B?(95NCZR!X6;pXXL+FZ?{Lv2t11IaZjB zB2|7^=kpheEW+tsmvpuwA|n0t2elXY*79-B8+^G{9*F_L!PCf{bjPZfAxq_4M%r+tq~+38WH zr8&5T+E7zA&K$l^zjgmDnA3?9_PU1@b(8<|pG3S9NZER}Il3}g7u8*3P z)!O*fS~O*x{J{HO3_;`t~YTj-$%_kd#nqofr zI}*}ATsiPeXsi~!0Bw@i)AqL~1dMne^ZF8;AOg>^AuWD~HVOZj)dFBw8s-61@0AZ% z?mQDBkS7i(g0txu9xxxo(2^=|2CZWLtURF$#M}U=-!rGa!#Lt1$}7N-49tG+e@=mD z?AisLm(d>PcSi|BN0HXCS25sF21XFnNW)0MH4r6OWMZTN49(stFe(e94M>2pc~F^L z&RAA(Gz+s6l*q;`U_4QKL2WjGS)&mW0DfX;8aF!@bj)jiljkRLa(P--tQ@ zwbTh{y8t#COj2}taJajrSOw^v^Sg96(_xF__hM8+ZR6LVJg{#R1-{PtO*%m`(s32g zI2ZF!!DO#C3)%Y*5s{h)FYp)?oHlxn<*X-5d$PQ zwAhfuWTQU7a)W6%FtaW{4b}k1&UguRpE{Gf8QvmS;bEkpO!^9MqpLPiW{S);BixuWj%xZES2fgQ`T#lqj-8LKGXt&#zK7a}&E0m7$BOePlB zVaH8Aqc6I2j#^w;^oh-dkvHNJ?+)yj=ITgy6CqxZ!li4zD$x^k|5PrwEEL`E_(`d~ zN5{!LA^sGk0C=Y%lWN7K9*LLQKdJot-&lP5@)1**Qg+> z;IWrL?6C-)*zRYMd+QP?cV3UwO2Jry8+8~(=^44N1qFxG_8Mw)95y<9+Ez zr_kg1I?HChoqTt+&lB&DciMZMm&Tq>;9ikeASyi^?tQ7t>X_zmsX!gDUeO5^1uFMGpyos0ElFR>5J;{?&Av(Ykz zcb@IeR@5$$%Pq~+-gwO(EVuMA*dx2GcS3OHc`S`%;sQwO%4<)BSOT z@0MH>qe<^zJkICrQ#I9(bOQ~n#N=SHD+GL(&LU1uv1Jl}IFPJMP-|H{h%o2QI&~%M zbJg7OT#KjyqiOKWK+>Hq$sgf!#%dKU6SzwnH!IVeEyR0gC#?bny6)^J-cyz}bnECR zYqj|7`uckU+I58Y%{9)v7qv{IUE?>rBN@yjgJ)$;n@7)84SaI`M%3sD@|X;GAMbkn zv#t6UT()LSO6yzE8K3KwG1Wzt0;tErdFPHPW?>@8M?=pQ^^|7NDo7+!q4%abclV}F z)7+o4xmHUz`pr)3=0wHkx2=2^FvfE?C?z%7sRj<_a+2ws{yKU(Y-&{LN3QG3Td1Yc z=j{AOisB3VMyt9@Dt({4F0>_|Iifi9`Sjg0mob^Rs|>khI^v1fPNd>)+BA2nn0GuT z)=G{hEGXRd&7*iAu4zPQdp&Euo;GC`)QNssOh#E4efY?H@_K%y zlg7-!%Le7HlP%_5oImic*AIo?D>s#3ypwx!!GHAfxk1&|oO6UZhYa)T%a)0A*6ZX=DLgS<#;ZwowNng~uS}n3|PDE1c05vaM*J?c65LGt04(wq1i6o~G{=;M;|Ik4IS?g!26vXZpQd-Wz% z+pX4{G~?N%mv+^xY)mb8+C7$bh;Pfy4R9Eqd~IzM-4>D-ynM1#vsJD2(A|VSifpT< zsOBoiMqUrQFI3I%^0Ou79z8sB-uF;xFbz!sf3GfaNv=K3F7kzXGq3-fsslJjoPE_) zbEduZYqk@(=;fP1J+D~;O}y>rUu2i~rbre2NWL>DN~C`co=_TlCHP4DQ@g2D_JyjY zgB}VTJ*E#AZq<`F)8DM~F6xXw*T+BlQoW4H&3kt!N7sdS*Q`GJ^@&-YRGQSt7@`T* z>Zzn*7515qUOxSZuv9A|wsgW$;M1)sz+&5_l6qY zIloq>qJE>vF-Y%P&`8)pkB4^n@qtTv$H-pKi5(}rngJJO-^CA*TdwQ&X_g0rwzCsl zqC?>oz_D^gmF$h7%dE$!N9!$YdCPCzDU&MC?ddZQrlT>}^}!9&&+GNBGJxj&7*RkU z4C}|R;f}%1#gp*Yp;y<(h6V|)Hm+ICvvN~FM&rEKd_;vGHN)+;&G@e^N9 zJ|O3eb^E|BM&M`vF?mPq>H65JMsS*5!V~QrTtnOAYhB~Ds~Ux;51mYz2q8pr{V*zx z&m3~TzRNW%C-^klYJNIKLlix~B2_Z15+7Qc$@QcCmFK>xV%z4U&%O=B+BR<|Ygjwp zGox{(f{i^PrxUaDB%!R!R+%t_^p*EZ-`{6pIz@~RoCi=6f18C2OBcatA8Go zrqcVOHL7X8$lw3`_|kBuji@(Soot@*Lp6#6cf0TUS9wGQc@*ua(Iz}e7%*!)*f5-R zU1c@zMP)Y7%_qFbwsH33Qhuevk#2K9kf~#N z@u=)8%Zi*a+Zwux%6(nxyC%;a>QZN<{W9Ys+Eq7o^IR4y?Z>w1VU@o3Q$@>e3)kYf zdc^v<6>&Vz7AJb%)YCn*lAWB_qf-x)q0G zg){MS$4faDd&=@6U%Yv_=jQpb5ojbc7{Cbdxug4`DF`L>*?P9a-f8H;_K_Ur{sYhq z)BeM*!HCSm$L7yw6Iz7%vYOmw@!7({NmSYAcTP<|D@kK!Wm}HAHzz+TfER++Bex30 zH&$M>DakIl?vg|6w^opdG?IU=kkM{_|+#FDDK^RNdd5IE0ZIj3e-$NI~~GOyGZ_Bv8#` z*tX$1OD40aI!d$-2@9KEFHTl_L9Ap>zpj68j#6j7?`(+Ay_X@5nL6sALpG&4Q-M)ge&&AAck915Pm4wDWB@D3SP4R{2OkzxH< zt*=Lk8u1x)GA33Zk@DvEk6hRZ%`Cywp}0$$u;ZQQ!*-tUAa*i9LX+ zN4bMmlvp`Al$`1jj1jz(207E5*3=Z{;_^(!RJv5UG~c$@Pty7+sgjin`hCc4 zRj9aPI`eQK_mrQca#JP08M)1k!#@~^7#fjZmB4*El)l}bV(AI9!s~r!r<7b5 zTUE2arc8U*3>fC5a}Uc!1~BuQt#UK8B#=2Zc%u`W3j>Z^-kzDzvciP-B3^5D-&-nm zOPjT6eZ`-zdqY8GDp*a(bS{^#eQH*0dUBs1`qBX}96{wN#cXBvGaB!U{hDl} zC&OMP542Xcd8;rG1#3PY4NTPQ8Nqx?XwzSf_f>f|$>pty7ack7G?e;fe|z%Wj=|)V z0;jj$z8w4TVE`B=jTOZO09MZ{=z)Wbbt$lM+46I`BiH6h_-*%8L2xiDsjhRSxT zgokBGZMx4gAHV8<)pxYNz3+}Nk$C^!kEN*lRWiha`}=k%g*9BgZ^BP}c;DlwyF9>2jmBJ>pbjCqnvu?ivEWvzy17=PS`p~O z+FI36of;fNcXj3b>zSA<+jH2C0Sq`x=@Z*l4t8>iyN#;ZX){$2muQ+TYf`^e_MG>? zHGWDY1j6o%jSaHTkgMyDUP|^Eio*~etU@?M&JTY>h#ZVC$ZPf2X&H)$z0D#MqsLBa z(aKXa<^l@Nvth9Tn$5EOFe#W~f##Od>FS_{E@P3s-*gMulH32|kz1eN^4_fS7+#KG z5Tt;8H>{wm9F_q?pNav0!rM-Q^qv?t^2=~FTEXyL*hkuRBJRK(;SCR>N6v?rDD#H)A+uN6s`uy z@Og>iJS7>pE@m)+1Qo2J`akxv=lf5UKpx!QIN>HBH48X`Jw#K_9O2Es#vjU0+{Jof z09yomW#Cr{?AEih2iS0Xp*Gm`#X{%u&HwS5!_K|a{Nz+M7pMi>pa!R%{e0jlbR&d- zGNyM_YTn7MH5sE{%9%L8spj1~(>xoYMuU~z5U6-cn`pI*SA~Lgz{#Ug2*g~3%;ZyA z?`iMNa)DZu>mO$51r5$KgucG(_B70G` z0B>k9>^5Z0Z;5CpC+c#?uFW(b{dnaBbpD!?S4AVqIRf=b(-FJ`Vz@ySH7q}vV~?f4 zL2B&Vkh@32JOASF$=888jyH9@%h64GR-=|G!|rEiT5^vFN-vh}7kVw?a=FsB)9yre z*SN(vZsLW1Nx_jzH+_gLrK0nrO#Xa+&q}l2HTeg}+4$XKoqbsN-C=t6?fPpDBVU6z zk_-W+{ubHy0?$uuov>Hv>Y@hhSisk6SjoN6*$HyewO5Z>lA5%KwV=^zN+~&;@u!+J z$$wK*V$wn!dbB*8Q!7Yo;;O0kX%x6u9V=$Ac_kzap(IxF|4~Vl|C^Hf)Uo{3f%&Wl zM2>{EYk3g9t79e50@NQ=N5b}JmN8zj&JPv%Du_9gai^c?QgA0IyjHY`?eq(9pB7dP zjMKsf9#`@D(pD#=%$8`?>UWAv0*mJ1j~*wxkI{mzNI~Wa`yh3mYaZC^#qw-cbVdIZ z;W2#`FxGBGErEJLk?iw9x7k=bg@#F|xkD#;9z7wsuRL*@q0yM1@Ets)jon4FS>I5& zzF?v@mKR6M?Rs{Ohf@0PPL3VvW_wTUtGbf!|J-r+o+l6D7StE4rXnzW&~YrUu3`g; zmj}*8g<&X)MLt+-?;-7PZT8g57<(GlSpIS=+9Rc0#`HHuXeC6OF5hObG>K#;WOK23 zs9-z>ypLBt9u@rV*`_l4F%8d5+1aBj^S%`~FSis!oT>Zpan*mS(aFzfzp%)3?K_*! zyBO+QhLJ)VPy4fviC9kv_?ScrO{I45_6e;=>vs|+L(Wv1tU^3*S zagW`E~r>x^sqoGA6T!xxKb;L=%RIDzVYBt}s#DS+Mse#R`Sy8}Q4aA#3-M`88vg_sg`J{a<&woSqh0u8hc2 zmzgw>@ncJztWwBZIHl{ZWrY*&AJl7vDVU^0N2ule?|!JQU5mOW(0x`Fr0w ze_jV}cIQ&OQO-&>{qFfV)2|#F_!^7(_S6cn=mge=4!F-Esl(SC>Zhf`NC&c6VUJpS z9|+&pC_P5TB7K3JQp(D99n;eBOvs-rksEVdjX$gSvc5F6F+D4epCHvFNO4g!X&_iZ zB|S7ui@00OJ6M|)1-z29wfx!EzFm zPGZT>poR^W2ZL?=0{YrOZ}u!ZC;_y=jzEh8J1md*r^(0ijJ56Xo(w!Bz&G2V-Ape2 z^=8uUXT&%qKcBEgCv7sJ&JJq{fIJRZam>OFFVNNjs|8*=1#!!Fz?uW#vLm(#gMy5@ z;uQ8SXp)Jcf@cv-;AtmpG&t*oWu>-;cU?*UKrv^mJSQrSCIo#5dfTW#w-5H9D^VrT z?{4UyAmM~F)&%W%;)9`DwEhRpPhW*)mhVfQHE5sILK`-79f?{SNKCL4!g=j9t#lu? zYtt2hoei*!F_WyJYTj}I zBSw~nL#qAunPYBA&3w_|J%+~Q!SSY%5_#0Z5G{Fp=e6jQ3aX%~%*&a9} zA=nQKVChJkdjLDi1hOGn@WXY08O#pCwt}9)SSf0Zu{I-RWQvKgF_;~U6$V>_vD^#` zaPD88AMi^sb_(DF`$C{ue)7ywXUO8 zd?~4#9$Xm+4$iz~8O8RVH-v&=yQTxT^m@zgL#rLTj$f3w@S8e4iaEE))>Y^56i)*@ z;NbgW*6nULV1}!_D(BWpxUar^rh_LObRY=SU^`hiBAYICJ=e5Y<%1nz zS`#69aibQzD9WnXadp^#=JjyRjN|Oc@U0AqFa{e*k2HmjZ^Ik`PreJO9Uhn-y{5i< zEcxUw;edr399I=kA^yJ^9K;Jqv`)ig&@GU-&h%I$-j zpYw0e@eN2yx~CuG{DD~wKi}G>*RcCbvj$#hsMd9-iGHsu~{F z>lfc;4d{O!#ErC-N zUz8}=J=EJey3ll-n7w`)l4_`@b<|~&IKp;}r?LP1q}7s`X8iyqL1oQ_MUfv)%T`}H8tJct`C(Y*(CWS<8nQ^A2{)qJ1<`D zucSe+)wr)8 z5qg*1*{gCFub)s^VMHkPq^a%O$Ut72$>X-B=oR+9q1s0lor&tIOnv8UyD%%Dm^ciL#NhJ8Fc z?3|q2-q4FJpV4b0+R5*)$A4IO-rgDegsLfB)bF9Jy6dOM=3ftAVH%Vs~ zTAo(|NKRk+`Yox%Jm&R;ABFF+yB;Z%mC0gbamLEK&aOIs4NeU)9o&ek3YrQfl<))> z3D3=brU@n&^Tt0RoIGx8zVue^PL^-`gx{@*%31n7PQEuT_XmuI-pBLws44CCxkmrd znsM2Rok0}~W!W=*;{KDl6ZcgO@^H#oyljguz6{*>S?k?SzSBJTCvz)pO9g&m?RdYi zKHE|5GC#Z3ku2+C?xWkruikpPJ8y1k9h&KGY|u5MiI746X6}M&vYQjAsnx(T6vsyIMkrkAPdkmYH)jyTO^Y~Qw6_iI_cs1Gs_(k{-u&D# z!vV$lxt!#M)0NycJpn3V_h!pivO+V*nrCq_31C<8Sexl9?iV2z)fUO`j*pG<1(`2r zoC-|~Ym6MsSfz4ydR(pMb}g@NFmLtAG7dKva3?iuqUL?O(aBi%=aV8`#VWUEz5N!e zp0*JQ-Ot))r!oUo28UQ>nrxJ;s|%v@dOO;t&lUcNV>4Kt+-UzEHWw0jOL!lrjOo=2 zxI5#OtJj6CM(0e__pawxvt>6$?1_A4cNMdB=iKMEMBB%(a{v=;#uPSfkN!q)qQ4vKxRZqI&~(h_eoC*QmF zY4y{955WSrpZN4r0On5A#K9o7=`!~t)2E!?iA>#2QT$R;^9-|k{;2%nMeDOpPBP`{ zTzl)R&N}pC+>29PWNoTS`hA`{`BpPmRj#Ehlbx7q=H4ZI%&+nr^fS)N#WS8p$k;nF@hHcx)Sf1rMNGKIWtNEMfFxILr%`=HzTW$S?kijpt9 zVUkL13aUZyiu2DV$K0vf~J`B)Lf0eYJE?2-Y!4(`K_2!%2DuW8^^ z8NkLw3%40Gdwm35Q9Ru$dnPFNNU; z$cIyIGi<&2fR)WC3ETRMLS&@B48VYc$)NwFFNXJ|+$hv(nEq$P8IEjO9;z6QK>xQ0 zp&#^xKcd(N>|1H1t4^C?!I8~h3XC4 z3M3_Mh7GpJNVl?3nDDLWx1SsUJ2(gfr*GUMC;eq6s2B!@BfpZR;o#{_3REo|`Mwni`$dH6*b0+YI~M;=g;9j@Egg{9 zdTw#r+;CuExVaV2gb5+t(FSY+X!vFs1N?#GSe;E2IP??wh2a2^O%N-#MWhSI|7ggc zrOJ{hAsgO&B7SirU0h>8VIFVspd%$g4Y>If!qN9z5t2>)6Ct(v<%Y{Ilp-IMe_4 z$Wi!Q>whnZR0p6L&au_OP#(W$CmBAp+8RtF)9R<0Vs+c9Gzt{=B;WA|V2K3ckN^=0 zj3WUKF9cIVBoK%MijhD+5}@)%FjYYUE=V8)3A7-AWh5Z(gDAxk3B(}*A`%!!0vzWM zObwAhAQC7>0{uvU$``>@1qrwyfea+jf&`Y4fVdwyA5#--F3!K3jU^0|y7?b)oF76a zA`%!!0v!GjC*i*eFofZXzu-WBL;=Mx9qB6RAAoD4UCUriB+q$oxL{YZc+0>M-z0+NR9 zFTJ=R=`xT&3ldmH0^*T~vMe`&UloW$QV@~AI1=DEk6>!J3H)Ljhy;p}S^ALx)dd7o z6(rz-1Tv673ldmH0^(7KQY@n&-CO^q`#2;W5ebYV0gh+{Yr|-Wb@yMa17UdVFSr<) zy&nls#UKh$K>{vFAOi`sAc18hARdb-#S#g`Aps&17)JseaR{b{NFWdi6eEFtBtUf$ z!BhnaxFCTHB+zmZYK-*18e3IpL{f|+0ggnZJ~n~h^nnD5 zkwAYU)PC5%+K(y;f}{R|Rgw_Za6tkYNT3A?EF%H&D+s2RNFWXg5Rt$*65vQiFf~L1 zfk>bj3G^cYsuToM6(rz-1Tv673ldmH0^+HNQY?``91iJOhv|I(G7YLU2)6tSR)OKaC%Z0b2yrryKntAl??uHjl1>~%aI!=KaY%rO z1jdm7M>>M3Arc5g0>wz69|=%pAegEk0T(2YkpU?|;xDDP!0@iW;ALcX@k~UCmPjBD z2@sLMcqUX9=>*;%X2Fq#U~QNMO))neEPoINA_aTZw?Hj5^UK8x5oS|!Dz$fC}o%OY|&6-~0pv#79W zvk0!IqHz{k7B-6}i$06k23jS>qR67oqRS$(k%}f+a%fe>SWYK34 zyN6auu_&^rv*@yj{Dq1pS>#z%ShQIL@0F|f>Sxe@i(3$#2*({nY`YdAi z>7u?GDHcT*brxL~k-t*WB#S(Y3X8Ug;oWm4RG>ENR0qZYX+Wtq>!hIbVPi&THsE`J z=>6{8aX%OPGO7yb z0K%Km6(9#F16rG9#YNtVfd?c!&kM&Ma4Vz@WK;>J0n-CSA9Rv4YTUqtDgdg04j{Y* zy#aCtWRJnL0D-@|$zy;tpaf_DdVpxdoi%PCqY5z9hHU1Kyv^*uhaYk`Az@%bHTY4{SL0q6muZFCDL0IF@N_Cv3q4t)5(?vw-|XF%?C z0WAy#UO;bvG@$f?)ZggshlcSP)f@ED7o7_7i16Y-egVEZ=sWP?mj?0^FS&JcgT4&k z8uWpe-Tbka2kNBZOM|`v-y8JNSJ3|}19b}U)j{8Z55MY8OaO9#GN5HZo~3lqEg)?` z&RakO(CbJi?)yG-w7Bu}WsYf_};ogO*kV@H*66){8nP-oQoo>w>pbTgM0=v*HAPp!18i3v|ssE5yKe`(|0}6mD zpaTfM<0dEGks?zYn1e3^T7ba2=nas5SLR;nHBf?Y0D6FE54`~jfGVH^2)`#~cX(wJ zI-GhAoYUbqz0tA`-A@MOv8<&-oc3}pzb|3lOCHnVJzkjB;kXx;bohNQZ0PWJUf3HP zjqVvJHNMB)z`~wcC(fSnu{W)Xfes+N7rP#i1C;klQRiEp&Qrz~eBcA@dO#Xb0yF?U zKy)8=I-mfk?vsVqcujWT!ylqIKn_p_v;^dJ<`z9$|EOT!d5t(F;w-W(Y!*!xeHO8g zXq6O;B8xhUE{n*=R5ZyV&!WPj%_6v;ipE)FS=cO^Ecz^BpU^5P7DX0y7F`yRPpN2< zMV>{)5p(95lR-|0HjChAx~MNB&LYdgX3=EPCvjuU8d&7U6vah~>lAkfV-*RINV3SY zsIX|W2+p9QaTZw?Hj5^UK8x5et&(C)4?CS++dzBrHqr_uKj^aPGTvmNj@US&{tkxn}%`pG;jX zTK|l7EqH*nd9HjDWAshcoM&CH>8)BSW-SS5++M4nY%S9mI;a+(Z!L9fw^ljTnr~@) zaIJlYwb{XN&0b(F9?{d@u5}aEsDnFH{}OAdmOE8;p*3IAE@dyY7R$@T&>>EHf!p+V zKITe%sOm4Ymgy1aMdVQ6j)gKJUmOn|u8NnM*}GN!QtN14=N;8{-McE7v=(UBQ^}+m zeNSaw_r9u#lZvBz%zD+?a{C*pdW32xovNWDRdA7+w^zj$Sxa=S4^(lH(|c%+>Mt^@ z?^ChMtOdG5=j%uE|9R>|A)o4wept)Ph_i$Gs=nA1`$)B2`dIZ{+OHy)n|7R+`9pz6 z^z&<7;?r7EMgqfvYT^p(*LsxvGV2`3&^fA|b=n9GslH1A6}if|b8GRdtR)BODGQXHcXnUsYpU(i*Hz?K#+|29 zE}gGzmlCS&(giAVjmf)ErChp5*)CnI+Adw9BFjwP!dhyXwSTr=_zShvZ>>@1^trT_ zy}`OZsO_<;uT1J(6`wRTL**yUkvdIPCas-%*T{a7pW&PI_XJLnc&4h@X2kjEd1&~S zs*JoLBePUxg|$xC9;>BRTC0XNCTsoG);b4|uT^fbMjc$F>bF~~^!Qg)YOPt^s@ZF; z#WS=#U3Kqt&W_LtwPeMbuTS#O7gc_}kteG5dTX1SV(HyK;Ex*xPHO(t`+7T;tok$?1VD0H%}J~ZiBae zR{EQ>^Yq`*yYYDxXCxl=jsKitS!-bYI*FJ2Cn!p|RpMb^!L<_4@WppZe7G;xpN9Cy zw@B>#;lJF<-(RY2^4mZDn5;jrfPP8sPtac~^%r_GmTG`?N` zn-Bg8Z%cxIi+53h-4gp7(uc?wPaZ(=;S&4mP3pfY8kH$gxs^RKd4fJB{)Cex)(OYy zaY;B`e)|_VU*f~2_Q2$~B=*n$j>O0L#`Q}nSv2IlSuOQS68rYx|Iwcy<7=qEI?BJB;`J2k_f`EHuvuc9^m6`<*bj>E9aH;JiD&xu zfIfzY_+ovT`A$N6pTq}GjjymI_AR(V?i2@NefGQS>GOYN#cA@}+a(g~XI;KMvSPv1 z1SelVi}N+S;=2<27u5HJ{`hK&3tp_(mj!R|go#&Dtncak1@EI+zp3LNf1KhMDb`_cgTg0*P_Vb$$KFsQ2*rX980{BP%a< l0^AO@PITf~OMh&VtUV^a`gK3ML3&YX0!oJfQbhy-9qFJ57L=xjBA_C@ z#11HebP=QrBJEAU9M2i=x%d6w_r`C+n%}Iw_S$v!?7hc?I$XtloFodfPTk~8GFlC^}vWH;%GA z%YybX(w+tAc&$uXD1fTPLJ;(3!r6GHY| z3S~V6o@X($XP_Ok?d)u>(>Z3_Q*A?|4N-YaaI)9e{Qx^B8=KE)J9lv^DjgxlJnnqg z(BjlES3@BuP{GFQn=w9lG~snJZ30)>%R~cEB!r@O=m)o8XjhvSL((7$R?IiXlk;(X z9kr`W>#8-H>t7Vh9z&<7g;eRD8?#6BvnhYYR=K`7+4VuHtNY`Lu5#KL6HyJ7&l+VK z*{`UTRG9!<_WBvctgXVSHaMT$cP96gPT27VzDz&r#wHl4Qr)t9C84LHSubSCt2Q}Z z|IC$$yyU$FLFhHQvS$Supr|z69uxM+{`0}Y7lkZmSc5)hM!w5`CVKSVvjOghCtmQi zF*Q0RhZV;EcJ{^ozo)89>^?gn;9z4DgR;{W3TXsILql2j$jf2IP6=^h2a1ff9mzd;>$4QvzXt(cgD%7p-NK2xJ4TQho@x>PflQ<)FS|WvS%$jMBo6Z_*dE%qHYQ$>y2&b?G;CfqWIzZ1VXvtAX}N>^9fqU zz21j0Y*RLqc@2UMJ!M>NYZy^gCT&ml7yaJqA||Iqw>(EH`PkC3>(59&*`HfNecv?q zXe`_`@Gn2{{Z$)Z@8kJvd1yF!AQ~?_7-1L#V%#bGwzzcCP z0-W$YZB{@CGGYWIA$Jx)0J_QuY=OiWfUVF~R=^n2VE~xPhSVTCCO`&y%mN5u%TTvb z>X57|z=fUOFsI1^9E5n8H}4B_cA?tI8nEMNvh$k<1`1#X>LC&nta_0JR@Gq!SRpS~ zI1B#Ch%kGLxiZGUyG_V&B)a%;SojVG24<~+!>QcduX6^dWEdEd`@Zo)Q5--h&q*-v z;C&&xvas-BV;>9~W-m77WE+DS2tqhcfCujKpxvCnc}c(+WraG6k_UdE2(UjGidV2$ zz9|DtxB<8BOgEyDiBU-0(_>RE^SzpC$~N;;s4e0Xh34I0`P(c0(JqH zF{e;BpiFh34GO^noREYnAWEcH2hiJu_#B+XQq*})IdP<{;v8t%pU#Ju>uF^GW*9Ue zq>Bb1S!X~3jd}y$izzut*319rJj$p}Yh7Z7e zqbwNQfD$w`eGZ@@hMoaxd7vHwz)8IeB?9M=GW60Gu7Afi6#XKAErMe5hh$?i>0fwh zDGM!#=2-bl2I9@s>5~FjRB2-(!_H_;pb{~mkj+sHJ5+ZA(14EI09a{&r>GEe#YhgD z;!;{6TN8>J!8quPmsC?!PL+E)_6Y2%Z5We^;iy-Pez<3*K8{mraMwy{@ z!>0rs(Kc8I3!lFZbi}3rH|hG50FHVGIdU2hD#!ygup3WeXgm*)1nxj`k3k`#;w@kV z*s)pv(Cny38wNLx{;i$-Wt5g|4LVT(=+X0|_<=msDL6JP^y(zQMxY_zD||73^g$iL zVIV9lp|$yJlJ1L82T=+7*+bnVj)afGy#YlQtbtXhbSEb0a1*69_DzJ>18+bf^jycLa z^POhDh9lIwfQxW5A?Mg%xr{>5%5CN#dZSZt(BW(!n#yPl*2UG<9(*m|BXuzP>}8{V zgUJ2)x)%*UW**%AO*!+pU#qoII{WfM#G&qzXpKu_Np_7LF;frudVB_{0<}h!)dsS> z{kSg6_RH2pn;#kD=HC0=1l$|!gU>y1 ziHHE)aBEpXoj}W>5@6@3HXS7YQW3A*1tI~07ysJ9g-^ww2a8E|L{a4fdrk~#O@1Gm zy3}ZRH=)l1dxtAz(B02Es?amWCq6+NGv(p(m_>aPu{W4SC79pA+ORrYWg_5KvKPKULnd(7Mrb5g z8}dU`T`>63u_2qEJ~_={ZB!Ne!I)1oO;Fil7|Qzoee8o#sTCA;q%u))wC%9 zaUeN*V(5J!064fY{-6PcwHOrHUOYhCC8i4PlrZ)HjMZblF}+P^c8tb+AKBCGsO2M= zvdYl3BcgQtI1jge&P2SHk9>;T(}t(UprdXvhLH*l$zMdXLr04Mae@~-j`)=;K^p(a z6^NRWD?d;2>VNJT;yYr?4z33L>r5)jdz@eUI5sLCdXMdkHY$(L2vwEtsFd30ti9ZRu?cXkkLVN|gKLjPxy7{y{kDJR{9)F~<j#*@R{leIQJ*Ep13w*m$fk)ErNCK`(B%CCnpa{E zQv1n~sedLpB5&xBPUg^5c%whu=KDBK^GqJOwZHu7z3H0`!iu7f1>ZX4GZ!*D^vG=< zN?Bfro$oH`)VRcx=+#)WrSCMc+>OMzaH-?uuE8vWAK}D)*^cML%5x00(_7Wt4*2i# zFl}3bT_9&rk{$eFn9O*U8Y&_Jp3s$h03Z4Q3X(4Y_7SLG0JqVb9o64j9PRvu|0uKy zIRUCK?-bT~I85q^FU^vAyp7a_TST{>R}fj$pIuCCG*Q+F&~y|QU*JplX1mkw46_$| zDyN=r%ZDYKf*^;{H#O7u^L1X=JP}vf?R8XI?v8p{R3tz~g@Oy>*hs%xJU!^u`OMW}acX=HcmlccLKpd!*rB@wl?1BUp`EzT856_wmMJQ4xEvaf zl{MP)8|U;Y_0AX5K6U)Q=VwxeCadHXi!XNFB%EYY)t7r-HmI}vt?=CwMK=$=JBcwM zz9GJ&|Kd^_yv=wk*Q@Hjb`RdOC)Hhc8;hH_DJhneg?fFz*8P;RJNQBBotqwaWz!nh zZ}D?EIC&Ai6ny5LyNulqaK*yl=|j{e%1tRJt-C#fqLg9cT%KL7IkL$ zeWX_M1jiYlYpBrbT||8yb~|@7C>nlS&_OfKcHD9@l3G1beKvLM{yf`x zqU2og%Gl`F<)f&nVdqyP#K+t@$5+)>_b?Fwk74$oR|%GSwJC?q0goZ7pO5&9sr)30 ztmuOh@4W}^dwmP|OzbHEeuyPpQuaNVt9e(V0r=*H|0Hhif!;kNHKSGFdQdJcn_$$x%aX?j(s=-3@MM`< z-RfEC)mrP*sgsM*lY{qludjD3uUkAPyxQKjl-50YSjNljjfE-qyPyxpi3D3`#)DkW z&(aPve6;D>QTKpuZhgLbS+J6-9OvF|xuRCRD#k!MK1n^vIsDR)d*Sf4tn}T+#H&%C zIHFd+EyS1aAD!1(9sWu06UPvEfcNp6;%nLg?dnmhPF?fP^J$tib-gM>)BFn|>UQ&o zG6+XWS2Y?Lofq!e?xh;9Fsxf6C>8emSC8OrcR_)B{g_5ye@CnI^_ectB)rOuS*n%o z{SZ}&bFa_3^{Vc?sw4xcTRnVqI^%+OMZdaI{M&EYYVPHIt6Y0;`id!E+Bbmif}4a>?QqTG%XGU1{TZ#r7zH%$!kP`t#B+y9uM6YUs8># zb4Pd3*7=VZ(0iLH1_zv)CkWn(u${sx`Z3+t;a;#>7bn?Ht+L+qUbyzP!ok8|6PxYgwkDn zeiGmNmHOi6zR&rqi7t%eJ6&Cm982z9-o}!;8v*vV`zi~t<-P2F+OzL{ zBW&Ag*CM^>y_Lq(;dByq20isYrfAQjqBcroy_uE(-}fR&675F$`{`+Jrlkw(tlmHV zVDz%?C9h=jFBMafw#@g>t3~_hb9WRDi)7VRRZB9sEWDlL|FCwXQtasX(e)B{yvw<9 zMc4Ny)H{2hGLDwsmRG7=?2UP~dgT5a?`UD2iq}T-J-*M+z7J--NvORqrf2nLwCO8c zPoy=6@j>F3buI<`7*td@?|#7;ST4*!Dt(kXIxRzlJzI-ci$40@|CAE+UUtvTbuNC^ zsL_d2{dl#}M=`J31ViqO9xW*EUmboFr88P6?|M2ttIFn>VO5r@>#?uW>WgKz-2!US zWg1)L15~03?cRPZcP9!RTEhq2jQClKAQQ>peX1>6TlC)Q-ZKiG5oS3x~V|6Er5xg zJ_6M>0b^k6821AeR%~+ zSO>Ne5BvZ|&|B)Y8gzA{sD*sE*f4d@lFMKsQEM5%1MrO~cD6bUOI2KKm^M|52H-%9 zTLETh`Bj<1jaef5pWSARg}SE8+jsV& zB4?@C`K(Z?j$sfp5BmHTf2{_B6&&A!t$5BZTtqv~7AS)itq#56LG#c^Y3VfC(tHeq z=6TQuIP0}^cH(|{u$7DK!KhaEGsu(|EhqGhFI(8GtW{@SS}2q zUk#y9#54M69%{1sUW$6$f7XPgP0{?YV#8NIa=x%0Msq@rrf8pk(VjNl$i;?siuS*m zEjf(lfXzl(qRH-d97ZSoi^W&I8y2ICHWW9~Rc`ig>4IQwKFH(y$os<8rrqmb^XgY&=$!qnecAheY8(94 zHahpO+LUsb-A699vp%pVEa(5HcKvVdSN~gkilY5*)sj-%Ot<{Xj{l|TK~WTj*!}+1 zTS~s^{nmc>@7k1{m=OIdC$9Pbt8I$*tKZt@0sm7w`nNWB;J;}TuLYtHP(!2(XgjGMYyukBk2>8x_46zpZf8!f5?EOzM{D1OE1i1O1Z2e!o zWdbn#M5idU?@q>zP62M8Tj9*wTnxYlk~;?oU5$4A_q)me^{!|Q`s}}lt@y8Z4Qu~f z*umQW=GD2U|LrdJ*?+rx?Z4iYc>dqKdh#6Y@~`P79;!oUfaq^e3%)j@L2^(3fER8l z#MxH#ZLB0GYj2$x4+LIGWVz3E<%{Il{#zzr<|QA|Jvw1@j|Do~Z|y`fJUWt2eEteO zElCc|5KL}oFEJP)=)+I6Cp4~%<{;WEqoc5pCOw7^s!_o(65$fAM3c2;)qiOxZYVTk@-es=@m~|+N+@d-agqwN58SZK$oKd4 zdFTW^Mu`UP(AIfjTHreI8a-wPVEw}sWYUL`Al5Qs447%KO~sERV(@Yhy8uRv=RZ8@ zwaz>bJqALi0+{`DQbKiRG5tV{Iu|il03$;qpyK}ObIbtki>8CuQ)5%3U%xywtzef! z&C>Jqq>&Brs~AQdguRjc7ESg>b{mG1Mq~$Nvj;;2WgmkgN}tOUGLgrxy+a61rz+W`olzYeOzO#!Ihcw(~c!h{05I5Dh$8>2*E$YT_8R|7AC z!|U)g7`qUm5qqkQam-VtLpx$QgkuaIL^BeJt$2*j3CJ)7qlF zMw81+!4%n*^DNr5Z!27q$Wm?A(-4OgV^bYP6C<8z^SPcU_&8PbA3HZ2LG9l&m#C*V z;YpfL8C_o+a7_Erpy{I7!mrm;>8`Duxs4y^6U+ZzoS#{|tkSPJ<^P(lyLOXy!Ub0J;xh80cYF0b}?bWMhi) zI+hKav5^-f2y+vlTcvGbqS6luE3JltQZf2Gf9+JzP*8w3e`pT~tqAB%vY9bKCh{OB z^dl9+fT7mEVlaA5GO27~JJ&-&ev4Zv?Ti8nAftlvgSzLW>qp-(q3 zcO_s~;Z;y+`1P@$>?(ZzI>4Y!0npqCaqT8%=5)-FmqS`VwVc9-&S~)rX{oS@`l93b z58+g$kMSK1jw@+;HXm8m|K69%fT^3gs@vrI*49Vf1J|VcDG$5N{ZKJfb|ylc&i@=q zm%^sc2;GPcUg9p~HlqYC2kF(VQ#K z)Q@#c{EldV^i}#=N9hT}=wifE_?`m_jpVeh3Yi@J9em^$txU#}_I923! z8uZTKfSD~j)n{4fxBP^L+xfz8?kG(dtwiRGI?;G~ zQ0-v($CtJ%w>3XYE!uzHin~=kB;qbJ#QkFItG#8r#vIrD@X|!Gl%{;VvgP-Y&izgp z{<>W!D`u2O_)34y?pcsZ{M6-~Y^i|ilJTAHkMtTbwuuXBWpcfWiN@^r;;1GV`1n#k zZXKRC#CKx4#FqWg^h%9n4nG3@NUMDX;8 zR8t*9Puj*=cBr#At9Ci7Eng?3u`hJrdUDBG-f-!30C84^bMgw`m+INwS>~xd2c{sm zp2T}b^ubz7;#r?Wzt>t4~j(Wvb2J(7gAZ+G%zvkILv3CyS++dTMM zr($OXe-N{GFRCp#Dh%W7Ad9pnH(k$>EKaJ3Q{S$h4VfA~`m^TD>jHpzD2K-8?itfD-IhR+ z`%nC%-Z|?jO&?OW9y@#A?GnICxXOGH`#eSWr9q487*wj*ahFGt@05v^k?xuMANg~R zESXdqJcuFA63)}U`Q;r` zmmV{^OM4Jo)6{!fD<#0^RbPs#g5Q*+@9R%3_Z063sh0^3SFiBg{Q0i?smCy3D_-m2 z?75!_v!ii^YKmN6vfue;2jvZ#;mRUXy^3xZYrB~|M0G0SGMn+GZ`*^C7#pzKYdkrou}Q~Y~yEi0&;`G zILUNziOt8%FDSS(z(0*b<3J3t&9qq zzt0CP31n`io?{}t$+9@DxQ2!>ofusL>W-H1*(jj{F(=p^zK9DaK0GV(UBGF_)J){e z^GsFWtmWRo8gK3gt=xm9RT9sxyDgkz7O!*U9Ijf~5tzH0=-pl2V*1UW&?eg1%U`Xd zU}r=uez#9;igVafZ|1(6>cr0B-NfQz>I$Zo;LG-p8Q4r+`s_P*jHi5$JzsHiF@;d` z!_3;1XC(crv+m*f-OaJpal=DazD;}X%HqHJC%KphS2%kcZnxue=va9n+VVH&?>S;_U@!(eBDtVn7x(4ssU!I-2x5zcr88&oXeuszUr ztHPqj^=zj=f~ISV7vbu#iS_g2P3lBELA~}pCf$HEbzrA!hL;PznD(w?2XpJK6IT2Y z2Bz*`t;_s zt}C!%tt^;Qnh^c&eWW`u{Y_c$v5Ohkv)n%NbWyiNc0A{l^etoX2|q)7txm&qhZKsi zY-d1vW=*@SUvYI?m#>1avTJN%5!K^EoNCn4Ie3}3&qItKlrb&D72Xg$x+hrAC3N*M zUj3%CLx4{IT+?c94~ahAVAN{o^NV+`l^pwITDSYYZ7H^bv2-t&?Rt}-b-37V-;5_1 zL9DIRdk60|LRHaIwUju^NdM-v2hV&GKe4*SdwlP-y`{9+%_nC)k+lv$Cfyhb!Xdab zr`>!^k$)L~J-)z`b8!+VU7xdA~KYawBHWg|l;(e?-H&o>BSZ zy^5`id*p_;4Fo(8;`VwI-XCBv?r$(8NTuUlFdBC4fkl^du>~Q@fP1IQu_MKrt5#`@ z@H}{WagltqO4LcFg(rQj;WqOtuO16G2o^SHXIL!DjT*HXi?96d z{`&s0R>o=ukN4Y42$6gNc=uyLE=tjTij}gFZarU8#h2N+KdH{+AFHR$-4CW#nfHHF z9QXZIMxV2fgvvag^?Khy1)lb$1p~SH<7IK9HUx&MN(+*@@`S5CNu85neA=5t#0mnfEaFD%RI+F zd0ytGMV!s`x>ZZp+lk$T#`k zdnvhcm7O8@vV%X*sIkrPrxW3|1*T>ZiGPGQ*ac zAziUBDdg0r>Cw>fVTLOEu7|b+#&Pyu`B$xsbsF3Y2jxy!oQOU&owdRYsq|pBGUcJa z!j+lkSG^|s_P~Qn!lAB9fyF)?Z&%nznM0zuZ zK80Ynf6XEUY>d~2rZEoi6ytxs3%Sl>{QvDHk#-KlwS}N4t?G)?|GG~N6IT*?YzH(vsSU#R1^e$O zwAZ$(Po45*czY%8oZz`3F;!(v6m%C0szIYz(1-p-aTB~Xrx|;r8GyX0KyiAMys8=I z5DrfNdO<1`2(bN!;V~5U30^>XMGdM0k0B-+@F2Pl1zFO7^78BOJAy3y2Bb{milzs8 zP?zCr@>un6D=G9g@HKp3wryl=4GkC}N?`S}IF>5qrE*I|2 z(yIFTwcy>EqiQ7oHCx5FEn9^TIXpemwxdS^@1)`-**S5-aKOU9h5k5p-^)hzgV`N* zwLvPul|#6NpR;q}0ls3{RA+ivzXtD*$!QRfSZ}MCpa^Q&IkoFCVwrZh&@^v?7w?h-Sa&BC=!F74=@ zv*;K&{VwnN;z54`k!P5CrZA)4dv<3TNu#xD{Fvm@!jtx$9wO`jB?vZtfaOcoEr2!) z)n})xjirKDjKzIeR9NCbg*(zT$HrG<__Zcj?(Vy|g=Onm%xdSPneX|R%8K8=X?{`_ zWOXcGQ8Kw`ZuN!dB_=R|{`~-B$8D&TKU>7ndgsuU3yixayl_{gi$5KyU6Y!O<1aj% zbUdmh=yAYN*S3+7h?6M>=9fZoYp>ptYo*v9qC)quK4!_q%o$_VH;w6^cHF3{w?G6Y$2|^>uiIemh)E zfQ_x$1oE;TGyJ~uCf6NJPT;K+(}0xlJ9qmT4`9=B4x}l)eVLyq^ffI2q>HUcoEI>@ z?ky_0#}BpdnOW!C&oohT1e;|0?j@CO)tPT?y%QZ`@$v?#kb(=>Y~qz&PY#*(Zci-^ zvp+WH^Ds~*g*9Pshf6B6VMaTxi>i}%eti}p_Izx7%=Xyf4Bml0Q}G4u!te{pwj{AE zMd5|3uLsxE-zN_9q@Fhlejo5+Z5M2Mp<;K@rx6*}@to5RFGwF6 zI9|D4tY))dQ&b7)Ft=kZ(X_M8nOfZIs#}=$&f7iao%hGhuGxYQ^uy+=tpp*At&`Few8zCHzVHjFWI@&PRn(^(7`_A=Q;C`32Xs04CDTXuqG z%r8&}!F{Mt=qDT7dNEM^PB2mc{&B4W$@QLM}=xy!M}Z*L`55CdnGQch1|Qs z`3N!NL1Ac01(YREVe%w@|1JcX?*cDwVMxK;AuGT$LYq6S|Qachbc-x1CsuxXEwM zV0vi>elja#%B8aC`r@p>+z#JC>PPvbfs5BdF9-Ryf4pZ|JT5aey+7o0y5;Ol)sw~x zD-G2vt+e@T8xFynk!&{~q>`(22WnN(tX0eq2@eXW{Z;b2e^4@Jb5e40AN(oWtg}$F z0oW2Ye_=jssO1>TPjRj9zmj+sr48BI!5t#6P~)0Q`CMQcFIdm5f7Q2 z2Bo$D*j-G)MU**7;3>)ria!lgkUIElP2U;-@8^T)x?MDQ|H)rHc7yo%CAuM?CSt z0=jQPJ>^3VqyzBL^BtIs9RkiU!m@~>D^oP(B z4n0HurtC0LB9|ofYv$L~Nz(S(Up``f?Ky@Yh?_}f-bnIalUuA%5nP3|1cf*^H_&sz zGuDcS`6WlAbsz91^zf>Abxt?U~WpH}b} zEzmYKP>e8P-8LTH7&LEYetxTz1dmh`9e;XdR42!ntMidD2hvP^*1J=AyG7e+Wv_d8 zT^rr|^X35IYwVQhHB+~wj=Jp&8mTxz??v0uiOOzF5E1&}xH$g0GixBBy3u2~(?;%{ z*l7>H7l8p)vq5!yHz<`uiA17!(R&nyb!(=((YXVD_}-?At$ zBF~6j62dAmI0zo7o|U3ug!ZU|lGO0v4W9f9*~}l?f94O(|2cn})j=`h4|Q-GdTS+Z zP(+WB9vi3dYx;+>c_$rmwtFk9s@%6c&dl-y{EprZ?bQO+==^gy4~m-ww`sXU1TE0t zxW&g2yA4DOY<#qW(TdvWPszi~eJ@M&!)@6cW^`NgN{fE*R)&qVouE_r`D~lePc5$< zPiQKnPI+w&r>RIi;AN#1?0CSve;MP+2AOJu3iO+)3>I3jK|=}Jpdf*tzcMs`Qs|WD zc@ybeG+W-INs%W}`8Qs@x@C~Jo;y0IdiHZ;zrS_wqM6u{FX)rEte@H5&7RgZ+5L~b z2IBCp{x3 z0mJTzF!ccszoDSk4&Bl7?J}p2iA(HO3S7{LZ0zVfU&b{m`=e!byV}g!T9fP7p3gDn zquDX_ZBn$v*yWy}h4e+$+VvZsW3s>Wb&0G+2L_oxQ5djx>LnbNyuO2Wxn3&dqR4}R zzUiZCzU!r*u0Hd41AWrTFb0stYru>vP^4&(5F41BOTszy!Dxg3Ri+9v}Z49 zKq&R$c)xaGl!^aGd0S?xrKz?;QZnB$qwlnu0k0c6ewsQG%OA^Pc<&_T;rlP+zm2cg zF~K=w7`e^HWl;i?WMfx zevZYS3jE4Y)a{MIGF%suY<|6RK-~L4aqYi)i=ZXSw~m_~R<9f;L1qUGM=Lp_E?Qw7sUTalz)Q(E0t&ixCnT-#lG@#0B+*h-els zcIE{x^J#WLj;Bw_cFrw5i<m-78$a-OdiY6QfLRsZtL< zdIz}^uFbYxs)lY`fYvNc+e5Tu>girld&LPDGws7b?3UmW3yY3;dSV8k;*Q^L)#Jq) zR6d;X!?X1`tsOLnrsLY99yO_%*yF0^MVx7pw}}-o_6u(_YZT#%6sNvuXuJ|Ozr9hI z`Xa0nsDw{^qqVREc=8KYRG%8d<}pJ>mLMm{dyWAGHNsI5cb){XXpGmvP)OAp9>VaS zgjaVgtU+NSWDO1h81Th76le?I2(|`!85CKbwvnfB$lU|+nJsu6z>G|kL%jB&6z{Kf zH9n!WCK}ODlz!M4jSx=Myn`VL(y<4%h&lG)Q2;Y9<_Rr0fVX)o7EQ~CYnne_vrnws zPCP6~>^Zc*$Lq~i&sHec5xftbass0;s6`Ys=>&38+rppf!v7#cC)mAD@*p*&hX?5) zA!l$a(ZU%tL_0p!Hyn`PMYk^RA>rSg;OM{C&Pig+fjt^IY9GIbOtrbsGFuOG$x2uB zd0*LFl#}uQk!^03AM3l~Ien|`$_sDbh6k5Jd>e`P`MZv^a`iN^)wB*iD}-u)NO7g8 z4?QC!cEcM1mElDr;WOZ6E6zG$F3eT>eaVeObc7n6QN`qNF@>qUG86Zln|M6^(I zm{N=RnWp;BR}>wexs8~@Wg(vc@+Z;m41 z;lR0#;Q)5yI{|2$3uual(;nyoO5ldcBLq||eGu9de)Qtu9df(julyR|zq{an!q8n8 z5C;vqfaihF&@opKhmJ-;0j^+-us*5{6%4C6qg+w?@Yhpj8#@+#N%Hke@->m=EQkl;9f*RE z=OHW`^tlC~0qNj7kjpu+O9bX*18|Ll_bE{h#_O9BRl|lwr}N+(APOD#0R=!+m=FVf zKmdgLZ@}Nn_7!7ju<;ve83AAm9f~Jhlo}2VkNTYgK^Z6`5EP|@uiz~AgjXw}r-2|p zc5Op{JP@3OJ_dn;EQ#dnWb(4$rXsW&1oC0YJ1XI?pxxnxeYIdP2Tg}D&}N|tPc}3# zAodVIQ2=@hZ|)=tg@Ga%N)%{06tp)2l#D(f0TRzgV54XW9!9qO4b0oWzOH<~{h|B2 zl$`x1Id@*LIQZ_?jq0S>QnfFs?%wXeu9$?#F@(jqnGy9*K$Y4)t2ouhKkR1a!}BZ5R+wrmdma^^e!xz8s#uTve6LC* z@7u15CnT2b_07Y3qhcCiC61^jZ^P=0fU=>>cc#8I4ZoEggMU=>6IN)79*j@%ywlI% zUBZr-tS8pmXF0}E)B1;O&x%a&Y)>;kzhm#&pH00a-tIZ`duPuKsYab*G~A)7yf1{U z*^$)osM)&Mg^;{_#%*@reS@`QE*8`U2@`_vs4^@&f>nHmW<`BuXZIHR476P>v&JtA zjNQI+GC6pN@7TA7F_8it!}hGpyxr?C89x@^KYykA!NR~$>FT?X3`@i6DK9Vl_qE!j z);l<|&mLnmr{fkQR+S#-ewFDQZ4PHNC3w&W+wC>@gtjMG#GjO3 zXg;Rf_kQ0!;!1_{;depAVycPE5_nbU_Q#XOJC6J28cn2>STtDiF1r`Dws~ikur;{z z20Bg@l<-8Z4raM2`F!XSu&-|Tx#05Y<(W!Chbffi%=gi0qOc^ep_kibv~JIp^iehY zs`!&~3s1XL?FBF`bC#~I*(C=X2!g!JHm+}<&Za>SJ4vkG|Sar$1Qo zuv-7}iA!8APcLtaeR~Y!!(^P-@F9AeF8boz+jaRE_;=j++Sg*!PjZK&-;ERAzI~E? z>5&e8m$zm~yS{Lygv(PV%tg5eD^5>4q+^S_KAN1d$R9e-ZN9*`d|T9OXYCn=IQ1Lu zMh({`e4aR+IrAX+;RBbeV;|}o%<>y6O>oQ~pYw6tkr(s_4exNQq#{(ie%N>NcvV)M&OFZ|oAiBV>H8i@t|dupUxV#F z=?g1Q^7x?O6wJx#;<=3TbOavL!M~r>#6}Yj#P2R#Q+x4Yv{nE7u{-Wzcj~^LZ;M?W zH?uO^B2RidNtAS1y%%ozNyh$DgMRI^-O350`IG4-9BQbGU$?ir6jnEOIocDZ;vbcz zM$U|IyKq;zO+=R5iR_cjOzYFPkB(PYSa{Zz2=rX7U4GgZWGG`c+cs2lnCs4JT2joa zeTABCmw*^+!`15ghNJO#xbV8W0`0#=Dn7G(%;&-#=r$2ua-Mv3nJ3U`;$F$E$YAFu ztI9X|7Wk@6RN0PAe7kVTq>L}`P0{McW>&U zY!ma{RhP^)t30iXB~mr)v#NRGW@;Q{zJ@r;d~JB!alW!9l_#$97EJZm(|I2F7Z$Zg zy8F3HNR!@8sBAnDw(P^$!UFlx{p;AWZ&Sc*?uURTlCdWUs)q3Y6SKdFU>1WDj-k^Z zL@90c;pCMb#tUCJ^2!OI=1H%CGn zTJ0-OwCoAFF_P${({U*y^|0T8c6|Gjm7AhmN>(+uoZ=f=6~$~5-nVw@ml`U{HhP#@ zUGBU0WMa)JdxU`Z3aXu*rTyMB#C7KKsT%1%DZPY%nvT}mb8n}mWk<{A_i`?_4H@lH z#Bh#Q(XWb3EH!&rtdB}q`{<|cT;uC#Ym210`OSS+Q5ln#IXp2@UEhi)4z{$;Os4tc zdwt`eLDDu^Ym+iPMOnhj%!WR{PzUIzred$r$Z()o>Pwv8lD6KtnUET3THW`(S622{ z6a0HuCby8gD~ufce}>pz#c~k@$j?+i;9OF*KE{Mar$~H_CJFh)z@`k3;`S89t_osy6zq~B63PCXK2(HPT8isc@d0vepAvrs`{$#-NJgt`=v`0 zs|9u=YC6|E<1dxV24s6ZCVZ`xPCqUDe6-hN{J;lyxA6TPdpi;mucXeUoTQ6sTbT^V zNVt-!(VZIFbf}LhjXtJrzS#=9Pi}GHbGbV{4-)U%d|d+>04=x*{;f*FpP+6WfEGE2 zy}j&DyE}PUpW5$u!h45<9qJb#o=nF|bkpM=Ms95TAOd$cz4`I{@2?$6~gamz? zAmXobVfIHD)kFdhB*;br5)!Nz2_*!=mPG!rR7A$rf8ai(J?0=-Z({?(Km5}qW63{)dyw&kKX7&s!b=iaZ(}RN zKdi1J^<;w)0j-1KfChg=kU+*`f8bg&&iDgQk@4q0FfIfUnGq5Yke~<&`jCK`fH10w z1Rh9`y$OC7AqlCmjs&uyh*+$VAOQ(#kzi^Q{EikEhA?Ur2Im{sANfW=>J^docKxB( zN5;B;VCHZ{G@9YCp3on99%Q`H4gaIgWFv!<$a<`Q1YiHp!DTNXVz)+ugbP%HTLAVy z4AvqQr;q>_0eiCJkAOxIh$sn2P_zkt7eyaZgE$i$)kVLIMI36m5dvM*EO}IR;@=6A3(!AR7rtNU)9svayI* ztdSrA32I~Eyx;jp-cKR*a2FA#jgWwV1VtBN)17~q?jz&HKQMC~A_7e$@IZoWBp@Nd zIugiULd0T?1PMq`iv&|hfQv^MH9`Uc5)>gp9}+NMMi|vZ0uLm}MgkHNtRsPJ0$do- zABAB}#(%cRgam|7wMa091h_;*5F;cYY=Ym7rwFOhhXl-55JokTzyk@gk${8*>qsD* zgowo&2@;T?773;{!SBT3k`YFYkbtlWeg`Q+YV;uia|*(!W(r(>8{gRfFHH1+kBp@I`5fbzv0W*X!s)+<1NRW*LBqUfz z0@>?`SgesC0SRi6U}_WmE_hrj!l=)!l>pZ_#MOpsgaEYBqUhRgfn6HADJqf1!MU?uyq!~g#;w1MS>|Lz-1$B7-hq8 zk#|`A^W~0!)GNw{hnVP%eUSg?N&1kA%sGe{G?Bmq39@rwABz6)fkejRf8cdwaM@f$ zjMlkuK+Zn`CXg|CW$2$tttI0>U-YKP_|MS^?gk<TKf`mNS2l9U_ipVac-V_qxZXrw?AprpiijbfW z37GQ{Mm3SZ0|~N`fP@6=NFZB)h{YNS5|E%438s($cN<~U2nh&CP=o}1NWgptVN??d zJdhw82}nq=js&uI5wTb!K>`xgBEb|A;O-%e8X*Az35t-Q4+)qH5k@tUzyk@gk${8* z>qsDb9}$Z+5+oo&EfP%KhuhW0N2mYjNO2Dkrj3w*fCNQI(1!%fMF^vsNZ^44*+@V_ zf^{U2Ek?v*jRXluP>TdpNPsIr7&Sry0umGVL4!2&9Kc0%&NIen~tRsPJ1tKnMBuGGlS|pf4 z0$e4+s1Xtnke~<&`jCLR3Sm?e1{mDKXvn#WYWs!&QG`Z{uvkSUL3{dFMnyvsPkw2d zQ-o-WK%@wx6oISym$EKJ@TCX^6rpodh=izXekrR^1ZRo>QG`Z{uvi0o_2RczwG^)? zLNrAnQiRb>AqwJpx}h8e=~4vWO(7a8pa`85f%+N6t7mYGl;r?8$HV8G1OA&l2LcyjG1$Ax;aE`s8_(l<&H-#t&q6m$Y zTZ1krn_Fe}n^FFQZ|nR|3=kZ2+A` zhkydWJIfPovlBJpdr_Y{hd$47e%a=`@V@ecIsts*Jp0dVe&)QXQ&N7P^)>i5fG(it z3z5tMychLN_?`y7LtP9j@K-Cq)v(j^VFEzn63_CX>4#VK68Z$xH1KhUp$<9(6aXHe z3FvjW{(if^smo?;?sCMt@P5<>@QEvt{LB^f8TB>zcGS~V^n5i^rvUFoeG|SH^{H#< z|5~Ju3-3pL0H3%X$jZmn~=M0W_LAk zhkyW(xMQZv+~Ki1?AVg_{M-U)Ba{9_hkydW12h3WKsP;yS1l6+*tVv|AfQcc56gdydPs=86cs5NQgo$A&Jjhk zQk12rOVN=cJ}inlQWT}AO3{*{FGYH;m?bYoMT&+LT`7|DMA57iWhv@Xbfk#S7eyT@ zic(aiXi3qRB28kJyc88F8d7wnNG=dXvr?3$s7uk2BEC=*b)+atQI(=4MPG{aA~8!| zii#8sDY{Z5M?}%A6y*_0F5GOdptTX=%Rasp;pg}fie4n+qm+E11 zUEOYNSr?zAC9|p?R&NgN468bmwgTtq3YAHk($t+q@A)k9Dp^`NtJd+eT$&W|jEmmMu}|Eknax%saW3w$92qp`Id)=j_#U6Qdv(+ z5@rQHF$dCfHldBYr83B`ZlbBU9@5{6<#V=y%9a|I`j(us&9l_7)VJhpw|OAHv7MeT z3Mcj(pVO#0eOkL|*FxP+ej0=em@;F7_ZT&MlX4Qrga;Q1EvkXe}E?DXqi1^&JMqRh{nuG-uWJG3+Pl~%$>@|*>1L${-(zIEq@(i6Y z=UMg`7NvX)nbl)7HD|Csb2qD}RDFiVf21+98_rKSwd+i?(zmFeJ%!8Zr;lSIzyC8$ zFV_22Jx62fY`2^o{+ahx_-q`*-?3BS<7I6A$KfMtEJpgjPn%zSst0@>eh5yC7KE?J J$6_Zp{15MmL=FG| diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json index 3316bed..035aabe 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json @@ -1,9 +1,9 @@ { - "hilbert_fir_dpi_pkg": [ - "hilbert_fir_dpi_pkg", - "RFT57", + "uvm_custom_install_verdi_recording": [ + "uvm_custom_install_verdi_recording", + "EbjT3", "module", - 10 + 8 ], "_vcs_DPI_package": [ "_vcs_DPI_package", @@ -11,29 +11,17 @@ "module", 1 ], - "vcs_paramclassrepository": [ - "vcs_paramclassrepository", - "hEeZs", + "_vcs_msglog": [ + "_vcs_msglog", + "zQBzV", "module", - 2 + 6 ], - "uvm_custom_install_recording": [ - "uvm_custom_install_recording", - "nhiwz", + "hilbert_fir_dpi_pkg": [ + "hilbert_fir_dpi_pkg", + "RFT57", "module", - 7 - ], - "_vcs_unit__3504648197": [ - "_vcs_unit__3504648197", - "eQdaR", - "module", - 3 - ], - "std": [ - "std", - "reYIK", - "module", - 4 + 10 ], "uvm_pkg": [ "uvm_pkg", @@ -41,34 +29,46 @@ "module", 5 ], - "uvm_custom_install_verdi_recording": [ - "uvm_custom_install_verdi_recording", - "EbjT3", - "module", - 8 - ], - "_vcs_msglog": [ - "_vcs_msglog", - "zQBzV", - "module", - 6 - ], "tb": [ "tb", "g7hgQ", "module", 9 ], - "hilbert_fir_dpi": [ - "hilbert_fir_dpi", - "fhaHS", + "vcs_paramclassrepository": [ + "vcs_paramclassrepository", + "hEeZs", "module", - 11 + 2 + ], + "std": [ + "std", + "reYIK", + "module", + 4 ], "...MASTER...": [ "SIM", "amcQw", "module", 12 + ], + "_vcs_unit__3504648197": [ + "_vcs_unit__3504648197", + "eQdaR", + "module", + 3 + ], + "uvm_custom_install_recording": [ + "uvm_custom_install_recording", + "nhiwz", + "module", + 7 + ], + "hilbert_fir_dpi": [ + "hilbert_fir_dpi", + "fhaHS", + "module", + 11 ] } \ No newline at end of file diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/constraint.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/constraint.sdb index bf6d968218781aa48217dd14baf421f0f97c29e9..04a4c624ffcf45187e8f87d3f0b4a1d5b30852f2 100644 GIT binary patch delta 87 ycmX@@eA;<~f;{s(iImC8^15I;7)m!o>CI63F_acofT(kZ(#24EF_gZn@B;u?oFAe9 delta 87 ycmX@@eA;<~f;_YQmgdRI^15I;7)m!o>CI63F_acofT(kZ(#24EF_gZn@B;uYfF9Za diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version index bfdeecb..adf664d 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version @@ -1,4 +1,4 @@ O-2018.09-SP2_Full64 Build Date = Feb 28 2019 22:34:30 RedHat -Compile Location: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir +Compile Location: /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/AllModulesSkeletons.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/AllModulesSkeletons.sdb index e75ed5d39e8184d29057984acf92c00023d54cd5..597804c1b3839b0cee49339eae35394376b263e2 100644 GIT binary patch delta 109 zcmbQnJ&k)q2_w5GBRe}Id(`G?MgvCXcM>U+*D>jW>3dL`j~T+ZgVK3WdLEQM2c`c( RX+0K*`Zx%^xsQc|5daaOA}jy^ delta 109 zcmbQnJ&k)q2_w50BRe}Id;I2VMgvA>`7OjW>3dL`j~T+ZgVK3WdLEQM2c`c( RX+0K*`Zx%^xsQc|5dh&cAyxnY diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/HsimSigOptDb.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/HsimSigOptDb.sdb index b0506a83d812f13a4cae563aa0633329f4c63b97..b8e35b6597c012b3d32094d7c4ff874c5ae2ace4 100644 GIT binary patch literal 957 zcmcgr&ui2`6rSuBs`vxZOE1ztKybWBJ$P6OJrr87;7KS$HZz+H%_i$)y2U+hONI6( zqW^?mJqbbtZyx+lynCwOH%YdOlpg)UH}8G#z2vVzrr8k9Qr zu{fqXNYWpRSeJ)hC7GSb!-?E_wWr#c^rrGdQzRLxMq`=Td}y3kdSYc# zYLl8m>O5b>N7l*8j;D`xY_)X<|E+0KxkRE{z%8sK_k95{NJ|~S6Z=q4lgi}rP>tit z8>cc1u1aT9<;v2lH1H3#5=7~E;4;~`_h(7F~t?hRFlsf&rW|=2`iIukq?a+rEfJFBT zZcr@OU%dbM8KDv5z#y#6tZ<`y)XVj4{uyoCgD&;vlAb|70lUBZ6TfwtkG>+eda0oT d!li=O*>J(2dwWejTsG?cR@aT~^NffWe*x%i`;-6x delta 387 zcmdnX{)4Tafm!GX0~|0{K$wgna3L^*u?I*^0Ad~>J^;iFTp$7n*nxazAnpKzmWGA~ zAP)|JvJ6a69tV(SfwDP)G$R8;0|Q79$YNxe*eAmSq?j0{8~{o%L`_^TC0|^oUzD1d zl3!X9?-=eLALOf_k(rZ}T2vCBQji&MH1WR*V+c{|Bq#SW>P|k$_?puRWM8`cmX66= znbbKA;Jl|yYFrGD;9OB=la&8J01^O#RY3d*i4PV8x*HTKKmen`Aq3K>vQu$UyU2(${c2xhMK&&(lr} zJE1#7!}A7yd3co{*ehv}*ejX2ewnaF8%Yo#YJXvN@p>e^KQz94VRiA^z2x0F(zD|r zg1si`K%Q^7X^NbXP5&Yf?tr9Vd6Vvdc)a*s8QbC3x-;}o_|=0*`mNEmDv^1dcAQPv91=7a@01%|XGSmCUwAW+X|9to>jrgYOjNrTdZzYVxSuqtp5=ic(~5}U zj0l|s(;!Cq37sxK#tlpU_)tP0$Ocq9=BcvegXXMHZ(<^KO+i4 z^LB@nY`Z*I6UTybnwr1j{&hR!Wm({hrOtj-n_#??`6+h!AvfH43t{nOwNdKo`c18iw`J>wG?II7|oFY8mo5^``y-uj-7 zZ>Hj6adgqd!9xp5f#U*e3{>rX3SL`fuZVoE8i4MG`B+iKM%$B|<(}W0hYjBwCkxrH z2dzPH|KFPo-SGUpRmt6+-$|`5w)h@(4blD;aR{klxYG0`2@5%!2f#_v%WqDNu!?;1 ztU@^tijLg3!nc?O0~m9mvy$gVIe+waZo(&G$I?GkIgrCwp}vb&AZX5Sh^m-<9>2}v_))$RACr4t2S*cgqnm^P1CV*0R~94PF^=Q=W@qv*R+dru8ZR z+_k3}eRnqB7~F4XZR4l!4^?WjP0$oCqgwcJU`z4s!78dWXJ}IDkL^%#D;+jhkbO}U zw*jVRCwrsKY%$QtQB(J|$Jg~=xdCBGwZQ2H_qBBYnj8Cx5IuAO2Z6orp$Tyqe?-2V zw4DE09o-$dr*cZLyFA#A<5jaDCGVlcV^R11!7F`C;MDW!d86Warljp;OH`*;Aa#vC zZ=9ult)*p+=S2XZRn0h~mk8YpSe38XYhbXxFCPGhMgv$R!!s<2C=$~UtAujYDHDtX z_BYOVZE+7>9x{p&w&&lL6kf6gG9uSFxwik*q@+mQNVJV2nU>}9fh}j%zsO;(2Eg3i zSFQS_&6=K*SpTL8U0Pd}#ocb7b}HFKby}r!RlC*h_UE zaW5(b>;W)%)V++j#je4wNxrKsQXh(QYs-qloY#)<%j>pXLF`D7QnP9@IHfXJV`S~_ zi9QHdj>F=NKPjx>%W5n2>X|`KJLru)VU#~D0*>45p!ctq$6yGvPDEcfwi*Gq^Jet&(Egn; zq%gcZ($YXcL=A6c;y{6n7{+nY?Mu@sTKsno8vQ996NcP0j^@O>1G#+rMK@V#xJLWi zcAE>82{YWCyxGxjWxcWzo8>3T2mz6Q(`{8$(L<6V-+qnb%2me`|83$BehNh+Nmrce z_5wI8eEKXd^=q|a%NgU(h?X{q#;u-qiU=R-8)^b`Rvv$6#&~#u%6y;gE*@a&6q|ik z12@hM$d3;FEeKD#=e)5jP-cUJ8rKTT4&HKJ`YhLMhhP`h4slH%)SFR~x#&{Pax&to zoAAcc_*%3|kle}`O|6_)0!z-b1^zLmjsdH!rDeqWPXXNS`HSMEpC;!x^;=3&7&8dV zYwyg7R*~~8xxQK-e9r^5D9Tr&Xq567bZ-bI@VkZMVEK&f3tYQS4wvGWV(Rpl{8k8Z_|J^sJ@s{nrxPpYLCI0&4)x z4I8ctd0fx4Xj_&%4fC~znj|o84Dl}W`rfUb$KIh7rp0m+VUx0FkfAXGk0s}7T;sY8 zj$Ka^o_4X8LEQuAITx4n1oP-cOK6{7`E~7@4!tu{VO?r!VnJOW@XZl*03G5%=i#D8 zpecE5VB{p_N)P+$kmp)qo?OuJA`T$d<%T0|)GT%1zYr9&_?sKiymD4Q5|>t0i8&i+3*u=Z9`{OjbK#tET6tc!24N46MVvQ3Q=Q$Ynn=^Bom=r; zR?p-n(+8exOf`60a~dF`rb$EXyF8vxDV_don^N^)XS#9kgTi+N(Jf&|El~sar`Qh?z6g%!(v$M z0CT2pgEU-u(}XfNL_=RMmL8OJy>FsqLFVqD@^GHRPF3cqce6A~zu3E`hvIz#yY0th z6X`?Pza=Hk-pEk&nT+nSZNFkI*c8*###ODpULr-~_LHp1VMmrl7INjZ0Oa zapTF#WTxuGY2cnSnL5!r4Y8$FdF|GOEZnR>jb_DE?;P>k*bQr};B*_BLapwvQd0$j z6Z?H~@Enhr84KD*;z%jZLnHh!c-}-Oub2nU4z}gSx2>;i52%yqZqXyulTG`ZYBkKT zQE^r4>bj)i{-a#twF#@tlY?x$+=)3^k_%s0i!Nl1EP@cKMYnTbw!9TqPE*HS+esy1 z%h0MyyPB;y2&`sh&Ao9yVCBf5i2$V&z88Ot#WbvOtL4+?le$#1dCs&5n+@XO*1kyL zyIX3009)ZZ0=38g3NjA6<`qlcyekGw;OQQ-x(Ib)zXn-z|J*VgN+|grp>tHbzvSU@ z_bX?u715^tJfWIj*Y$JQ3`Nk4%Kr1yEqZ!6wnGP!(L5|sdgg)$Pytq2cn9wRwxq zVmWc+FvuRz^B1x}q@IqqX?)oXQNFyUKDpcHWH#GMjU@nj#e0VS6x9M+uA!2r5`}ZE z`@EBV6L65`z9aevmztq@z{7;f*-<&}yh(KPGPrI@tOlQIZP~ylzg|UUF`+-AlwG;? zn%7>?vyi}DS?=0=cdrCL4_nZa@Spk%Aeb++vU}Wo4ebv|;ksntB%Wrl*VDG))@n?G zKJcB{8n#z!*tC%RH|ldXHbdWSn$EaR?y(Pa*NNqn4r?{m|JJfkLR!EH@20lj)c9EW z@+jD*{?Bn|4Y~FDg;r@+>u2{vcjsU}2Q}tRhr#QtP&(sOtD^o7X_}7e96IT9&~+4b zA`G7nU!Ykr{+~8qV!!EnbKC3Y$iP$Q%B@wIMYBK^|LJ_l@l!8}tDQEKs@5(rnko%ZgBMe8D(W+4w}i?@lP4&h+Pu}F+lzI=pqVjx{BL8$qEzg-+(-0igC z=KL1HCw8>P1|Og@j*B73rn`N^kEB6gvtFwAHZ4phY(=LIBnY7W{61AoBLlFP5 zVHq4lQd=jYp=M`PP{Xy^Hn@RW_JJw~HiaenS_nrL?++VW#cUu$Kf5znf7a?W=u02b zgu$&;Q~V$E2^Hc+!tbhVn;Gps&6ikBQ>-{^Y%n+H9pddQ@55& zSsV!;7jS13cV{oFcO?j*YdB_R;EkD`S$JI;18$E z^bjs|xgO6|>MHXkB91(_tI;MlDBZzs_+vQUquqr6i(!NQ7$6ty45!r<(ZIv?dlUPV z<7fn@o;{ab#+lKiE23Qw0E)5x9yJSaS_8X3HY?K=;R7%vb)5t%qQO<+6XqWu)vrn zQ5>kieIG;SeUKq%S& z*$e~LY+fzD(Rj zVCf^e<{Jd;9~s+R%|bgb!58M%c(5C*XKvR=*Xc%YYRm$jUuImv=L0d4O}hhHF@-dg zRcyolWj3>QqGL&x718b!R{QNAojh27xm7P4Eo_Gn?~RO;3o8{>}f zf#_!8B)*po?b;c}2*g}BVax$Sv>b0tR2zC8FBbmBaQ_c(onlxqaQEA}JZQv=%X z**m5|xZJ%dDi<%bN3CP5759hsHzYeUM+PA)%lt=-{OD=;7@1*_B5(fyquLK zHM|r(_=P3-{nYfwP}BuW%rs++bNsuzo;#~*dMv#Xw%jh^B$5nBzsCgfUe4UG{;2 zNU-(&DdUMI4CCHiZdVhYEUuwtxLJ)dp1i2~#2SJw_mRMM)_o!~+EHn`! z?wBQSO7^JV8H0#YgF<#oy7x5vk}L#Lfzeo2lGW~%Qw+beMKOIuiLK>VFRJ+0%hXPvNJ}L)*v+A=7b0 z?^ek!10XFC?;9Na*v-R#@pn5_=3~vz_7}5@;g%k~>ZIFOT5&6s{at1|gm;-QCT|B0 z5wexw$-dr&Ko`?COQ<;1T+3AGl{;)d2g#5kdSZ1;ChN1(^VB83+O)nOHSz-XT3!$P zw|kKiG#d#UU(yo>;4i#N7YGEoy=sZe$T&K4fj=eNe^AUpGzBy~K@`VZwC_rqoP;22 zG&H~g7SmZM#h|5j2|t~ByLW|h+}%`sq&ZU-$+BgPWD-#tTD!gqzA~{CJF&7wjN;4t z%Vp`y`EL%R5Q6v-oG6=k={Lq&Ee(BFi;+C+MAU?l77lm;;-}L1UxEa`LVwV&6<0dw z08G(`dR@Vn_D9BNn+}Bu%qmGvE(Gyo?8^AobTg<@K}vy5R`t8Eo}iO_>{N+HD~+uq zo3(#`Q=A03(K!M+hS&I@v!(dDPjVx<-Ptok$JBT&6&|;T++sWEL|nE%_<1KLVKTwD zm`pyoKgC5)**uw);|L32{y$9~DV~}6zzLl)7p=Kqsdx9p>!b4XckQg)wqWn9q~=!K90u#}=+W2*wGq-s|%)}(ZwU|c7@ z!-=V7K_%$LeWH}3o&T#iNMVTz%^FY)^9^-VI@;eZ=_F^q9h(PkO(v$&<9+974;Y1( z{2>*KHLyB+)3nJnJ2JWQFwrH{mvF0S9>UqrBtw*DjFc$IQYq>$8;xA{d2V%-L*1Kk zhc622b4acr##9_NbrQ>sB`9i)!fnkM(Jyu0oD9x}(5ULw zYt~JsisBZUPlW*#SGwn*sq+wue4t*}a~2~w;_KfKKdL3q1j*!|VR6|Cjpf<5+TOr^*}9Jy8eZqacx55s73z;dH(0W@ zJ4NZWL7EdE;~4eSIgt@cTP^B-z%H^m<_rR% z*2$7|aoJJ(f;y^v)CnP%)F+cI=1G%MQ6igo(;FW-%F+>OiI!}h; zmwL4k+o}|-qaTgXlypNHbOvR;8we04)E%$aN*=|j8h?;{&x$aRnY zgeV#4xs)1ij=SA9$b#_+=K`6u1^ps>AtzqSv5`+PMjOH9v2qp=E<$afmfoh{R-JJU zqL^!d>#q8tbsVZW>h{KzOPSAqeEMrnoA-j4{=w(5SM$DvH)_XEAnsy(0u?QygNGYf zz%Vu9>JEtW_9ou)7olVMrgL7SjS+96fU-d}Hqr$M26EW9>WH>RW#+49U>dTA%c*d$mlvaC}?6+e+7Np+=4(lM8W=9t^8$Aeb|Ja zTDs+`Pe^K-=wPqWtxtxC*q8`{=+j>QsBL&&Ns`63UJ-jbr_ywzj4kb)qJJ`{T5>pJ zM5@jC9;ZxiXLNNffjp7AmS5)(y7;xF89fJ#ty*4kAhPmKvkWF}Ae&rBr4I#l6C2r@4Crn4g zHJU5Kq4)_xlta#Z9{Wz8$6-M28)#~LoB6R9ukR${MhvT@FOwslX!9SiSduJnvFEpK|$x`|t;^*Rf_lGl3pOp>J$xHiq-9#si zgn}!_?hZd=$3p%jUiUp+BFk=+$ZJ&zq8A+#>X>Ms=$o9Ow1DbcTu`=Q=eE}L{sbQg zJ&#DkyG|mvZ;4^EB}fn1Q*_inJ8C)RqDG~U%sUBq^zU}Tu~reY_UOB^bJ=(|Vcw$? z8C_zHd4-#Bw$xyWrXnT_(=Atqn&1u`Y^>{bZ!c#cN3O(Sk1^DmU{r-=Z2g{o--U)d zpOd_u6`lSxWhf{Wj;g!fADKN`(z5`6v5}f^ATbbBa52Hhw8x~+Rm;5hyN4RXa}3>k zDvlYrVfRa1jfbAoOVfahTnWh=L)7}-aQ5eJmkG-IzJcf{2gWve>$G!=&OnV1gIv64 zk0Q*V%0ksmPH{5z*K97+cTW0^=xlJOfoiYWCkE#|8;y3qsBlR=IHv7dm;;D{xAPtk z32r%Z3?<*=oimmC)V!U4kEckY5 z5z@9k(26$;qqaP^%9^sT=$HjnFbOJ^&lEYKjf555G&6#e~oWG3j4BXWEL^j`Z5i!oOq8A zk7gH{oCG!u#1B;H#d^r(9f*4KqoU{l%}VmC^m~nT@HX{;idMM_abVN0Q_>;1U9m_} zCB2>*z(J(SkCo@K(C9bEz)3p74l6mZ6tF?ZI2cvVZ(*Czu~M`sRGda@pk&El!}1k6 zBt5VanwHWXo3nI(84^iwDWIWU22(R`94$Y0@9# zUe7a)inydFetlaPzcfY3K{l>ZB0p0#h+gO-!|NjnBm9TpOyP=L0pvQRD|SaVwB!0^1%93B{(k3a9GDOF7;gZbzBv1vfVi{2g*_! zN&7YC=LyxkmrUX9*WKNT#t71HtcO&^Uo`JR#+2t@K~RzbR1{$JAxRvJ_|b>;U`)=h zA8o*JW1>eSi(ZiHMI===f$LEYjy4gGthbZ_Nd3b?ttu_MnJ$E@1L!_{_K2Q>Lc3?G zgz9VbgH)VcC=7I*-(Bd1^mHra;*zs4q}6Yw?m*+AktKSvWP_dxq95wE@w`cXc_Nqk zO*yD969)vdi5w002C3-{SFLHo!CxWvd$8(c=*ky&l2iJ_;F4hzWjh$}K-1DqQU?%6 zOPaG9z7r{QnctmAVIR(mD0g|a#frq0^FBf#jtU7XcX1(b85Uh?yk3hMNz(k>()t<^ zb!+`^BvTgQ;BHaVE*Sp4@^??ngIqBlgvf;v**Y-fZ;q2!6n6FW@I?PUxjbaiyqQ*N zqx@}bZ{lR_WW=B{Aa;$Cywr@WPrphV;gu;;l9o${9{CGK#cLU3EO{2YvFGBrts(7T zD^6p&8aZe22(`4ospC;Bwlzo__7W23uv$>9a-v&>5L%)6?nFe?=2f%1I^QqV+#rz- zhi9TH(WJa$LC^1K)a73?H*Y<1nT?9(D z1r)#<9l`IbZ|x2Y`;HnRq4{vH$&v?fC_y?!Q3uKUJnLwVgb599VDF^)Y&`ynkFj(o3`4&g>&?PVm!IMa$v*tUtJ_M@ z!{f@(YbjygJD8Hc^O2>w8W)gJ(SX~WXzNdM=BEf>hfx%Gz_`>>kmq21>K;gJFcpm< zBoa7;<}2h1xRyo|FD-*OwRL9m1wjmvYPFc$aTP-u+0xI#8H`0s2FU|vr>%nQ1|QOz zL*jy!>CX9hqF>C=ijACnOZcsrlX3*$n3m*30l2P39`(Q~8(x+)M@N4(n5J*0P|rr3 zYEI{A_PN& zc>NPqZKoUH5L-#KRk>Bogk!hb)kDMM<`V5SByYWU#Oqt|fER}n9c9`3=k{*4Y;`U* zj`%7WwM2bs!&O;Uy>Spjw1U9^Dr|5rO^;(xO~oA~{D?!w?PTojZ!mX6C!CfT>-H)k zZ}2!ziqXy}S=tRVP`qq7^_40V-4+%t^jB#zpJ)ki;b8_VyPa1w*0*%f%QswN;TWc;4)>9R^1fdmCB5Ee7CC*K_**GNgjMP$HEI!Ov|0RT zxf+MGB>1RGkhnbMq@xi{xF}mW#Q+nD;B+|rs<6_eq{n@=31u2rCuAZX`=4ri41?7o zDgQTsiZ>eA(7)Rpk#C^5OMoXTx%p`I{XH;Z8|WA<<79U-c(>{SEmJz|N&9}&#p~&$ zc-QISWuoJX`zUfcnO?-+#sVE#t$DLtK`hN^qLtfhRr7%VH=Umxq_zf|dP8n^$XY~Z zPo$`|;UOAC;u0}~9YvJ_e0odel}A1&PQ(D_KPOqVV2au!AKT1zQPf+#BaqH*@D^vB zY^0veDh6H1N_(B*-$lvk^&Uxu{1Rx{);dPxx|hpiSyzusnwBZaw6YjHME=dH!C#l3 zLqDheoHaJj%K>;y&(6|i=TwJR9#7}-QCU?_pA0R^(brlbQfa?$R$?*Z5GB@`_7nm5 zTMvUJ$)B<*#P;!G}bLTF?=Q;4PGu}}WmsQZd^DI#QWqT56!TIRt#!rioVz&g{ zA$%>+yGEAcCY^9jVU;|Ov$7$7oXl94lHb-I(s&6V;BO&IzZG}sr>B{5v%YAa+q39T z_b*`&*7@wBG5^hB#_aFdXa}gnLOucG6_w0ekJv54{$@fnCAu{MMdiBRgXGQ>A19@p z|Isj!tv^E@Vs$-YJK_8qdqI?t@s2j;GxYSFy_Q~?#;5(Qu3Yk5vbN3f_eafrh9$1 zkIr)~0dA7%n9f&0vd{Q7YUMEe0ZM<5Dj(Z;5V-;iWkHp2gWXC$jpoDhnEA&D)w*cp z8E7RXOF~oXnoX`NmCxq9_!6#7t5N}SNbG*6G$n7FtxwEhqpPKkP*-s1(i`PbVf%ZV zzA%{3Hz~ptHii@|mJsNJ-aWu{bKGMk`(^4?FW=W2tuFyChy#^{Nmbhdh229wmfMn9 zgbZ~r3v?|m^A5Vt^7%G)x|p2vns`3YY-Yfs>zP1+@0c#W3`YIGZ#_NdoG*QZB3VRN z|67Dgz>A^utQ^BwaEaJZ=#i5#vOLs08|mxd>XkHqrkw}`Tzu*e<5~E_-NbcDhp(1G zCGJmE9{xFh6eT(&$LgdNy6KatM%_bnYZx3)s44V^g$tF2SNX}8WFaJZT8JRI;LoRH znQDmkND8}JwcPTARl9^jRkNcwnI5L=)q+(R`I_E8ia<6#Gb-Ervf21p(o46A6H$c6 z5PL_GRp2r}y}&Ga0{wA02C)~hdXdV22P36ajNsNKT8%C5tp2kD@=8rDLv z{VvCMJ}W#*^hrCX_J*KkO6pvXG>@@*eI65U{Y=^pC}bLS@e$b0c=Y4+&%AhM88LsN z>Pf+aM;sa0Me2+B#*PJ2L%2}-9!reqVcwlj>{mQQVx_|zPTtW%M@o%>HzZa@U_+ZQ zmC1E%hYnHXo0^`>DfR51mQF^h{8e@>bNZFh6&)qsFW^ z1@hVki2j>I6BW}{bIgPmLigl~Dfkj)#Kq>`!aZs?AWR7wx~S5T834ug_!Qta=kp|% z^Z_skY;Bi9f?Rp8hAT0WU;YpoEw1e%&-A4#jR^D@`@K;JlE2$hTS`*s&8V*ofNUnz zi;vw~dTp6ZAY%MkO>B%4PCTe9(N3X0)fy`RbXfBycz1qlvZl<@ox`T3?>S?mbpVMP zbDFCm1lID`o}5uN1#a_6D`B502#euOl1${1FpiV}8fKA2e$2d?t!%l5L4l~GR!I8v z+9~^Ux}R_rcmphwVD{E65ZWFb>N(Cx(()m6-8GLZh1OxK5c_&;Z)k0>_MR6%Z5~F>zp~7I6)cy)C;)e>utbrK8o9+6YOj7L zV&$!CeGpI>^W@0b@pBfKehy-~R72sUUxUQG{EVud#o}}^*R<%}^Jgn(vyf@!F=K-y zo(6s(h@_C#kWGjXCRzdkel~^D;O+{r9lL?~oylcnTC_zHIo6Gv&HPpCC20O~St6;h z5RShQK%4uO)#Muc4ndgXH*q51#z1|jUWtq81QH#K^$n$C!38&@&)PBXVP#c1N7G(e zYRSCqSo{l*mq`+(l6OI=qg)0($~Tzx_{LZ3-ROnaUm7!I9V%)SMsmXkCNBX|acw;( zVFpTE7hB zYZ~2TS*?b3NK?03f@&ETJwBXa<@0G(muC=y!TceIQZ^@1=GYTVc1aEJxl&{aXNk!& zU{RESISz&}Lk_#}i3X!CwyK3tRk)Ezg+WF&<0R*Io!Qc+blMO|VU1)U&h7YTYkg+x zaj*c6orWWtsU!0t#!WtttD)3ylAih~b0fHqeKdzq{N=DNV|#y|sM#T7*4P(==`dnN zbE}dkTBvnzl}vt%@f4W1ak^KKwdU+fq#$~pxZAu;2|hBy_FPqBe#KNVd{-nn$DjIq z$%pvoQM3^>?Fh0n%04PJZwR2KxFNpPKR}oHo{U;EC(FvLY;M8I{L5VJH+Shz=--3w zT60_UnMaihD49}&GMJu?d<5&QsBi?o*(g}qAo)=V;_Y@KsXqZlR%ZVJ^@})AkBX^d zv3|L#hYx)m5;*N3h$SjCiA|Q=ZndmP?|6_!qW4hB%XZ-k& zc`)8#ld!dkf%-|NS(9}HG`QrjTUK07I|?mgD2Q>ZU0@MY(7GPu_s8K*68zY2r>%7a z_ev0kewT4{Irg`G>dq9xt#H@~^&tz8%P3;bIK{pr=|i7^ZC7$E!VA@%OY|bb^E@w5Br~T0AKp+`*UKy$;Pm-Y?^r=ycBBXH1 z3a7YV-1mssrEo;_z|U=eY!oSj*jxKQZliN~KJH8nJ?yPK{CXj(b!MCFlp!1u3vC>& zLg-`~Rck`|XqF&T+Tu!9J4v!LDaR)ehLu9&=~wglHc|}n2xias{X)3Dzr2*-AWAxf zl4$RvV%kQfI)(U)uf9A+;IsZFQ7z87EBS@XwCSgjbencV^SrA$40|3BX5I@e{n5G) zZ2+foY(PSSJva%mpv!J-n`whs-expi^z^KEV0@Ou!6lqL7y+*q5fi$Tp;6{Q(=lOhR#CQkwpoHsRG>!a$?&gsa*GBM|8u?A#bF@%?W(g6mt@elpUEX8uQ4MhWba9$55GcgXQy2OpJ9LEK{eVx6i5%2wh~ds;&tT zNU{m&GkuOE{!Ce?qTp6uqL0Nb@g~x3@tR=bvza&d6`I)-*mtMMUskFq3C}R4L&gv- z%`a{pU8u;r^n4=00w?n+AitFmeO#drecSBWh6La9eT75?lkrDF!q-&s%R)l&M#Tt9 zLY9H01jjy-hAZU9kf!!PP9?j2TnXE6TL)2n+S`gtck7v>hjj1NQhyJv=R|Qm6Q;#P zHy@>rWI_WT6e0)CDbSfXx^%ub`h=M0!s_V{d0K< zY7pR$R~;ogt)gl(s^ce2M<3mSPo&*?(xfJ(iQ^hF!l235plLUe(|5zN#PVwiGQ7q( zeI^%1!!Y2htP3CY+Hkonr=qY)zv$uvqgIYr{`AlZ%MlvQxndI1oPOvGp7CzlU6Q|= z9<;(Ko9V&>wD?L0RMg}efSD?+VS_U!hvn^`daX+AB-i<;JLdXH=BXl)m1=Gj>*2Ru zS~zafZ*F@FwcmCuhKgU-p_0e72+?KXYPKy|@yCI`&f)BktZ7^vAXX#rbg-%-2=s&2;Ym(cg#S@7y4KW1C#4vip2|j&fsJh zDF)t@gXme`Q0rnB#Am+9B5Km>sbX2xqub+5GQ_4Z1?=rOEjj)@8K3|HNcSA4Z~J3} ztP6n3E+dN~=uQXL9wNOVm^cR|;`*1g2s#b%%I?YmA z-6p3FNTZ`dcXofM8oATkjpN3&k0Nj3aSEY*cI6<~A01m9@~$Y%uT={8tRK6C z-cmRZ1%^U9DXUt$4KkjNstg=~n%v*XO*f_f;K0}ge6SC7wkit4nBG(%)$`iOiwZF6 zax-cq!6-_!5DSqrD6q%Y?|{xq9ign?WcT-HE&jQ>4##LvURVf4&S?U&bF>};o({B( z0N&)8X;-J508UthQTr$8apo$$O*(229Pv7sWYJQ!_{4&0i%|bz0cX zrj$~rc&N4w-5Nx5e7p|gCk3~PS3@R%ttE(nmRUlB>Tj}IGqHhw!Fe35d@heWTk0Q$ za=~?rK*pDL3L!D-xXfisHitr6c{ZfH!P({=_YZ!Q;S)km5!%3_)zXL85r3Ur=LY3- zTj`_cCW_1`r&^`~iT*ofS+LS<7-~AY-Vlmzu>X_|-v>0m+(kgAch!UflaOBH?Jx&4 z_rb(~KX7AE-P5KoJE=`gCbTyw57S}Ej+6OJG}860JTD@y4qmh>Qy;W7KFHLxz?72G zxS=$I;66VDRDo(GE0W7?(n%_dtmJxPW~nFySF5NG_kvug(;?vM>gC|J9Wp8>SLaEg zGgO4G`A6?&USvgS^hckNm2PUN2+;WB3*lJi5xORKYG*yPej7h^au-Ny7o*=SM$~bZ zVwq1A^=JN>f_6knd-5wyB!cRqG@aW~Pez)~C>u`qD@C=Z4%@SY{bFGWTYn$inrp}z zS@#<+dHcg*W!Dt$y@e((eOjVJgP4VadZ{8NymRQD3{?s!{>#g)N;BDz* zgB}(gGMBtau{4z7IQ2r-8#b4{i2AG_VVr+VQqKa%w8xhB;74XqeK4f~W^M11+}^#* zeSj6d&+f4_Qx|L=#)tZ80!aO(Oe9Bcz^@=~)BGW^T-cGr?5zd>MurfOIBKqe7N0X# zmnXuha?Xm8r;JUD*vD0Ts-_-^*wZU(Rac$5r!3+ALb)2Ek&I%oD;mMt#How7^8YFG zkjR4C^)$sqQ#Lsi=c&rC$~m>v`nzA)k{CzZ>I089(+hzivLS%@52y;NhDvr&7P5wt z(&KNd+r~_llaHNb#Yw;1CqiZz-fI=Wi z5*K}`hPh8gu9x<&1SG8=w$uFfkWGNLu;jZ0B*L&PPi{yb^%em@=}j9yQW^fZNEh3~ z3c+(Q{|#kR5dwoe2O#2}+hPnA7oPO&F=uHjLVhU|Qd$>}9dd3#V=^gjKLv*p{!SuP5_Vk8rrHbLRutzWsRXPfvVnUW=i<&ec2%hYi!&(R+1x5~ z4#H;aa1gXV?d4o|{8|IEg=AvM{opSH$5tEWl76Go#~`B{H4&8mvL|jdw1RC_l=Na6 zq#j>PNay+W!6=D~7^smKKaFL>o%`=EhY)lgmdcY#o&nJJKYo|!eP&V9dR9z!L$bb& zX2e=-!xt(#S|9b9RuN`ZLLPCc=9UI^YA$}=PvUAA zLU+R7-K0YVQg=3tguwYNwyai(J=D`}2X5oy=5oLjG3*Y}*Kz%AImA5v%~{5jW%`ok zJxc;{YzTNp{H*;QzN_;tV@c1ZC@`f_wW!mBNiMzG?F+t5c91_Bx~k>h)eW)uD>!aT zXrj3?0ves5tPTl^oiS%_ZZFMtUOs+)KC(xq?_IrEc&qxku3}wQk=>o!WqY)s|DS%N zHB=7%+a+tQq0UBE2#OOJ&qTeo8u8MfuptE8ItB#&YWBtj5sCN?PkVE=uIQK77!~jF=mi8(qvVLRkg@)Wm z`|Mdn;0a;z5mvuD+?Du&Er)^nCL^pjAAQLf;hR8e+8DqY(^m6{8S)359SL0Dv;Qo* z$pH6K#bi1_lSSEeF0H*tP|>lj`;*ax&9c@ztZyLCbOA~j2~1>pI3I-yg?K0%W?&xf z>NnV+Ac3c7+T2;+go&IZZ{K4ZmH$eLK>`z$q{|v?N<+ZknE8!XI?QN9D7gu$Q6<5o(I~zT*kbIJ zg@~jP!0|IMj}+p}TZmdotaIF@I|z0Ni?GuvpS(BsFFFOb55hewI3$mJ(JfUV&p^pE z*fv1NUg5LSFuLR$bbMnh`OGzpTsV0*%2=$y5i;*FWo~nno?{jlzz<&tCd4Uu@1#nD ze2Ngsj9R@CZr%CpNbW6|f3_*$RuuP*fs&)8(xk?oGUh1kCZl`S3m47s58Fp4kx9aM zZnf{VfTeD;Jux>{HPlc1d`H&w&(0s@A#KJ|DFnx#m)wWlsMxu0Hp~8#|^1;(&c_jY%WT6^IO ziNHo7Y=tqUj}0+Sbj9MRIq1%(W678@+NLps%5udFo%h@iRt5o&#gc1!9(YqkI;Ys# zAw!MAtU)Jing9@$%*|534#Ui8cpq-`X{TIzd|EWy+*E+V@>PQ3BN|^yob+4CSo{Bi z@%#wJH&@o@cTaTvn@G&R>H17ww5Q+hA5nY@IdTnli`6KI)JP%jx!DxxgA1m5(5FMk z&l5e&DW%GFd_bwz4gh77U{?p*9Q37ruHKZbWp=h^C@O3e^ZyBtIWSnNI2`?mR<{-N zL*WS!@i(9SDZbhbRF1L7$7bd#Q8p@>AxZy1l97a)%}Mrtl1q##uXmJ=lo`ttFK3P9 zjmyVa4dcifY|G|kM_iF)L~^R`9qTT^k7!sv(6G_ltc-y>4bHNL8fn9g`a{u-p zhn&ykpeKD1{AC;CxGFIiIL1LfS+Cni_nK$lT!0 z^|jzC<>q5Uv@C>1&n2AdPObu?^W))pcm=)trb_qOty}x4n+wPc$GK4w10TQn&p5nh zYjvMly{FaTnIZB>xsVTfKC3{EDKy92LHwOt7|TaIKk3i@q1w;y9V1E^3v(0$wDxsp zu$=nA-n)IW1aU@2>J!~Ghd&GZgioPktrCPwN?G`KpKy%->=qV!)D!j*Grl>tR_<{L z7vVF*3}mLdyVFO=)2qa1=Ht%lN1GvA z=nlgkxCf1rlKXeY#S-jwx58xu7BX2>_NPR~t3PU!kIkS;C_K>i;9l|X90(!RNKSJXifPw31b6!M&z#Er8|CW9ma;_NWJ#BgSZE4DN+vkJl_;14?mE59HgH(V zn1(Z&-re}%fw}EA9-Q0miYEa}hNX^i$OBv9AvoASZNHmko#HHrxl#G0JMeVJQMEI3%;- zG3c($2H~)@fx9UO5b0=5Y6gV5hKf>i^{|wJ6(HaJAR!k}O(PyxL`H9b+`mz73lfDS zAgs1I?g)@XEcJi~2zC~-_XONohzE$JA24|?rGm)%C^Hrgc#fqaFiAv3k`g=)$uUQN z*&-23N#Fs3-FR%CtLet$N+A=Ff2-UUfKrM=c+$ILDXayEr6*KX$qJA=o|KGTrZurk zM~;h(rr^1M$6N!C%Vb9giL&cb+<%@3{Xs~DFd(s%1r~_}r7NU3V~+5$6C`5k3OqcN zrjQDxDG)6-2s|uJfy;7&(iQ3|{T!8lAINenU4cg;Dteyc1{6dBEkPods=&jO5@IOD zjw>SNoWR3U6nJ>3&|8XKR|RX=X6Xrje%=BWHdsiCnz{!6fN1XD99KQ|^3&}|{pAiu z^m3S-X{XKU;}B0six)tNV>_01*}9{WbvcK}wB7~6xlvb~1&@+WUPS;L-0V()l3isHz7 zrX=Dump=THZcgRWR?Nua_MF;(={i+NLHJ@+9QVS!GfxCN+i_X$-F2 zZNeB|74jyqwiZ#LpftY_XPz*|SB1Pu ztV|vhl;#)WyoQv2V?VJ}S%1ExbiGg@U5_!oD&&R6n7l?#GR6)F#`vmmmvON$Bqb9r zV97WpAZugbZ+4{rp{9cyN80j&NiBCe(g6|HI3O6(mKT9xav$k{2(bf#W8?BhSGAJ1 zq%R_@DLZ0F+wHje{dq7V)$<6u$2roLwPA31kQ7na9pN~CxeD?i%UkKsccgTRuykTb zTb|>U$!nxCj(ZAoq%F@s$>cS%b!CWKS8}Wi{|RE;;dTHSDVk#3_1$q>FoxTOJRca7 zR0%1Xa4lcKP{RGEqqUgU2f&d63YYZ}ler+ZjKCnz$HJu+X)-0)WMZhX{sZ6?ATEVS zsgz);w|e&fmG^O8tV~dCMp7 z=AFBAF$|5K#aT#IgzBTtnRJak40*$#y`jK59b3hLR?EC8;?;G&m^OoEZ-qVXF;m zgND_c$D!AX3S^A3$-D1c0U?2nP1tJ(=TN%V+V7q^Fj?HD4o((#og4wE)71=EfFz>~ zFMV_iNIIK=0kObpXB!JHcNVU5BTUnaH}c(+EGcYHY!By5aerdQ4A{K0aqj>M44B)0 zqd8L?F(+hZBNX1i_lKK30VL3)Xtxr|aC=L~J=tvb#2fhTNs~-aD<#8WJkF};4Se?_ ziS4A=mX89GWEc8a-lI0+9OvV+NW$up=)Do=u$8}!1-J5NZN#08dk0XUH_zUPbL`IJ zY{Z?7dk0Wp5X#<&_2DgS#4K6wx9anMoHD}lnl49-u6v!$dN+|2f?6qsS98>6l62Gv z5da~r`~sW#B6RfNn>67~dUqH^Z1`f@zenQ)0U^A}6hdqbgrl$PqS zb2e-ab;hH5cVK5{5U`-IFjPBQwSIVQcj_ zm&Y{HrK^GN5K2x%$+0q)F^m>}-bi_D2JIHX}5+X)_PaPUL?S!}yVnCdL6I9-5sf z0P=CEu{G6UYuPxe%mB;|6o4p(uGhkbf_<;9scv9z-iiE=V(92YV6dluj~HRqM3cg98=-ado|d;553cA^ACF&uA?(A12#XQA1N77!KWJeTS) zM5Eihd865l8c>kl{_3zro5InH3DE3D4JcqbHAaKg0Ae~#Gn(C~0R{9m*&B3$eNDO- zg*Terr~ySmiW_~{Let}a(1xW4Fwn#_8pLTlG^`dAuC>Y9eY$yOsx}#WoCrG&RPq)^ z5hbrd_W7IR@;i?LWr&KZ4XtV7+3Xe&AmvXtW#8cP)(Y5EN~lIi3GRc`ydN}EiPf1w zQR&1a)9XL_Q7VZkWH@iul~TqOyTX6UGMiAON2N`(D!Lh0~GMq%~ zN+^+OSd49_I@C0s7lnV)X~CPY?29ELV>!5? zPVOI9FL;xceUZdes+Pb;DZ^b*@J1^8B1tGaLEx}D2MKSMvRj6ei3Juhp|ygGm;}dy z;|)^wMibK6rXkLMHr2x#ywR|H1)f}{+jcc_nLcW9nSXe#;7w2VMFLArY$UkWgoT8s z035vgu{=CGJ3S-eD2-(OyeU{Pp=Ir)xOlM{Ox82inaBXcs%Kz;u?ALJU}^?dK4vi1 zj4*5QiWfnBxp!@cFyC6 z6eT322q&RGdPs3fLW*(H&7+3|=O?cRT7$zDq-L${kwAj6gvib%#Ic0Lb^C4&iLreP z=;B3iu#1PNjV=4X7iyoFbvH#&YJvicAY0i+U(T^72ihB&BA|F20|(y zqAgA;nI}Q=D{NMr0M7K@LFesZxLI6HW>@8GI$B)MmiPD|2BZJxw))uViyHa6{Qg1y z5Q;Az0<1FnG9idBA42%pAZ%}Y&dt^j#I}X!Xc5DI9hYUt#*^td@MX zf3Bu%-_f-#%zc0r|5Zrjji>5+?ue|phc=>`!H2|#01%nE2mTX_S|B2$527ag5IR=F z0Yoe_&Tp?4dWt&{qu0lsPb6&40qtc7D^ z!Rw~*Z+BPtPZ{SC28Qeqn3_&kgud2HnC`m8m}o>u*Y=0Fwx3ZHSNM;rW}<2_$t${+vf@a&z6 zlDs=VJ39HE1Qi-#5^J8MootQcKFvPg0TCi9J|F>R=5n7JW2+;pNr_fB}Y+z7qrP* zEPnPr7DXM9r`0~zNFKK>Alg>m1^|?|-U6zqZ27lgzm#ZzJ=3&udf}GB?m(5v+G?1$ z^68gle?ISjT0se2u%z3;k^!Ax@Sz-+I&1Cd@Xf3853df8LDqyMEV|gxinQfOv=x8# zmy#kEcgvB(LPde}W~!YHU%Ux6Zht_?2$43?Mw;eMnh3_%V}5jbtw)yJQi zKv+Kh7CdIxemq$E@mRS`z@!;e0E(g(EI#X~?=1k5l2JbFcV+Pzum0SQGnDDF76sDA}WHT4_nxpv(z5j{!!#K zHatM%i@|0ku-SEfH3@8gL?`!0hmEA0KK#YfO_1v?6kqUq3t>YV#FMxo%@@RzAz6f~ z4?D;1A04)w#^#e{@z}6MkZx8d(lEkwbCunX02{sDqAz*9#q>T|GqUr`*(YIEldVsN zIIF2EyXKoc{o$+8<#;+?j%U+1ja2vj&ry}9+lU>)sx^0fM1 z5X?u*yZPn|`}^`6>w}Wan+vGFKaS_iyZ+?Y-LJn!G$j^_FXtB+Xs3G^rbcYLXT zUX9}CmuH6u=ZEF#+w;|h>K^3dOkz`2Fy(ag^Zh+*F#O+up zKi9P0ybVkR{eAuWU^bO2Y{jsv_r3|p%EzVo{&)49xw;Mr?gsVDs1DWe;jc^%(fn1G zRaCNy=lAcHvzxv?`ov%$iMbs1m;JJQ247#}f8*&=?koMJ`xz^6@}II?LDG4D1*_9* z_;NCni(~a%zYA91GdCdX`(;^Hr|36Ss*`?Y-CF)r_WR|B(WlJ?jn`0?gS+`$g`yXO z+3jfYm*4z#eTnhqlM1dxz8DWj!9Uard3ShzbaMV|^{ZSP>tE&4`R7xBue!#X8j5l5 z7P?ZTFU8FKMO6{^X)qa;gX__M;KP&U^?30VUE-b%;BI03VXdA3q57712py2uPf>k$ zeV6-NEbion`J#!do|v4p<`0wPL2&;<@4p-TS}yRr$;4Q^>tE#ee*K$4f3bXGvcofj zW#wA}uf*8F4v*iS|G7MV{pMA9@c#Vt{_4N^&FJQK`Kes5m2!MpPSj0*){kkd^)+^| z9a!Q~&yknnadq$ib9j6d%LiEB@X7xU{yw@H$NHUZ;+@}$;D0|gcNTk1s2$GW^U<__ zF&Pb?C}bz6Cx@EZw4SsQvuC5LP~nT{AM9_21&`w)$yO! zJCq`G@c&jH{&unYMar&!>2$UXuKOQHQc;`BP5rt*4Sw4j1{dSyVtrAU{}i{sQ2XBH zof3y?vwrG!W*W;cZr;dh-`$(tF83CnrnB3{r^VjK!Q%PNFMFrY!=A`r^or;2-iCXl z~mgnL{xF!JTR<>B z3qaCKaNAc)vt|=jJM7JPS}w+amz?sf`n&(j>hCq5R?AV}q`Lb0dh3?U&o&o%wmE%$ z@{MBqoBra16j)EhzfUAU`O~WoThh--SZ$WiN>trT!R6$poL-d6d4Iale0ceDss1(O zp>ad|rK&QV&$7CI{&;Y9a&+?jYJI6sULC$YJA5gXyI0gITU9cw*IC_(&w_tcznoty z<@|O&8b~E>82o$xcDDGP+?(f1C9Q6+`-@Tanbhs)WA%`7#VsV1ktD)M3h&wK9ESx5 zFYC49Rx6--lAHciGQ;H4iy(M6l8-+d+}%jFt27H`cWC*4GWy%yXgU~`7xG^F`$`S7 z?q6N=pRE_Lywe`KBUr;l;=YCxmBA$0P4d-LBKkN|j3t@LR#(;q?B#elny;;&t5SV5 zoXzIX)*lQm7Ec59os}A^Mf>lJ3$4Bs3@+q1)E8e|j^~Cjl9F^h89kAQH*(U_3)a63 zE?!9bD4VQ*KYTL(*-&=xuRWFa>iwk+>1Mr#EU7F(Eq8;XZ`f2+ZF78=5~-Jq5F0a7dxiq@!_kZ_s5!= zM<=gOJ&38w&$ma*u@W+BncU1iO2KqFpWOt%UHm_l2)O=j?^=l-@PVVs$I|xnNjIY# z-ifozODTUI{4VGEQVPtJ=+-A{0asRcd-8O}!7GApmxo19WbRC?$3lGe4QVaxTGR^)v9L;2l-G_7Bey0A_xThq=yWupbJ1jprA z>LV8;xmArS9TDob)0<~N5B+&8SGUwcBx&J)7q8Ynzo+1YZ7G~eztohi^jL$6dE%pK zMxRSfFv;j5SpX4j(E^v{TL0bO|9LJI&L>ahuDZOQ4Yk#xXsj(4DN^Q>S${YhZgJJw zj{ufe?6F-YpKZI`g~fS0yPFQnzmMjsSIwRBp3kP^zgLrtY#V=Wburr3-yEBNQQ=PeEbKuSj?_g1U6wQ&eF&b+ z?v}UmHJt}b%U;kn%Zv3FpDb%F5pYqt=T+aWy^?jb+1N;WpGz2YYHYl$B!V(!E8X*M zDqqWFq#O-We1CjMe|`%B^-n>6ex*HmTYlZ9a9(fI<~xsbFCTjZH|Vv4SqY{!IQE4eW$_s(qaVdNIH5@N?8 z;Wwv$L_WjA@5?{EQJF~Z4*yWTJ3lx(cXl5(ow~6i-mQ~^ZZdzLOt+n9x^3S5|0K8t zfW%G-ZeL~=**(q4EEF5-RnJ2$*b`KL@I6l%g#Is zyUIMeD0MP^e>t1`sBrNr5#(ROJ-^Y?F65&4uvkaxFGj&&A{n9+H@v!&sbPg-&m%e# z?K+9#)!}#Vzqdqn=(j(V=Vu2m4_y^!_g|F^$gXpK<+q88}- z-xout-M$;?Ft+#Wjw9c2Gs@$~TN?Vxo zDsK&cobW;^FffB{{a@XMpW&0Y5q5&?e>IXi_;^s>_CKj$I`D>y)O|C}!-@+O-Kw^1 z@)fKUY`LDL#i;)mtdG5r_LF>V(*-CS!it-om5{Z{c({)m|&*^jD`i*C?jqF*vJ~7Bjl>(RtS2#u`uc zZluz)&Zb>yH@#ne+xum8l4N`R;a_@x-GXH}6YtJ%icprzcNWit4z^!j>yiT~ihI$ZOuG>*|Fv zQ&F)G<*!p*ea|(a5nBFeRwVb`3kA`DqX#U%i@7}*V|MT0!r~fLMfT#tM5Ktx1+)`HKgZ}bY zoU5fg6Mu=q=VHJ2SNXkTkDoyKifZoHGk-<|6_gq;Gh4LXa3_KD{sC$_B41N zJc+{Kx552K^4PYu&i6SV`O91%)m_S(2B_Fn&89g+MADV zN_Btv(#{OoMpJ_3`e%_OUUA3l@vR!k(xd*362Cu>hi*Yf>lC+$qkWQhxUu0u(UtFm zdiE{17G><{QR+e*nqdZNv1lLf$I<*^wiquzZ7AH6Kl{OQyqu^`od3|jtXVSni?UJv zy7I-Y?jm|U;)FM+f3&_IlHacumUT)*o}z!Ed)5gtdBW@WZ{AoZB;*O&EeFn!uU5ZA z`Ro15T#V%FG~Z<;uW2l_-+UYlZzp$)o5j^+cJ-v9p_HR?!zioI_V-^O9KCseChb7^ zL0Kt;)^U~h5v(3ierg@pwI*u@*y0W0o&>*fbaM3WhmB}oQF;5&E056*p|5V}3tfL~ zANtx=u|x2iTl&K9`NKasJ#T&xxv3p*m*t&q=L>sPasB^C@T<)bH9h~A=<50p%fEa% zUbT>%&Q_+n-RwjCSABAPr`lZWo=KmAzKQ@z+w_N5Z-V}Xq)p`k44!-#PuEFtTa9m) z>QOkWTA z(fPh}PUohTu8T)m;hpXm4hw8CSQ)i$^uPljckLeQ|T?{$s-M0M*@ilV+qFuSC)=JbD+3hIBu z7r{!J^(Tv&s$=xJtJkf%P;GDQoR`px2OmSy%eHv%-Q5KuSTh#_rn`UXe_HjF4En=i z)zwugCfJ}^ZC=CSTqSnO3qP|sgu2hOK<-^?mexW)>Z(Woigl}sTKjUF|0*PP5*Xe= z>FOh?p6lYHv3|t5`$)KR$?8&;4kQ)T$FocFgvJNEDOc9tj&HIrxRZZn&HUnS zE)DL!>X`mq1xQx~C(D29`RwlMS{|78gtBWg6R_S@DDZMkO1&cw}qS|p{b z?#Aju>u!!x_Zlrm^N-`fs9f4DmDW18f4Wqa$RG`hXW*~RSLuJ`Dg6EIq*2ZLRkzzO0wf_3Es|gZq->3+{`-?RGqGeM0U|AcfHh!5l|>Q> zfXMAG=bi(?n2#NtkLrKyx_GYxrSb#uRf!!@(pDj^r&HHu6vzBuvrBob0Rmr6v-x@< z0)K>OL^ismMr$WRMGTE(ypOJx@qdW&vDL^|4#E%Q-(6nSPOoo<@$7vvAv~^`X9T-~ z?}+Nlc^DyT1|@khCyLRmcnk}AsOmj!DLft!q(JH2$<2)km#<&Edw)6}+@1F06FQRm z^-xRq0pQ*|g#hK$THTuu+E1u=JM=R)et)Lt1bxI?7@g+i$k_zqQyc8noTR;&dJBob z!>$0%Y~+@P+c(KgbRLLvLQMy4S4&v%2yglBN^ty;4lUcH@yY1_&X9X#nE512 z_f;&UtkqPsUaA$I4_bzxy~`UvE8g^;7b6)saXk3ta(I5)AK#76DGOFD?(_#GpBA-P65-li&*=3Hm3+o!Ab+f2yr&Qw3{R7=PC+O^{{C zE?NftFn0Rvp;*;=O{LVLlNYO~81%qTQ^RH^{&yG8TPW}$YZ~s4AvTg8@LtO?zP$nd zeSzd{XJ8v%+3dL9s=Toor93Z+sbKEby2ap&C6TF-);~Wz@Ab9I;q~7XV<57|?qk62 z>wH6GjS<1zunr7jF(YIG@qaIZqJBrv3&hVIFe4KW51Y1)9Jm12wJI)fUOdjhus;hp zLcfeJdY6N^PUYhN@`lHO?GAK}V2?aIn;BF4@W}OVC~^bunL4~9yXXRlW$?K-bDanb zI!nsri{G!vZpeU5|`rT*lDm2h_6gi`3uMzaV@a9V(iYs*(yWq-26zA7<9u#$j) z_DSIu5|XbgxU1bJbyvNi4X37WME3O}kgv0F&eiZ*dw-{0^?py>+Yv3pyDTW9F?*FT zK@mQ;BlJLG5Nu+CW?J}|6Erq9#6`yEfZZ7%cEXPk@#M$inKH1iBw?pkRb?Npw&d|j zu9|*Vz%qwg`g%bSi+^q^lCCDZ_@)H|BC2s%M}S+A0M+-&41@S2hG1}Q@~g7v`V&yN zdQ0Kb0Od*3S^BGCnhRN&E873TJmsAV?Rcda7G!$Km^=H~)hDBQZB_zg-6uwW#v)t^ zogR=wn-25NX*U?Nw0A9$%CMpml4})$^BD}m^WJ!HdfU7B+kaq0Ed25CAF-BX$n}dp zfh1}NEQ^E}l(Q&7z0>soD>IkB7I)=zj*PEBfZ?!VLXN~^ML2l3zeuG*qhFqgXnKAI zQ+*63OH}Ae+ub5CQLTdVruSbGj|^!$_s3)B<4p|;QVjcEpBn!D3F~%1JR%cM!Mx`o z4Jy;NR!@-m9Dj{(^Ui&SP8H@3+If>X*gKups{p=7P%)E=ST~tNt8&iHzSt&cNkZmO zQ8y(~jEudqPfpR_!xf~q6%3tP>s5H*V$WYmsNDjh29*5W)fWgK2&Ml}(~^k9Ib

  1. 8k6Mw@dUeHg1L=Awo4vRfCJjLVMNAq+nEDL9(Hn^Qmv-ljN458@W9onX+ zq!xI+%ZU@lJulAY^`w7E4e_vRa3+jr;L&(T*Ln(izhnyq9mu7A4tsCXGHh@z&{osd zq-Bo`Xx%K=mIK(fYs*pJg^w4!`lX4t{VFY*LoN2D6Q%tK2vy0k{JxjUk#tRJ^?lFw zgZV*VYuf$K%DYMZruhiNpDb&~K&uH_UxPa~pFfvhs?I#ACF%yduJr6>W{V z(`P>DGnR*+(gR+HGZlZ5SO}DUlVzGUH6gg{%Bnv_@I*GzW{XBbS9l-~%g#C!4OPgS zalsVV0ymicjc})ny3(yz6|YP}>~*b78W$U)OSX+G<1z~(F~c{`RYjY}X2qB($p{$L zLFdh>xWi<8xHhclkzR{`bS{XXH7fQ1s!qUgt^7;GBe{0XC&(@eh6DoW22%@b293P+ z>7{-C)Q`^Q_`e~|o&!1F{cNpKW~^5$*7+9xMSFGs@e=qbe!pz{u3H``-yRRYi)x~y z*>*_@&X1#|Yx7kdf%-M`5xUvKp3hyzGd)x{1H`COIJPDda84J*A^V(r-^bUd0nXh0 zZk9t)M;)lqWh1<$v98qfR+GWcDwDxHGwDjKSx9aXtoaI#)bmJ_!E}dB65jHMCg;|F zr_+@h{*OnpBL|M?XnB^h;w+VhJgLmuD#O=y9737iWtU-S|O}0Hme6r zbv9;d|6|0v-{4z4l)rQz7$*DEwP<}<_tN<#oQrob9>Ut_7thdr+C;Pm95^BY8<(f%PLm{%3+L)C>i3u*4{K5ncfjz9}B%+?V7; z(>30xQ->arPn&h08i%|hvl2V=I`A|tdsie7y$>OISN1gyU(c!C<|Hsue25Ay3$Q|3ZZQHhO z+qP}b>}bcfZQK0DwmrKy@7=Gvi0ZDch>WVP`q5F5m6?4*zH89tdj};h)`sDna?;ji zq~AqAXQAP$0m@t`U>gPhFxzf_`%I2!3oo-a^t<-u3Ng?Tox!#91e?8YZYK_|_on`R zs5N#T7R_eR8V{FEP_5MwmWBWB_BRaiyR-JA5=v0LG&wL^o(1uhGMi`w`Cv~Zd%PZqdmB`XAR?G;rWh%Nnn(jAAW&tSgl5`%)4N zq)u^}c8y4*rG6g{oM9XsbWI3`jCeKhD{^qBw5oC>KFl4=p79kl zIU#>l%0OD{#_cK_q6Jzi&kOsC&=E=Or{B#ff|>%O!Fz1JFqKJ&talg1U{I_cakDIX zQfzPYUB~|4{w|!gud9X*;UyrKz1z?$DYb$MtFO_y$6pK)=8T(N0RJe^mg3t=Eckc= zG?qOwr`BHyVfSEjqvmwpuCkv%llu{+H5r+I_OvzZm76&Fax@(aLmG^1fGn1?_)VYg zaBSFUwGNM9q>NI0HfB5~|E$v9FO{_9(vh}SGlPi_tI?ge(RAj$B*iUvDpV*EoSCU~ zB@RK<+f2SSQg@j+W0x;T>M^|*C-^S5UW>qu(6tyYYwLm?rzFFktk)slu?`1cd<|Nh znyizsB>;~`&{1BBe7qZl@Hd4$>Y%&aNm(|6`et?A5HJ;Y#`1eyG3yxba+nW|+GE$% zjh|548x)he^r`;SWDvjhT%?e;z4tn;OU!&AlVvdJeK)*2h@CpxqF+f6sqb8^50oAVKtY6`Dk(bAUYaexD z6K1f#w7NyokXD0*4z&m408Fc+9O&ooIt+tscBoQt0c%|+IYTEKcAXCK-V9flc)^My zSy;PkJhW7ZY8!rj)Hb5xQ_UwX#R0Aq@i_Uk-=?ke6N?Vci}@|#QBT>rzqZ`}xQ_Zs zqYcVxK!WAqKrnk@``|G>x(-h-A+ab(OzYxh<&3e-Be4iccxK0*Z(jZly#7nHn**0^ zP}e$gQlM|J>EjNr+kq42&A^6$Mg`Gaw}utpImwQTVqi&NqTcZRV(qnE*BbGuS87={ z6m?_TFGPja&G+?g{>!MNIcWg4!HZ@1WCk&w7{elRCYy-eC47Av%a~$X@x=V!G?p`d zK0IIRZ0Yr_y;su~ypJ6^^C@QZDu!I=TRrzWetMNc)y(z9g}b;y!>Gp9#O$|oUn}kg z{>c+@M9+F$1<6pIlFUE9&*x|R01)KeQSO=uUv9;3x-}h+)(#m{HP3)mj;TZX7>w@**PQR0r4w^dyEiR&{^;ef&I75(X9h7) zGQz==8-HK@2^^G^Q@0Bi?GP_DirK2)Ty+=2UTS4OhE|R`>znRMtw(8d8HGFzzsc?k zlvB0291XvN?u(@pwYh8!J`erpYOfLxCzaEc9Ok-{VJ|k8pC`_`lV&fr$CH)mTn!c@ z{X?tjxlM`_wSi(Un4k@;)rIBt=Oau~7bhwiiP*DC{w0KPDmxZ1c|m2w4Vw^ezgI^e z8F#ooA$nHIF&iOp+Y>$@NF^rVVMT*PF?7{}8#c}ij~dca8ED904KZBEBVumFIGvy( zV;;9XWva3B*F2<-`napvxuvXjgX_Z(po!DL0$NIY+em-0*cFQJ&NfKHI>u2`p$;DC zT8&YqIuW0=hi38EOj2oV1@J@5+796w)MbH=KrvX|S6CRRF=ovItK}J1rO9o5r>jHn z{@$(?2AMbhXq+x_(QItLnh7)St{_lM%cc^DLF&{gMW>$8M|ePq6~AqrJHl4>7rz)K zloK~e?sOe#YFs7?Els-`L}B>?S~0m6Yjg<7A^p}-pBo-4+1qis$XQ!^C+_h^4vB z4tVSY@L=yY4%%({if+n%G4lbq*k45>?bG^~ES5T?M$&FZ)f23PlC=g(7XGwTU5CG8 z@=DKE`<|c?N6a0VaTy>$e|G8lNHYrc4W^6OfW-C%Yra33~#E6^26tkX>PZ_K4M@eWZK;<^NLGKn0k zCd#9X#;GR`bMC+hd{BW6I+#HDW4_!o+1F|Dn!uMuy>;B@9E#py=!C)rHpTa>Yg|zr z8qDg^t9@=;4(wAz8!W$1a2xLW>v7L9=lqiQRKc3oj&s7J&Rx2XwLi{|v%;K1zYhHH z7kdGd#CX@fwe23jXPH>D0+#_l`Yv z{^;d(r%&t}4)?pTzQbUbmcGM60U3)yz_(=MzQHicNr2~Ina`jCmXy5tffc~azp_jB zDej%1_ujNuSOxXTx-vSn^?8{*BGE&r6x>3OF`Pq>E8-oS%T*3XJFd0`d=I618@^h6P2R|GY~3*~{cuXU_v_n?~Nni}cY8x}WZQDu1o7FhQH6hH-5| zb{{{}+E|*x<>6%6ofAxkMqd9|TG@MhxdK`Y*k@Y&oxa?UlrV|nD2?Wywso*dcUGh} z1_L?y)4bLLih#LuvafJn)-#j&C<2~%~}M7=ri&=c`0XvH}$rG_-po79hCqF$_Jyl3Vl zKIOlI9l_$9N+P$u^}Q56}LV%UW#Te47VH zsP)5>VtrU*nj??nMbe$n66iZ()Le#on^HxlE-!UR=P@-O*9KkzYe4?Hs-l!uaMq_)| zT({Z5rVRX67pHyid%e$8xhyTk3fE>=PPxmoZ*JA;nJ%Bm>BZOuuDkc?1@8X(>`KQ+ z19ybqeFwKh`<9DaZC;hjvu)Ubj(kaIlbm{h~dRy<8cR72A=MPcR)QZMA z;X)eH_y>L1CGEQhN3Y1~kNmn8u#n!G)yr`GP2HceTzEIYjIa8}B|Cr4lVa>ogaey( z-bzC!Lx1W^-b(#Xjk<{;>r)7FM1Sdum)Sib?HVYz2J}LSXUO6fII{$#8+JK$_Dd<@ z@}4^oCUs^d2#Re=881^Yly)VEN^C?K&(MT>UZ3vK?tI3*WgMS}cpD0MH+?|b(Oe@% zm@h|J7TQC(wmoc9fu=ode)Q6gP0wgD9G)TaWhQw#_ScaV3o;B32gT~^50;&zKBWN* z=(=ncQgBKw!-bqggNN^!1RA%!&GIQeK=D!EK9nb#{h=Q#Sr3e$>M zJKTfLWa=KvsaL3Z-!x}@tbw_z0R|}=h*@oLVU2h4kB3Q$g^bD=J4NhFSDE?OyP5b4 z3xD#^s(GNFrh~)P_77*6OVx0z?!qp357CR@lL0s?@nGz{s!d14i_&D`Jh%GX8~%42 zar^^gzC`5VsHxN5Lj(-{!sm%uXFZB6iqv!33B*KtKs6?RSK!(1T9d0?%T~auX&p~) z8a&;=Z;VXFcSB8bo3;J$itwS7B4&tChS%Cku-2;^ia752wukZKO!8Tn|ECW3F@=q{ zg=%imf^Ku>WFGVRd33OUnlMt03;nj8_`n!U8ZJ3vJo$GhoLAFMqb(o7y<$9K8X&70 zo)h)F`^YkoJxwHKY^0jz5j09CJDg`^L_B#&C%d^s3$vucw=~#~M4^)z$+-VNu`T*y!Z(Y(s;hsaojd#Bwc+4d1*lAQlI#FeY3WSuE2M z1rZe434GOiajI;BAqW~xH#2(B9W10qQv5eJH9!sOwmv& zD}8tvf~#d9128Z>naZ|Tu2`_Fp8Uxl)ryCBBp(R2Nf{hfhtyjlLlAu1VHV&7H?_DY zJDatB)5Nal-X_WY>$C$>zvqx}*<)qWhe=s;smS+ON~5F%KOT&MA%4@6Lprr)hT_Yk zpmaozW-ROjfz>^0Lb4zSbGFwJy8e~2-+x$w2#NpXTTMX%L0!Bhnm2xbkL18!MfQu@ zj#Sa7L`GlSx{Ruxf7B{aFb(4mLh}ZuStjOgrlmu8O?23`;^-05pd_N%OPp2(eBw3q zEjBUNdU3Gc^|>~;!$;WxyiOGzVJ$$u`C z2)Qwc(8v>PRlY=G{Z7}7o#rbU1ILS(rAJ6;g8hv_!I4)Y=P?!9<4>f#p3TJ{|}aZF@b!Rd!?q7zs}heXBE;l^_27|Irh-<&u6+fxLQc*aU# z@;5z!x)YZXYUBIYPq2+}oJCLQi3S5-|5!E%Jb{k6o$>5Eks@3$WL!^pj@udxmL5L8 z8=XQgU^*MlWzja1tI258g5;q@KQP;)Fo-Nd)KiNOXkxMq4weX4mpo=eY66K6fOg_4x1Chf6DPD^g$q-ZImUV15|qvp_ z!|8*{>Q25M!q=x_mE^5OiGUF|3JP0G=KtSO&IAy@IqgF=F!F@<>PXE|Xi0)S|DLsQbq%s=_<~jpOr9jiE4CFU)H)FUUG{q0*#R&nmwRhJ zi7eih->({}eqtS8POL+;sN3s4z%5m0@&v9)f{4*ELU)w`z_gw`_z%qP2j>0*Yx{xO z$2hLBADGw|tqThhYi*6<`39(`+XQ|b>o@Vpb@m=>1VR~ldo2(_2l4R9`R(*c%*<@6f4vdLCQ2?R zdbMZk6S|BpDsDl1ubtf9&iq+m@RRX1BfGx3H*qsOy0YVr{Wy8u;#fFOKyyAobIkeW z*R$q^j41Z*qBv)Q*RE4pQhX8Q83#S#f;9c43w}j}khv_dYZG9$fJu`5A^K74ghTo9wkU;me#}rdM zEea&%Ngj0p@JV0fE@d?ChRg}qoC9O28BrU&O2XF>Si)~kL`+YLhW(QE{gc)ThcAgO z#Izh$_@j{~&bPEiQ;XK)oRD%hpFgT2yisL*}eA*X=Gpzw634*7zU? z{F){G`zz=CYXDTbApaERwPF z!g~a~OX^I8)4S-#x5YvDc6Y@!8uX22_~E%^!@9U>7}LKT+%mc+5kL#UMF8qFI7VK~ zGtGSaJ~gilLT5zQcBEAs_zX9OzGo`Gz=+MkL1VjS{2gX|po&&OQ*M}LB4yl7p;;=H z^{Jbs5Hz=b+a~p8dGUmH2-a6Ih&D>r=8#G zgraVB>#hL8*qO}1FU2z2r<#NuGOD0&(O18h4BHjZg&i7Hy0F*T_Ak(1teJh(GkCBB z+^0D?zQ5Pj-QC{mzdXO{yEkE14cAzEJH%X3V(dmmhZhrh@p&P0PqmlK#X_b>w$(TO zu2#sMrI}}6le7g+StFI`#?n^P)6wk|rI5f>+2E*vnC{ySvxiU+p_4ugyA$JilL@T9 zCPjU9h}OR$krdu6W*VzOaS^N5+LbfmZY2{;Ye#^P%AiGF*Rn`yy&0~iN0WW-HOQ(> zvrPtoDwx~JV(a3ide5hS8QS;#0>tlen?UJ4#vi-whvE!bV~C*cHgcWn<46q?cIvL&qi1iY0s1@`sv2_NC2x zoo0q%Aw3QVFpBZ0*!FE_%SP@|IaRx0l2TE@u_~<5bW0zV_C&TFV(YeX5&8ClR1RcNYyeh5qjKJPL~ z1iJC==~3nLDxE@R*y2aP{notcp;?2wcE3@3=C3}I%iBM$(!Z*@5ayt~f2o4Cka)^Qtt=h(y+2p`al z2);OlD@n*(szj67bRh^GaseF-`t~63@lnK3SSKLr=4~)5HG8DRHKm6@`x?}`J?l54 zn^^BRoUQw_pRLogpLnR>34lJ1DjaZ_C$oYG=DL{?KCXqPHw24@yb1fF-+M{-VZn!rUi@*IvPxOzop@TgBN99?cb9% zFfc--jRrnU_jWDdM0^=?lqS?>?Q6e0o(`Cc^iD|+Vi67%U)_aP5lvFCl~E}qO}D8v zRROrN3d|F=y>>n?T_+-11k%^3T{!9wJm~tNU_EOQ*g!-o%_kphkY2&FNRdRq)0f0tM_v}2J8qD* zW9~vvHf(IJk3M#v_FtP8;&f2;0y1zrn4p5TY@9!7_A`o*pl)k5SmSAdWSZSWM1+z^ zF>ti02dnu;2KL`T>Wg&(o>IX4HeG-BTEM+)u0KK?@AHWo>VqYg<>9%ej!`;?km7lEKOhxr@?+3Vnp(tkx%FvxgpUo-$#r=Tq`T}kn zr0Ww&)bS?^?U(D&@n5jkB!TpnZ58&x?)y4W_oO-{oIJ=dXijyB`Z(CDtuXLMDhxy) zpz9-y+)p>ay-e)4vOXk09t!mSc!P)_9Cc#h5o^bD71UAqCCf$4X^jrY{4Y?Tl+Ope z6tDHYD0^3egMKv-1g8JuT{A?SFixA#NW&56aUTd4IIYds^A`lt-?Phv-oNJ`&NnSV zf3QVCyPKv6B?s8H8B=?Wx(aZf8&-O!m@Y}I|IbHhJ0>0E$e6qArw3|q~tbc19m^Pg+mS~62cT>XtpJcL>~2C*H|Jy9GIYWO67=@ zn|i+fpnsK4zsUa=$BFP-gjorUX-UnK9elet;emzgi(`wT6 z3t2H`G7F^Dg1U_}91|Q2>mpqFEK!6?Ra`5q80%MX2RXc|2%_x59Xc+H{GT-FTwJMX zGK+zQ&2>Q}_Y>@7-$Rw(HbL@Jxme_eu=WJ9*sGgnl8x2Ido*q4BjxW%BLROI z;{f*&#|Jc@ZV*+J$e$qgq30WaJ{v8bBntpuwP28sij~**`-gj6)*rKgh7yPYQf3(B zy+q-C=73FQFh5I^IABhjc|W{I{Ng}oG?LTCVqh${*R{5^7a<$JYK*O|q7lwR@ zs7UUNP$D{ND;EF8m}+9+;5)Lx)=4|=nYf+PH{JYBXC3fRtwYD zDo7bG2($)E2&yh+2GSF?#Cj7hL@^lsb=ecVhFILseIm~al&2FMkq8}LEN4a~I6;pc z0xQo96d}Lk87S`V1u@pNs`@7d(}OSy_Sd?a77XFQ8tct4tgpfl`dxpW6NYTRsOBz2 zCYX%^;}uk?_|ZxTn~<7Jnf)=%fHm+0x8F@r4q5Br47<+LF#YpAqzfj%Rg^= z#VDQ7@3S5g`=ykZ1X?hJZU|A#^}AfMDe;!~?kBAP5+M7BIIUVBtw4P?5rbx={ZuZv zG zXIP$*GdSKGJA5%>Vep{QH38{Lk5>s&xeyaECi4p0`l5kiMZoM*EPua!4?TD@KoCDBWvluLb;2VK7oiNrjM`E-yrB>SIIE3=3#pPy}W~ zQlnRUln4(zTv1wHOG&Zocvwu}0n17r2JuL2(y~>y&PH1yxb=>eT0)BP^jg1TIaDqq z#*npvp8zd%b(w7g5ZllQD`T6GLKZm3t*x_IQN~#(R0#d}JH~qMnP8*qRncLXD@8g<;rItJxYltr}~ zdpcPXOj?9XBkaS$%Q|@S{{7r7i=jFSA-MWX)<_|_SH{}1fx!K_A#2bO{q%@|+gHZW zDJ=UjFvlQVSaf|$qsV;S!S{PcT--1uZRFufXro0&%+{HKz{9iNM&+)_^Ch}};h}1NQXWGsjj zz22cyc66W++><;tn8@Sk{>(CZxaEFzP?EsL2@@G(+d`OH2y>UVYu&_0Lg*a0$MH_W zkVm0xoUh;(L9e>(Af*9%KdTOCiqqrl+}wO~M$(c!UCxFtlLGBd5YonbB!ChzqF zW4nY0V|`%8S*Y9a{1^K{z0)q-m3CRuSd#Y(EzPbr!x5KH?-4-rvKm;gFQN#d^JAU|>B4{0|>~<@o zW>i&}k?zc0o7En@C*}YK2n!-#?9VdsJ8hWP727oSqmA&w-fiefgRcSMLY}pN1RXC1 z%@ACnDGxX z9M@Gt#uu}}ps^!_L?dvnW%-~S-E)%sobIm!Hln<;UqkhbiFsKwjO^#JfDEN?Y_A5o z$`Lvz>){5mYjN2Z1Oo=|gAl=Xpfa&mJw3&GrNh=^Ubo@42&vsL|Ra>7|Zp9c` z+_wXE@M&1bAq^@E!EQ#n5=*4 zzZ2yJF)>uTXAF8hzC+5yjb-Vci#P{Iy-$IPC+*XVFFy$TLnGyY80A$m?)Nt>+&Rbn46u8?NZgc$POCyzfwC z2)E7TgE>1NSk{9d&SISjntl_-0Ro$MZehgMB8|vM%n}|9l_{AMB$ab5G!zL-fE=EZ zV2OEe#~Pcd0}Y=03=2ySrG+`vC_ETROM$G8(hdq+T5f8@SjQNq^jFun8vny(tt(D|O$zzQY0Zy>iUUJLZ%rybaOX2R;0{whnAq`(sxr3HM(_7(0fB_D0w*UqQm$f+1Cy z_+UBp2MPOjg9KtsZU_>T;Z!5 z4j6|I;}B($U9dn2ooB0!f{DaDE+O@{gDj+}A`M+Y(swTbKf;ZGqZ^^z>plAJDR$Jb zcjO=S*M64=1@ zj}vL{JX>gk4NW4U(@X$cCwGok6X~>zx~}&B;9D{KIDzYUJ*nTo^f&Lh>-> zFibLjzlkoVmJVGIezOl1vYu8rg?*!yK|Ta-bz+TJTavY_)Kxyjpsu-P3fO`Qocb65 z@NL~Et`;C2oAP)5`6yER+2P>XSHlaSwzO~|3V6& zfuHG{Af{&QF{N=AU!HG1y!sv_!SIJ)=gm zg$otQX>ku)Am=IqpI39-D(V}9;I3{vSp#`^!#s@sHUUolp*h_A3t^~WA+k1vOb+g@ z;Ud%cA>$={qg^x=(kv&8ffD9j`~3U3a3c@`J322pm4jod|A7nAYyDbj^k=(;=mlwb5* z2_BjImrMKBTCQO!$SuozMM02PmzDuvw*feCdDNqfwRzvcM~qlI^S6=;FhlDHlc_&j zt67m6TH9z;kLPYvYNtcv@n+K?>W;^{uK9at%99`#}g zoT2v;{Jl_5(cCt#IK%R#ZVf@V`6%6jka+6FL(ttcgI>w2&eD3TBDuyEqvQRlVH$pJ z-v$so8}~5y(W~^KBw~gS*(&D6u+>GN{22i`1=FrPrbj!(na%KN&bhbB$@WmC}fxeHj}N%pOE~in>(V(%tqnNPHnO! z!2Y@6Y;`@E=bYqHcD8qP>%t+NYpiHw?Z8y0 zGWNj9UA|-VFyYH}jQzC+T&||V*w@1e_l+!9&OuI8PXU(*a8|~O|Jc-lloWvs0A%L^ z+5E?w)}lPqcCS+m_F{q9v5*GZH49t6Sj&Uh;%!pp&oR2B2tD6h#IscJLt_;}xEA_B zHn95$O@;03_OJSFWgKh`)#NM?_xubIhQ70)9i$Ay zTl49JF&UR=cpvMX%gR6kK&Hc=JLEV6l@EJxJFBIFL3e78n5nz*!E#-Az;$)Dr$A4< z!oJnO6DD<3(kg7P>dx9qCp8!vNeBpDwvO$)bosVzex;zde_kYn7+rx{b zjx%})An9p|c03p>op#$AB=R+?nMt=6B~$ikVLp2?3A8GS$b1{M^mOQjD6rLA2MRja zwuq^)Yzc4}ZqIS}DNfb$uL^DMEGB$&kpku=1#UScHjA7zM`7!$Ghrp9C0Fl|XC2VQ zeaj+GZ=gKBK1t8)f@HS?!#s$h_DOY8+A2 zJ;ZZRSUsbmD64S*EvkvhbX1X>W0lQOegk-cfW#olmV|RkIbH?lccYrf+S%)ao5L;M`CbYj>gU$Ql&Kf1Tj0ukq@*>ZxBlN`|&IY-=voK^M zWr~QIWFBcn1c%PqmBAuiEcrI;ew6hMge7mRCfKK_ozuN@e2!rQCf6ytL%AugM&Q3! z-An@$AY_GK=R%$!s^R z*}Xiij4l1SVcg7kclo+B1+$i(VzFr1NAl6y4PJZ9Mo7ol6S3?@3?Hnd>$T`9iVF7a z(Iv1duQT<`*H?R731|-8@Nc!daT49|z;QAh9$f#)PBvrc3()VIu{Vg84SlCvycFj0 z#1u_43inc-9j<0I@Vw)r_!%#oO(CiZ6 zMcE^X28qJ0z^9(IF*^H-v6V1@!4l&$#(9JzU4z{b;i>+BDyrrsIG<4rCK{oD6~GhpuGr3g78{_U;M+v{!Y z^gP8K1-k~hx>aQ>sV@2%Wz%1;D1^;=R;}C$7Ze2Y2yFT9CDDbZu}OSbGj%4**)Ccs zJZdxCMF00YdqK>>mG`@E0PUR9s=)e14134w%}8W%xsp}MiRSs13#0|NK<4n}>bq14 zv``|YHIEARY5*`O4?0=9<&8R}Ef2x%n8cJYic2loptpZ-7&|N2=DRjw^|u|9754E| z^pOo-6OSFxfZ!@+-UKAnGI(_jQ&;o%+AiN$S46<;-7LO!gg|=4zw0j`SVWpBIp0yD z;fJzY1fzXZB^4JLAYs*mzc7T`a=Q!|$^jf>*DkZ(gPj9T6wM8E^RDq*D)3Kv$!-7Y zY>MR64dl_U6t#F9gUd|&ghvpiyBsl3_%@$;FuHMdwISJ(Q+J?U^HEk&(C$3qogPVc z1@Xkqp&@x~MnhhqEeR1#(V=zS1O*ZQQV@D>k|kOs219<83N&YKmz*vy@g3(PBbLfu za>bdsc9y& zWxL_=xenmL%b&DNXg*VS4?Y)!uoGGCk#_ISdfZktGXrv>ndT!WEWs@S#)b^VMxb9w z72FeE$D7~s39&>uZi)Kf%)rh}S}ZIp)&&U?w^!?M8Y3I6dVBk{n(Z!VcqVJC?SJ$3 z=IO~Na0)QwC(~kUM>cF*Tb;3W8mdYXyn)cIh&!&JL0a?XO8pfYSsUmx^z1cOO2*s`ERVnAf(3 zR450_X*ew=v)OaFHBRce@JN`5|NrLqJrfJ7gU9Od=Y0cnK2}QPgUW9q3HR+V7}l)0r=&me=>-- z|DYxHhNFwfn~uIe{e3^RM@?6x=$z)EsmzhjDFZz@mA`vQC+A0pZA*S+K?gd>)!!T!QLeT;1##K{DskX4c)7A4hm(vsTP2Q-r!C19vq()xUO^fh3UKYRQBEdI^@{R_GhufvBRmu z)0pAFUi<)`x96{?`)lcBQ8C}i7Ek1Xftwz`f!1s405}ex+l;SY-eZLI>Gy5VEQA|J!{G6PH@ z$>LQ)i8^`cn;2ca4`QED+jy;_f5^yfhC;!DujmO`-pxJkY;;|vy1aqexa(=(@qK#y z!Sop?+V33Ggabf=ae0ZrLd_buqfy!yMle(9dkysU`IAgqYN*^is*)nYE2}7|$T^3& z!;RwVZUT=Rk;lC!Tq9D`jn#2=NKOUlLs=-%C_iz9d3AIXn=^9{GVJ(>)^wEYKu?$gME+kO0c#QiL zYB*AzoD?gvm07?&*vyL;MQbG-TUfQ%`jM$(4FjtnSzdXuxYJo_ZY`p14&xDqDsG|n zJ*Tg=vu3OX80?S|$EUx#k?EAV)5j!X)@HZL?wYAwuiE~mLBpx}I&Ou=hdPA%&v*?n z6*fufH(E(+Km?h4kEl|6udS{OcS zeqDDLRUWE_`_PvXXQ)=`$K5zYPpjMZ9<*fIkh750Y?``yGIn`Z@UT(uyBInnl=I)^ z&)JroP051O9BX+#0T&@mJ)vRXjE$lqIMdo?x+K6&)S}A+uxTWilTaNyoWVWrys0FS zZpw@I^`aA*&uE{QbUpqGx3?J{sbzPLQzvGLO=Wg+xapG|Xr-7GG;Zso(&t8C<5H?(n*nNiJh zNyKB@64IYKWE5!4dc-{hXQ{ad3PP3Yb@O)?ar41-ju`Y%L9@Crcs8vTOdbOD8IL+h zF|=U4io3*Mz15^o!tUx)bf!kPHkd^sv2|^X;@3E6>4)Y_5WPx6nJzM=zJeaM;x*rR z*+>f)*$Wq{ZY;!$3HIWrCP8!AN>t(p^WE52i(nOAG9Wq}MXUa+>vY!+x6Es8kxnKV z9scvZN?R* z+(@^ipV1_;pHV=P2Hfk*Hh+c(vdC|6wM^G4@?31`jp`W2x1j*vfPQVMv8egQ&Y)Sq zfWQ>t_e=(A+~>FVcc$mDO@oD5)PS98bdfNs5ViOWe|r2yQb{GtRm{e3>FA0wKQ1Jc zY(IhA)L!uhUTyONu5u%ZQycnv4kV`ca2*qUbF+cx!?)vO-|v^9!9zY+4SqJW8G6jY1D%Q9whP(xy&UV+OKA)GD5H<-*@|(1Jf| zq><8Pwot-CA4E{ZdYZNUV>EKYJNxu|&|b>s;5Lw*bYDuJSKi?{tpaGV^RbamF)20F z+;8LdmsXlGqcdIqJLy9_jjjcwl4di^GFUokM;1m5%f85$Vii zGU^rp9Hwfs8UJg zOhTqO*sY22dO&8KvW=-n%Q}3mr z4;j_sS>I#0FIlShi7E`%;moF;(hd))d^kTFY+?~p590fE#DLzQis3=1iUg?KAaCu* zQ>fJ)@&!j3vl*k&!c3hD)X;)scN6C6%Q=ai5`xYknbEcH-mY03kJ$;oQ`P~i2Y6hdrdL!F; zE)kNBNFqi_FCb1b%F>hx{wG%TGE-jp+uB^aw}A=q2;ey9$_Gf+%lEr{0d8Pep@7uEBKKS~u0S`hNSZ`ywb`=^J>L~Qzur*P zY{}N|!4}kxc3}%P%ns@rlp_ezHu&}v95x@1uQbLOl&M}Ttey9C_TCW4pHt}U{zUu; zm+mdM9iJ!FJ2Fc~4#bB=X&}V#Y=Hx+f0etRAj%mJ&{+TF@&}3?sjkJ~YG3pr$iE^HW$MB&0eLhl#90PS~^Lr%- z4v$3QJPH)tRwf=D9W<#ZESoN^wE==Reeg^dC6f41xt4kUet77Y3Vm#Zh<3Bg+bquO zoPJ%w^~}D}pV7YhG8RfOP~`CfN)0HJGY+|4c3~dof;kU-5@%CkX&<%+ZVy)8D$EpL zI{3{sPD_#A@ZUpLMMfv!8$PKGR(r!TASXpJs@JUI(G+roGlCNjej$sY+p*D3C<+Sx zIQ3_7Qlzv|E88Tmg3Vyqs^W?%U!9{il+yU6|3Ia>L9kp0e{204x;NP@HQ=B6J^2sG zDb&=J^Y^m!*dmF3*yDzgVYp%mn>Lw0MC-Qn1^KTNkVv zHkl&ZFEZ$VmrYTt#q8=8HMqVKwS|0=R(-0@(!*MPWH~C5Vw?aRD)UXLB@+bZ*40#_ zXf7ht(O$@c9l~O=fE3DY=o-bmxTgzrl~y-o;F}7mEtrM+p?0);&XjF0NI|-({B*RYBuYV+S5cP z<>zRSgMNT3wB@u6+n5sR6ua?v4r$2Xscirzjl-goR9`KMhW$EF*d0`;JTG6&(|5X} zViOgsjNtlq!bA9a-(NhNYMj;tMYAT`KWfdO)ljwz|2PI@A!d(!*{E?ZN8Q-my0+Uq zb~IEHru=*;R*m`eKRj>a*o@MPy4=iKVj}K>75kbt(Px+9WNfsfo$HqEYwi)#N3BJN z+Dmv%mffQ|oVpNWUmw@%$~->H6Sy!I;N_~BxG4w_Z15`dwoWao?hp2uI7$&W+o?>@ zuF?QA`xIksV@oi9+R{@lGySR!*?0I22>kivX^X1wb0D#9cbT@99kr`CUNW!ccybCi z-#XKGk}bw`&;F3!dA|Ski6WrwJEYvn)KbNMexs2{3K%-+Elkv5E{L7gom`csBI%>E z${Nd^=Z>O7z#)ROVT!n|=kJxH+@&9_p_eT9T3+i)>=cx2*J5qfEWCaxU-hO#^XIXh zvvdC+0C7N$zw7-t>V6q62Vm@ws#We&`ySh`+YFnx<6#!=Q-;WN14v4!^YI089HdAP zL*dt^bXY*F@uMdx39{vHOO`~d}GvGWtJ!LvqBr{Z|b7mDAnWxjsX}ZrAbU-7H}})^STCp)cgZN;{P+4V*OHlP6eZ467D&7 z@A3HZ@@&D8_TuO z#4{O)y(z~I38>R#Ce@IG#&!pw$HowUB8=G94CS=>F&;(Gg=&f<(#dz9Fns@}+m^kdHAg5MYTC^g0{ zAZ`P7kd11gW9*hS6UF=uOxu_!P~c}Z%c|vrj4^j@i{F?A@%{4tF};zm*JD{iVtSTfD&c7_ zkDQ%gqw5Mx7i-1>2ouC=~)WnXWtPq%6j?}$}5+TXzk5v|S#txnNXV;`?~2b~{f zjccHZyRE;GH_NKJ-aCDyeWIST4vSR|Tmom>Oz;>N5ID9@)6ju$>I8}vh8$fvvTL=g z!-Q~Xnnjw}h;=%r zDZ>P!GG(^l6GuJ>Fp9xeB#YW;wS#WcpotGM7j!S63R6Zo(7*?ti@~b-Dm?Ue}lRz{@YplSYU-A(giIZU5K@yl08H!rGgwZ1^?G$1reWy%6&tk{xhG7h&UGqDs z^Z7QR9;)~Ch?#EsoEFsjkZWivW))02m&b{_pjhg7!wr>_Ie1gFw_g1Gyywj_vd9s! z*mXn2+|!G{GVhCa$t{k~vnQc0Tntw7f<$W2NlkxF58vM}-%sx!=M(D7){;6J$)Uj~ zbY1^5Hsz7klx0Iz-W)+7F_dK!5i_T3%r6umqP~oMXBnY}?D-%>g6bIDwq4HMxLfSj zwTDolxMbD{n_cwr<$Nk9C=(uuus3aX!|H6ar>s2*5^W6LO|-3jHDqTf?Dg&j)lf$6 zjw->~{jrX>yezmsr7WLkFe+57eK+LxKvg+|xx<4(+EO*lmB4{C-(!ig$v8zGXGzMx!zp*7hBUN< zbT)Y2u$gdnt@k@eMHvaD<{%*=4rAOngx-}y-}7Rv`C=Q{HLp0&C+5i?sJQ71M&vy_ z-^`E4kH>Fx^V`buA$u_Gh~?st1|2gg;ILK(TIf8TzI=Ywx~1X5(N4|b+cDa_Ecg;% z^xN~7pN}6#oP^+YorOmwjid{`byp5KcYsdn*W>$JW&Ok0TA1gz=Zl5bHG_c$y$*ri zKOvF|A`B$y+vcDl=SPLFPqNYDbYO1@iS}0Px^hV8>(w&g54lQTv2XL&k1sE<>k3gV zJ>Kn|6hQ)jG3m#`bV!unM&P!eudl~`>voXiIwo~E1V%khc_zqN$bFc(U|4gV*|wPo*Wrd(+r z%I0_Q_kJsVq7p3k<>|N6qlDDeFAO#sDaN#DC2kDk>VUU=Rw{;Ht~Wocmx1Jwzm?F*kg>I09iV$>u=iD;mz5-cYLjG=F?~S(-8#@37n@!~GZZ;&QeiDP;jH zmC}Umm&(2~cGbm2+OMlV+;?^MR%-h&2bJxJ-INc^67VA2tUPY8E>I1t#s$_1;RFBJ_riW~2}`RGHh;T-?IxnwDq5kZGc&=@L5a z$mdJD_Eou`SO12{&|9ggg&go1RW?%bdTq*U7@^!k&ks-M>-U%A@7UYg#Kn>;R3sMl zby4>Dws?}PT4Y&@mKHck=H>F-mPL}uq#}#Q0nUmdWoR;QGghX`#0o*}epOM|9~MQR zEAPi2XnxbZlCWytm1d7BPUeL3V~&*lVHgT@bkgmyc-oAj>J|Dm+<75a_i^pBS$^04 zE@3{RfxV$xG|9Yg>4_xuW?y{v`SL!^l4gJAJj((?-@TslPm9dRUYNZn#NIS1ZJ9Th zZt6kDu|J@LT(cz&)yS@n*$VeOKe1_emv2-d7hJjKg%=Bv-O~YKDy&1S;y02NY<&9NIg=*g{ znzo;3pNl#H&8O4z@$*IT&FHtyv7>HAX-aay%6`$v54`<{fkEsI!mMWvCszB_Yl}iX zYAQyZEpef>9tycoTZ*2y@JjA7{eM3{5k1q(q0er3mF`(2D;HL5%F|JihvJsTZ*Beg z_VDd;`I$@(ro)LO&MWu))935=hwI1j1G^oq)Qi(^OR>K}6)sX-K37#}2Pi)$c@IF9 zALd#L|5Z@4O+$?&l`8roGLjwzq9MWgbp5h*aN4lu!D%kJg*YzYJ*A_9WbB0JgiX=`!{BK=NU9W?%m+eZVjX##njdTn7)SSJM>$#O(R z2PY7g-)boPeFBjch04-TppM9oSjk0hPOc1N+>*?dF$6!s`1bQk6<1FNBY`PJz@CmV@lY1q#@iK=_LP*SGRcF8-S!FU;%~C|#;Ofy{g=S93X4t~p>Kk$=7v*rH>t!271*RO=H6RTBbY z@+0w7RUvYx@`IER#!DS*874Lhdu(E}a0!GyXHzX%%3u6I?m?(+8-IvcCQo1kAGt^} zT!5%h0l`yGKm&tDqSX(?I?-S5?@s)Cb)qNLRRVDg(XsZVi~Rfubd?Q` z#Qq_Y3P^Ic1A`L~90>tM zM(pVoT~pP&z^eK?{KJ|9?pryHW~gbiEAhTL?#%S-jz=xzYmsaaK~X7b=4{KIN@i)W zx+C7~T9-5`LpgcZy9T9oS6&ewE3->cR@9@n;yOgQp}~x6yW#K2DG!waHHt#c3~U!4 zp3WMy<)tH|!y}YO$1t)lq|s?ZQDz3Ov@{ghr{q}Zwj5l_aDD5n;okI4N~>yBf=r%n z<}OsT^eE}yC}Zki2PP#aGjs7Oo^ELzXhRZRqOvX{AI=FzYdXPr?Z?H0r}aFT>!3QW zr9LZVlhHCYU1{j#^5Y<_zg>UNzA-u;NWhvpZu{N%rS=bRB+EN;mx)Lt2zs7f?=Ani z)Ndc8q>@5brDf*36(56Mqcs~g^`6-i)U{EgmL9Eo z&#e5R6D{vGT569fRDwEJ-%iITd#zG#enzSX+6dlQa?z)=*)+DTwWF2rN{xUsuYgL# zt|0nyaLEUC!Td1eXePw29NoRHo_&)uj-<>OLGxMdC5TL4!U=gPQN17^A0JQOKbD%8 zJEq)Q>1_LE4HsL8JY61CI`X~VxaH-yCAaTPrl&j>`Goi7j3E9(l{C0kMQYjgX zAPs83L1`W8cZL~-O5c}@vnyD-BLJZ|BB3;uiP}=OtX19Bc&RktjH5X+r^y5zP?Kv~?_c)fo?e+=H{eG_ zvi!xImd-Uam(wNx_VMuja?u~W{p^9Z!%C3_DPHR1qB9slYI)giBf;$Fn4)|@tzxr+ z<|CoaN1%oUdn8T9Tcv`vu&^=ERD8T2->zArhkSbdm{S*mS_yn!_8|3>qq6^h;FJ9z z>XcF{9HUql8-k&dCH0MUWeDgGo04t5TD%wiwbB(}JtTe3iXn6xy{e@@449980--~UBjb^P(DzX4 z)s&E)ApU@^qpeiKvP4QRsb`vE1hQ|xe>+}JTTJh+=cvh=Kpl?{OdM&*5kVuofTT0{ zBuTKIzS*LqT}-Zn^wqZKlA`TkK3!xsmV(c_+2D|$X_na`p&dY{Cu@if&EoLFR@;s& z0GLT9f!K8Xzm}H1HY2T##C269Z!ey{CD!wKx;wu7PStwSK{1_cgiz>+5FL+>&jO#A zNGGNpQBx?eUTen(WK?(u>g5IG859`Adl+5t+?Mxi4`QAM4nV!*lt}5=u+L6s7KV_8 zSTZ^`OCeiWh8BIW63lcOP6#cKobHF`%R3%>T#{)>tCOWlcO<&U=Z{j2af8N^vuPnA z$uAz{_ku>u(S7CR(6*MwcG`r#oW$eP6LhL=c=@n|fZM*Y2l3`>dGocr`Otdn$e@`( zy!kwn54;}(4+w3v0^$L6JRlU_9973kARbW1141`20dem;?tM?EX*xOd^mCo5376}2 zNol^n|Bvsd3QKJUMtS~xdEsKqas^|p>;!`mbLuz)gjF@XB&26q0KG~R3={eLoQ(Y@ zsO=RAM1^6(0Sks@!3>xWEYWDNz*3nG>v(J`tiKbcj7^7;@b~9n=&EVJIt={zvoJJc zTQKF~R$-WD!hlg31`Eb=_m9KW1Mm2aaFy(5pD%RY8!Tb&*^kzQDPp|_a}DFFFf_^T zVd_9*XAV^3ZjpJ)#2uBL*zqpzOm(^tS#rSaI`VXRJ)Ylaz7D(>p zd3Jp}KK;MrW777$pPo+Q?Q?m5c)L8!wM|qqBX8W5%=Pn;i0rQ((*sFf%WWyauD*?L za^Yt~0{TJ-8hQu{4C_4!%+g9vKQ2c4{g}!RsvQpHguWlo*OT7Se#o^3&9TGN@#+2Y z-KJz3nbR;^dnh*^u)|R9-s|tB?4O>1O=TFPFX!>|O(kIlT2F6~rZ2bG|NESe+x`t> z<-8I6rd$-!#|n+CX@ah}#!Sj0KgM!8L=`-plh@J!pr_23b&Nn?_JLTHL=s@X_!gAZ%UB1??DZ8@r2=0i&)A@Zp zbwqh{TL~;vM|$KeI47E#CXvOepXu7FJ;R-=j@kYYbA4ylAD3RZ9zK+-cC23_=8H;_ z1T$+8`{|}Em+#~K_{-^?&QR+WdEN8=X{oZT$kJA(|MdNl)DfShdbE$2F|n8Q(RjnJ zPkZev0}Gs4VJ|nBb4-=3oipEJxI?b{2-ie{yP3Noe$iTRe1j7RHB19;{$}!9MyqANwL4Wwf6GWemj8AR5#8al z4KuR!E}Df+{pK^X8%6buuGZNd!o)>?xq;P|SXmG=>N5G9c{Vj~i(zU_mu)#W&GyjL znQ2EJ-@cMv0P8EuZHu++1*RxHJl_G+?ak-SD~eRiR+MgZ<@rhl%##L5VeFdT)bvJj zho$(i@R8zSU2sKt9kkk8*|OKWr3THOux#l<>7<~o#_S#55&7X#YjCr~6>T|7nIUCO*%P^`Z=|b=t-8p&;@4pf2ik zPZB4!GC21>C$Zd7tuAv|YS6_lD0XtC{o+N?t^Rs<7{HyzX%y;Xq3z3> zSn!pT>=zMx>&_j6UTg}ny>rmZ(Vr2tK`SnM_E}siy)6WJ(7`fcrr&z(&h0^m#j7p4 z`vx7BS{BingSPi_SnMxRRdJ*i_OgaB;J0s$uv==erX+-Ve}lCRyR{_IjEvo#8d%J# zJFMHu-{0BRFG!{^6s-Lt*s;Lo?zWcEB>gtxx9{liQWq$ia*HYPb~%aL4qNH48R^um zY4&#CzTM&VzKf>JVa3;{XGK$BbBCR|`@5XTsr>dG9bT;O(UcdgNY3==aRs*0VarIF zusi(r9UWfTqA47haf|XK3k5cJ*mUDazxDX-+a30ck54pjY%3lQz6*p!aL=+Z+AE>$EG)LN-J_ZVRr>KcQ`ov1cb%p z)!%(BPDqQ#NpIeAmYYUW1%v`y>9DC3>ek|(yKmp_aJOob%xlhyKu_4Qz~&BjmRCvo zZNzWi(cvYUus3hI3S;UPgIRCgbe*aA>b9`S{@$A|*8-X&x%G1+g_1e>3HYK9Ek=qB z?f_VWKcM!1Jb*X(AgOpu(Q={;sgWczA!xG{kZ%r<_RbwFD{M;lIzLCc9Rt|;sV`k_ z$DIw<1R2T6AfZ2F1tHQpdEq& zCwQdDj+{)sBUr>(pOjURqNZC1&ti$B4yfJ~>j=#_+Nx4T2qje}Zx9`())4!nHI>x7 z`H&C4J5ZG%9%nYJ0K_8%4w_`S9SH&pl3x=5i3Gv^kYVP4$NcY6{5^`lNAdS4{+&nB zwxoJ*+XJQ%PN0Y&>{BaOY)c>zLjErximc3O25|2G^{w=T#02tM`Kvnazm8TU zM+X7H5`Ev};z`M>`tJ28+d<(W`Y_O=Nly%=LlPfpF9S9I5y1-Li# zYWXD^b-D%7uq7*7`n$PAEs)L2Xd4qIc9Ex)mMEW}zL@$sRgkg5kJ3n%JlDICyq$it zhSSq<91Vx!C}DtUIGiD1z*3*7>xLdIcfDbK!ukDb^_^ot$;%QH2hw+PvWzXi{3*jq z>bs$bPEX#3H_+SXYq>eqL67BneLG&2;TpT6v8uV}T1H(#S>od~&CJk}wxJ!WdY>gZ z=1lnIa{2l5JCJpal^Z2#bQ)G2J)ORPd@D4cRC(lI0@3;#+Hx+xd`hlH3N#dtF+LlZ z#b4qlkP~)R((v^4*$t!kI?i`!N$q{b($`xBRAa$AU(PI%AnC z^N+9(znva`*1J+)$BxNxM{2tZWRf|SUG2$Oo83Mtbf_zqt57CU&dKxn?f9bhBv{T9 z$!)DE$*iF&?;nuKG@`vlqB2>evm(jU$6TX)Y#%NOU&IuK{Q=_&Qbcm)i>+6_IDLo) zhtAT|r$`tweK^ynx`FOsB{o7@#>#o=dKP<`j7UJ#VTAaD6-tRBsN^QJt|7@C>BR}*i#u_W+xc}UTjM~zP9 zqFgdXZ|C`XdHLn^@a6m_nSrlJEROd5;Jq(*!0R!U=y|w4UW_=wgcVr?oG#Gk^VbJR zxaykhU1@$_S~XsReP^u zj!wCM>6=tAuwu(1I;ruqe$nU4% zLcI?*X5hQC__m4J4x_SH)-FrM4g0HOPhVAvT|Im|ml-z&*Vlw`-Rupi)U@_u@d zCpSKn!kXe+>va$QZ)GJARb~O!ZIutn)GJSd!I!7>e4SR|AD^eUN1pk$XsJpF_@gA+ zct8K5Q0XgdX^6`Ece{}&VSDo1soinCD|efk6Mx7>1x*^Dy0iBq=gCF&feOp<~OjTPLPde>6#m~i?$ym}L?_Jn9#)Kf^sgt=%`W#_jb z?=E<$c1Q)!!A3~A7ET{#;Y9DO7^<`-I##fMyo;=UI==D-Wpf0U0Zc7^))xem2J8HKx_sgy_Bi7L zBL3VvI>;S(^10?la=MK#oIxpWZFPE>slTc(aLUHdYH_@D@cN&}2jQfOqLTP&Gu8dZC znG`7J3;G;N=d650Ng~DGm9ndbvQ%N6QP3AfLy04NWnx8j4wybUg@9p25Z)AiyOV~?-xm~s;H26N7EG5<~pS+MOJ6;DcW0nY#SbP z&7?Dz8nwtRCc-_rwUn?$(0rXt1mOrKf~i9-LlGb_e)<%O6i?REBD$*;Kr^`1^kca!P9B8;!>CVOgVNIh}` zQO%?z)t5_or%9?^`;a-fpRW^po|x)1*;m6TH4U&S4`$UD3-QGba|ziU8;#vciw4t= zCkJbWS=LecZCSGUEAmo3J-nJZzuPqv=7O=*w1Cd}p87#md?X^zD!q{2!SEqFYT5EQ zi%H84WTsQ|{rDBjQciLxW|MDo@)`hP7TRePpzx8g4hFlC9*yP7CXbP&Pu02i%Ts6E z9?^GVF1{t>&MI81K*GDoTb`S-T{B|rHidkECYYu!K=g20Oqz33pp%()`>sIU+cnLR z!Y!Jf&g^K`+e$Rbl~$@26yv_HieI(rp4ttVG-L28>cI1DXYFt2B5wO3SJL(;F{V@L zSMv5JtnwrKvgTU*HB(wp)0QPxhg*F?vnI6-CCz_)9$(JSzZ2a?VXHNv%*{H}g)YgP zyEiu^&v(-crAB#81m4}01CmzR=A6?I+JaW)=1rvR6Wy-4D?@kR7F)}k%?LNP*y13A z^y^#9#~!iZybc4YxEv<*X|SriYcNi3f>UvZ;lYd20|YKrE1XyGtW0vO<1q$AZ9qw`%jb2aqM)=(*v<||)RI-4MaNP0^x^gR z@%RmD(jGj&B}weG4UK>sGtJCV|G9ufB?tbM0~Iaf(ODu^(BF{ zw;?@Y!Q0s7w8a2v5CW+Sb`WjfNA-k0{BnHx#1bp$c_ARm*CG5-fg!U=JkI7DZZ-2L z-C~^=yR(4EEoTV*M#RiTC#`MpB1@c?6HfNv!jU;ICtw`VYM!gc#~N&A|>>1u_x#lq}`ozjOc)LxL4(R zpd;CO%mXbjEcGZbn72tx44__AKrh2~%pA);bg4kIWP-6`#;rR7x|g)p0nxG;{VQ!3o4C zgSVyWHJ)PkiJ5%1cA`M-@n}rP9W%j-I+`{l1{$XqlM=6wz9g9|D4YUIh6oJg(!>@z z2GO%Kz(*$#^0fpa#1ZtK@?Hh8ckD<;Ng$BfcQ3sK6t}92d(d6Uy?X`3iNbrLg)wUa z0;VsZfe<}rE03GU><$F{Oh6;i!XoJc>S%J%D){$&{os5J2e{O{ywH0BA*t~IiW@JF zsE$Cu7X*Y^?nj=}ar39xKn|uRG?;I9g0vvfhn;814g{;8S}|hBJ<&A<>9njTHXzWQKcazMJPcIZHW^EeYVVwN00(au0Q;%ek- z;X9B*ux)1Fl7-?~DY=&XX5bN)Eobb);H*+-V%}S0Ve_{pw0D`POHRlB{^k6^<)V7I zGOhJY(SoiZnq$i5M zSE66vk6(0?dP+dj@!~DZR=C}ZvoKsHdyqdu?Qaba+2(?QnUD=<<&-di0k@56= z(Frd`S`W#x{>$ddwbGC)$=vY zS7-xXr*d5l7DVlU!w!6;WMKb&de4d49)3NZ#c=y_`sMicvCFNYF|k-O%xNhnlxZ-Z zr>Asgu5hq>_H$?{v381nCr?Wc{x}-QQ+)p(qzs@BcSqG=p_7WQ3AwEuF#vTDx~Z-Ne9H1nFh zeZEfe(Okr32^}+25cOMntBua=+m$`#msbY%41*|Ciu_?E9LnN_reM{uq+r*81N3ybP#-AZSbv8o*1Mf)r(%I=p($~hI zeXTyc-jzE;O0=s_rPN2$K{KsVgtI4WD)-b*T2mhy#5^TLx<%Cgd4Ce>(S#Fp?h9G03v`!9UFKYTmBJ-ryK zI;@(g1?lztG(8;ol@)3J+JRvtWe$}%rRn2+IVayQ*AMOM=#?3z8YHhWMjOi4%|IdX zYj4Y;=S-7Xb@O!mPJ5ceNql8Fx+VYEe!)f8BR%`vPv7L-|$Fk-9iV4G@1%gU-F{4VDNgoZ$g$G&7CZRJ)AC3V`@k(9IxqHQq}DH z^pZKvSGl1D7G%dVhDl)eIz?ZS9Z3C*FF$jWJS!!wjMRyIV6L=&B1zG6-NmIGZGH%u z8@+8i7L5KJ!N`{&5ZodLRRU`s5wk}(2n^-EPw6{>1O{mh_U+p)Ri9T$?DOM6swS=^ zNxpB`G>I;p3Mf)w-yWp(%rzbLOzTwIRXuMsoBOm5IAHxjgW;12R+-Wi*vA6@_M%gf zMn!0>KFpFEVK9-T#<&u(*Lu@%l~O%4z*Q5;e{5PdOwAx_LcSkc)|Ry71G3lA?KF}< zl14(hJPs&D-O*)3G#a{GYa*pc+2wz-vTzvfZzbqo+8vHd8kGgpOoJ2G z>``+|nNE8qV=@`;nx{Od#Z<)U?NmNNr91W*hpr&_ad{9G+ZAbgqS;z6H2H1O*Y8F( z?Wz|uk-gx!awg7ikGhm0Z5NHf-1g~IX}cyG+WK<*a;sBr7$zdP%LdRBW1_+60H%_JU3p&(e8l$7>i-AHSDGlRY~4@6#! zy2p2OhPq~?4jqk0i!@)^$lCn#t%eXA>QPpDn+UG#kZstwk?2699S7P&)BL<1OP%o8 zZ|>k4mGuw~>xojRNK1~c?!jGr`W8h*_xaFTpI^D$u)VsE_I!?kdRuGXHy+7v=3K3P z>xljhgpm-APfzcVemu>By_EfuM0>ZCIu&P$tU|?iL`4dmn|%+S`d)3{wJQCGm($x< z<2jPh2K6(y&|;*o(C6DV9FT4&?GSQe9th0tBl=Y&Epe`h1F+UZGP)P!&tQiHG5?5F~<3!*Gx(k zTjIlOX8yolUKLFy#0AMJjbKJyXzvviO|zx3()u#MWSL@qiYMVzHodheC343Vv}-4E zlsXpk7FP8!BG*_RmScaIT~rq2_tRJR<+Bt)#kLQo=$r@AI-31qcC1{eP88il8L48K zfDB^~Q4EVtFe@5C#@qVh^Izw5r`80vZQ0$dD@5~7o(CmICPWYBxoH)c@ft`g9rT=KQt`g{PB7!D2aiM%tNzwY=0Y#()3zg*wZP}ltCf&v}M@OucGV)DVDLUaM zm{nUMeR=j=T)w<3akr5`mm&FhQyb3w@Jpd3`mPRO4M?y-nO;kszY^Jf=jN`~5boL4 zh<9UGTm7oF|65q7@7O#w=bx}UG!a1u-8W~J6bPMoj`YNcr2 z%vEal3o1oy?Av!!{$Z+_EKNLV#P zq1hwy+7MAMK(;OmHbSiBC?{gHOhnX&AD+}%`MBVt*^#Q(O_0I3@`gFJ$A11UZ_nqi zpYI@ax`TDHgvRVoyq{JzS-P}sxp$r>bpTb5fI0%T9@7!a5;v3 zM|5C33h!N}@jHwOL&!P0R6?^`YtBdMn_f#qDb49^j4pbU!)8#P=M zo14R0d`CM^v#oP~p57k5oIm(Db$leos9amhvD1!N-}6!Id*#?^N1|7b7>2GlvnM7U z9bVD~tr%$wE8Du~EuwE>K8jd@BX40o#`+dkwjo+A?%l5D76yIyuI|Zx3%3Mv%2l9L zhrWMX4@x`uhHPsqq0cbK(7?8qJ z)ARZ5v`5FC}Ly-iHI|d&tt;^REt%LGK|kHo}Ua;#?dO{P_9}G z^~^A39E!YzIo~o&8HZEG;goSWWgJc!ha#t8*mQF+h|DL$$UGM7hG(A0 z*D$ctlq*-^VVvR~_PMa3HE3I#*xBiDnb`C7;pex@uW!oiChDA+vawff`fVVl^ULLB zdeOaDaefIcbl9mG%Y4du+JfoXm0poweuwv$_RXcl)vl|k{}@eg)s$qBM0~*CL|7HS zV{LL{qpqqbXXNKvlH`v(iJk9lPKK9 zqpDfb_9)z?vJn@j)7{}azdijjGlVl_bjxpezT={0j!EYmls0>dquRbJ5BEUtq*y4z6AGp+hZGKau zO;|OJw%Mb~)lWD-rhy(et6-!0Wy~M=uL5EQpH1XOSB|&PK&##FXw160dE^Q#BUvm3 zgq|J~2vr;-Rj!yo5g#4n<~Y0qq4ofLK!d+=nh$)PO&~1kJ|56aDIk1ujawqC9jK!( z4#{-|@Wfw9_g^Ll}iNS;O(Xqi4-3=#dJHr!7b8Jm9-=8ZbUX zN6L{QAk^c!X>B}%dIF(6+GXGR64W}(-RQUgiojOgj5=|VCQeZi8vB@bLiEav()3{# zWMhYVn&hG5?TD}S2^8pj;p)hYqG0IArj$T~GVf8DcUsuiTNx}8^WY6z(=*8-D;a40 zZXa_^-I7CESGzQ(k++>y##!1A<+iC@;#1?X!JgZ9__(_27tJeIxM1+qRPN(RW^1v1 z{Ra1o%c84C56JVkT_qOP``1gzBfUN%N|j*}KhanhCP~u}(vv=X<+Q;z%K9E_Yb3f> z_M_xMzdrfH`ewU4KQGTp6=m@`-Jw*Ne(HTFdoOUG3=}{`Tq{(X;78PAXME7xlvDL@*1Jgt6c!D_vrWh^<#jrYP-_SIY$~YA3 zi*G=#%650fIg^dc*gerZD)zQ^WIY0wq)QqK&(GWebHYlPAVSa%Y=AI<_}w7b*#-!c zk?SC+#bSUk9j6W&354aJ3=nJs1B41D1|?2J%xS>W7jyor+aP#Ri6O8-1$^>h)chJ1 zn5XWxBN$)CR54MxVU!!r4|oxVLmp5Bj0`h44^&{wiv&p!GJ`r$@+1)13&8D1 zAY^%a)yf+{M(;4VrqSjCKszCO)ik^I#`u8O~6 zkiUPFZV{T4Ez%CUU`a?X3EmSg!E!s}0pu0I3|5(v+)?H@wgXayVGN!RZF=^5ybCy ztk5-*jxxO@SY(G@h)j(&nnm)qr5o1-zW?fOKN!Q1Gz0bx>sq*Bt1d3+V4lhhGGmKY z^6BiCRLUpp?i1%U#7NcGsP;Tj8)X$^DAk*#>ye5vvO>6u(T;t&eQTa9NhTZ{l*Ye& zUVjI{szr^>u%ryOW+#qiKYmJyxF*Wv$%1YT$wve&Sp%zI6AT`V=^pJ^qK%m8QDBx6 zV(Pw!>&5h-Ngh2)I7dq7Xp!$%C&!umIp>={W#2%##(pxFY{?YAAdA&TdWXI0P;wI( zCNoLrxAIyWVI6f}pWKo^r^MViEHsh3syOv?Ac7lEmX_`-3R6%}B{AIcXi6`#cA#_i z{C;`+c)DEP>0Me8tiAGvR|#dcaYbPDT}xg1a(w)GC8Q!nmN5#X{n}t|H(48YF`8~W z&D6j*U<`~)7I%Ruj>%}h&l(L%DtPDrG0yP+0tBTXrV1{MC(5CUBlMIUyAf?{v#H2aXYKuSOuX~C14;Lk450_r5}h+hshkvH@#@@kWj z300mOp<}b)x=50X-bjrj6WZ{LISHu7s<>X6*&emCqTj6CLil7@j`D+=Hy~qFxZD7| z{QUO#^7(Xnc)a{_dS8mRtCv7i&Ree1c^eIsbaEzcBe_UBzd+hwIW-+e$}0g3R3#+Z zX)CE^{tD|LZVsb~rL1>7N_}1&K*ND`Tdu6)F03poik10OSysQ&NUbtGnG#4nnUpDt zctogr$|M9|zSwM6ne>3Qa%p5e%?RAxa*P>MkXt07$;>O49F0AmZwW@ zKVtieZ;W`e%xthNlk8|M`=X^?f>)eWe9^Y<-YGsEu4un^{Loqt)OwYNt{_6{}J7hNP0`+~mug>q{tZe0I6TG?L z`nG4_t&KRzMQTawGF10j(t-CyQ+uPOIFqJmj1egsO52ouxmrH?sqx~IG&g=cPN&HV z>q-cr#~zt&-ST8}Lv^uI+vN_M+uqolg0i|kxw~`ij;%yP&n%?Q5>nH#Xj(t#qqxn4 z0-@?d6+uHP_)6?@u<9;J``FX>l;j#h#VVsI!(6p88x=O9%GWH>q0QlmK*KPXnn~U! zGQKUz-1GdHuzWe~^!nJw;H>(LLMD{6X+9u0N#m%vQHf>P3b>W_v85TaB=pWSB~Ek( z-UBr$yY0{x-)?qyZI7)2^~#)AOXaPF37&VBio2giHq7FyDZlnOtqFRq+Ut zfZ&yp)~BawLf2|Zy=O_8bI!Qk=7?<9U9v^GIddI0 zuSj$ghVN+1ra(6}4-T7GjOoiQ9nORt^K7xTxAF2z}DOg-T&Z@?Aj zdV2rml;SqZVzSb@M^{ntOfvI8PVMLN9Juskg zlffN^F%$@A(H^~`qouqWEkR@ADRC%hND-Y!GgG_Ur}Ws@`1@++Sr-(TgX)eM(X#J$ ziEy+@7}|pPt%#gyI)-xEDC|YU8OyKeK|B(SYftqMdFHG@-40WG$xfnxy&ip&T&|4- zAn@)X5s8irjiu?|PA&J>1WBn(ny$iak- zCPBaWD4wX9W-+(7Rm6(ynL=qPD7b@gh7>!`(ZraHZQRbX)}y7W`o2dyM{^ZrP011$ zN0yyixrz~59(MH;ec+pB^Fvlqq9+t3P1u-=bD9e@I-+7~Lepm({;cNT>&Rs~@hRTh zYxIf!HNHpQWCu;#6~D*nTIF;`<|qM+9VB(FWxR58{z2%4S-UqOC}&b}2@c|p$3CGL zK9$^XA?0E+xSzSFt*3z4eLh8 zrBM>?y3IxuCijN(_t*Cq{XSaG)4tKo)!d6mnK~Vzg#be#{rVn!}&K-$b z_`L1KHg(^xjv_N5>C7+F>*y!EJru^>m`<}3<j!-t+^(LiN!;Ox`_8pb75_vN6vBT3Ysd)o3lRsr4pLRDU)3XFD+8Yw^GJVwrrYz5ReN=*d~ zc2_{Xz|bnDz(T_jqs;+CKY$@S+3?H0`jTLbLf#?m&U2l!9Oy3e^lLGL>7=%nvlrv>RFq z>Jbn)r3(my+XWN|6lkrfr>)l07Ay{F{V6oxacDl~I1v!$DDV^kC?IqR_SvxoK#+k< z++fjI<(dOrG2%P0MnCI9S5Fdw66Y_R8?%oI6Jn?2-l}z$q<~e2ynT%wO;WcMHqH#% zO2V7I)iv}jj=N7Xf}+1tBEXzwE=^yHr5LFO=x3EnjY`L>K|M}d7*6|!Wl9pqr9*(u z=3d0hN{5C(9n!=016L17bBlV#p!8l~n2lXzGQ7`3CPN?nuq$%6mp$-4OY%r%Yi|0O zZKPH_ewrAL2sU_P3>=LbcK6#N?UzVgz)DMaDrD%RJ1xva{6c)}L zk8delu>=Y<;Bh8`Hm_IuY_Mx&TedIl_XgpIYQqK0O2;Z1WLR4O~nSWG!x`W{zn_tYF*5`j%~#8`8*afqO5(EcIuYqsxG}?v}8d4R0!N!#-^! zQ#91(rg~L=>=klzm9_A8o#y9I4yeixBojKM9S)&YQfT!RZTa+ZenDCe`hDfnaxSo(Wqat$xk33<77+C~-J$lDbk0Y! z_qDiP`8@RZMhLBR&Ws;Odh~K0?}>yJw6Ucfdk4}$8&eHn4ZEtk8llj1#P7^V*sgMW zg_bYDTf-RPcqxIfq2de@asjpd#j_7e-7{EJ=L$15V^)$pSoW-L;1vWgUfl>@tsNsD z8(^2FF*nR<8Oom8#$0LN2X0?6<_gN-X);XHY%&qjs++zn~=z;#3}L(ZKGkAaQov#u%_4a5~;mI4iWvuieWrRy|RF0iXD35**IYzYCp*yxk2?e@RLo3e?w!>zpG|U52f`p4N}1Z4_eDj@F2U zoiDn&2C&&}7o0znZU3L|Fn~p56O8>0TTFGHV2V<)#mr^arpK5H+4LCoASY4j%O9>9 zpgTF-ef?wB+p!aU3SMqnF^!-U)ec;^T`j3XN)Zc&3U3`Yjf`{4MV#f7F`MsWt$d0C z^9}Y-^J2B!$u!q7)lE!s3Bxc61LkoD8L)^}qM+m@PNqvmHLtjJ-RoXO#xCnrYA`eo z{>bT7PHKmC9)YnFfp${w6VyfY#H6qP+`1F@yVKm~JEORtC~h%|4-7h~{F%BITm0uC-Z|LDInAt+7PjMSs^@CFl<+>MI~@qtp>0)Is^VQj`2y3D zSZXo(amh!rTQ9}kiHnn@1*8c_2dKQJ3LE8$){|7NTw$8zPoeUX4u<#X8vUp5Q`Vf= zQhDS=m@SshOfclqNfJ?TBS{m}x#iosVgK?8#y2Te8^|!aNuAejImHOw@obWJMWE6Z z6KLR8t?6WxUTlGup?rW9GeokBqsl0WD0j@6s%=4t9rZ#BbQ8v-c)N^Tppf0V6Z24P zu^1NRjG)*eG`HQ6w*X5xq39W+^qOP;Cn4whrdFl8Ou8Z+v7H^@eK_!MoOoxdUB z4*ZNfy*-_eZ?uFcI>tGogqDV$71NY!hl;1eSryguj|1yO3SG^v7Zh_W4-X|FGL`<3 z8$$5|?D4TAS~bO8tK-e!$e%IYob2-IOrMhUF_sXHPsi_A?s=GtU0`1|w;;Y@roQs? zv@tV*Cc>7cic4ZBOotee=a>?aycZR++6C`ec(UAV=p~mtI}{kYbj6*I#_kFYSYa_G z?B}&LQw=A&yt5Uro>YIP!Uxs_=A7%$Xf>;V?5GyrvbE z%ZNK(926Sf$&ttE%>ev3`*|V*L@&Zv%TN*Lnj0urfBXG<78iNlXG|{zrh1qv?#J|k zy4UM8vXZbUH>O%MbOsHce|u`Rw^zTWVlFq=4L`!T<$KzhEyOTd%@3RKm=h4*?u2)W z0yg5clah_oX?Avz%DAN*-1R>@0$$#dOAYLgnhhr^GNVn)s=LI`QLPr!G$`BtIK6X9 zUXhB4efhj5MuGNaM+)0GyG0q~U4TWuCl{umL9Z%4B1zgTKT`K*5%Rr91ly@3=3ppT z`H%PG+x7S;HvNa>rt$Fo@^XH(X()&A$7o1u!o~5VuRD@VP1m{+{XLQXVqjh_+yx`K zaM)R7e2${sW26oIbo@9z{Ca+}oEVe3*B6NURJ4`vwc{H1-ro%FNi{_Iy3z`8tjt*Z zX45_lKAYS|;}<02a&}Ua zMyK?9b{g20VO6-PB-RkCOk&IAK#>7v&A~*boRPK66jEt0pH(~zY_Z*vd+uNX(|09> zE-Mo;d11asDXf9AFrsFEQTX?h2Ph$#?gGm^n3fxxVjBn<|U8O)(=N#g4{1y&&}4D(bpoUlPkT`)tzlQW%o zw6p7cU9IbP4}d~KW2tS;d92R<$g^Jwhn3GZm4Wz<$t9Lh4{G)Ms(!`6 zx{2r4d>F-nDDZZ@@0F^{Yj!VJ7C$1tm?tqzQSq#0b)J{WeHi?f1L zu4IblRLx4QNyQUpdVqn*(H}5t_XJWCbZg1DmGJ0ZNu4{I2|rS8_HvVRf>+O;K9ewk zBN_S4mK=oX0`vSD!)s37S@euUQ>EN|=ou*jL2ay|+{aYKZ$D)8oAWyt4|QiL_kd9| zx}{QK>FuESvYLq`{65rw<0|#=doN}((B<9{UoL3Q5&u*pl|{c}ufR0Oj##Qe$C0y> zz?5Qm_(aVx``*f~j<8aLo`RaRi2&-z(1D0%P-2>rsW(u;83)d9!uBL+{v@oPbl+H%B0hq>!p_ zL@Ox1c`f_=JI85T9YwIDoCd@X9J-?jDskVHPT9`~1*sZYt>O;_KGj_d zlyI$;ON&7q9&NdU$#07V+gl>MG+I?jDuq~3xZ~L*Sj*GF-}!11oJ9=s^+!|cv2{x) zI_01=41Ki3l?!!u0<+$n z`D61|7rULcRSkW4#_tOJ?4tWJ9paN!nL6ZjZfQows#8H~>q61?R?SVx2-X!CS;Bt% z`N6I?Js~ZQ1%OmtAeGQsWV9so_5Jesohcd8+M1^!P3;|7G%SRB7%Jl3;HXx=>MRwp zewe3*C3jU&vn3RnEee?N%+Jvc{c=b3&Eyw2aw}x%9@b z$8+Kh=V^B4V=Qb7|Ql!L5n|{`kyw%a1=l8(nQ@ z4O!8Al4QPqEnV58!$j*N1@jHf&a;}oG`sl}U;3_mY9hS4-~g4W7xP7$w1^9p=jFM~ z&D$Xq?O(0ISznX{aG4Lsxtj6mx6|W;{JtmAC;tSKMs|4J5n1{z1zJnhMNI1C@=l3K zobPS&OM%LT{OiN|0r87O`fIH+ZMaJsxQ*8P=i9^gNen1eg`Fhzw5KgcWWc z6GC^G9kf!I{5|d0(-6a*2y^m_tt(6MjtM3~?@feG9AM^!=;l$c$FE>G z9N65qJ3lg&@I0M6!o`7>CY`~+(~q<6f5D$v%R|yOE8UxpM66MAWlu~h?V8k8B~uRQ z`z4iFH)%!V*37g54Q`caRdVaIP$$+uw!!T0u^;K{@vLn!IkRnz z(oj&csr1!V9C>^usbJkXiPW5oZ$Gr_x6hBK%dZBt>UkN<{a&Xu)`mDb9%7YT=Z)J* z$i=qY5!cV__tTp$j;8i5;Bot09t2wRzTCUlkIQ%E+D^q?Dua%(Co;%yVueezO<#8V z`0|o&_wOLlw1)xQ0i|!NBO#G)4q+C1yZI&(7W;9!7LN)yHM6!_vlR!~li22n#F+LF zm)$hZhvi|Bh^~*{PEVgNrza6=O4p|-C6A0bmfvQ3*hW(*OoX1^r}Kk^oF!vT6S$0K zyfxK5xIpEpwnSe^8D9>&kk||Pwq==J&}HwnCWugrPhtTRBJ~2g_ONk*=N$C<7e-Z zh0ZilkEe(AlxiqpR-wef-8_-Tm*th{{y%$fvLs24w28gS+~)WjU|3y*2g;04$OAc- zIglq8j|k69|2wvJ_sHs+r>6n7;`I+K#SNm*`#&+X$Fx=%l@C}DDtn$aCIvzME6@NgcB8A^>tFL7uz$<^26u1r7m zVSbr%2F>~SQt#s%#ngMksT0E2J&d3*bdX`SCEsU}X^J860*X)R02MRSw+}`8Zr%^j z9#dE|sJ6pWb1i0?^;kxr5QVOVhr7BuVkR|1+O&Pw1ab^o`AWdvkNb=P`IjNGIw8Nr zJ@o~+xBOmC0s&iZZz!M|1EM-ndUt#{oR2xSmk-v`1Efzt^-iuz8=NJ!wj|{rT?+%| z?7hy?Vb>bortD!ABkEP3u+3BHrm=l}J8wJE@19|dh*GKMH&CS!hE0G(tna(S^X}n! zFY-9ags%ATXtH$j*)|kc>Y|dLKVs%)& z?jrOtl*eE8@$mNaJ9SZ8AG$7DM&s#?7D7nHNS&I>hBb9MyqGg~D&b^Sa2kWSBTi`- zC-qTt1XCZ;aUUXmUCqXJYqfQdTijneS@|-^P-!lN%s>8*OBB zF}qpI6^5(3=!T1?=>}~^J{;k;w2Urnfq-aVF?WIKm&5Df^sR!bP^Aa2CAuNkj8GK{ z%feOAi>TU)37;KNu~`Umv(m$qn&P4{RX02CPw#K9Wk?_w0Kj|JX_^pzZ`o2UK@uJ< zn3S|P8<(y0@JZotS-L5c2wU4q^y^VM&EdX#K3m9wI}KLkw?j{zC~d~{cC9D7_1p1K zp7C_p;q}X0s{#|y(pCx6h7%00nwrH$SP7@`0A)fd866QTyi=Ib!U}$At>(&sniB8Q zT5T$xX+~?j*1n(>mb*wuK@^}bPRZjN35Ex%W~dln!zmY}MN&yf!CK$f6%ia5EMb@u z%Q5#d7ZR=re?n@i*`!-RsH7HVOm@|lNy`nIDKAPlqk7f2F}<@Xbu-pSSaee(U|ZW0 zryqle&f1)Z_X>r<*ITt?O8L-=)FNvfp26p9(5w~#vr6+DXp}Rorb~MwMq{3e9;3Bf z0fv%}n<1``2w`cV{MZ`nDHfN{c+~Zz=?|ZlWE+>1$8Wn=OcZP8O{>Vb@kKq|tlEK^ zTb28W&_`8oEB;-cR1*jh*?3o#wb@Krt4mBO#Em3C zeDU%7+u!Ov2VeI5zTeCKVg5LlAHN)q$4YWWp5s)PX3|(9RQ|3Oipf@2H`odCJ%6Su zJnMe+Vb^FmqCfz+=z zjIr1;-kwi3p-SVpu~Ry_z9i2ngDMsu*Tp$*{J2&$`hqm4MaTB4JMOlT8M=!6byHkl?_&7$oh*sM)r*5rce5HPIdzSjG zd8Mh}IIbZ60)2%yqfNbEGudB%fxdW+CDXSq!KP(|Mfx(^+S*xU>UMm_cZsjmFPw4q z^sR_P(#H7$h^c!v3ThOpW=NV_j?{9Anm+XzE*0jj-bGNPJnH<20o@mrUKyxGXR^5r z<$RHb>0%}X!}`11oXX!q1(7rjJTwqMvER}F&b7rW;XFoEMw}<(wXn1S>_8ehFy%wV zug@*zeT9Q92+IGPq?>PDL*1ZEgB2UmHe)60 zvD@AG?d9-D9V5CKCcG!4(OBnj1-l>^D({h}R|Yy5-^&id`$U1S5NfLCm53qW7lm@5 z5o?@eT5-q*pIGdjM9(lddFUNijJw$ZhQt+mgX!nQ>_qFb@+SH;wJ?xzV74aklqqWnq6DVEzZ91bOF%Rb`SY8d{8{` z#W0D~iVFL-RN>+UX5Qcne!CEb2yw;s`su7gxH~_7 z6J70evvd$cQrGDo$PQ#CgU=>cz&2bS71uUOcoH z_Do3`MrIEmSNBZW4=OvZ?wQiJ<7NBt+uEKfeQRCu?P9T)z8+~be7zDa$ZqL)%}9q! zou|*ImpF#cF9i_ODX*gzD?!tC6Q&z*mtr*e>*?<4g&0l#czh^*`1s-Dcp;S8PU&xD zo7G_^2dTXf3d$dcaspLJNX7N0H^p7mu}Dz?a9Gl|41Dwi!l$Ux=FK9=!?|DHGZ_R0 z$f!vm!(Tz=`2-4tL{v0lG_@5V?JlPxM(%>Vme)}v4bIoyy#T6hgK znMI*kl2H6MG`#zYv<*g3dXW(enKu0Lp_(t6%scn$J;XfSsd!HiqYY`c=-_uCd}yz; zQ50F}Xu`FL6+P9OtGm%=91AK6p!}946~$DrZOKnkQUy$^lbN1tTp^QfY(XYm#}<>0 zRp&YNGey#aw6-m~sYT86V?Y@Iy>)~A5@Dw8Bs%9f2?FJfK1M!t|J%~Ac&Eg z4#AdIodBbxg{Z{;&8O3FUQ=$Qd>~!twPv)vGXDjXpv$8>q zJ+-afOv;C@Q`!BgV=`!|U)<)K<+I`3ub$4BM=`AK;qpN%Cn~ND!i8AbjC`36h4>tvHJS+p(FmP*HpE#d8! zM5yZ;!gC`1kXRE|Y8j?T?_$WS8cxTGbHlD(C76>N1je!2qOZ&{;5JldS=;8C{xa#} z>y-^|_wksIH+;MrG)QL-Ww99{Byl<)KOWEj<Pig z_f*~HoNI&q2Q>Fn^Ze-XqIs&n^7!)fe0bfbBKN2L({^|Kx;=9rA@`x$-px&2#C~V9 zdrbGK#wOo)$ML&?pSkHILc`s-^Z)i=a|JhS(iBay?5wxYQ5fWWNOIP-=>G?s1Rg|a zG1YZZ>3zJu$)ci9y`GNz{lzjo+-$81PaUb1B0Svawi-NL`$2;O)NLA_zhQ)>Ke%4k zOd4aj*fWlCdVfBo-1VvM-D0p>o%QsaFH!B*iMRLtEA;?7MKwR1A$6*Tea52K$LF_G zo#1Ln6Ie9(a@?JwI)NZ9}d!K;(qs1Z5652{&e0wJg2)3-8%C(q)tS8F@vP;#|pM%|LBTj{3WN)w_AHBxVsM%$lr4H;H@AUC7Ywk4VKgP^_VGhf*fTbfdoidAIk z2i3~urar(rE!LDp54DHVa}Tb>Kdq7VWobTd9=}g^q5gfUx7L4dv}``@zj4UQS=}g_-nm>#Hufo!k;ra&3CmmOF#Wxf!;C>R23j9N{15o~!e_ zxgFXx3i@ve)>fAsJ8ulkQgZ6EsJ&jA`xKF|B;t{%ed3 zyNw#1ky*>qkmYp!rtS#1J|kq&N8w}j0f(}?R8AXtnPB*wWxA~j8m7}FZ+tUI(bL2k zM(?z!PGPw}hY0{3%%f56V1XXzRhx*;zD~t26gTcB%%doLBCH4EqX;@Jv%5$^P|;I06|7J@vgneTHGucZLbyS@c2@^&vq&Q{OOIf-pwJjG&(S0-=>+Y?L<4 z(D~wEL46#@^o6Ob)E}dD1NG&NnWu6|Jq$&v*z1``_sU2V*;`qJwb8_B-k^pMZf{`ItY& z;*85NP@OUi-M$1v_sz3PWElDso~|zUFmxI_7_PY)hTeS#L#AdJDoM)JL4&yVQciZm z+KbFTl=aH`RhCuWqPv?{S;4pq%byp0AvPgFNwC%V`4#yPW~Pwqot06-Ymut0iDgK7825)6qP=?X7?_pbs|V8sOB?hs z#xDrIYQS@b3}gJFfJ+p%H&O6VqU03_eq9u3+WBit7)qEPfQ(M(H;Wq~MyRjf%|OXd zk+xx4uz8(lxMnWGnvs$cczn9+LB_$LH?geac6fTiv?D*i;cQZIZGnkt~mVT^G!<@4^a;J%sCB0HpI@GJ8=-jdUASvd*82( zA;b~fiu zw{P!#4fuRQ|Bw=v-%A^iYP_JmORyEbx6=vQ`gk-D=I-KJcZZjE zLKg)fY{sp;IKY&?Ar63P3_18FtdD}vXa(QY3fmqpY?W}4=^FwWG?3MflqtOm&#p=UCtKsK4X*1al587ct1*E(MC9x5yAmhh`(4tCA|>m!ZLupno3a+;VfBEGFKKS z;h3-cP!Q2oAH}FuC*sTQ5qAJLX{phxKE(IK7u*ZA^(3&=-Kr1q`qu6KA3^brcXC5Bfx|`CZC9!jU!{_r zV~VNokFUGuZAt;5PODGKr%o-cFKLs(3*&Zt8*@ z=QG;5i?w?-ajNaMXs~jxCiW$Y8OKYvG2@&JxM03?8?ze%4|fub0ugbp@4JLifWa@S z2@zUhzP@U&x>hDPxU4DMZdFz4c&+IQx4KbTLZ36Y=zz2(&`UMf-QLvmgKy%vx*Yf7 z{mn4nbf24CxWF0u!xdqe)hkG$m%g&u+7-?)XYorg9>LE>uDM1E#qTc*AvIf-!75T~ zB1nyHlt91nWqT4p|7mmW^L_Tk7{d#=M8UH5;-`}lI%@4PfEI+;tvN}ad{ zJ6Tz-e1Fxc{Qin-puP__*W0aJ1MT>!*4wUJ1MM2Ow$gYtZN6(bE#%lxK4&&U#*+~; zo>pO^0ceQNm#>v}&Bk3IHCw+{+O;%g%f94E<$`D$hy~YT{z8WFLHsIA#0}(cI08Sv z%_qc*t{etfQxEmah`uj$Sf4uOK_$r+vd}0BnP7Bbt%FHqqS_f9T66{JvoL84A;S*i zbQxAV>`e*jqvWigJ*q|u)?pd`A*{!-0F5VMDYl?%o3{?FHWX-eDD97_#M;-x>AWv< zT66b^T5!?D4{7FiZl;5qtqZ zES}uMgxf8G97N25NHDq>kzm|k6lAU2xeMn3jd8`MF*6cOGna;bR^w~$DqPZv;HM#0t$pzN;)i5;$fkXajWQEupTJ68WJ}tjj{(y zr;-wj=?;GeN@;mYI!2;|%^oQAiQ9@EC=o-j4>8UoH5t@=JR(IPo_^e^$WH3abM?Qkr3cM`Z=NQ0e~+6Fdh&p}k-uyuc4{d2T_v<|CxG1i_<< z!m1Dj+Y$xS5(QdC!6S_V{Zu2RO*PWldLuYcimz#9pk!<$ldL6^Ea^lC6h?1_WFj&5 zM1)oMGpgT=UDRhGZ^8=tVg+6}!C!E~s^bM(cqs{8SnPw4CWjUM`^gHVm7E;AvIr=T zhF79kadDKka>7n%S_{Q7<26>dyzxnc3Yq`Yt$k_GDa(8q^!W1jw13`;7u2&cXI)iw zt~4s)!naau&dHQ*Uk=QHPVh6s&JO^h}7f)|5yN>0(x3W7PcO+Z*UUP<3 zPYlvnu|&3Wi4I?1$B`swh_3b~TOh1!!K~;}JKg{pX*5-lDm)9pWB4U7A+{pKLRw)T zE5d|*Y%AQZ{O7@h8==B_+)B%FBe-TWka@4CfUp~?-7G_{;`grjo(k-wKxq9$R;T2} z%N^9uTlHK_cF{pm8|WeiL4 z+hJw&^$yx{?oa!NkFVRIn@gxqB`Ye8*GD#`_L=W-nOKBd}A?j?=(}~c~Q9FioUZMpU7OfkYesBq+KUsa8@SXyu8h6f(%O}l^(2$j)G-%sswa~BSVR1B zJ(1Lf_ZMlm8fk3%*YWByd8zT*6u4S})Q4>@>)2Iw0dik!!hCfvs+8ukx`5cCE$zzc zJ#VoC!=fnOnHL*d7DnkVJm^%&KLPJ&clbH7*# z!OLK`BUQeutaPgPK8OUhNzCr5k$wGY!||1)MZ?=lz*^1zd9{%)8{Jj{c5Vb5y0-+Z zkZ~#|p54YEVT{OIrb@$ZAw)fP>o*Vrj)=Amwat8ZV%%cj~ ztBkt08up^$jf}dd8n(Ts8ur}i2Qf;xgd^U|xr$9x4SUJ^dUo-Gb@x`tUNo$;F8z`k zc1vGxymPX5pT>6X{Eg>_l+5}-U>2c#d_N=u=fC9jHf-nJsM*w~i34p^+MS?sUqiR}C!?EbehJ;CVg599Q@@68>d!_u{cGr^{}gl!WX&l47_ex0xkWBny8KUG+M;5 zYg_OOgyko03+yl47FgI8ygcpx5|$q>EI-lrw!hH#wm;JM{!3UY!txUxT>A?hT>F=U z`}2jx{X_@X{Xz%V{pH~P0%7@y4zBx!4zBx=4(?wepdRoq6asQT(f4-0(D!zKh2;Oe z<@hIV3*0Z<7P!B*1;1eN{)yWH_Y1cL?yqgZ&l46sTztr-kgnsX4v1qwltO*H;kv{) z-eu|3C_!@#@0HnH?Ogt#*<9-=-#449U5pPxb;{3Ma2;eWqTq(pz zP32(o%ePm|cW5#9-T_pNS>11=u@6lt9Do1Vf9$0xcWp{`C4ff2%7AS#;MzD~r8`K( zk*AV1Go-;rQ#XsA6*U_ni;v2MW(iq-M8mZ_oS~i!_hjiK%O4T#C&=O>PdH6)CanmV zUKg-6;nA0bXH5`vMG)3-HTUCcK7*^d16OxHuI@9qx;tB*R zeFJc0QMYyMWIDE!nb@{%n-kl%HL-2m&cwE@iEZcg_x@M!{Z)PXcAvXz-_y6dtM=J@ z?X`dj25-eDIhv7{6=TkpHvEYVbnoFiEyUdVF8Lv+yfa%GQC;03N6}oh`YwI1;g(hd zkKCujb6Zn)qod6AcG`${xhWz=wZ>E=XK*O)#rxT#ZopRp)Gi*|~!|_`T zHNv~g?aWVuy@4IFwo{vYk{hz-&riFYid@?+PrLku*4VAdxWSFy_!(@}!cJ}KRce$W zPh)j-WHPncUX>2KSi7dzWcIqTugBtVyt^Mphm*h17B;KWj^u_|ausBp8jUiz(HDG$ z7=bP=u2p2-VTYR7sY|+*883gOuzKA$m|p8FU;Jts*{a2SGIeMhBTsFHYZYzyr!YW$-ur_ttR6YF|e*mp_VEPeu zU;EFU`zOuk90fDU(+mqb*B7G&GYQ)~RO+fe5$jW|WIA%aABo8s#zU?Q_L|5ymyP9l zimB?Vwohlb-T7TRUrM*Jjf0=epV;uv_2!|NnYk8Y$MF{7-NFuar_9^26KoVIG(0_M z2WMUqK{O#tb}ZCC1oqy(ULPA@l0}Id(RL*>0M?$DE(lS9zC9MO{IdEOM?^tOg5Dj3 z>wkw-hys>qg1rH_EIABUL^e?X@g0s>fc{3u+tdx%QS=@quO^v7`#T!l(aWjQ2$*pY zVCrR#$46EJ6OBa`u4Zan#Z=U0|7FmMo7ex2fv19sq4K>W`iC<}tbYbj1U&LFUoAsc z-gI+SW@|-eXQisUHod(z9r)Z?o8DWy7WuoHwNqZ|!bi#IIuXSyH)-{~XmI-{xn&cy zGVT1m-Ns1R}QY!k!(T?*WhR0a$vGK(*G# zPrx8#!EoDdWRsf2`SiI~6x2uztAuVDbX{<`F%+KDl&k!V1RS0|QE|KmTn(pK$Kx9~ z%@qD@!YU%D@D?YN-SXdKW9(=*tfJvG_E%V^xSfIp8Lf$ zXkMf*%`9kC#pSBc&EXBBJGE78+y>g#Qdv|{pW?I_FHcUbP9t9Tx!QV@wpd9|QMIIA z98tAUL)oKm*weS{F|UTc*JYmxZbe>h-XK{Y53?ItT@5XJLuotxYWdQ${xqw5d^Y4x zK5R$dXy3zMosOyPUmkRro!iiLkgMv49JAC}trByx)7Ck%34$-OnbWNAxKd6x2RRif zA5ccTbgY~H!fESZ)mSoYtr)26IH5b)kHzfn08~@8cUB!%;#{8LbXWA)s`{uGPgYB>Re%h2 zLQrf|3f%gFb{MxZF|+z6l;6sg48N!2T$`Pxg}CA>m#VQdxmdb!D@PIAnNa=lqE-%j zVrO>2d3G(4lf5>q=#DCXk}Fw%Pxlb*nY|@ z!|KMkv^l2Y#IJk}!!aepn)|z=J+b`Aw0B{h+{&dT&kW5tF1jXXQmanM z<>!CLRZH~XxN4XlBVi7xl-2tqDrIzI@qzD!!mX0apG9hq)LNMyuTE4s52s{4bwn(z z{kWB{|4>W;@4*=_a&h}owM;j6feMlbvob%XBF@In^kOHel+yxt8kZVxS4_EbC2l%b zb7yw>P+Tk1e=p{(Cw!jg>QW{r|)8X@rS4btkKMR8YbA!1_r54)U7#>lPi=S%3mAuSE%Deds z7XQD~m!H5>PvHrcp_oRK&YH(@8@nMZ50pFyjS#f_)H|3o0uwVNN9>b%LuQe8?PhU2 zgoyVZUN19&fzo&s=-OFD48&qzU~04mk1q9e*-vrmCeA zP{=K^wJZ9oT`Z~6GtN&=xn~#L@=LD;YrDa_pXeUey=Ix+^31M;W;TOzy1{w9Fg>3L z9yak$+B;{Rp7V~c1!i_aa(bb=?V&yQ=pOoAPEJd-eW0Pux=7l*Qfa*2<}swD1Cv&Y zmHY`xW9X-(bNF@>(k~c5OA$b)6*e~)WdEdfHv(}E3HNkrtp>COYdhvm$ z2aZ?xMUz}|ad+rbA2C0}3)Mi0L$$CiRkxid;v%DzWtP6)WtKjH<9n^NVkCRtIsfv; z13B*BoO9d6|IEFs&mj|mZMKCCPoKCX@{&2)TWmsrM>xj zzx%qc^V<;m*4`|$g@=$21xORZD(`2qmT{!Wgpp$h;7XJqYdNIx@at#pyjFAZ?+26o z(Hh)B-pUrj;n?q})RmiPgB}F3#4dGcmqX5#sD_(KXMnhUq86#iP#L3xRsvy&PtGs; z?~&CCPFKZih|0+hPIC`O9w%pOFR~tc`rnMa{_#7m6 z3pl)#z5+QtGWcEsvD)qzNzDC6D+B)VkM_f(Dzl;R5a;ID9sXYFvGf5LPI#F0rftP;t;yqmH#KX0H>-WWE?a*7(yz4e{T8xn{t~WP zdOHRV_1gb;lG9sP^L^Q$*JmIfEeVi~OCbs+N+DtqltyiOg#E+Lg8@-}=-z zG(RJyteq*XzlQjhVscD!-yk3Bg7_Z}fnKj|ZCrYW4UQhP*2CM|lc)5E3t!ousFn~r z@@ZhxlDGU$r4r;8HJ4s~jqBhzeD+eZ)+tV9jY9MKIA>R&%E1ykZ>@yjMv|73s2z2c zolExPinA{B?ZAR6^+#{XbH+h4!|)y^?!k>2`|zGR&cO|JjKd4%FvkatF`jQEqp7oB z93v9{JQiuCZyY0r`(seF!%P0KhQVhn(=gLFzj5@yFoJc|XM{bRp|v{P_77S(#2P4% ze$&lugznyO7^tpTWHtafLS}f7TVcX z`3Cc61xxc22Wy%#?MmlXA>qIL?%~aPe(coJO=QB!200(E{MYxkgWtM-A-+{7o zaO#7;6j__XMa9T{_1)u1%Gqqs@lcE*h)zpaO(7f=*pk0*)oRK7c2mEjaINPy)0>M_ zir!morLz8_=GMI!T!{M@oz!{reYKbGDt6-;$idiqUb6{bf{X}8RrBg7^;IfA_MJd^ zD$DJOegNBlf91UGy59ms+TB6It;#4X{PWUlC;S0W&LNK4p>U6-@Ix!p?2C==zeVgS z%MlFatB9~-mqLS`qr9OaCNZ)a7f(egijE84DZA}|0`o!1f^B#ioyQX&y(1MTTq5tM zAR0U$p+PS=h$|l{BYxl8T0|f|i=V|hY?|jVDUR=3;H+Zd*PXP>&}I5~Jf+OgGYLw6 zRVm&*?Pd$cjuN5~lz=A*trt;FU_-yfMOXNGSa*(Q$R>l|0M_$$3N?h+ug}KKs#rwC zt8BAIJ`H)kF+vr8(|(N0=rB&6UXN7mCgOiPzK|Rll7ZD1&sY61k6MZDzUqE~^r^=CHzs>i%+8TfvB6yKS<46|FW_t6#f1x9iNJI|K zN&ELm>(b;Kwc)l+OqjooSu zO?IK7pNuYANkdBkj7`rGeo{d`58`0RB}pFCoDlpCzih@ z7w4#Mq|^rd=aCfA^4`g)iv#2VmZ}vq3E1!w!kbC<& zhrp{}KiEINbug31x>^gb-iw!wryHsfOJkIi5*=-nNg;-x8O|$0$di?h$d;caOMe8( z-|&lwVIT@W@Rm?Yv7#u)6+?{@a(9vtl^PlVZ{O3CHSS!W|o}xxlP-7Bxyl2h0iQYJeQ(kPfvd?WchUn16 zrnB@tTX55A3CT7uUw`r`ibO(|JGJ*zj1~pacR6VS(gmJMOh63xDGuC<-Z7%vwC?tp zh64C9-V}K-se(&IYE93jK(YDae#SulyLc;sy3mg<|Sa^C@IW37agXE|Or5{2UGPA#wZwcyKP zWM8s*PX+?z0|Q{}5&=PLg7~YqE9Db9%ig4^{tq_~8wDBvOhb`9qoY2!VdxKfNG}>> zr^@A#{Js)Jo3kPuOO`kth|qNhKB8mW;qsvd>>wBU-faEP1q%*k1h*k;XKC=o`2Zyy zQ<>k5>U0dkiAMe{t~u80I%r(awJS*_TUg=kE%t z!L3E9ki$J4;E(FiDk2Ou7)m1KSJ+pr4$2!w)Rme4>^qhqw%1PX^grU4OMg)3(r{*$M;w!|7$?=4^M3uVCf?d3~Tm;NoG zsB{!T8yl{qv?+F9+$g&R zO~J>F-6%W#)-CZ;oM9VfqmP?+kKV1`WiGq0v!R3I()+6qhYGn{ddErquyT%-m&f<3 zk7|vqoBM|QR(gH8_r_?CG)ouRGBk24j2*4y=|`!dRm4nQ(D<+WgiylL$g2lJ?u+-P zbq{4FlZre2&mOXg_tq_o-fe-E6L&tc@1P!flil~Pmz(9as2$OsC)z$CUe#MhT;1`j z`>*%7G0{O5TmY71>h5_0Lqiy(ER~S*D-KMfSB+2Adb#WN%cDcD*ZLDgDn+h#Qqk+> zD)uS7tiO;4U=(W@LkjD6_gL?X%un_;dCsfp=#lnXN0XN;ZJ4YVSPEn*-0M%vc?daQyxF~7Ib z{X>^a4jzXAaQ5w7ez|j+i2k_204>;Imf!xw{5|@BK+2yzcqp2Mm8DqaSlewC?Tn>H z-#51|?>*dOxCbhjNI@`$8VmraNm+P0kprh@F0k~UC@>ALD5H+T3XwX0TUxr2J~tSL z4ii6Xr?~qibt>+{Bt(S)(jp2mApJe>(#wHn76w)E81TsOK_-c@Z%I?>1D33D&M^C0QQHAhe;RS_j z#3%_r^JpmQocPjE+6AbbhOxYqbZUPYU5FG!^~uN|2`XJKzI(SfD#_6& zh~vhCEFZuIN2A2Wrg+1HNsKzz#eK-hzU0j9HVFnJD$}o{T7}L+QCrcdez#wP@l7ll z$u=HbuyY+8pl5)zGl|Tkvqf6|j@IIdP~owR!f60)ZW2#|=#3 zQ@O_bZDKExs#%vM#se)-S|3 zExO{8Zc!A_`HX{@1K{@IUuxT!1*^wp?|?$T;*>MXQ6VeyS|d!zA&@zjvQ`oJDXDp7 zaXN~O%}HkRrJm*~WyJwnz4$q~1a2dx3D)G*7+AAZ1@5}=GShu1^3?d!@kFhO6lg34 z>}$0g1)$llk{Xt&R1L#eY-l;AbCf9{B!TXt%=e*-1C7J(IhAH%NCZGhhb{+(Xv|un z?KnQq^)BbKyKt27cOz~$;{iy1AeypcFB`r@jz(}|2BLQ3wqpK{&IXK@-^m&VubMdl zD6!E-G=_#tQFW!}!18m}v2c3(6oLd%%Hqs;)R;!#<~eqtT~8*@%Ees9=**|@#**7%6r% z*~!yF^Ipf&hphnn%QSFVh{9BYcJXFt_J#$AX9|GJLK0cj(hYdn&;Yf> z>HTG;b(yoRC;B}6Ps9mLp;FECRMJQNSQBcOxv0VNPcJQ(A6Hfb%+>ZzZs*dW^f(k> z?vGmiArr5)SB5MpopNb!?tiY)WZYM|`a9F_%E?CMszic=Du?ygMn}8w$EKsZEo!*b z7LD}So8^aWrB2~`x=K)Nsny~<_?lI)B#vc88snZ@c9h4a$&^-1d2GI2nERQ<=v>8X zuAyc3%lz9DkS_Z!@Z4spcB_!}R81CBO-5z!YDa_d5=-Z?JKt{HH}AD{>TfN*uWR^O!w205)9t?SyJN3QLX?jmcf_NmWvUVF->Uj#s^( zQi-AFnoycb<0S}dCUN<-yOwTHO9f0GP{yzOl4`*3z%^_I?v0AAs7ysrRHI@+y>6D4 z`&>|umZ~;e#nG+ik){Zjjq@mlQ2<6SL=8Cug7e?9l%I4MZ+VC{OP;DuaX+7iW8#v1@>>n zUI>L1%=NIVN>+IGl$Ep_`DH_xl%*mvAx|B{w3Ir5#_&)Cahg~>M`2m%2Fo=JC@t$s z(e^tX-aAY3pJ~-iq(+|NU3Yp6*Ih6$L=8aHSzPAgUo7}dc@r#TFR(EPp%J5hJsR{{ zw212(zs-J4(IiEN55pU@mX`G7y-EGh(gyLN+)b_dD{CXw?bvMf_!(P#d)h)~G3Dun zN*(%SIi96h1QkQhuA+KChW$f*cTU_Z5!rh^*DcI!4Cd%lfapi(H9UH9Hb%ndGU_)s zfOC919#+x5L)SlY1`vZyrZ~h-v80UEu_>D>zM-qU&_n@KLOe6Y0yVTzcf1^6?}`ue zYK6lT3UyC|`r0%wZ92Q(D6nh^HIA<(%JwNPTQPcyV=l1glg7a(6g zqlTqESG@lQN?vI<2bPR&&@GZ*7LQ+~H>F$E#gwvHA=QZX@c5p$kdFBHz{?ooqq9=Q zMqX^N9qu>BAtcG!^9WKvS)L9KLRp)PafNHlbIk=P2&j-{ZUl@%MjqN$VvUvCtnyn? zP?Thbvn)qu;a88^&1Q&OdrT}OM9l__Hrh6WkdUpX^jf}Bqhuh7Oj}L#I9QF%1bWf& zhW8dMiMKYrous6dXs75%v|kB6B)hPPPn4|-JTwS3QJ_?$#M{&Sq-*dhUpbl@gz-Lb4RAGkdW^~oJ} zYU?&sFDf6FeN-)MdIxttU*?weNXZh43i7k~iS3>uH~k2@R7*^V9wLtUS^ z0U@pKvnsK)PT8@TBGj~0`(L9R9waDAJM2IqgWc8a9FD0tAvhh+Hc8T=rb$>ZUn55~7NNd)`Mm)Q zBCM@*qPi*jtz~t5gMM+6T_K|>_1z0tfIORSq9o5sl*(nr4tFX{+dvwzrgHEKe>c?9U&+f z+tO}!>;qz(t!YmStDs8ZEosQMEEs1N4I~7DT>*2rc<>TqP#JyM+H%kfbq)Zh2Vp-n zr38`Ol6jPwVis>t@fu=2x*`;pL$V}IN0A6qKE6GF4{E=*<{!C6Liu7mp&eK@0r!q- zleTHlo=Bqu(yuFtL%i|;(tv@N&cA4nRUK866k=(ol+?^{2%FWCaRR)|p+$f|*x8~R z9Pu9+Z}sL0fhGfjfZ<;apd{gJ>K5iXK@BTL205qLHvPcH?_bu`iM?d@_ESf}K&~7Hh z5#r|F_~Js>s!HK$iQjHfT;riu@1$@Z+Ce7nT7b}kBMu592Ij*o7W~glzrd2IR0EG;Z4a7MrQNQbI1G9*$ZWf5< z7}6kOC1+nbnHH%`9!*aes(n9%gf;GzTr>-)?Fl4%ovUZY&%9t*?;T#hs!4y=3d5NG zqWp1$(P)Zjup{inewY$JYUY`|-lO}DZ(3dqHF%P=SBELca15hj%`%9%L-ZY}0_?S^ zG&ihJk)_t-fkM3IlV05i>*JM)qCdiO4n48U_1eFkzg^~7^*|b4IxIq)Am(5wQzv%W zp=@b<#;sZwtSAR~CcJ!k6gB*ePz1v`2J}jUAjo_^8~n4+@?(l>@p`q~4+2k9%SBbb z$y|E|um0Ifxuv^~_&nkSWZN~xby&f_sF;cU4pLQ2;!1=$hFSGf&v_)JKe zQ%!wbsID@wrJLn(CO<1&lshlj8YwSa{_3KZ7N22u#D(TAMgsHtNJ7|0HoC%WLYlDH zJFEBR@ZP%8&qd;X6*`^G698F(YORO+WkhV47o@5mUpNzA9L9`C+W~FM$yv8I2n&yz%krQ3gTxLX6phrr3)wAd>q-bK za@qu5sg;sdVmV=*jeQMYeKos-pV1qhAa02cLJ#*JjNQKWv#ZU z_k_!J8eEDqwX^5OfxCkR;Wa``l&NQAzXZT zt9G5vGB3D7I)o#zV7jyZ-*oC6hI56g77ZB_qOqyNqttk|M&uI~c-W}&ap4#i$518lWhWyYDvKy%xza zGG~bEwz35TfA0zHo)Kv3W3XPYV%wKH74*WM0yTMxSTxW6!Tm-#pOJOiFC_7*ZOeP* zr)w6Y3?e>aaAp!DbzQn%2aZCX>?KeYvV^5=eYx_|4lDzYSJXnYs8OY;5if^$;$JaJ zat9XQCeOFt+XQa%VSXx$Lxq+QA@^|qF0ZDQx0_7HmB7-^@{@8&=ieL$C+sRf>3d-W z&L=?4`JwZQYgEP(27om+?-xf9Os~0gq3Yo1c9{?@-KoU@D5gc9wY>w#b022yb8?tw zilmW{*UXf2C)2aLVoUAfYlL|+O29>W&MND{3A~o z1IRGRno3OH|9cvGXwmD3gPNc~{3aHKY-Gk|Swi`=5jq5*-#Grfny?J?%CeaQL$jAB zsMs4@E&YpLcvNv3RvI~k=u8K&q-Qza?q1{`5jfsH5lV+kb09NPl&zK17zB&DZ0X%z z=kHCE63uoCTaj{npY6iqH)`?7YNeKr`x$44HHdh-SOqT1#T$dQCp$v*XJr5?Xu#q2 zWF93nd7Pw|Jf4&%qwXBoN(A37oa-y-G2NSXR!$EN(S-biRx=dD>wbILxQrSnIt&)z z2N{fni!H>tHF3~w!t*D{t`5F28#Nlb{Dvb7pFoqAcz5*|41WK@D;E!ME>T8LfT}dt zq}`r%+{_(mX@sbpV{Fzq8YjC1-&L}lKQ0s{QiKu}PsuOsar85hWVwPoqekXahny&Y zZp{L)@Dq!N-QX*2X>L;Q%PE{tx>;a68K-b$(&6mAp%c8SL<|wWlaysD5M^%e8(NPE8f`hdA9nQFjAV`VVgmiQB!VTIK_|pwUtCdokW)mDHbH0R$$aYf{ga#jh z5wYi(3go6+DU@O~IT7TAj#`+7tX&cWF?LY`Ktnwf=L-I#83rV)5F)@Q3glE@Tn~H| zk>`#Q)c4e<5SU^H{gMPJ=4vFM7hIQ3cO(~lDCtU|6(ljwfEbJY)`jq}{3UpET8QpQ z)8a>KLw94(I$ysI*05PRFCNP8cp@pjjcfEbXLO9+B3ER<5ayRn((FMj7KuDjKjoco zvxWN)e^^;lIaQnrXQpHE((Fosf0+4>&X(z z2qp~Y@BiCo@bdKauBBzZFhAY&7gr0`)Vy_F#Ywf_lkkDLc>^b#&YelK+INm=hVcNxV>0;U&*NuHli!B^JMaZO){3j7aqU1?1G zo{**Nn&S^n<2j4FlkTsJlLvGMvq+|I#U~s7&V9)=eHNV5)h}D+ONQ$TR3?jT8 z!e~A>r8=EWCs)H{U;e`+d*U(7ndUD_G)kX95<%fS$;z7T-kpfpy`j8Fxvn0X__muQ zr*Fqqe*Q;D8p}V_ONqL?BJGY|)cHqJ>if6PBgda?`a$T5?_Sj!zck-5RFQQZzr4*7trYjZ8rIVg7Kd% zL!Vos=LF?B1bWpGITgBoeKhC{d0+u3!geRYVL zo@cIuuEbBa>nl>>L+J-*6n4ldONk$(RJNXgEn>GmIzw$(J7i%Je5+aEVc0zJd+8-- zw-!aoLrBbD&)k3-!=hN2{vDNCv0y%QmjKmI9A})U)xgxOew~SQLMcs!sD8VOH1bMkqF~}*MqO~y~Xxv zAegyJ?HM^o*fZMe`QyX?nO2-wA+bFXs-8jOyX~yiDnBCc?{mcbmM@`vz+6rZM|FqpJ=;Q6>mr7q(Wcas*yaOU*{-JNyDCGvLR2l1T zY>|G6BzfGHnsJK-dddl~q&ubBbv%dur-l_pA$5rF?t@n#df0at4p=;~6y?R0t{z8c ztZx%#tjie!8F8eA73=f$?z0ONiu^0Bgipp6PTX~sKor{Jz=08bhuWJFIx47(8?>V# zPaF=uvA&53r#C2l_yjG8#HiE{)#ee_lJ~XP{32vtzadc>?wXVWnVW(q2|bv)dY-VP&p?{bKU}9N z38_CC$!s(bneStJGT^7C9+tcNAwC@empTpeIh zBwNW^5}RhZkbXeY5lb8X{gXwUxoZKNMU+sNr|HR6lA2uk?9*u6r&moP-nUSINgg#e z6^>-JD&EJ}YeC&p9^Z{Fj}}6f$I_jGpkBvvunUWZZXu(>QZU~%FRoQY@~RiV>`Vbm z{|0d15iyK-<3YuV(xET*xQsZYl?RNeviFr+h^rl>7)5DyM&z0WOq_3=dwl=c^Ep_9 zf-qvSeZhg9_s)0EB>1*4e-%mm z=dkIYEKGaaA2nD(BNC+9 zy*zE96i@L3VHvK*01`+I;qln3zLV6pUY#C@TaGN(0_+vXD!e(Qm(OtIpeG^R1Gf3Xng$R*n(Vj0wD*!nW zN3}5pb-hR6{gD(Mj4SJTL>bW`L}ULfetYO}ZLg*Qe2*!|-i}@tOQtfXl6(qqV^Hi{ z8toAAGe2+as*AQgBJ=AH1?@Bh90b|mDQ+0CbOeok-cm@25SP5%72up5AcbA* z-*b^`jfJ@*KSv$ypL2r321{<5^uY!_ggJy!Ew5dN&q%jz$=lety>ao1;7+$KK5@xA zaX2iCYnvnKUlF+JPCjp61n%597}53gVDAPe&IOiX3xrnTyMl%Mfyq535j=Ox253cn zy*PDH*!=hPeB%^G_3_o`g9TM4s3p^eXb*_;5(NH|+O#CuC_)#a3@o08?I4LjYa&5^ zlw#iSaIywbkoY>Uk>L`xQ+Cu%ObGtV+~-&`PPrXwIiiaF7Bct-bb~tOiMH|%gNTz* zu&vnoC!#hTY}%QDJzQ#Rs2tncJ~DYYW75wg_ly=-x`f-<2E=5wOPZBnZ8B71O+hw~ zP!snl8OhODd6|4iwfL3jE>cfcT0>$jYp6M@0UsGP{pC$=f1& zc4`z`e~>G`exC2}KM}H$92kSDk|#F_Dk(9YE6#zD(dMF@$fa!cj_N^oq^=;=PSXM( z&r5LByqUIL^tq{MT2VC*&^7lzYjp-|9E3#yb6*{yadn%@s&y#8WpC*FakjHp%He@_xBexmf$G4jD3yq3ls*Gt#Jre*yI)?}cfg9=zL_szDnEC( zW*DNZX0P5bQUPJXt5cMJDrC%;H=C=yln#@?#;nfG(7 zP09JwM+$-a7Qytr74mFtNEF3sh0Ntz^24jWwtbFxtQ)P?8tbh|9f>Yv=;mFDlra!A z);vlCxz@d=0DzGZ05c5qQu`?eX8Z3qU|O72OrQXA)*0nj!VcjX@W!L4{H} zdIga+c!)VD4aUX*n|w)8p}G)S@!8%{5!5iy5KL5zWIj0BzIPhgAzIsTx(R{i3^k|n zC&c#MRGN{VmzvWEgN&FJ=G+}Qf|T+hwg7%)&aWK98@<8_0{-W)e5TZWx{qwNwAf@r z7GPRIH;nTr9eGf2sA1Fct%961lul3Hu%$J@J(1=BEVo=b!Gu(@oYZO20^gP1f4BQ8 zI;8*Yqz~X223FjD9_ah%!QF~|It4T11zFj%lbxh&x8t4KBWXeIVX@Ny)PIO$2@+IUJ0GJtMh-LFnJ3$fdN zq(()e=k&DF{u{2n{{8VE3aO7vc20Uu$vk3x8FrdLxw-kh<(6JXsc>)2fgsG_;W|xB z@1PBZ2|1#|6hsvl)q$wabP1NKirA4I%$HR>a;Sf`Li0759T*X{i$x>X; zM7sFO65s|@BrtK1K$8^Rui41SyThoAvZDaV&!bE~(qp^trI+U$e{9_KtYB^bycM4%5&NzsI)4>XIzY$34*jP5BeR5Qfao=B9s3GDPdBR!Tc#ID@$)ejqp zwlFblFW``OxT949%jZv&wR<*g3IhZ4F1OAA_GX{C7e=+o| zr&CU6^6!^tuf%RSg(u!qMn|wT(j{8AXY?iE}3B<3@vwhD?cwt~LCE0i1UGi*isgH655R)ocqZXK8L)6eIygj_Q zR}}k3IuNRRu~p`Y0-7o=44rE0MTocbvsL6I&NAd=Qqn}Rm=_X<-{FMeu_s`bms300UHyp?`*$O>-cMBZB={b8?^@ zi!ZW}zNLLxCniJw!e?QDy2p~kD+}jk1SLRIg`8S^^KAwUgAEU~imtpUfe6}q7U$#s zO$~J2^jjk=U6hZ{E;_8El;=oCPh|4o{|x`}UK_S@dBc*dF=c4&yhV0RDok^!1DB;I z1Y1K@`(#&YuaA{@(u?<{=%r}&;wxx^%KoJb=>zOUqPS2>42EUv252QY57+c0h|Q$Yw)CEKFi zuQMI5rW^6{K#RQ^rpNqrr73%bJUwq&EL`~Vaofz^`SWP4v(%qmS&!SKXYR82P?8rM zZUGs1l>_yPL18(&*r_Gt^5)6qOmJzETg(>=QggNnCDs>08fO#JEMa{%*X%!Z00yoZ zhA-Qg;-B90^iSz=7JEn<+fe}(ntC^G6Xtm%Au;Cn?)z6gDvsqja>|&i= zlj!~jH;l6J8_dxq!fAKIOy0J;k+lN*u8GcB?YMrS*y6p%>#J>1d&~cDvx406b*VDa zCIh9O!ZuNF9(z{FShxPz&XJap88Zf_0L8TUE&jC97@e1}lGhl=FmSQr=11)}jc1R> zhPWq#c_0kj4zWh z9{+X;G=&HK@hS;ZE8ct1)&0|806>y@(d4JV3DD((?&+1N-;{fe@$dwYGr3%bN+}D>l6Q%{f3jy}r7AO(B480%9=P z($nGz1fhup!fZ~9k-$7h#1^i=M#nYtlPokvJ1Xm{U&YEhg2^ zCQt0}RMB=rnv6+SmL55=^cqn5hFHRLH2B&>nh~w zjgH!pXAP|1>_&`8z)dD0oh-EA#IYFo&)wB$<*!P@HzCeG8;>U|s#C_2Jv1XSnvAAetZssU18rDU^}f z3_*&(6*QUs3de#sEK)xTsUKQ`SemIv454OG9;>c{s%c6>e>ptwB^?wbK<-Y7-EFbj z?V`V?wgGb+Gp6q7elyegKJRbG^YH$GXh>au)BZmS5MG_>AgboMxcS@wM*X&XeR{6s zO}hRbJ`4*>T6+4p=3Jy=W~d}-9sl%t;Z-DrpN@09`RhF1r| zL~GY+SA#gf+R&j6K4*H8c!eoJm3R*Bmaq`HJ~0=N#M?RvNn%exl<+|#H>;e8q%M(s z*`H3kuhk_pVM@a4F$dDk#}yM69x6~fda26I&y|Rnwk4(=(;wbWBi}KdJ|Rlt?J=>% z%?CL%S`H-vpTlri3po=RSu@p}6DMQJ$<(aaQg28cJZ5~RcgYbkI3LM~p=}A(NQ9eD z2uD<(53gb=nv7#09Px>h#l!3~juxc_%J5|zYrbW|5v=a`9Lyz>68$`GA``l3ojh~j zu@qseuqqE=z;};RTv{SCiLsB!Qy zsxBN2YFN;$b7ZqU+4Y8&R)T;Z)j{w=x1$%4T-|ROpI%>3;o|0mUu$1LaDccO&mZ-5 z@xs2r+7`j+ATiIh;)};AxpdTsKAp1u z)?J)mO;}7C8O8t&C@JDb3v|)7;7goxKnJA9=c~m-g{~u`v1cS0e$lEH0r*8}RTBXC z21gljuG2JkYOoI+#YXG{$)-^Y;gQ}2VCIH{UM9fe6N{gqc0pOBcR(56_iKw5b6IZ4c87D>pCwXSE`2>Bm^k>e7lbwJFEYHP4{? zaTp;1uj^$|X&fzx@R#g!r2rA;h#kDqf(IIUt- z+jI7JnsZO1ETmMfDTcFs?)DVV;ue%IQgk4E*WGt3O$!h_DQze*#dj{NrG9E5JwKgn z>+v4IG=aljbkQ>M0Y`wscL|~iLUON>5LE`uXk61*Eo}@7lKo4aVT> z_9|jn0cD)JSBMUngbX2qS!6#rJPG2ll_Bz2IM(*`cz7ZYv9x-<+)pVhv(W5TUPAWh z2xq)$JQ8Hgneg=NTsK?K5Tp^T4r#U|oSuZU{`{~XAE+mRSyJKUX@`=c8CRl0B)K4U z_SBEpMBi#MpdVjMm&ARYjquVyo&f0?2|wl&dU~0YDqv>_j-{anfydh`8ABuuRvD$E z$~;zG)W@vpnONJmj$X&ZQ{6lU8bC7ywG^{y5(H6iiSsQj8n(6r%5p-J>6m_LK3JWn z!;JJtw}d^h0wNs=LYApj_zlF-@|+PLtXApg^T)+lD|E31UB(*#DTF*6I98T%JXlGW zGl?MzSq<;TWC%^0rqmJ}yd@G>Pn*(FKN{`D{OXn)(a;u=*OvI|$Z??+&=6TnBrcNa zz~rMeEhIReWu#mTlHh8s3cv9c>rUhnOuQo-MOMp_W%DFOm@CvlJd+e5wxNZAS>snG zUSAll5EYE=`wcwGmPt!iot8k&<};A73}kLd;SH@RmS||neeg7kdZKqq7%3=r@dTuA zQFLFe8}6paY1>?Jf`%Ikmr4sENGm$J#FRI;;d4qn6$0unhMFLl-ntk_nyD^ldf^m_ z4m1@$Jnc49C9LIC@d(V|*&6!Xi+&ap!EO|txGRGMQIYy-Xe^68PcbWjXgLQwQ%w!& z1G|2^`TX`Z6?ZBr)Cxo+Hfm-pLF#iAaWo~4(+z2sVAayGEWTi#P{e~;;hP&#v?Yqw zi+>qM(ka}OIoh|2-`s}dXqwx$WVNUtit~{m;FLb1^I+kdy37hl>M_fzA1~%r& zRx*V8Y01)2&WvFmp!dCiEIy*~Tdcv8p0S{bII_oWM4VdA%rK-(SO#P{7oHt)DfB1Z ziA!d>4F^wR4EY?7yYu5Wxg^HeO?3zhLyXTaLavCYAfbN-X)&QdmN>mAZ4m;_RG1IF zXGp|WxYZ7+hBQJn_6#p_C5S-`HN>k00Fux)tO1%TA&#z&x zNIZADIQJwTPY`kJ0Aru_=fP#(rlf<)?XWPP`7MT4D5lk0*lB2u_T*{*@^nSq<8i3TAdNxd6l=?4==~OPjC3V&JjE79vB)UD#;EZ^?=;He z?&IsX^E$Cw)ZD2op*RFu-(iSVTD7RK^)rLe=SE3GTp(48I_Ik%RT?A~gZ%gZvHgGk z$N${^xBvZr{i&EB~-Uc&OTkP3b##&q;OsR`TPl49c`~H#NUzXas zqV3`7hNd2eT|LiEEdmUNp0Ly=mTgX3NV%gnaWhsnFzt1Yci&<`?+#EAW8d_*Rde@! zj#*CT6ysFm$8*me#FoMM^i3I|&5!_)>48NFp~+gCWeuuYj;T1JcNN2sz8*{Bj1x}f zq*i-J>^)>IrmY>M{Uwki5ESo8pth~(SsHnKuGEZ~w&&yS^)#%@hpAK%-a*btmz#kE zf~?hXfRdfH#V3C)F{YY8nb_QT9MVJey#%t1Yv}+c#9HJr99%4io4<)TK{x#1nIks(L{ zr;&d`&k-q5EyI)N>x=mgSEd)|cRc5*p49?mhzySS=g8de z6wqzv;#o^m#cJ9z2r^6)h-Ixsu6mxcp>GVWJf66!NZ(tc6j=#xP+s85_0lHHkn!w- zoD`LAXpAZ|W-8axWYgCe=jQO5!e<6-?z08D2UcVpd_I{V2f#6WplEdRsR5Cp!ETnOu;Pn8nDYlELq4> z{dk<{{5+58qVF*0x|@UyXfoAlbZiI8C^(_CnWa>>%$eB0l;uGSDGM59m;G`7V-p`z zW@?Pxo2DX#H31?B7fx^_Wx1H~xXge)3HALS`^!11oDWcIO5Bk<AnN}bb z;u*{GYD`GAxwyF7Mc&Rse%Tc1Yx)E<{j5R8jG*ZvtVyAp85QL#p!cy-@`{+E{_(lM zN%_rZx}4eYyvIIy3mhOypQGEQ7tX_Vx-4Jcj{94tvS};zhK3v*&PK@9+>70#e%-v^ z&Yf=UO!r)21vB2*PCypL75ZZnvb}`8BUnT{XTBQxW3ky#M{%=KXp*{MgSTwYiMZ5h>2|^&p}(k1&_KKoH{g zvibet!24z?|Jl58{d8;PyHr<0g(`3WXed zY_r0g1C^ws;RY=S!V<^^6Vy#1Dg*F*Fkt~DZkqPOLp2P_WoeE*r>2+m_x*56m88}# zT?5gg?l+ZRGlqZn4bj}5gX2I~ zj1Hx3r_T zW-FVh=mw8wD@|sBF6OB(Xky9oalx2|4PHn)+D#}abzAdEIt|C1LKc0b9bgMmhpD~E zy4w^5S(kzKkw7alK5fjc>rSwJ+ zPYRLbs8)OnWab!nVi`q&-6fWkPzxgYcI0=%62l!gSuxQ7TlDmmLcI;NqCC3;gR%lh zVT_>ZXM}77X>yg?XP5nWp40Ey4c+G$3_%l!L+*Pz(0e+pW1aE{B1F0BLY=hct*zNX z8FZ~qEV$n za=quAQNvUw-SWhd;cY51?2NB@mK=#)?f9#b_j!1cm4ImQc6f{j1oXQ=JVIbhb_FE`rx1{HQt<`>feCC^H3CdFSETC>LP9~cFma*7 zv(pr!b`X*dUc1WB>P50^?KybQ5UU`T1oKoI-skGc%5Qy6;gN=mgdyHGw>%*g6XF!& zD&;#&iYl<02jSqVD3-j&bjDKO8jFMo40EvxQ?Q&wWR>ATzIh;=NfQ9XZ*sd~IhuCWvK()H6X+mk376e-!`OjeFdEn`1!4%2 zQ#BlF`t*89azu2Yo6@Zyt}PERc3c z4;UeN1?obTbhczP0r!J4dska_myfXnyI?dW&JCoTije%=l>Gw-zs&mTn?MJ-xv7RU z7T!G?%vc2Oj<|x<2K9KQsdW^RjvfxAYms2j)!(hF@r99pxcygj6sa%)TRl^5sJ@qc zn{>8dEReohAh{Gf@>_4r_=iS7HJ%>Kr@Sew>C?=|)hjQ}cc7$L~IY~W`6+9jPW8BK+g zZ*!FsbU!y9O| zvoh&y!DyVrrRs8dEabQTckHZAI$JWD8kcH53asj0CB_aO z8Ua-oo0Om~Ey`Y*jk~M5#A1`q7L2AOHB)9|KdLc+sD5Y!RAa=)h}xs<4G*By&Z?xd zC8Mcu-IPARZpyy&Wxc=(s0x&{s=(Q*ioB$ip-wtmGMa#rR%K64?Qi{?%X)z=P?b3C z_{6FjbAoD}^>yFs#kN32iYJ>DIJ(vQxxrxgyn9NTK^=~|q4XpzC_r`)`3hs}nz2Ib z6ch+lagV)FPe9ScFz0MjXgjHLfxP33U^YMq^dX(HQeb^!ZG7hikTs zMeL`<6>cDjPe)De9Mg0;m?zZi&g;|pc8|#HpRc6V9A!F7x)8NlGfC12p+pVZ`2L72 zvv7hnv~VmczNSG46vvE+W+tSMNFAtqZ)anemT5UiZ3+x7WRrIuH(ha_NoNmtzGkfF zqoPaqxh@#Jk2T29j8~^EB$v@Fc9HH2{X39Hom8Y%XF7>_MUy#@xc1l0?Xc}Gw(hk+ zWf$7%ne+vV!NrLovJIBa6iC*2-E_~BQ^~z;F7XXyN7fuM*bydykX%f-e(|2ah1AH% z{F?~L8qUbahA zq~einr8NLHlEo+&Mm!GC5hRDxLmHTa3nX?j@xgCq2Qah1GiO<|4s6i0i+5{|0PzNkr zAdu`8U+A+q^9<=nuP|n?><<~^JG2pEpgQyPan=OX5X)k?C~*>**@-~jyG0E z4V{7u&Uoc2-5CYY004qupJ=k^4JbYvO`q#%;kl4_VnMuagdkq13gA3mf(oQ2$ir`R z%r!#N&R`y}5Drxn0IZOmFZ*oPa7e8|G75h4CgL9a*#400K2lrD-(_JE-U;eDE1NpQ} zV=x5dbFVo%)OCV-dpw`^+VDE$=zz{6@6t})ovn?TqdR_zC1(w#A2(D8sD-49Dnc;( z71rTvA&^;FAecz0HrN*yI1>nclRG9ZS-5^xe}NwHbLx+GIUd*Z=sh@(q(^)#5MO%ZM1`ErYl<-zr@7x- zZ!TZ=>Lq#mF(!SFpk$c|Bv;`f_U4n`w(2!W|6z(Ym-0J)?NYS*(v8G98UZ}?6cC*I zTabZ=2?9#>Ub)M@WH? znjoIJNCPuD-)x-LGMUzxw5!$sU%%d+BbccxGK%NP);x8{24k5rW*)H$0vpt314J3( zV9oRap0jGdZP3sh8{#|3ib8nBGfI+?dyXb_H$eCHB||Xm%$cR6O%W|iZPs{s(L63# zUdmjjZh4)pW`TtKQA=>qqFk^{E9xu1aOWTm&ue~hKfOExirMM*dv14XNQN4uI^a;@ zwP=JanAA_Mo<4YB7}o1~r+Ny6o`OWY;PsucV5Bb#ePvVL3+e_@LzaceAZ8*>yaHji zW{w_0v;(5!{iq%_BFF>j3Wz3CX|lPT_d-EQ6rA7Yc^60oa_^L4->CUFyIppG*09>o zTUmYd$AJ3V3#Bu`W~J2Zyf^dr6VU71`fYQ+LYb*@9pjpDiaeT*IOew0`9GrMXBFgOlHZfau04AJEQ_@mPL)< zTTHOqRn7&|xc(N-hoTRnk$}e^x{`5N2epMXh9EUU;0d-s0z%TeqQ;G$F6skc*ybx<|kf|FW3z2A>TJAfFV*)O~lB{?>`_wxUYcyHOQVR7nJL(yR*Wg+jL{4$2Av0c z_<%SdUZ;hU9S#GSyMa@>LrTBsIk%XSH4=xZ<%q;@6ivbTyR@8$l&75X7zR#}vnc`ewP-%QMgMp=^Ex7_0Eu7E(YOlXn?!N{pqV7{~4KEGIIlSl4Q4r$|<2HS5w_3 zI(fhp$gLG2I7yHC&1Tms%M;F7Ai2Dbeb?_9MGi<6m5x*qKD^LDkS$u}SL1Nx4S(ZFg}KL=D~mJX8d^XK z-*Y==-JM?(p6*@`SuIbRsl-dvc4X$UvVh_=Q$*ZKq1qKF2`@iy`}O`L&ki3cg_?zd zlyHUDnk~&xiYn9?Xc?CQLmux;5H%bjC=3-X?~25%s;L#}`>Mviyg}C2fhcsiycOYe zq{v7VJSjUU2CIIfKpgEYx$-kI2NmucT?tHii}l-KHzO+~1toemg`>1Apg@z#uG-Uf zO%tjynM@XgQv;EX>Nh?Yv?A^Mo0+iCDPE5$$3`NuhlY0DG!B){=C#3iwy^w%1Q4f_O93!WJU1a>H^V27Rw?E zElY|gnn@M04Wrm6tPFBtA@vxtq-pr9q~Q5&q7tVdHuxacFY5M+B?ZUL>Yi13-4NGP zMHxBD>Yg!^x+kUV>4>9j8+-(yRtm(i&cAUCV|8?6v&i7 zhHK-8GOgA865)gjpE{ zZ&nQ*B`hECnPpBft!RE68RLQWy}-L{C~<5dmr5}TpuwX;dk7aP%*r1h14G56k_rvk zeXi+a_G5Ui+=(`$mr9giH;K!$i|(5b}P zO(H1kvTgbpfsu*3{q-=*L&JiCyIh26-zh?dE~sX5MU%iP1?CkP3oTX}YNpRAr!WjS zi!fyzjWUi#8Aqdxqfy4uDC59bhzL{0(J143Fu%us&bxgfu z>K#+>n0m+5J4FLB^^U18nfh7*G4(Z5-*Cf*Ingk#M#06LXqXcXbE08RG|Y*HIT4tM zz(fSzL<$B~3{o}`7L&PsMcWGk>;;?ckY-9bcTXO{Pe6`zRA7}HXd*+cXaMFHSr&m5 zK&TPYkkE%Z=dNk`ig6B48c4o9f%hu4F$6rL^)<$=(5y7B`=ebcZ{;8wOaBjtXL^RuFeRm6cA4i z>$Zvn(sCbU&;U{xQlFRI^Fv>NiI;q9Xg)V*h!X*^A8bTu zh;5#ZVJrlO$AJO)@le6qx#Yc=7mXttT4oaZQju4Mza`5Un;_aO@Qz(n^p#Pp%SsvJ zjMzDtz8~k~=5{#d4&sgBt)pN&t6)~RT;6@5q68`~`#$H|>u!TbJY|BEQ?lcQ>sYHf zK8-qju@gU2TF#88g7N%zIoxjhQ;w;Y&orh~d;z?%7Hn}Aip4o&>}lkCnvTtGeK<*8 z&#&1ym$+^40np?7z^Lp=JVLH$iqM0O6k+Fn2|=ZDnyt`p)lh}SNKp3iQqw>E8wvU{ zcmLB&3g1posCY}$OzOo>VfdUg+6}x6Jx0ce8bP09R4t4z6l|{7Y@4%|Rm|crx6sjo zKORo|(>b$WjP-33wka$slqG8zRw@n@kN0PhZ9H9#;sgnWGWvf9ws|!9x91=G%laO* zs96ZPkcOsz88zZMoOXvFhu!<;c>H-kQ5|0L8vyIjA>K^u4b6k?xBd2e?yO>YMFe)n zWW#@@Kio+qYIM#dA(NmX!>O6^@z&}7`S32dYmuPOJzHsivL$ZMZDqlV!3^Z@9t7+%i3+PUmDB{F zVYdl(p0yt`ZQVd~lh(aEyQA97|7c~Xk0a1ScX+o;J6w?5KEAf@OY%KX>eSjKnjB) zh(*i+;&#ILVoQ^#Dv_w%xw!q1h^exV!oz6f-d`2v_K47>3kSRe2`oCqP!jGYm(VAQ zXF}hsg(+Wy+HYkJZ+BW@DFT7;UMP%NF()9j8D;7jRH4K`Ztl1eP=;zpYr~U#)QBh4 zxDrsihtaXCvA0R3DsP7hbBQ6I8B#+Er^sC9B(seyOJOd9DwHQ*5Tr&4!_X{I7_^B0 zc;<$Lxr>gEVJy%xWgruh-`oZ>x$Ab>DKT(_Vi@yGP5RB)E1~E!Ovjf2-Kplh{jOVR zq2McOfw@$7#m`bL*?pBKqAY-j{MH+>LsV~wSx9Q^u}ZfW0l}IUP@Vd;I{Xz;9|(QP zV=NoORWWlatI&lY>*y;B&rt>BOZqB%|00Yw!06Uu`f@n#A2PgD7)}F&_{NS&o z6~u6`rXnacXga|Hi6`& z@T5u#k0R6_Eb*%rgt%Oh%g-T{;*K*@aj7H1BMaLuiLGs)$guXaj1ArXSmB;CXQf8& zGaaq4TZTE>B5hID1T&CrMPNf!=?Sbcw4!XI&=Po+K{@ouk{VT-gWT>N2-RZ?$}i6f zx0Sp}+HsP(Q53YNx13bCThh9=iq5e^#qNZY3U?J+0visz8~;0T61R{g_GdR<9!FX8 zcs*?auE;&M7kY3DSY()Xlfneql9sK-0LN(i&;+zZ=FP{!Z_^X`{u+o*Y(T$Rjv8c?V~KePLXr01{&?eireCAi6{#JWwTvL zxF6afltCL6?#u0{x&y@eZAmXTR_?#7(2<808 zN2uEHwp=Qpw2(?YWGvBmjJi82w$xyqtd5cx19@EISIoYqq}gda4HWCAzWa>-azStjNp)y_sDK-$V ze9QX5(!PVy&sh*3cLSqm0k`Z@Y51(zkQd0n4M>z6rhXB~7Cf~oh&ECUL^?tRRU`l! zh-SzZHLnf@M9RfNR^A+lg~_n2Dj>^NhUJxJnbeXLs4e;I&JHvcMCxA!k^0v_fu}a` z+74`jX&^_84vthB`$$?46XA%f>`D1|2D!o$sg_x2nG=rPxsJ9ITk=wX3QV0YWN$Ga zDlnEj0VSW&u5EK+Z^8nHCj+r@=E4smM)Hg~nogH>&kcn{;#pw!0>AwhQho~rta7s| z!S*#{-J}uHU@HexE#*`!LV%spSB(%DyY!BD$|h(155-SE0&Y&uAq(W=9=C4IGZ_caJHS*_)P;giKTax0&)(AZ>n4_ei^?@#y@i@W-))TkYsWA29h$yk20}v z(tQct&);F$F@4Wu4h%AZ$(p61Nrij=nwx(J*N}OGf_}n*0)mSptfCn&X*LUkqSG>y zCK&A(O9fyfC=E2|W`=MXM-A~@D4>dQd7Nd;>)eSa;X-X?#r;FLN{?R!VnpzS9FH^t za)i97a6Pu%BC7Dn9Jxj44bLLvAnjN~J(`9%Z<(jK_eT)*=sb~%CXDcusV_^St1M}* zOVV+sBqe7mL)2HfL_@OZ(jDdeq(#S9OA~G}2+{&ZP@Ny) zjGT^Asj#6g5;h!8Tm919j)}0R1I9at$QWK01q*z^8iN}e%W`X#nP-Jm5UxLx?S

    KHhhT7i0$EM%-jlm&v3(tno+vQ~^|ES_p|oYUd!z!ZSdJX8ri$e|SMeg+3kjh! zffvFjG9)ToCh)j7LdYueCQ_R!A`P#OkfW0=k4T8;sjO}3e2)-mw1nF zC)Y1iSm0&O=7Z%K!=NKe*(Kr-N?Q4NShsv%XD5&AHFl@g-b^rro#anRm`zq-%WSVO zTY*77ua4QQZ%PayiOgo6@n&t=Rzuf`rMw*-v)PDXT#k)Am%W0vt2%(1SP(B^CjlJ^ zJM&AZNq5m@VGwqbL?@Zv+-+fNw4}-;f74{CicO@FPKLBOG>VDIww6)W9b59~!;XhT z-h@f%jTIy&q|;%PPM!*cXlfji)UXL^=t$oVm^W57L@Zk{kQ}IE3k?`D?sS||n8%Ao zY1oj;Xz1Y86eM^Joi_#uNunErvg=1~3pWPckD%6EN~Kfkc!4kq2sScD@7e`af$88R zJ`&N>wT_bun}$FjL_$4N>WR`&A`NYahC4*;*0`MQ;KtCUI`JnCzC>nqMYIOk58Whq zRO`~KT|RPQ#({X8amMg~?Rc7tZ6fC4j#=Q#UHUdU<^vKBa3 z-RLctu&gLpN(~7OdSwJ;83bn;0W}QD-jBG^5n4ZTgv$C2Bvz2IK|l==Wt!|c$&J3i zJ6~tRxZRk7b3zxsl@JiI)Ks|?qC!Nv=w@TMr>G{_J!%@`bMN7#!z$@;*8X<#B&DWN z)0s1D4%eBYR?%PSI3uXqFyvCW$Y4?(@pAmGd+JpgX=r~itQ??Da-_Ookm0d8QU$gm z^yp<3o2DYV(4{IMR=FkF6ZEFa@tZ19QHHfvMLj}FwW!E=NmM2`($Gn{6i;Jc|({J%5UE5N?UZB$Ow-UL>ow@zSiPAON`W%uuL zeBap9v&_F#%E`E<&a1#M4>J-8%9)Dg_|UN@Xf|DKoPmISLOLmdz?Go}X-$!wPof;P z-capl?xIDg!cjv8Tj|i1I9H}_=qrrQmao9yP);IoHR1yhKjTsn&d?-Uj2RXwcSIKI z_7)c$6JtB%3?@#EBZS>74w@v}RM^m>IVB)N5{RB;0r~vw56=vH2F`?%$lT+gLrrXO zL^|DT%d7_Es2wsDYIItlbJnX-j&T~3sV?wZKwyseTv#O54zC?F${bL35Rb-h`^$c} z-kpC-lRRqeQ7g|M;M<`=VbI9nKtkmL@w_xS7gjkwrt8U=J;IlhjK))#p~VChX1cFv zeGS)H8fB=+XV3akfAh}e8(8_Z@ui8urJ#O?EJJ}8K z+AQxbFITn0ZTlmy_wMa;3kIbod!~>bn^rM}*a)anK%~|1U=>09m0~uqVTAsbl5#so z5G_PwNEFGPRPsfnEJUM#^2UCJM&o!`W;VBrf%pLRIUSX00j;~r_rkIHcbdXjtVL@;Kl@Nd9 z`RS^FV9|KK&-3yU4{=!oIzT{hj`MuL5D;A52q+LP2j}-nZo0C|=&oU48D&=xCq5{_ zOm3#7NRkz#Bq=XhLXDE`!J@SoR}f3BQ!86Azbt-Dn0IZ ztIC6@V%F{~NLhOfYLw+z1EmHQC_y@nK*K4smjP9OL)x#P*$pV=X19EJv++u@6odDd zvIGtqao5C?Q#fI|(I}oQP85Ja$51nKYEJQ6Yw74{*%f#KD`>BeT%}bFRm?knF>|cK zLiS4XSA1e_Et*9Y#2QqY>m}2#g6IxdyIWN+QfV1umZP{D$yZ?cGLjvj zt)%8WzSSz&L~`UQ+`FEgR%QofS&nU(Q*x3eWV)eywh4{mUPI$2ZaPMBA}A-Ls#F{X z$|1c_Tv~;ac-AV1ek@HLw-v>9tP~s5D~W79UUiA$QtZjPRE(*>&H;ndA;~*&+9Z3Y zWfZ7AUIVsXOwI{jXaqUcD*j5%4X3h1= zF(AU&8Dh~Kh1%Vnr+}OXrBV`;%Z!&?oVDV*sF3rpE9=L3!#GPbJ$tC8u61DgRr0j3P^tD;?O14Z?~CR6_u*7^PKqx1>NwDm9&%M*@yUs+>@>PbjNZJkd^eyyJPhIcB5SWfAkHLJ<~Gxw8q?7&3Xd z{%Ki|MO|6~Ra}(ab9y#B$O@<-xx}Y&0^$nMN)_yixnFTz*2>dK5({lLY>VmVI?E?X z#|c0&wl#wIE6?XT)+L_xlCSxcRnS87h7Cf4PK0)a5~#6k{c*q=ykw0IM~1MQGW(6T zHcV;_&aDc~S5y(KEZD>=m9}`7(zzQo-xIGq@{dNBp|V2ps&KkGrtUZGe55$FmB6J6 zJ&^Hyq?j@Vw}mA*W%5MXaS?UHm9aSiwB_mun!CcYv&A>c`HN)OQ&5qq9s8y@zO1Rp zM_-T=uOQuLSnU|DuncJiJFP~VsN`&wNSJ+*TcX^#&QG(np>z@h(J<3VEa-wUrYXUY_LP_2j z$0rj{R($UEn%T|N8`|tJ4?zUcDY&S(q34F4 z8k&X}jmc;th>zk0F$Zhl3BPzlcaDaQ;okx(i3pdvvZE*!If$k)TzVt%b~&8&XA>fS zup;lxNr%(~(}EL?;!!OPx$CHAUdgAB&WWSMq$pP?l_$N+oYn-4MMsenm#som4Z+|; zMBeYW{pp&^A18DvVH}m>I#I=blotO>tp2aA1m)pacdf_erG^^HwPjLMjZu ziwatKI8u_Y*3E2x_t5p8!7sZpXjqL(w%YcD2{t1~w5v0gK}`QskpoiPo9q{<`FRB@ zuNcITOJO2IPoq6&U-$@Fe2g~*@BL-PCcS5;nhAKujv}bsz~H@PWVjN;){q{cdU_?R znob0j@G9kDPK6(^Id164=J`6Npvur>Vic3Al2hqmW2>J8k`6y)&E+-~P%EJ`w-{b_gD=*EN$hiT8= zzW5=1>^NsFtKyvPuh%FJnVF*@DC`(KB3j2abbQ_a`o2GH_v_y-o42=p`dnE<&;WwX zZu547b`!zET>cEll8toSq~7@3-^%?Xuq;wtA?LUwO1+MB4F? z;j?f4+D;90y8X7_eqWzYF$YDiS6-%diKiW(O&8Plii%;zUm>!EI>)f(PEVsfXZDX@ z(TfG;5kpNOqNe5^YexcJv!bZ8xtd!(;7Y#!yx#VY6ck+#`$>{~truKb9k;Vrs(wahZIDI+in2TrsIGObH!8DG>QL_ACFsGly zu8p%t`o5uQp8`;(Z*sGE z3T=sB6~v6d3NMhmHt?!spDxv!_FNz*@<$s&lC6jmM-fQL23`;tSS%n<{HWO|!X!$565cssH!By+^5*%%OW1%k zKm=b@JSZuFZ(gvh*aR}w9*Q~FgESGqtvD5d^>5iVMj;}RZM5zvH;l|3PeDmzQ*;^4 zxh7)tIz3>RPGkvNxYEebR+q6T`nPy$}ii%60-Y)xbw7y91(n4D%J+_Vjwg4`2S z5GnRYpoTVi)9@?>x&t#<2i8w2a7{K46Zd(ne{H1FucL~^E(OZg4;fnLmyP_$`+leWJWstCO2?*|_ zV*nPpHqnJs@^Pfp);5-`^jr)~w~Hj{Ah7ad8awClsuG0gpS@x6Ap*VYk1wM*Q{de7f$h3eGqK zbdd=pm#16C zU||fm7d6|Zj3Lk%@WB&gx@;kV8__U}1;ez=E__>+5Bg}j%H?sK_ea6U!*;Jf3T{8f zm`DM62Bph+^3g1WTERx#tT3ByF-gZaZZ)_U#NZgt@S!9cRZ@tiq55Kf`BY>D1OdFI z1ho;w<7mp%&WWu&wc-R4hW1-@V=D;}l*|(mq~PLgtGsO*dVHwlNT4(m5yUY`aG=#^ zo*`s#;)BZwLjElSS&Ye!AZAvD0%`I3I)WHIJp{E_YBGX|%gaFMtSdQ2RY3F(r3Iz$ zUq#;2Fp~~s8AJya@Qrk!Kp>wprR$X$cFTHMP|3YXx>03s&%(;}huqMYYT->#YgQ%A zC=3Og#Kd@gx?MTGJ&LXqs~uz4V_~<GoOkLF@% zGnwuwNbe)%(T7qJ4+!$uE5QE#w%fEeh%odZ zO+Y*hvvPgVj&%zs;@)Q3#{{JH5ub8S`VcRz&L8=DvSeD!lu9t}hGBc7;*cGmM z3wFOiP*qqo{JSJq^p(w>(;|^gLZpO?-PYTCj1zFa>oR3(gf*ymTD<8=D}GiJweS|C zOEN=AGP3VOVn+c7kV%&>AA4eRMFf~MK&u(0!IaGOz znTdO(B_&o?V4fYq#foXHU(=tWmL>hyZiM zxfS>uGoac#{%Q%RHWh<1&V)!|Z^_<=c)e{dxAn{|n~akNRbj?FsXA(ikRmW`1rNPs zTv_{1M&OeX>`%K#^b;8i={Gd++VGG(&A>eU!|v75|9al(j@)t#Hqr5v37(i!=5W(P zz64iRv%78y3oh4Lr2jS*i+|INTsImElaZrBl!C+rs^%7Up@e0KC-38w zxP+49UM+vr5x_!#>BilvDLsK$ID;Ye5V)bDeXwL_*Ci3w@Olo0gg1$5}E1bsf@y2H+E-VyVCNsH8g(~Wy{`0vPN^i7RsfunGrj$DN_$S~cJ zn{hgK9-z)h>Pfgfov6h++U#yaH#{Rn=b;-h0b#?b9wu+UI(m z1=V?{P5XU+Z+EBd^>CWmv@>Qwl`H+&^-!lhY<_>(1CKOU8MFJ*Bt5i4c)w;&X@Z?r z`Z7LNa}s5Ge~36{CI!(q$9DVAifzSqOx{Bwom5FDulv{YMYStB?4(z-)#*FzKEb~I z@->f|HIm01#Vv?g?Rl&6iftYi+2+g4*oDR-TktICno0S2(?8zgO&I^>d|B@|+i%0A zsHUI`ML`$5pBUDR4cin$4nUo}kdpUctE-hQY;fzV9rRBR?j6i;O|`P+46n9y`s)O} zq8_F*O!8SbBzXFE|E4lt>oDvW`c25ilB1WAJn@Efr*? zA`?tTrC?LW)F>jr>Zi`;NVn}Nq!;Sy@nwrR6EQcJz_4P8I1`ocT=sQhONT8II%e)< zA{C086BC$4Diq4{?)PG);F2*dxWSRxTJ&E_4fTDhl1gdt#@MZ$W@N<3~Dze zbTi;5O;V0dLKRa5m}cw!N(+zXak)aBP_8iJbGgc&GRB&^BiClC{YGTCen$M09*L)+ zCNAfXk7JeRo~)L~6ns2Eh5Jo8MxbceP5&~?g~6SD+;49XMy5A4(DY?<`u_EDepg?g zN)w05umwR#t$=V_D7nm6iSZf{l*<*+k!uxlA1n!nyNVFZpidJ##YN)b(AzAyh>=66 zsXF-Tu7S&*cca7DF3g zS+3G@_hXs#A$Q&SyO;^l=%H#SuOtOPhfDbiwBtZXwet5fT}*EyvHw3_*Zqm-tsQBS z3E`KqZEaWgAc4MR=R;iYkDHhCWpg{6PwTgDYFmkQw@KT7{qO&E{jY!ePyh62!+qBjnY!^z&`c0l z1j+e(q^pp0^}7DCy{>mJs@66ZLm>qO26795=e`00r|ty8M7fbD-iQXcd$*n}w!Yp{XM#(sj_Is3KZVa{&2^9`s(VY-j$(LLPFhF~{v{ zWnraCam_j8HbG8uMd&@FFBp_vh1IIMGqdcJVtZs-KkOARK{Jz zWL);!^JOQS-EM!|9FFTRZ#Vr=bZ-mfVDwQ3W3nxZUWL&!rXtl)v6`uPw~!>pjzJ}X zm;@3@AzlFyE)3&{Bb*VpyLsGm{XX%V&5S^UnJg1ceJV1D$A?E^>5GK2*X!4~C*Db; zrCrh1oLLD9Va)!Tg$HtuC!on^ctDwg)M1)rn36|zw{8bJKL=!VRO4wkfhmH^@>kG| z!sCrja2tguJo7Gh6cte{dR=pWGqulLiS^&=h*q&eK->Tp25R1$Oi5iqGBbf>uSHB( z#(C0ZCTi1A{cHijO|ZdSsu9u1A1b5PilOk zEbC}(LJonBv zFwkJTzh3XJoO4ZV(&Vzgp5HH9CTpB~c?o)-`#yH`aJuTTCPv;nrV}Y|P!VFYo3ih= z`q*1%-~QOQmeBfk*=+ag+p#^p9XD#$%GkC+1J|?Z;8!kh^83!N$oFp_5P*A=XG5hW zAou36`@YH&aCm)t&_C`|cYM&lpPc}o?O*oh`Tm2_zo-6lS!TQM>umqKZ@2mW1KI(8 zjO0ydBAIl#d^z3sO_nC{%x!+#T=ow*gL=`kz3NA=uTDkCQgPWFA8^JfoY80sL{m0; zU%s!3Ihuak{CL2ZfXA0RPNX4gS@|xoIYYzt{Q9xTG!UUU99e0k769y&bbU6KB?H#y&Jbw|x?|4x$uR#fHea!ggk;kur( z;rtd|=`ky?o--o$V9-~0M+}6Z%_g+5u4gH7p4Ycm6f*Cdc+3?L6A^gM3SLTh@Du4K z>PvKD$o$W3UOrVt4{RkKwe>V=o8K*mL>x`E@I~mo$+U+NqIJ-_BC7tuW+zWMPj%f+ zWkCG+d{e%H8?xBYAqbK=ceE0&p)}Zp3P@9&fYf1@$uQ%|AU8ssqPlyQ2c#DaLSK9f zLgTCjRW#-jq8KA*xM9N$@s6P7pCSHL=%%gd_BjrSL3oCg0r8BXBSw16>hXGYe~E+= z*EvP^7tP77qGx_xh|WHt<0#_K znlEA18}s)8q*o!w^XV)3D#I9jl)!Ms1Wd;gLAbkyF{RN2HhIA0D`P1l39Zwxml6lnxy}Gag_D#JY>E|o*++p20ONeU{aO>FVy54q8HY; z3TYTmPTR?tLNcZyg@;_Q+y|H)6my zSq3S%mZ66th8?qr)&ZpQFI5tEzFlvd+xs;e8XJ`Ztw_l5)qw(0i0?Y4phWwypk*Ix zMfpkwBD${CuXk-TyWO;n(dZOSLE~f28dg*+z9yIMv0DPDPa>&8_I(8N5mj>Ya9;tw zn0RNlo7?uAq!v2A4AOF_{P}ijj|AKG>HRg=ZH2Dm z7Ut2Bd&ixUQo)mx*f6^XnLfWrO5J61xV8rN<@`2Bq(|pv3!@i2_byV3YLt`~S*;8u ztJX}PR)o3wy7~R^nkU*h)b$;%X9%35c@|V>BNMG8OG-v268}J2TFafqrKDcl&vJ)f z`Q9Ym?#{29g9PlxQhS%nMt_SKZz*YhF^5S65wBc9B)V+ShPA6+Qq5!;f7xtr=gUuA z#$>~`%?&=Q?odt%kdLx!#jZj^K=f?O-S0PjUgGyTRK4kW$FkXj3I)C#4<;@h7Vf>Lf*24~KW<$$I%a>J78F8M2_!N7SZf-?NILsXl$i_TMa zl{x^&|MS$j)!oYU)x$%BTOm{23Jo5&vbjCm#0@p}RW1#;0KH`>Dta`zl>Qo<^p}mb zXz=W{3UGL)a6Wv8Wb%)rCUGX!vI+bn<4h_$KlZ3oFaQU-0mL1*6g25{)o}{zL*|V zu9{vK_Mo~|IRQbY09fbnwhZ$#G&dRpS}R0?BXpAGJ*Ox7`e%HTe(S+;$o${fBY$bw*R5y2!Z^ql15j2q8;}2t9 zjs7c1oL;2kFUH%_zDGNHYI{&vl{PaIa!$286L)^J^B{7R%tFg@SMpT%`K`4MT&ap@ zW|}4L&1JB~Jl0I^x7|@*pS1^6%Lrro=q43x6(;GNd&69VAu%!d*QEi6l4_D)1jL(> z3p!iKv)>Z$I} zlfC+Db=GO!DbQiS1FB{<` z!`&2%arXz3DefktOCs)2Cb-{@hdb_<{nz#=xc{;5oh(XgTN-M8yKGKZ@%qLn9HA`R z-1#bLe!stZe|=kDuI=f!J>RE;F=PHT##asrZ>Hq5Cuwy!1J1JJ!7nLn*xM&CM~bQ( zV=E`H684}7gJl^Ls4MuqVc3v%WC{!1N2Acvso)NW^)`ih z9+btcC)T;*?|65RXXrd(RsV)z3bV|l$|)1!9m8s7`j8T4IuRwe9n#=WCqfxV(~no3 zoNDRh(3&qtvp9kA$gO8FAEIifGZT2^E-1@ESxQ4NWdh?qhES1Y29;S*mZo>i@ge76 zGSk|uD$B6paSSPM5*X7`qiva#JkVy^FP-VutUqjNt`#Y_iV7O?$|NgJnd{y-QfaNz z6GLYy4CKaJr4(JT*=@7zLQ(SQ;^PNIICz^AH9XTvY3*Dm?Z33!ODnI4RH110AwW;* zx+-Vc6|W~ziSy{HIq9iQF*_qt+XA{!MmE%wQM;(kTo*6L?=Z_iZcEnQucMFxY<@byWW2h9)yNJMwi{#*DI9HTA+z z&C2`5pV~6znN(`dep`oSJS!y~-BO;($K^tLUAy-c3%_l|pn`la=gV!sTT2G6%Rv^I z_)g}US4s1kbtVF3!@{q{>2i;RTl8_Wm=51`0qfI`XTJ5JTK28Dc51s2Z{3;AOTHDy zNmZvGcP|Mxm#^7TN~=($!;ED;=39Ul?O_kD`q=k%_$Wp9BR3od26BsBi@{jjLd;Jngjk71@^0}?whXReK}KinpSRO!hKJ{*`gZM$@RWF@6G z-T9doxb|V45_8;dqL=Y_-hOY*$zOHlt2BI(7On2SexS|id|NMT2Wrr$cQ5Uib(!|C z`60g2zOw5zjZ46$X(!(fU%&0Iw*`$GdE$^R<@F?11Id)nZSk?s&Bs1>AN#!i(C6-B z#JGtH3qsYM-%VqJO?j(dP}}5aSy!w2YasJR||{UJK)Wvup29!;d0@a?jXDGsmi+wJ(KG1a=INjtd7+LkMe ztjcY5LIcuukVxI*{>P?0p0!7`%VAp3V=Bl}1Rj*uoMehC5M(VcK3(F6)UW>7ChLOk z(%Y5j(w}c61>4g`U)OKv<6-+V3HgLJrA?hI@iJ{3QKqNT8R+Tm2wHECo2$<0&KVLu z*xS9b)|3_9)rP`u+eOc&mm)8N|2^A*H{2+O$EoA6L$cPimj`Bn+_0sRuukG?Gb6I# zf9vj-#Qsbh3@)c)cpynvr1F$Fa$CPtDu#0F*mAdj6T>y%i~|s)*_U%nSCVPeC%VU0 zvTS1rS(57yg!YbLmFeLJ6zT~PQ;bDq%D=&Hx# zL43Kegotn101>6jU5Q(HZ?2+jbz`?+bIo|Y-ka@z-{<*T>I@N0eAy!_3V+!*3cQ&Bdvn}qQuFSoo66}$Sa6`>?Il==2&ZMd1n+&5sy6U!)dqw9j}t* z!XTq71Yz|U;RBMC&APRE?}xC(bTrB?yt%G_I~;f0%|+jwD#JcnNM9o9pIQQT@wMze zx!8=Yt5ou`FlQB5Ze_@io(7^Ugus*2S(25t z2Zh5eis0O|K_pHV2DhXgEGLB#iTx;v7&Yi2F0n)F6j)~8tKzkco1;Ur+U40}8$-iZ zVRfKcZDF~cBO)2xKCnXx&xiuNpK%CGPHtA5ClSD4^(Zl&1Y;CXStH0ou#JEqLab8G9W_NS3Mc@srt{NJrDu|GWCSTu z@*Whf5;*Ur;;>;*O&~By1O)Mpeo|+50)i#trFt=a75J<1$>{`U9QA2%T?(wKxNYUR zkE*7@o2sFq8gEO6Row4TRCNk#xZfJ5Il_qR2KTK9Yn1PJUR#*bHZWfT3qT9wwxMLc z1eO$A7#goFxQ`Y__-s)r-{De~w~;I_)-ui3f^5`QEL=SOLHKG50VQ77D_GDiB+yw9 zLR95+2nwX}^eQESY61b_0;;$nP!;O&+&u+B=xiZz0-j(chS3$1{2&vUgGrAtPzH^> zFk%pFXDukerYE2}AgDcz*90>#O9HbMe`mQ1gX534AFu&Lm{nk4=L-x2!Ua|rOiK;H z=}jNxgD?f(P+oKjE13t4!h_Io+YpErTNH$^UC_jX76yGtA~6D0h^+;|DisjUcMA#? zf;=vg7F6GYk}9gemV;2w}s|rijeuUMy zn_8K#bm)^O!O4|la5J$SXgW<%;iG;_|)Z9nFcWDB{hjju|`VC6I0bkQZ7>}b} zZ;a3sKuxf#8kLhgS+E2O)Ud%7-GXqTwjgH+R8m8HqY*(wS3o(mbP5y@%3%wFGrxe~ z1yuwE0@XC^fGbi!{8bnQETv+6JfQ|7PnD>;kuVMCWhv~7)v8F#&I78 zw+n%xA`zHpnhi6wHCK4N=*x*Pp&;Oyh6KmD*8H78%^zVU!%#3HjL2(Y+%GXc7T~NIhJq%rzKRd;x7}fua2>FjTHyS>O>yXJpm_H!)8gSSwu5mW9#7@sQO5-+uv&qk&J^!CE_{KZ zUU%C>ejl z#E$DpwA1eGkd2Y5voTVK)0Z;@QJVr-S;}2*O(3wj%UCg~P+%M)Rls5XZzq(h43xKO zS)$5Z49n7Z6(vSwaU8|dF%ujh!Ql`T{E)eeRW4qY%Tm4>wNAO!!YkpA-MT3^Y}##_ zc5{X{rgEF1d!#7>KjL@UA49Dj?2cNLb7-DliVW#-x)i2+f!OaDmiHh_n{{sfP^y@v(q^<1v1J zaO|EEZ->!u9|;NjYlU?w;aZ;xOL`nPq(ubjVLi_U*{HYdAGmn_%%!k)0jyl&su!4_ zxNux{Z@B#SZ{e-kA9~yLH+I4CM_)8NdkMgf_l7P7{#SzF3VRL`Ru10*tQ@AlEmoM2 z`~IX3K&0QEZ1+E-Tm65x{mZmf{q`OYsezs=3Mf#+8Z)%FAgq(nnllDZucr3^DaW5! z!Z-6?^l~|0AVr0PeJ3WFXb1$_x&`6;DFHDqj5vy?6?_8NaCo1NwKfQ|+zrwxQ)@&M~7I6*)tv~Go# zkd2BGL0C`JIx21`pi%*a|H#8hVpuhwClsNX_&mRCZc-j*#3iv8KBY@QoSEvckX>wH zDzU%$G+Ka9%}T{NsML&8oL&k|1Wp|F$?Q*R#wIe(^t3h0QjR>G@Ipy|pgsa$_PX{1 z4=F$O08x2tNRJ5B2ZP#^yhYEwjO@`%Kve(te{RrU`gM)+K*g`%kgh@u80HEn`#7T4 zYT8Cn@#WXs<-FZrulkVBGc5jTvtu`6Y;Pa2)PnN-QNmEFnikjIYGN#$x4_ z*{~2hBrqjYw`({_vqRr&S1=KVJ7$$%0?~M_$z3eU$K@8<&npOlFXg3$BUWIjX0fU( z24TkOVujZ`0%G*|PM}LiucT#vAAn6ROBvO?lz}M-Haq}Q zrlo?l1F6P0zilr2Tn=<;4%S)*)cX@P0-9OR2(r- z_Qpp~;!ujEwM;q+V-R9X;XnSj|FZseIKJ%VKz6va`^DjQ*c=c4Bdu_=%89gKyjPma zp}g$d4MMLoBaO{m<9sta+vjb6c|DvqHyDM>?4hj&HupMUMVGmLf7_paWO|qQj*xu* zV|(o@#I)LVze(%bx8_+u&0$xA3tj8L-D@2s9mE1--!`Y+alih4IPKC-BmzHIa!4?G zLl|FLX>0}hLWr`3q6AUn3DN$v)6nF$r-&x-2#<%;cf#YyEfsO+%3TQV-p-ifmZD7> zjs0B~u@X>CENlo&15x8wV@D;GG$Cc!U2XuU!MC6*sVnDkXMDDxgye)En=yB%Nc zJbn0pRX{W2Z;GUoVXN5fU*5lJH4fUD5;F}t38LYsV{{NkSis%1rrjdKDqK%BKh6^v zp0ET4Z%X4N75p8BT1OZL8^j4lrnY-yzzMEbcy`SXW*Vp%#R#%Ie^$+=W=_l0XpdO=v zeY&nUyInW_u0rJIcFPz!f~7WurJFrDavwJ@`{PX8I@4AtkZunz@3%ZBd%wkl_E`r* zhl9D$;ShtNm4>;tAzeDs>+W)BMR@(=@TK$krx1~4@A%?b_FZLIeIJZ zvm~RY9M5Z07{&}o+Hyv?G$?hg@w$Iqzi!?rXGk26$=ONLTLz)1lN`b!yi-FM()pHR zelN%GL#1WJWze!{VMDx=Do>y|WzDq2Dyx1giBdw6Q-3k{rm+iNo(NH<=^^>ivhx`UAJy*HC zTslZ`i6a3{L3Wj|qT3!_QayIvz5I)8vEmDeW>MU!j_0q3?T`KT;g;7Pcb4F5_qzqC zOtA#l{T^TeI=cj2J3YrG$jT+i_NVvPrGpK5go#(Ab2w!2Sd+)onvI9k{v)$#-9v24 zhG(mXh!#(ztsmlAIE!|M>32*Ym_j$h`up5{a51?V_D?V_ByVO4Cdd{~gPWO#3BH9> z;bx{{g6#v-QO-<9x}x@{T!DLXUnN-PS7JG*3LhLjq(XJRwJ_qg$(_{OwlkXUgA!QH z=(**hSIsc-Lo!#*@bm-1<{vQk15)Nc%6`aYVz80<3se+=^n z=j3C^KQvDtLS27&?mmWoW1*(bJ2bq!a{;w zyA_y)c;#EWkZJ9=pf9pCRC3ZyBbHv(5B2jyI4||)msaGz954NddL~h#Z zSJi}wUsh?%>aY5zzU&fnOuk&S2>sn5`9wQc)E{qG+3vdg!~IoV!2E~%tGj1rAMVe$ zQu9QAZM&*|VtkUh#g>XpC;}vrm6c1rz1}w4@3T@D8>Jm|!JO@hAlt~-y=DC|CdeZq zpGwu+Wxv}?toHfRHF`PRxKT(&>iWKY)FePe@Q=gwaNFx9dG|f6r}w=ah%%!HHvRg` z`CS5)&Uy4|gD;o!YraYK!4aTFm>+=;9~^<&V0HvGXb_k-g)CqDkBgP0C<09$12?i? z_qJjG_3r$-Ih-UE@mc(hX+A_x1Da=so}x>0 zwG_ETX=jwjTpQrek~YDZkB}9hIz+sNf7m7+%I0`{)WlWVex3OiDqTF7HB&oS|C3N7Jt-_F*de7;v|y|mZHrlS7XMw9qyVC>^YF~A>0E56Q|Yf<_7 zNscIumoTJ`q2Yxh&ZycOiQsy|25Rc=<>eFYstWsyu~IsD^11!c=l)~ZJsQUIpVuEF zhPJ@`=b>7aPcSspLx{qM+Qnpjpt~10EKRxuzT^&_@ncwI^B?;sY|+>d&S{4t*rsG&vu_|-E?FXl9YhFM>SeC%MW$T~aX$8a#pV~!~uQq7Wejl_>} z3weK;{k{pQ#AiKFF>!Z6$Y;NIxWT`_%#O6gv&xJ(#L6q-W9Z=p(7vv- z5*rgql~3r3*sxx>qPvgb`QfQ0>TMt6;Ph6pvYLbWKfD}UUR_mRN)t=N6pQCSzNSYl z-cLM@!IUfCd#;NGr{DN67H*Z7TgF=JC8lxr^tSj!uh@ENuO&p<#AhsXXN}kh!Y>}5 zP+}{HAz0d~`EV=Aah&q<0Uw%G89v+#1#`?a+O}u0_UG2Tjwhk!p62CEJe_qX!-wtn z;az~%s9h)b9kaema+i?k>pHF$nQMtt;D)UY-!FN2gd6qV?}KRY_mcki&W`9`>-cxr zwf%fKudnfat=7tQPoZP8Fc8>WR)ms_kADvx(Im(6&hjykMGu)F-2A`qOvv`7K9b%cAf=_7r< z?|&xJqN4C{Z0?BqvUxw=);D>|xPH6DS5c!9OsVtz8@JQ$Q?9kIDt5gK&i)ISOmWw&o7(f`m#Cg&aJTTr;h&#h4;pj zjwEWd0?6-Vd$rd;6v#s_mGZiSeXXP^(W) z`|WKGlgiwB(3O)Lu~u!IzqFe2^}UNeo5NaVu!28I(l^Xt@zePbN89jhr33kxgi@7X z=_|Ea_rJ?dHp>fDbqJ=^FzX&M&frn?gy2c#^`2#E29YW*)x8WONZ;-JrY^=w(Z)O1 znlV+#3}nb}k{ErdOrgAq-zY)iVqTg4Dfa|4yi!U>Rjz>V*Y&m4!C-?;#?&>?m6wv4WTLh18{qML{{B9h*ThsS z`Z%HHZqTg%>p%ZL>wihO0h+6Ab5}J|S!;rkOSNrypIcYR2Zp?|*F9kDJ(}$(KVrSzRU&)#FSJgX>8YU|8-BCZIY(9%fs^pJdXd?3SZ1H zaTRU<U(*N7ZJXEKhT7cix3SSZRzuEzr(U?Rp~V*$-DKx9j0Enb2imzWQz6VK zqkF1mA|a!XY*#9G%KFw0IbVKezqShUM{QDR$c_+R$IFf*N5b1ado3z{co5ZD-N+3d z>r>k$6DR4+3pBS_qEXdWKi+ot<(6%OO6JE}_MdO(*IBmdtzxdT`Ig}I5*qK_&5uoQ z*LOI((*%4U*zGjwK65;uzH-xMRXS8f|Lu6_w9$Xvyv;PNG55iw*NHY$x2qc8NXB#? zU4+0A1h%!uaaI1aGH7+fvenAKbE@v8?zhb~qf=w3EOAw3b*Qo!``*;cXdm1s}jGvC$!3ZUL~L3bK0q24svqGe7fC5 z^6O!XG^E5HvLE{7yWQrtiJ!__H2AVkF|OynZIW+)o&9z&C`S@xHucXXBgOsm?znM& z`SV1(Zu`H}CTXa7M|x|Jy}o5Tu}O6(Z{?jolr4Wp32cTWFq5EA&jR6f58 z34B>8Uv`X#4}JXbtT2T>t(8xIoqQ^$RA;5%?X15W-MicO>+Sp+tt|;9)C|19IDfB(xg+2ii*@b}jrV-xw# z7^8o=e*6BFzIOL-Z!h$d@8tcL^V{v0>(A5q?fUcjOS{kg-Rtka{L|mr!Zd$hgunae z|7L&L-?qQB%=kO=_x6{U&2=yTS-)&9m&5+jqWzZQt^Mclo^LJ3-`~3AXN=y2Podwo z)A+L4erLpG{W-)FKS~TTRyb3Bu7~sMYxEXMY%yb(%z>Z79v#sNV)JRlF&~`5kWV6x znYni_3d!!1m_@@~ejK)n0M?&dH(jtdqy3y%$CN(GVrf2)b#BRg4*mTo#&~VE|S^%b>4_TJbsk)8Wm}o&TH` z>d?1G8igUB+pxOJLxtea>7dN^_c@7pJD-ora{HX{MnBt&N^SK?%-wCTCTyR=8=odA z)P8P1>fN*oxu26=$*ta=2o*)?KPL|Ni?gkisZd4uvkZyoyEBo7{&Nd2Ev}-V|D2HA z(dYDC`8hRu$1YZeDlwl^9{mlv!jwM*uWEFe&n@-=_w{YRjhXtpkQ!y3esW^ZXU!J< z-1c>OKS{ZTtaWZaXEnAwX^)@VZ{M|J#ph&2Dkw|VOhZu^_0MLM3h-`l1Arik%V`lv@Q3I1O8Us|5Tp7b7`T7uIa?x-^s zv3Y6(9`GL|W$he;isv}=cIZyHJ56`kPvLB>*ZuDr*5^2J$9ny-ecjz1q-dEvC4()Q zzw`mt_hq?n)ly`SGU$M2b5p3(u_i-dyDjmABt8TEc%4)H+vEz{Fe zhZeo3Z@+a_m@>S3O2_Fv$t+1Ysg$+I^!AkM$oJM~UeAf&Q#)_IyX&{jWxt!1k(j#a z$v#hS-3@w_kIhN(CWfbx-&c`F@Y77{SLUl`1BR!U{+$I1%BPg<1m$|u?g$xg$!E!3 zi~o0b)@+pUDGo>6vYlyEKdr}m%-a{u3H-GB>Cwg)H5$QBtLhQ+m$Sm+PcuR=DT?^h zB6m3L4j0uu5}sCu1MZu)qQg^G!xZnUW;caT3&rbsw^xj(@btFYBbE$Rhpl=(aGz#I zzZEEie@d8ts5-9s6f=IzuDz!wupR#0ZrALTr}m=>$_!`g&ypJPX3iJxsddN5#nREP zDeI@Eknrn^yZK{?KOSB#8_iwrp4zJrzqSyc($Iu>y*X`;Kd*;tW+SNopl&jv^VEuG z>~EWkRK!sGc<#`5JHDFETh#MMoaYMmr{rhA-X5+Md%->>@dMK9uM)Q(pO5L@Z2Oc@ zCio9uG`vsk?gQTTkM2otW+IEyYHLG0v#5_!s^Qu@d%l243c$gFc3HEpU* devy9e;oHCL_SeJL)Bp8<{QqUt_np#H3jn)St0@2g literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_tapi.xml.gz b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_tapi.xml.gz index 0c5aa863b628799ed48df7c31ccbe24fbcdd8308..acf55bc3e6fa0042b38919d2a215edc98d1fa5a8 100644 GIT binary patch literal 232 zcmV^vvF3{i0|?B_=2D| zPCY&&cw4!#u)tF;02}Bw`>xxswy<8Uw+O6u@KSoD=Ct&Y%9TFv_t5ebyi#H7(^8EK zl4;3wdb^k(MwRC}aCD)$#v29EflD<$3%TTZ;z(ZgkB?FiX1OhDYib``YXoW=vUOKG iF-VWQ9YSoy&*$&cD9S2xZIb@$-~C^41oQ<70RRB&qHdu8 literal 222 zcmV<403rV$iwFpbv>#^x18HPsZgg{BbYXC5E_iKh08Nk0N<%Rah4((i>}EMNDzv33 z*b1(SMFf{2&E(!YbWBQ;R_xOo5XIer^TT&m9IK3JeY27@WVnRtf>(9I7T-2c2

    l zaf`sdMT@(f;3YILb1-+yhxu}L3ub2S5u*A7OR-2j>FG0y9STLoChlNt~gOIgT+AtNuBI6oe`tXZ0=j>5hj$9ronI(T@?t;$nf2I^ll* YV-2EFrM52Vzy3Y?4cE%iFY*8Y0Kh72_W%F@ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog index c304ca7..d866145 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog @@ -1,6 +1,6 @@ -/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv -/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv -/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/tb.sv +/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv +/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv +/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/vir.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/vir.sdb index bf0653ae261628d446569d5f16fab8d507f70d97..612f603546a609bd154aa35cd53e58894959834d 100644 GIT binary patch delta 3597 zcmb_fYitzP6+U-nXYY>pao6_xfgfudyk?Decf6jlH&`Tg01MjSVpvFAaJ?}YgT*7p zHlPNC?FGjzP02$F18GvCs8OXTQbVF@6cVR2ZBr>CZ6W4I75bwj`H?m>Kq-%A7x&D} z&J>z|bw>N$Ip6v2NDR_D=etJLy%9tbf&|9+8OTj=Kr8 zlWFm*5#Im)rKuNhh}w%c@-|KJy&{|94!RK@jXUW5v!Z_ahPNS*omh}@AOwUHK{M&q zQ)O>@5k3S%_!0St0z{!!_GV!By8%}w-HGVx7o2Y;MNZ_3pDma=xw}X^xx2W1v!gwm z9#=n^DSpENAULN^Tqs7AAW9MQ5M_vRL0 z5DnUi3z52;AVX_1x*cjXIks7l?WR?<7JwcdA0J0Y6@FQ#uq3&BMJO0ydBn$) zMCo;)3xUVbXdQIZ5Dh~G4GHEzXY`Tufg+(6)-Hy|g3R6O=3D?t91G1Xjb^%O1Zd3k z;OOppp16TZ=#SAxEE{OtjDW*P8Zs`(b#C_EM#>p5<_zTV9>IvUYn==@W zLR-wXJhoLb`65QYn*8t*B;Iq<4sdX4*B_&;V~hyp%*BYaoH0V_a!77Ru7I;x0W+6F}0Q8w6(ac5u@F=fZAGE)&ubrgoi+eoy`Nx#%J9$pO)AZzlDD^U3t zfxqOJiURWljc@1QaPVAx@BZ^)0SPEw@JfW26jr@26t@Q zGCZ2<9~xBSsx*&-0rl0HXz&~9Nh`Y$<$Z){!B3(NqSkyG?N(oDmLJys^l9{6qMc62 z10Gasmdgc1-7pdF)t>H+Xont= zpYf0?_5PRQ)7rUy`2#$2i(;p=&aLvK?*Hl+aZNq-I*R4Bu@8urzfXS9OWM@^XX0z7 z_Q^4ApDg{ZOWS@}dfV^9)ADo+IlWnZeZHJhfBc;EBeH9bQ&~<&H^oNw;(YEtCY8>; zyXstb6Z5^Py_-i;dv*)TJJ z^YI7!=NR@P_0i*!WAVU5?Y*&M=!Imu681~_aVhP4Y12w!U3k zrEUE?@KF1Ik3MrDHGOR%Nn|>RKOouB)WG1d1Owo}MFeex!w0ym`qQ3xNlZVi%{k+# z!sn(Xn>7w`E?1WLJk*%QthV~9@+!ywqqJYm{8Nbw(K0+Ya+Q}@#*Q1xLNTQOTd-A+;RT)gZF*Lx12)ZAts*zk|99Kpqthcc zeez~*ly@xS3%$Z`>mt-uum%wI#QepScud z$8;A+hqnXpaa^ObCZBo%Aioc7)#S&s_#QzVR|8ygMDOT?x*V+lTF)Z@l*=_({sHk5 z^)S~Q8dI*WMk3jrDpwC8Kk{FG4KL16au?SxYyO)dfARo?m;(Yky#V;5l+Juh^XrT$15+hPfLMY8xThgTL zk9A3G24~yuU6rPE-Kdxvr8GhGvOQek#V0e z?i0s-rg5Kn+-Dj0(f57UmdoT}b?0Sk<6Ff!Q%_0u#E%pgAwXz(aty$nO4i@Me_x$S zN?#6%_mYoIoVY2eCvMvMCQ#cnA&l4&4pkV*`J>`7FSs^c>5+&;?%7+w^~sf8662TO z&1sq_K9z%TA{fGja3gXNd1~<~&)`|lLZUilMpzIOVNE4FC#DAT)v3XPjy)zRmE6p~ zm??Nyle#93&lVsG5k-h)h+;$uq7+ev@FL0)6^Kei6`~qZgQ!K+A?gu6L<6D`(WD-q zZLYh;Kl;?4@2&z5$tR$nLLyJ50shxd{m*zK=>wt}CizGeSZWy1(@}E`v+}_U{`@kE zqH}VC`B2@V`b2ATt=(!`6>S%oodAaU@df{p(N)n-0;{PP@YfFP&sGsnJQbs5v;t@u zm>=5NiX?IaXcLG>@Yd2sh>g+)+6=V8@?RT;w4Fk=%b8T`W%f3^;Icv#cLJ0b zsEo;lXiH`VH@7#4#7@Jw+yYd=DM(w>83>p`FePO|L%N7|Qo;~7m$c>scaw|9ta`_@@(YPq&7+hqLqZ;=FIFTFFkIAUenXYgeo>SkQaS!r#8= zKg8Ew@-G{ez%y4`A&Jc9nIEZ;Ow8{2leX|o8J zAsGRSHc)M_LUOGGTW=efweE8y+9Vs0nD+lfeEO71nvu0cu>&1md};*kLAkPvU%uoo zE^|mO5MmPBExENxa+gZX{O~rQ(a85H&;8ur6mZ0(JSkt)M~{@JH!Vomx%REwz#3g6 z6*;tC5{bS8-~YM4PI0gt1}5f`mVpVo%gIbqu`Z1i%vq-O<(v;gg@RI?p7}h%CnOC;#Gf% zz|Ve5?o-Q0Gf_&|=Ue`pHK6MprKykC_^!}|n&ZW^i5v-0oNPvpQP;otm7-ZJrKIH0~6 z4xaDg*Z!^qNJ`y*IQXHIc%XlWEtIBb7W5iMhzM8-LKh zw4|6fFmw<)6EzPcmPe+`mK@EjN8?woE5&(4U_-C ziGUXf3lj&92h{_|Lmz%jmU{3eJT4DE#)Emhd0w%ZlKEyIKekIQotW*B5iN+YI@_Zh zebwb0?h7cP|$0RchKNxhJk!1 z6Q?&T9McTW8V2Vy^)o}guBkf=wel{iG^?sx?kYdAn(*`IKEEThmU=WvBO&g%+aym}o zG-*prO&zMa)SMjCt4T=>Ew{5!q5YoO_hmch`Q!V3-k<05JkMv(v+rIT2>a!z1%yJk zKnsnqSl%^vT?oy0%oA!Y+?Lb)8Y6w(40`o&UT1#RJhMlo_2yYu(WT#74!0#CcSHu_ zOjr4s29XdNE4isIW%tqO*RF1Et!sbNJmN^4I)1y6o1#+tyidX?Vl_^`{N8Krna-x^ z$+RLoC$eA^f1-mD$-5UudJ_2})AmEec6#bl(OHSrqxQld&z=aqqaW~MIn8?1U!5P} z=$I&&zP#&`G)&SV!V1S8h-#m<3g_xyTfEBXN!aMc6pGgjNF1~TP@8ko#kir(zH$9E z?mb!W?Z_=-&0KPqVW&n=VIcWjMS_m|#&a5FoKWJ2VZVLS^aw1qD(Y?&Cwt#y{Q9o~ z{p>v$df(u?qT#o`G4|=LG>)X9^f_YCz0|O{ea~ruWiM%p&v{w*OBSmp3ZT;m#CneIXh<}-dq-9N{jdYwlG$9_ z>1`gy6Kq~}X-1L6pVzZ!qKY4w72lKl%f5?T7l*MqOzD^w<<5`)+z+*xTG{mFpQ@Y( z6V4Bc_}zQG#Ehr`mf9^^#s~JJ@a$g3%OQ*Ov7zF8et&X#S5IYiKKIGjdYfkw=DNqH zMNG?0v*v-8d;%+LkkLiTxa$+eb-^7oZ#OphtNziG3+%Jji7lCI3VN)(!8w*);+qkU zx%t<*3SIKozN6kT2JVLldDpm9g4AUuFt(LC^2ac#P*Rs(zH9?+V+sF@-<^WV%F0>) zEP|g)rQ5~1oRFiY9;C^^OBYu22M%s8X&U>%FUIHYSWW!Jpjb?|ZBVQ;wX4Ur*<Q%{aXx{LB94G<&Si2P zW%ri|Di-Hutx20W;cPk`8f8sR=Ye@jc4rILbPF8!}V<;pKU{t zXx@@%9DBCEXd=esNKZ@j=6kR7PK~4y_Fg98Z-%YDy1O3V`?lfOz(>y!7*w~t z9rn!xNndN*>7{=J^xNF-LP_xUPc~~G{QK&+=gHLGt*tt08ezrOr)?)&xr14Azv%Z67l z{HrG#>LL=Ih7hs2*2CHis??hyF0DKL0yXUrO`E?}wJ-z)T!_-Gs)YfUg(&0us%W|i z2r89A(W6j;DJsu-P>WPog-2DxVH_8Q;!tE1=dGo0|2kQ|R0@SaRUHLY;QfWT-;=nk>3|#g7Nu%m8bg{vfL*8ff zyeSp;FK%PdV@F9kzk4Mt3z%@q+HuP5or?b3+wms9?*F*7K-f)N!C{kj>O}iV(r<*J z#U<6N4Dz?G-%w#v+TD2~vA8I&ThO%Cot5e{^HF>LQ;b7#3suqN^^C)1#mUQZZnM`( z!)vK_mfVmUY;x_fy>vmlPJL&H=aOPBR!`i8^3|IXeU~NKTcw|;8F*f}%(6P}7`BE_ zB&X*P7F8aUh6k4IUo^3!SG%FsbI-$yUJ@ht&ik2=^^UYzuoF0$7$|tY27P#AfEc(N zOh0aRO7-ju9KwnLh3kTu2(ucd5T>S` zJdX%79Of06?J#FxTH)7fq%Q0l0L){-GVt=X8bS2(LR1VaZNZG};ksZZ!mNfVv~Q+) zNZC=1rL&9++-3sQQRBjNeLbc(u4#g8PI0z zAKMtJ?cPlN7^t~C(L5v#=Qac%b&+VvXtAIw>fbtfWUxo^WsAt$n&LBd9!l3RZ)GGf zje|pP-PfS#DooP&PYDoaRJkiAGI7Q)qN{y)R!g32%Bf%V@2WWvA1S=2_>sqH3yNK@ zee{sb!((pQ7^iY_r@Qma?UIC1qt55lY2TU2CkF>E43^UF7EluO;oY7NQ#I{(+dthR zjgYaFz+_?dI(1zKb8^BjuMfu74SlMMOdhVRGi&!BToU{4;w?lKv-7O#H;8SXiaVow zWgvZ0uws2BsJA?hpyw(Z(A({+)a5(d^}+HF3p$P+s{Ilks?ss&uJCB{OkJ#y`uZrK zXDAT2-2x|98@Ap5KiJr#BWu_ciZUPciPCvB-fNPd+Fj*Bab6pF`I{l#^K(FlD3O!z z^k!jj9ZFORIV(5CDxxp-BY3$FHa0kOe zOecP6{OZTm1y3W>h*vhjM(|$mnYw{MnaOjObw@Gq@?e1@5k6B^E&WZhwc^WS+&LSw zmD+1Jjb6=9X?W4zs7&BT$*n6C*=AOX{1}A_;?|tP39>j?VFW*!BuY&aHfnEDF_e%m`EYRjbh^aF5e=T!?$(KP{7w zi=Q;7;wtBUC$##+i=Q4loAG6VGvq%(>7N~6H@{&u-1NMd;tG4ES&c>(4kY&u{EHvI zfA2Y8i@B|6XK~4OP8t4upOsl$^J@7&z9q*-5*tQ7*yjeD#q97X6`;b=;m%WRx2(S` zFD?%cO-n~EXDs}c`#q0tY#%q_B3)m62IJARb~0cx1E+A}q9^^S5s5$=Q85fvysLgS zzHY4XZRzN_xhB{~@EtpPvBN~z!cgD3hcmH8%&nOF^ec7N(fh2$yWMB!LNnwEJ?Fq1 z!{JD=1T?{bkNX};u{Op6n#6KhKPfRl{69hfj80&_iL8ASr>o0l^6f&VAb#XX1_lg( z9mIL80`WaoggA%Q9)B0eEjBu^w08M{DRYi%7sK{B~$n*sohCXNg_FX zRGcESYiB_Mly}jDLYCR7-jdoX)~h!>$c(xaX1KKI9_63LI%O-C!kULK7uG6YwWOK^ zYEAJakBtNxP`*sMl@Yztx;rz;Ze3>g6k2kkD!rx4UIsNvUC^#f3h#}rhU2de1i0H) zO7sgiIJ7QuFUY9xjC|FaO#4ae zpI&`RRtw2LAnW(h%uUSQw?=TU!Ih9VzCzP}{T&9k_e|tVRfhM-)itTm zh?3I}j?*XN4?2h%--H^#=5shgp?q#&z;iQ&LIEQwxA|kGJ7f9qem*`DK0bf?2*N#W z5QDQ*unjNlgGDSH4rBz$BVL5iFhW%xxts&yJ90t;k^sk6Kf*{zaLe7`$FoU{>?7>O z^`cPr%hJ*`#?vTv8cf2nSqAK)J_c#MCp)(F{K{9w)9kd4{QG@H*%zfCxj{ zVNwfH3z#=D2_$CnwQFZ{3$n<}Tq=>7c9Th<6i_o$Qt#CNU47>fjhUN6yiFmb-l1mX z-05WIXHqF-N*0q!ByP^+8`!$Px?I%r?0Mxa`RY7eUXx@cdh;!({; zwF%WlRF(BM{H}T$qLG_blK`1-5)JjRKsJ3dE2*y`8ox=0^*7duK(z?f9#r`aUck1< zV~kt@L2}3&P|d@AfRAt)D+8FyW!ZATK3tYVc2S(mSo&7RodQhenQw$3m^Xo200v#I zL0%EC5)U5&Y{|oy!NX<@ath#sNDVldbLSOS0Go1I(Eu>d`ij1wKD3X=KmZ0_Mix}% znI8s><>6JpJl|MJ7%>M|1FBtsowhPn0T=#5-v9sr diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_callgraph.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_callgraph.sdb index 8eeacbbf328a005f7f3b322d8bdda210190c9fd9..05255b9a9d81d8e5d04c084f05d6d7bf09ea19d2 100644 GIT binary patch delta 15 WcmbQmJd1gQJ|puxiOk7Hj2QqYCj`3y delta 15 WcmbQmJd1gQJ|naImX66rj2QqW!33uO diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_level.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_level.sdb index a12fcb8609c67efe62d4aa6ddb7cb316afcf35ee..46fa7a97c6242e694215192aebe9a5ed8913b173 100644 GIT binary patch delta 13 Vcmcc3ben0y2j+JYnG-)}001o)2AKc= delta 13 Vcmcc3ben0y2WI TPui001k+2893s diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_rtime_level.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_rtime_level.sdb index 5b900d39c9d792eedf84946bdb76c1139e61bb27..d0f2fca3685c97549f031eec1d46e9d8de370b7c 100644 GIT binary patch delta 13 Ucmeyt_=9mmFY`Nz%!v~+04v)D3jhEB delta 13 Ucmeyt_=9mmFSGoXj)@a804j+E_W%F@ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcc.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcc.sdb index b49f49cbf9de3f4acc35e3e3e6b10cca1527db2e..a1c9f13f063b7f738d33e09542643774f006a37e 100644 GIT binary patch delta 15 XcmbQjHid1&N+#xa63LU-Fl7J$EbIlP delta 15 XcmbQjHid1&N+xFcElrcxFl7J$D~1J; diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/prof.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/prof.sdb index 201cebb488a08dae654bdc4428cd53ef7089fd85..f569615b3f81632cb195be7e1b44b3ebe8534124 100644 GIT binary patch delta 4822 zcmZu#2|QG77oR%}Ge#M*M<|1mea#x8B4v#zk+mpNDu$*|N?9u-i6|;Xq{$W;OQcP_ z-tlJ7R+el@QTd+77)xL0_dEBV^PJ^>o^zjj?ofIkJJ!RliellZLNN&$KRPEOJ%-Gs zc|8b)LVE)_7=^+T&Z<&Xkp@L_Tq!=(g135j2A`gQJ><6@D{Pv5N6zbB+BYv{f5JcK zFJp^lN&N(Y^iEODQ_qr9o!Vs`5^N*Lww2DQCVP0RY^%D51tnc@7kkmI;Vp`jwqH6Y zt)EV4npRE*OS!-19b7x*Tz4V+PH5zTkRC*+Hhfcx-@fYewW`%Wq)xLvBF}V0M=Sii zXQe)l&E&i~h{a{Rs9m)-_MkDH!nPsOJWfXss{U=j<$c2dV=I$Xo;FE?|X09iz5c zT&Iu%Ze?T=A)7e|uH^UDjqn-SCK;^#=)5S7AXoZ1p`@;H__~q@JMZd_m&tJv%Kx<+ z)34Gpcn8bO^iGbsHkhYSt3|BsuctVrC?%K`+-qtH-~M1m%vo$`?eTN?ga#kluBhW$ zW8rT(bKZ%Sm`|Dh*Zk@B z9hpOhxq0#>_CHR1{p=gbI_38^+^&ZlY!o$E;5fM9NkU3@z|CU@E-N?RCWrPl8l_Z} za2bo{hfKWRU7D({dncn1bNJqrPN=^B&esB9!?UwU3+g8jmb3z_FzDwf<#7ihMm~j9 zB{`B>r3mMLMfg;g9g_c09PzbP>fnb|JT?}1b48v-K)OQNm5TT z@Yk3Ur;5=^J3BkuN|r}!vbAmc`}-4agfyua`^Rg16HN57-7h*b@TO+U=erZ%9WJVB z`nl)S!K~e%9g547oNO!9{T0lcyl#@8Kex`|n2gddrHOgYSp3M(E7hMFko*}iWm8&O zMhcjD`Q`zgF4o>=t9)VL)Ig4}@0YA3(#8F`o!_@>y;Jl1;EUh9Gl+ED@G%>aN=yn@ z)251Tp28#rd3P6=$vD?uQAO-*(1DtDx3|>NF{a6_vSO`5n>u*?f)%LeQ96ZWf8&Gi z;wBu=ri*Bco6F=hsc_W^$Fz#kkF8$06@Q@RRr$A3e3^&f=!Kay)ZJnyv&?Kwah`+v z0`;5i}?&;J$;+iYazG@4m ztu6E6UG2;hmNvK-`i0!q@gqU_J*UZ>^)r)B{q6>5UZ{oaFYi1*m~WSowt+L9M^MI9 zo0=aOz?3Bp|a^wn& zI@LOZWQl>P$(9RQCTi>dwb(y{C9ZQiGP?Cfs5jq)g?4C%Z(89MQBu#eqM^PIrq^)W zh(>jK38h)Tz~Ykq6Iwy*OOLTtznjOUtBlI83hnz*NEDeC_;;e-;~h>wOR~FIBLgTf8lG}aj9Y-^`m z=?e6jWTEZcR;EM$9p&~Jz?dnPT1(yeaE=fbXl{=;ICM$P;-ZGGs{BQ(hQr?OE6I7= zHsX3w_Yo=0!Y!?`hFwW*2=CY7_>;}N(=M4qHfrqcgIEfgHM`bmG@VVhD;p`{>;`>wHTwUjhoa%w5x(%;*R*#SaUn^ z?4hopov2B1Hz(#1d^(!9s?ba`Jzsb(M|umB-s;U)m?W z%NAlwB?@HbtAEoY9%wKSLO)~u$MlPH`P~qPwakx}KFM56PeK{En-97+Tz(tuf2P+9i3Dr`{li z+N-38qY|F158T;EyKV22ilx>ktGrezO9-F&{ji^8cx7AwZ=gBnTayVvAm{L3yr+(mD}KN`2~ zcj4uJL%bZueMYP5y-^^itDaaVHHKDT;BaIG?tutxTk)seIO69}HQ#hx#+zhyvZLz^ z{>^XAy8gVZ`q&93mK8k+ZBGVjT} zH@q7A$NL;hX`%fs=BHHcrz0W+#$V>j)^$oqKBLR!Reoh@Z<}Zh_4ndG^7aKOoc=t+ zWN(nairkISoGY?y2Z-;de3(M^1giCR9o ziO(668xzI&is{tRR-6&%#8{|LTWg-NQ(*O?Zea~2nq<<$#-_)c1zG$D{H{IiUSqVk zUHoc&v*qVQVO5b%N^<$XIP2~Py)|g3u8B<~>u6AI))`8)Ylc}(heQp7PsQvk>LAK- zIW|~fkRtB?ey9;knKU|_?Xyi zX;zk%l6lrciOk3R)Wpzk>$cqYla>Rko=%xe>IFNqZ`M{3`*y}XZD^BLx#DEo2@7W@ zlZWms2UcNf5|uL&r7gX)5%vL3y6$Ceu0DMae?2yr3wtaS1I-%~P=nVapPb>8iXNxr zxqmX?bPEwbdRW0TpH#vHss;NfL*1pcYa;^4T}oaDB3{6+gV-Y!Y6l`hDPchqDC2ra z07I?OM;zy*g2>H?Zz@By??ea;_kyI)$VwKtYC`sjcQj=&AQ(GtHMa>isb=RGu)|_c zyK$X97fW;#J^eN3@wtt!NMBx`OUvur-IRR)OHR)9oU4x$G8?v3TyVK7m7%jf-Z~=J z^S-;nfjuOXxFPfOgn)h?b&6i{e}S)zGUyH8Ih$V)PvmG@79VQ9mNG)*h(3REh%cdp z#$QRokVn{CxVVZGhQ8cVsMT$+PO$QA>N3&u>}mb)f@p~;DatTT7?b^X+TwOhQ?5SC&e1jLO~swic~QThHLsZ)K^ox%j--wrnbJ=rt4q8+t3{02`1l!OIhNV_qR5eqWWC}#wyvdd+ zoMQ@9rqIh2_&DaBjXBU#94OcbMp*$&Lk3f5VhR}iT#OqX8ObD4(TuX%gGSH9~gN6GXvlu zfCLBJ9{}$lz}Y`K1P>CVzW~(3{IS3WrwudzoF59sOGlx={+I5+am#2B}QmM#Qn&_*CY zEwFO~W&()M`~L-C6avr}02q!Ej2i-<`?3v?HE6RCpbxBL0W$&Mdk*RU0Y0K2z$CCC zfSLa5pfd`^vJzgGb*O?1U`~6s5cG4?XY?{Th5D4VEPM_Ya40pvx@U_28^n0-y)G<+#Ki_lF&P zDtMO^Y#k0?>qY;zX81-fN!a!k=t}_G;qzN!Z`TCdCM+iC*tXo>;RfG|Mf*oM?3ToT zj9#AqBl#&vxOy?5`~C8O?qQ&7FWP(HL0posXA98P7wkZpBcvy2*`4tpCjiygJMR(9 XunYIQFcz?L!vckjF#c_YLg)Su+{R9f delta 13551 zcmZ`g30#a__s=uaOik;wYSok?ZQ4Yusg$uN$=IhUDMCu5Fw;gWBUJRpSl(nWOQl3* zN)eJRvLs{+Az8xw&wZw8`M&Re{qD?N&OPVcv)yy=qAb{Pa9vrMS zJCTyr{dfKA!=)3S%s%22<#KD&>QcQ@OA7_Dd1CT6r;Ev(clkVCT=I7`i&3nf_%(u7 zaOv98-*#|A?y7B=Bx7fATTgDu7H(Yd-gb5NqATjB_dUD6BFgFMA{kP3{*N_w58e{JzzoR_D4tD1ZAwcvw6YSQZ0_lic~2mc-SBy#SC zayR?BPCoaA%O3kLz9U;J-t4t2+r@pdzTvg?=4s^(XG?N}L$Up7@{g`>6ZAb=M*}$NNi%Sv=e3{%87*w&6GTbfx{?zPfPDpLHu1 zCEst3x_Bze+N==|?Vj1RF}8ko%=ZI@K_#o*A07LCr(o+jjZ&e~w|$ekCf!W^NkT%v zTe04prw;fqyf+7T#f^FA_AXlgcqDzxpRM7wmzG;Ua{e>ra`WNIv9XWRBkA0^YgOy3 zuFVtwYUGQSzj_+#J4UVb^XC^iIf1!4Up~KkcWik~+r2~4Ti&L9RT(;r!mtR*ZDyC3p8EpOdtEc1Cu?smrC<@zDnm3$^zjMhNb9(#X zHmyN-*WK(;wfmiSH_JR37W(dF=KI(=Rf0qZe6Bxf!}x25Cf2W~O!?=rh2dkG(*%u( zjbqM-9CRy-^bWhV<7+W<);0sdNR0>Yc&V~WGbeo<^ZtU;r=ajSotEK$s~#wlhp(A1 ztj+(yiiUHVvJ;IzOvjay?nN%k|Hnb?)vH7LBX-kHMc=5!!%S~GzTQ}|dC$Cii(B`; zFWXId`ofVK_uS3Hzv;=!Yq8})%ZGXI=KM~~voZa7s#Ng$2v)h|Q}kjjnb3uIW>OSa zmAUgaywW*V!!VDJw=0?Lk~eo!(dg5w5@y};q&_dHHV8UgKW_`2Qj^eXyI1!}o3i)m zr>Dm~y}s3UUbSgp(pt+;nDf@Vr3-xHKE~vHj~D3dqj`tPJj>P>(X)Q5U3qt?*+WO9 z`cAuS;S0yANz^xGntH-rVY?E?d)+mBU#dE1`f@+si368%FEkwaX6s4eLb({?+;pE*l>|uO9 z{%wNmNsWtF#`4s@e4N;o_-1dz@wjp|IiH`j^Yk;pr{2ljJ-N8tBb7Zz{ZxELO^2}PT^1~Rc;c~1%&xHfFC@)PXP>@qWTgrGxVN^Yb7tR}oA5T@&Pa1~l6K>Y znuD^DY|C|1F1|hBHF;N7$$X2blzW`XX0j|Lo1N9B?Tb~-?{@N(g+h;QEb_hfEzSjKM1wT$46 z-#I1Nqq(!Q(7fQd+SSLmc{2AJ4qG-)vD4~^T2V(^){y-6MCSAvskv)?>##BS`K$`x zslzo@L)JQ7VAfLBE9QQ%?9t73wspHD&!B5OejVdMKU2NuMKS5P)q16aaU8Umy;$nl6{(bad+)e$B6aF)6PtXU(4a+=;SZS$FD;wNZCxOg31*pT1Xp z8Gj}x!8Jkd&v%)G?QhluV$;-`oCJCdgjkRbxKNCd;~fj_2fZ&W*fK&oKKVH}1Fm^*L+!DV|^uJo7A@CiYc0T@NB>J}~qB_IB%%J?$M)Pd6SP$L`u# zK|4*JD(>p?bk|w$t>c=MwDp4Nx_>rTk>mC)@KwH1bK_ym_O8a#yD3v=t}0lnK0HFr z?r)~psealdx#1(PI=LN)o&PS0e$~Oswp{!ml_wrwCRRj|*)*2IA9_5Q#!3z+3cqX4 zF_mJmSlpDiFMVHAiXd}W)0X7qoP9fTn{x7YHf5wXW$xXVCrC>dUMegTo;=f(lf5&4 zZ)UnMZ+o`zM$ zVZr3|lvUo3&AYLGr;jqVneLgTRq<_F`_B^z*RS$^f45Ha{3TdAKVKzmdgN`|k*ya4 zPaX?8I-}+BiiZon8(=pYH@1)qk`FGOKq`M++Uk+8VNa3y3i^^fvzA`^=pVV>|L!8` z7w*4Wa%cY9MqY-){7*?ELp)|&9sAM>ue1BN8M6zWu={exWG@viBgh~Xj`j&lDn65u zQGM1Yc}o_2uq~3uo>L_F?+Bo%5_o^B*-P|E^mS z{X>3*fw-k&zT#BQXv@S`MWasecNfVAT+wAKyyv)eQuH_@!V@Y3?K{c6HJghlB{hyM zhKM-A+z=3tWBl8^BctBMr1W@hh@wakNxwjsH;7!_jGRw^X=Z{iTT9Vsrv?NieuFgX7&Y z`h<*2V*V9tPEL_U%h*opI)#eFCs(c&$#>!b$@e(hi=0p3D{AH!jbiKFkB<(#RWz){ zqEqR9;4?D0P9H zHq2J1I3>PYcpRYsW%*(z&={13!HA@oNbrq!$LF#B0t*d&{Ju5&xQ}kp0BmXK<_ zL?#Eg1uU+gudNilofLgG&ZQSg(4XZI_`?CegRP56bR^SJZais?Dm}4rwhWgZfpc>! z%{i)6>UtB~=)&AlS-B(q8}%kn(=+c#Hz`oiRA8#v^V2BCsXCsuQabWrIrSuvrz6l| zQMuz7)Kn+TR!%y<97zhz_kg^4p_KN80PqvHl{<&qPHQxTy+|S7foA$4-;gDMBwM*| zG61Ropbb8`(a>C?@ECmWwW=xsMHJ5LR1z_4)KjA3wM{x?p{bA($o-3UAw?X%W3oKo zhAty3!~6WER^^A>{`|7{Uz^=x1O>9@Cf){AKVz*%TRqS}QnH7Sx|mzw|j)489z+ z@rI0~4QoTG5*dOv&LJ79Tk>kEd}R;siehTq5YV<_W z53oQ*zIMS}jX|o~TU}MvB#UIP00K9V$es*MJ&^rAko}g)OlL6KcbtB6w*xYTJj9w7 znM*H};m!p*7svyKk_PGzMe`*jm?v>@n74&_zR0r5(xw_WJ|3@4_~ar*UrWstkB)gT zDI%>I4orsgwUJZ^C7hA&hcJ8!H#gUkofKcK$Qp&)@>ux}{*A*~54>t$9_VB35eRQx zpCCW?3-Svn0XaD1K5%O$;Wj>$E+rx_^)5#={XdvZmoiJ!f}moCg35+}nLOq44Gi10 zUyb?PIZVaK{Z916evGjFLRlc_2!0|*YBz!H>wp@h^N}2wM}8Cl;i5~H(Ck}~z%IPl z0wnNwkOb1WU<5KqlHk;4vV$ba>l38~ABoaZ-h_s8qWdYpGGfaCpnxuj1-Z%~I3qHv z0=H%5+xuIpvlN)MxjRIb!f-|{&JDqB%bf+e?kqJ@)w?tC;cKJO)*_1v$*BkO(EVI2 zE&zG%?UU_Q_~tm^@9vJezvh0POPtSP#P><-d%7Et#8Z zu$&V}rK*_NDivxIWZ*2%bdl#X6)EHdcC5ZjX-iF1ORp1uap0}a#_VcY^_nivo|I6V z8lHRypHwd2k)*Shto@|9)P3Y@%7r7>D|?T?hwR*{%X+!PzNjp^^oKyp2WF)%b{=sK z1zE~}jzKJHgjl42{DC536ltf7fLKKMY#)15`fQTNC;@w6lSCwRioxcw)T8`)oCNYj zYYLZ;U%xi8z>`rFKqrhH^J1>5aUDesBLPCf%9}x1XjB&F>0Wq5`({6+NX;ih~K$#A{*P8fW}K!FoVPuIOn!3XDrY(uTwni z!O@}Tk!@K9)54tuR($V9MKdZzpAk!;A36>SKc1(eVGk<|Ea8|s4(2rmPR}s}vzQR` z!7?yU>@mh$g3DmbFf)vSxniRsffxhd=J;VO6pO*Up>0Ow1-_Ua%sD`{Ctx!$7PM`N zIWx=onXL))}XeAaoQmy5kiCn>wJ^2iB4uCoKF#I5Ew6_!1$HLt??~ZU~!Foi!E4OHQ(anEN+%>aUP3% zX5jSxg%6m=I$nb<)jbsNrzmR@3JV2uZTEBRQGcC)7Y^}Z0sPX7pKg=zNpCzPPdE~el7`sbW`7j>cXiFuF z`$@>{6^NdC)hZjMZrYM&;G@<{(sYs6xK29b(X%-*O|olH_!YobOHjNF6mODiBjX)d zonu&-oHZlWElJLH;Y78#43iQ~Gv(%9js8TYysm%U7~owiRZmd$^Bc1tsRVuC-bzQmqDz2`hQsozddeMf(M<_W||VLAfHaM zNwzXqt}>MyxrY-$ikeE7OJ$f9vMB36|8CcudHLy-Lz{j%)OK!DaGtyNruWH$fTuLU zjgK!qgQM8F;dr`$XBD{SjHabW$rd-kO3wD+1?;SF*{M4|1kMbWWpC+}yPlQLaXMq) zxu=tKednUUF~Q^5wU(g&INOS14jk8?IbXFo|Z7zP(V$!qSA<)4G~q)Nh2@n^i@2pt*xV@ zy^EO9aM@AE_pes>Y(GjNqrng)L)*xvB!CW*1t& z`Gs#^0NJsk%!|3{v2yRppWYb7PSpI&xH- zg-nCTX_d$HGTR9CRV$B+zv-I3Srl`*_LJ)x&F<}_Yf2xrXkWl9#Nu8E1ndPdfhR0BI$A*)`)RCyr*hU}YT?uCpa3(KW(D;Zl(Y+;wf}guGeKj7%3?uMzDV#V zs3Jzy)%q%-Oke^_nQp}QMiCA%q820#FGQFeEo5tgxHs@+_)KlHY#H8R5AG=j&JcJv zzgJT2OBZAC*y}^szexFi*l*GM|G6g<+`pD`-+!$HhH~o&$+$BWc_jPzGVMl^x;`UP zi+(6w5@?)2hUgeaGW`Y>6}V0IM@lXV)zeLiu@riun(qnudg{@yiD_?1wU2~cBj4h0 z%yR8(R6l*j$UY%B*GLT%7crVhqFRntE~bDu;)%s~K!H1e03vn*Dk`8rV3DwCOGtNw zNVFtEw9-h}yeybg2nh;Dw%r%(_$YIsVn{qqnNA}}Is*=roFJS%P*I7&?Ku5wY+wUk zCdCmv*nyI7xUoRVFNbf^aZ~A)4R{XQo?oVBEu$)tnFB1AN~4QFB^K8K)2Yx#1cZHK zz_ip6Ex{g&^i!@>6Ss<6a1G6;vvcDEH3dCe&cti5^EF416Rr2Q(0B~Pf*e`%WlH`> z5(++QWI4MR>h}rF4qhbsb|?qjstehpz;e9fW%yMZlPz_PMK(&TxtM?R^ zVmB4$AcZ<~d;v}4D|NCb#y+j(yV_i!(yS-98?b^wS^}@qy}W@o5AhC?0clDO^L94S zQjpN9MfjZQa}$X45#@zq@xQR~HLwP4JP9p^0vm@@5Ry}H1PpFyIw_TsjXxu!i~n78 z$7qy=!r3J59UJ^?FRE{ z!*)n^R0IrV0uzX#ZBS7G2LkhvcqYniG$5GjqG0-(#hve4{D8%c?K|9f;G?XV;+Kj>!n3z-R#c**mC zyWKnDtL0gVqF4_Svq@efirma7Hf7+9A0&}YWd~yW7KsyL!K1)BAJ{`8O6g}a5}gD*$s$Ei`Z5Wh|ANvbl7%Db zCLAV`bmI@?+zRn!YDB2`qL)?fUijFUk$GyBl2-qFkTbFZ6b>!rKu?fzaHvCkHWuay zrG$f(G$Gtn9szJW-n&!Qw^7baw%G+gEGL(Z)&Ax?u)-}Mujv?(x{@zvYYD-Z^pFwV zDn0fpU{lBd(WY|yHzf~QX(4gEwflh}e1&XPaGKryd#Z$0@qMdMfZ(B(aJRc@tBeQI zYd_CgqmZfKd(2_i<4H!dreu3MswHosRvc&>f3s*5{WI_3sGnzS8?H~=yr$s%>k9coE60P^i(2Y z`m~`S+9Bj{*rZiQ%Km=gF^-vreLVTMW%Pwj?*xxV@h;rc&~Xg9ueYqV@2MvIcBL}z zL9hBe>oX%Z)zhKf-1HXz5jh5v`w3;;{iYj!*P_ zQdfL3XxY_E?EKztg9R1qw?sF744HdkMUQQTM(4_PRqcPbv2XP3@w_$agw8{i>v5A; zu1+&Oael&|Rk{@km3CQL1>&jie}%+Zel(5lRFNEi({5HMB{AvbCZhyTv!p3M41yO% zGCeW<{Ta$ac6jh%o=#Zs`wz>{hjDtwo~65Ros`0v&B~;aiGKv=Quz(}H@4?$-u!z; zt>;o{z5C68d9T8E-n+SOp2F0opEw4#;RB6&Vnnd!zTZA^G)B|3OYE}cCh>uIuY z<#hI46w<`v*@KMC8yOfB2ua{bl8^)sT3vbI^m433o@ivE3?Ehz+)ry>knXWYgVL3$ zI7UA6dg8)M30FrOHl)vVv~IAPzoU@B&qq3{q)_dD&Urr zO|H#C(LffWfmBlEHT@vB0OS-_n@zH1byDDsN1_8T1xOnDupp3+_Jw=YjsZX}1t@Koj>PReXg$tLyc?re5 zN9|3CKSS@~CJCDiumfopGOTZR=0nF||4`-(8uTGXkQn6Q95I7uEI3CzA(mmn7Ojyf zY}JW!mg@vM##BEQ5+nLJo53}OthOaMAcZ|Tt0hcGVYplqh<=P`aPJLV;C_Map_E>7 z)mKOvX74EquQpaxM3)c3^wb~&U=3X7*9cpkDC z_hxWsO`{jnO^OqJi+xROwL((LLrrX{z>$7d%Us74wrf_dQInsbk|yQI3^q(8?L646 zR^N_?O9uyyf!o}uukw|gL1RFTYxiht`!lA|8*t<9qLjBms`gdJAt~OCa_Q0=w=>EX z(COL;*#f6gH1rg*1tQWTo7@-wk#mar4WSY43$CQ*^YDBYN70H;dBROjsoc_cf3+I4 zoZ82?&Y&?dv{9J=H6JQEoqD07;s|rnG3irl8e{L@s0p-}l1D4k z)%#4vdEnXOJ7}a6i1uluGoBm5pvv(3Ur4?L_h)wDkYY?4Nu}D$sZWgL%Z;)mE;~FT zXd~++@&@>ArR_g87K2~wzj(Ck0+wL|SR|%7Ymi_Wgs8>hQW%q_g(#In@wa|U(AB|maLF`nqfx3oaEUOjUc9i;rSD-%fMz3@MsL?aDQws-i=ja zv%9d>xB%NCog`hvKKHdpz(Pr_$2i28lvoBf73PeHc_f*Eaa%+zC*mHi>4gSkVB@~9 zWr>(92r)=@A$=v4EX4q#znF$gn(Fh>J1HY)TRU#anIZWfO%4PCC zPf=8i%!Ejb({05k-t95I!twg|&g$h^`%I&5x(V0Ovntc0Y4in!uO&4KoS)XPkn!R$ZN{z54PqE3_xsP3uugzVDO$ z;G&1y{wn!;-lVXwgk`^;xpI}FHf-PcE;;&N#fHOe{uFY%EOe8RU$US`PVm&^+}yx$)+-`&3W z&(Ee+(#At+gZ8!$#!1=;lQ#B9 z8x7LN&$swUd0erd!02~HWnItUmF@rNXX@%zkAa01iE(PacNAzgsX z1C@#BWAK7;)t3=N8(^54v#Ar;0rB;V9m+%#Vxkz;e@fKa45>0fa2c^hKr(O|9t z&X|B6&`CeM&+5QzfPV|~45(6uM)bjZq3@v#eWD?bx?x;1$Lb@YlYVR`pLYRu5+sg8 zOw?3@4M$cr1e;Irk2HWs`a@S2DRuNN3Pp}E?V;4gK%9hhhF}QzoZ63sP6n80#}E^i z0Dwz@;F~Z<_-ia>Xb)w=B?kf($pwZHVkr|Sa6!?eqR$~r)TkgPd|()fiGW8sK~WKv z|JF%69WgWq=Fs1RNeNgWU?@^Q>ED3Q{Ek3?4~97fs8V>eAt*TEk3$(Ul}1 z=>im%QTZPXF%UzW|3gjI5^%`rpbRG9<8yfwz-PiRQl%6>Is#B}hj+@M3pVP!{00k% zcP9XlEv7q$s~ z58eSWj@!Qn@#*#L8k%E~ZuJNC!A&T_fxdGM%tsFNT^yiaH>m$hx<2RjCp?XZD-O)r zp?Gj*P`?=n5(giQL(>%*_k)3X*ALL2JkWPTn;yiQo8N!*A&TPc0eJU1B!oc=+}mM+ z#{j?tWUPbcCrp68*`WDl(4RP{fBZk-uR?#pz&sPhlxc(dtB?`~5nzIAYYf`qoIn)e z1{OR!KNSAiZRihT=-E%`dkw(1fr$*p-v%cnP9K1#>=<(&)ZagJ0l5PD zj)UeuLw{3$A38)K$$+k*NWUfj6$$#XQU}>c%ilw1QCU(L?b#0kuZro;8JSFgBLYPfd(Zg1WyBEP;@V5a-|J`u!~G)PZ<990KVL?5Up2gr&x^^k@N(9`_@- zd$xP}1{7b1RJio~h{klTF=C(C(;UREE@CEf=6MqpXDL9{u_Pv$sX1#9b-k7tGxc+T zm^6LNG_G>8Nnppd-!CwpzS#-NiCY6&I7bLE1ma~M+j~8Tm5ZyTm*OHmQI10)coKWK*52HE(Sw6S51eddC@msFM{g1i z5|thbP^y22{v$QW39KC zpPe@s(^kqdlPOS(d0>MGxd?Ioeew3NiiUKM84Yx@54Tf~Scc3{k{)hg>u3@|wc(7$ zr<6`kt8mrsa~j+@BENO6G2$FM%N)enE@Bx}E^rT(@}7@sd0%3LWwZ)F$Zd~=SVphJ zp<9+X%Y<(_LjwD5!(x7%yMp`gOu1L!K-GpG&JjWkfq2+X_j@z9iI0^bBLFYrVy=8i z$*2bOV+)TR=}hDLpC*Xk&=##(t~f%7%c|6p|EpSCkd?bDLirRx_brW!Flc@Wp8dMv zbKBSK@@^}PLzkuss!J=qI7Xkc*wj+#^)K4W;(CyKi(cn&LX9;}=xYwoNci+&c-#B3 l-hmQ5@Zs5qmJdN2Pv8*{Yd6*?(Is2%EzyDp&3hXUqaWd-;a~s& diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db index 2d96e8e..5c18ab3 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db @@ -1,6 +1,6 @@ psSimBaseName simv psLogFileName com.log -pDaiDir /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir +pDaiDir /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir destPath csrc/ fSharedMaster 0 fHsimPCSharedLibSpecified 0 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_fegate.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_fegate.db index e424f4e54d47d5e9d5340e9b64e59baa1c22b1f1..880cd3965c37436aff9bb52faf950ddd152dad25 100644 GIT binary patch delta 128 zcmX@XwT5eg3ac$61H;6LALS=D$V|3iyt3JX@d+a*2Ll5G8xSvde1`xLZ@d6;;1H?BbcQPMlWSMNq(m#0(3kNF;P!Id$xh&$W OtUwmW#E;4o8)N`}5gU#G diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_merge.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_merge.db index e5bb42afb4e5ae9acaefda78ddbca13df4ea4397..9ec929bc840503907f2e04b74e687eeba868f9d4 100644 GIT binary patch delta 305 zcmYLEElQEDni8qDU@0|W3kj2bJ8viRX7(+oC}&$JF;N^x?L(L)3{P>2r@ty2)^R!8E~G8lGgWCm?B4y= zw>`~~!^(w)#Yh#=L^XDpHC#xFfEprSI$2PjkWgcLj= zE_YT)tZgYH&CTxJ+}<3DT{*u-fr+A-Y6meD?pqMJhE(9-dH|t zO1$*9`@&yQ{PPpynDMGgEEi*}xVNfnQe^urSM>a2*^kE4RAIq|;M8)Noax~E+M^yE h7PmY1HZsKsV`TQBuuR(|NywNR4PiNG+MZUQ;UAAVo^JpE diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_uds.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_uds.db index 0fbe1e7..d3db9d7 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_uds.db +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_uds.db @@ -2,3 +2,27 @@ vcselab_misc_midd.db 661 vcselab_misc_mnmn.db 235 vcselab_misc_hsim_name.db 841 vcselab_misc_hsim_merge.db 3916 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3916 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3848 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3848 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3848 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3848 +vcselab_misc_midd.db 661 +vcselab_misc_mnmn.db 235 +vcselab_misc_hsim_name.db 841 +vcselab_misc_hsim_merge.db 3848 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partition.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partition.db index 26967885a60d8676f31bfc9b545193ecd5b2ac6b..3755582e9cda267a748287515c6bfb893208959c 100644 GIT binary patch delta 118 zcmeyu`GRwTi0Bp;1~AwGrT2j7iGkV^LrNHXHlD0!Qsn>&fN%g1mjLk$Al?JSH-PvH w5DPFeFz8G!WR_<1nB2?!b@DtGJ60y3_=SnG;*&qHuR(0Ct`iLI3~& diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partitionDbg.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partitionDbg.db index d911124acf8a617087fe57252e884423bdff776c..9a99b9e57435494cc159d6dc03e0db23fb0e6bbb 100644 GIT binary patch delta 34 ocmeyv_J?f&Gb6`jMrLtN4+aJX6(Dw*tjMfB*@szRas;yq0EpuT`Tzg` delta 38 scmeyv_J?f&Gb86@MrLtN9|i^n6(IJQtjMfB*?^g4vIn!kt<8 literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_vpdnodenums b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_vpdnodenums index 9003b0f7bd990175b4426bee826e12abc255a169..b69141c4b63d9c3fa9d58b35769e3dcd8a602cf6 100644 GIT binary patch delta 21 ScmWFtnBXA500bN$0tx^fg#n2G delta 21 UcmWFtnBXA5!N9=43B)h}03Hzmk^lez diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv b/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv index fe3e219..99c135a 100644 --- a/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv +++ b/hilbert_dpi/codegen/dll/hilbert_fir/tb.sv @@ -27,12 +27,8 @@ initial begin end always #5 clk = ~clk; -initial begin - #0; - clk_enable = 1'b1; -end - logic [21:0] cnt; +logic [21:0] cnt; always@(posedge clk or negedge reset)begin if(reset) cnt <= 22'd0; @@ -53,27 +49,26 @@ always@(posedge clk or negedge reset)begin end end -real data_reg[7:0]; +real data_reg[0:7]; always@(posedge clk or negedge reset)begin - data_reg[i] <= 64'b0+i; + data_reg[i] <= 64'b0+i+3; end hilbert_fir_dpi inst_hilbert_fir_dpi( .clk(clk), -.clk_enable(clk_enable), +.clk_enable(1'b1), .reset(reset), .data(data_reg), .i_data(i_data), .q_data(q_data) ); + initial begin #160000 $display("data_reg is:%d%d%d%d%d%d%d%d",data_reg[0],data_reg[1],data_reg[2],data_reg[3],data_reg[4],data_reg[5],data_reg[6],data_reg[7]); -$display("i_data_temp is :",inst_hilbert_fir_dpi.i_data_temp[1]); - $display("i_data0 is :",i_data[0]); $display("i_data1 is :",i_data[1]); $display("i_data2 is :",i_data[2]); diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/.diagnose.oneSearch b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/.diagnose.oneSearch new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/ToNetlist.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/ToNetlist.log new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/compiler.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/compiler.log new file mode 100644 index 0000000..892a572 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/compiler.log @@ -0,0 +1,234 @@ +*design* DebussyLib (btIdent Verdi_N-2017.12-SP2) +Command arguments: + +define+verilog + -f /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f + ./tb.sv + ./hilbert_fir_dpi_pkg.sv + ./hilbert_fir_dpi.sv + + +*Error* Syntax error at ; +"./tb.sv", 3: + +*Error* Syntax error at ; +"./tb.sv", 4: + +*Error* Syntax error at ; +"./tb.sv", 5: + +*Error* Syntax error at [ +"./tb.sv", 31: + +*Error* Syntax error at [ +"./tb.sv", 43: + +*Error* reset is referenced before declaration +"./tb.sv", 19: + +*Error* reset is referenced before declaration +"./tb.sv", 21: + +*Error* clk is referenced before declaration +"./tb.sv", 26: + +*Error* clk is referenced before declaration +"./tb.sv", 28: + +*Error* clk is referenced before declaration +"./tb.sv", 28: + +*Error* clk is referenced before declaration +"./tb.sv", 32: + +*Error* reset is referenced before declaration +"./tb.sv", 32: + +*Error* reset is referenced before declaration +"./tb.sv", 33: + +*Error* clk is referenced before declaration +"./tb.sv", 44: + +*Error* reset is referenced before declaration +"./tb.sv", 44: + +*Error* reset is referenced before declaration +"./tb.sv", 45: + +*Error* clk is referenced before declaration +"./tb.sv", 53: + +*Error* reset is referenced before declaration +"./tb.sv", 53: + +*Error* illegal memory data_reg +"./tb.sv", 63: + +*Error* illegal memory i_data +"./tb.sv", 64: + +*Error* illegal memory q_data +"./tb.sv", 65: + +*Error* illegal LHS in procedural assignment +"./tb.sv", 19: + +*Error* illegal LHS in procedural assignment +"./tb.sv", 21: + +*Error* illegal LHS in procedural assignment +"./tb.sv", 26: + +*Error* illegal LHS in procedural assignment +"./tb.sv", 28: + +*Error* Syntax error at package +"./hilbert_fir_dpi_pkg.sv", 6: + +*Error* Syntax error at import +"./hilbert_fir_dpi_pkg.sv", 9: + +*Error* Syntax error at import +"./hilbert_fir_dpi_pkg.sv", 10: + +*Error* Syntax error at import +"./hilbert_fir_dpi_pkg.sv", 11: + +*Error* Syntax error at import +"./hilbert_fir_dpi_pkg.sv", 12: + +*Error* Syntax error at endpackage +"./hilbert_fir_dpi_pkg.sv", 16: + +*Error* Syntax error at import +"./hilbert_fir_dpi.sv", 7: + +*Error* Syntax error at clk +"./hilbert_fir_dpi.sv", 12: + +*Error* Syntax error at = +"./hilbert_fir_dpi.sv", 20: + +*Error* Syntax error at begin +"./hilbert_fir_dpi.sv", 29: + +*Error* Syntax error at end +"./hilbert_fir_dpi.sv", 31: + +*Error* objhandle is referenced before declaration +"./hilbert_fir_dpi.sv", 26: + +*Error* objhandle is referenced before declaration +"./hilbert_fir_dpi.sv", 26: + +*Error* illegal LHS in procedural assignment +"./hilbert_fir_dpi.sv", 26: + +*Error* illegal LHS in procedural assignment +"./hilbert_fir_dpi.sv", 35: + +*Error* illegal memory i_data_temp +"./hilbert_fir_dpi.sv", 36: + +*Error* illegal memory q_data_temp +"./hilbert_fir_dpi.sv", 37: + +*Error* illegal memory i_data_temp +"./hilbert_fir_dpi.sv", 42: + +*Error* illegal memory q_data_temp +"./hilbert_fir_dpi.sv", 43: +Highest level modules: +tb + + +*Error* failed to find identifier cnt +"./tb.sv", 34: + +*Error* failed to find identifier cnt +"./tb.sv", 36: + +*Error* failed to find identifier cnt +"./tb.sv", 36: + +*Error* failed to find identifier cnt[14] +"./tb.sv", 39: + +*Error* failed to find identifier i +"./tb.sv", 45: + +*Error* failed to find identifier i +"./tb.sv", 46: + +*Error* failed to find identifier i +"./tb.sv", 48: + +*Error* failed to find identifier i +"./tb.sv", 48: + +*Error* failed to find identifier i +"./tb.sv", 54: + +*Error* failed to find identifier i +"./tb.sv", 54: + +*Error* failed to find port 'clk' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 60: + +*Error* failed to find port 'clk_enable' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 61: + +*Error* failed to find port 'reset' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 62: + +*Error* failed to find port 'data' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 63: + +*Error* failed to find port 'i_data' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 64: + +*Error* failed to find port 'q_data' for instance 'inst_hilbert_fir_dpi' +"./tb.sv", 65: + +*Error* failed to find identifier DPI_hilbert_fir_initialize +"./hilbert_fir_dpi.sv", 26: + +*Error* failed to find identifier clk +"./hilbert_fir_dpi.sv", 33: + +*Error* failed to find identifier reset +"./hilbert_fir_dpi.sv", 33: + +*Error* failed to find identifier reset +"./hilbert_fir_dpi.sv", 34: + +*Error* failed to find identifier DPI_hilbert_fir_reset +"./hilbert_fir_dpi.sv", 35: + +*Error* failed to find identifier data +"./hilbert_fir_dpi.sv", 35: + +*Error* failed to find identifier i_data +"./hilbert_fir_dpi.sv", 36: + +*Error* failed to find identifier q_data +"./hilbert_fir_dpi.sv", 37: + +*Error* failed to find identifier clk_enable +"./hilbert_fir_dpi.sv", 40: + +*Error* failed to find identifier DPI_hilbert_fir +"./hilbert_fir_dpi.sv", 41: + +*Error* failed to find identifier data +"./hilbert_fir_dpi.sv", 41: + +*Error* failed to find identifier i_data +"./hilbert_fir_dpi.sv", 42: + +*Error* failed to find identifier q_data +"./hilbert_fir_dpi.sv", 43: + +*Error* view DPI_hilbert_fir_terminate is not defined for instance DPI_hilbert_fir_terminate +"./hilbert_fir_dpi.sv", 30: +Total 74 error(s), 0 warning(s) diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/exe.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/exe.log new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.log new file mode 100644 index 0000000..b45380c --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.log @@ -0,0 +1,10 @@ +Verdi (R) + +Release Verdi_N-2017.12-SP2 for (RH Linux x86_64/64bit) -- Sun May 27 04:37:01 PDT 2018 + +Copyright (c) 1999 - 2018 Synopsys, Inc. +This software and the associated documentation are proprietary to Synopsys, Inc. +This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. +All other use, reproduction, or distribution of this software is strictly prohibited. + + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.rc b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.rc new file mode 100644 index 0000000..c6a7303 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas.rc @@ -0,0 +1,1259 @@ +@verdi rc file Version 1.0 +[Library] +work = ./work +[Annotation] +3D_Active_Annotation = FALSE +[CommandSyntax.finsim] +InvokeCommand = +FullFileName = TRUE +Separator = . +SimPromptSign = ">" +HierNameLevel = 1 +RunContinue = "continue" +Finish = "quit" +UseAbsTime = FALSE +NextTime = "run 1" +NextNTime = "run ${SimBPTime}" +NextEvent = "run 1" +Reset = +ObjPosBreak = "break posedge ${SimBPObj}" +ObjNegBreak = "break negedge ${SimBPObj}" +ObjAnyBreak = "break change ${SimBPObj}" +ObjLevelBreak = +LineBreak = "breakline ${SimBPFile} ${SimBPLine}" +AbsTimeBreak = "break abstimeaf ${SimBPTime}" +RelTimeBreak = "break reltimeaf ${SimBPTime}" +EnableBP = "breakon ${SimBPId}" +DisableBP = "breakoff ${SimBPId}" +DeleteBP = "breakclr ${SimBPId}" +DeleteAllBP = "breakclr" +SimSetScope = "cd ${SimDmpObj}" +[CommandSyntax.ikos] +InvokeCommand = "setvar debussy true;elaborate -p ${SimTop} -s ${SimArch}; run until 0;fsdbInteractive; " +FullFileName = TRUE +NeedTimeUnit = TRUE +NormalizeTimeUnit = TRUE +Separator = / +HierNameLevel = 2 +RunContinue = "run" +Finish = "exit" +NextTime = "run ${SimBPTime} ${SimTimeUnit}" +NextNTime = "run for ${SimBPTime} ${SimTimeUnit}" +NextEvent = "step 1" +Reset = "reset" +ObjPosBreak = "stop if ${SimBPObj} = \"'1'\"" +ObjNegBreak = "stop if ${SimBPObj} = \"'0'\"" +ObjAnyBreak = +ObjLevelBreak = "stop if ${SimBPObj} = ${SimBPValue}" +LineBreak = "stop at ${SimBPFile}:${SimBPLine}" +AbsTimeBreak = +RelTimeBreak = +EnableBP = "enable ${SimBPId}" +DisableBP = "disable ${SimBPId}" +DeleteBP = "delete ${SimBPId}" +DeleteAllBP = "delete *" +[CommandSyntax.verisity] +InvokeCommand = +FullFileName = FALSE +Separator = . +SimPromptSign = "> " +HierNameLevel = 1 +RunContinue = "." +Finish = "$finish;" +NextTime = "$db_steptime(1);" +NextNTime = "$db_steptime(${SimBPTime});" +NextEvent = "$db_step;" +SimSetScope = "$scope(${SimDmpObj});" +Reset = "$reset;" +ObjPosBreak = "$db_breakonposedge(${SimBPObj});" +ObjNegBreak = "$db_breakonnegedge(${SimBPObj});" +ObjAnyBreak = "$db_breakwhen(${SimBPObj});" +ObjLevelBreak = "$db_breakwhen(${SimBPObj}, ${SimBPValue});" +LineBreak = "$db_breakatline(${SimBPLine}, ${SimBPScope}, \"${SimBPFile}\");" +AbsTimeBreak = "$db_breakbeforetime(${SimBPTime});" +RelTimeBreak = "$db_breakbeforetime(${SimBPTime});" +EnableBP = "$db_enablebreak(${SimBPId});" +DisableBP = "$db_disablebreak(${SimBPId});" +DeleteBP = "$db_deletebreak(${SimBPId});" +DeleteAllBP = "$db_deletebreak;" +FSDBInit = "$novasInteractive;" +FSDBDumpvars = "$novasDumpvars(0, ${SimDmpObj});" +FSDBDumpsingle = "$novasDumpsingle(${SimDmpObj});" +FSDBDumpvarsInFile = "$novasDumpvarsToFile(\"${SimDmpFile}\");" +FSDBDumpMem = "$novasDumpMemNow(${SimDmpObj}, ${SimDmpBegin}, ${SimDmpSize});" +[CoverageDetail] +cross_filter_limit = 1000 +branch_limit_vector_display = 50 +showgrid = TRUE +reuseFirst = TRUE +justify = TRUE +scrollbar_mode = per pane +test_combo_left_truncate = TRUE +instance_combo_left_truncate = TRUE +loop_navigation = TRUE +condSubExpr = 20 +tglMda = 1000 +linecoverable = 100000 +lineuncovered = 50000 +tglcoverable = 30000 +tgluncovered = 30000 +pendingMax = 1000 +show_full_more = FALSE +[CoverageHier] +showgrid = FALSE +[CoverageWeight] +Assert = 1 +Covergroup = 1 +Line = 1 +Condition = 1 +Toggle = 1 +FSM = 1 +Branch = 1 +[DesignTree] +IfShowModule = {TRUE, FALSE} +[DisabledMessages] +version = Verdi_N-2017.12-SP2 +[Editor] +editorName = TurboEditor +[Emacs] +EmacsFont = "Clean 14" +EmacsBG = white +EmacsFG = black +[Exclusion] +enableAsDefault = TRUE +saveAsDefault = TRUE +saveManually = TRUE +illegalBehavior = FALSE +DisplayExcludedItem = FALSE +adaptiveExclusion = TRUE +favorite_exclude_annotation = "" +[FSM] +viewport = 65 336 387 479 +WndBk-FillColor = Gray3 +Background-FillColor = gray5 +prefKey_Link-FillColor = yellow4 +prefKey_Link-TextColor = black +Trap = red3 +Hilight = blue4 +Window = Gray3 +Selected = white +Trans. = green2 +State = black +Init. = black +SmartTips = TRUE +VectorFont = FALSE +StopAskBkgndColor = FALSE +ShowStateAction = FALSE +ShowTransAction = FALSE +ShowTransCond = FALSE +StateLable = NAME +StateValueRadix = ORIG +State-LineColor = ID_BLACK +State-LineWidth = 1 +State-FillColor = ID_BLUE2 +State-TextColor = ID_WHITE +Init_State-LineColor = ID_BLACK +Init_State-LineWidth = 2 +Init_State-FillColor = ID_YELLOW2 +Init_State-TextColor = ID_BLACK +Reset_State-LineColor = ID_BLACK +Reset_State-LineWidth = 2 +Reset_State-FillColor = ID_YELLOW7 +Reset_State-TextColor = ID_BLACK +Trap_State-LineColor = ID_RED2 +Trap_State-LineWidth = 2 +Trap_State-FillColor = ID_CYAN5 +Trap_State-TextColor = ID_RED2 +State_Action-LineColor = ID_BLACK +State_Action-LineWidth = 1 +State_Action-FillColor = ID_WHITE +State_Action-TextColor = ID_BLACK +Junction-LineColor = ID_BLACK +Junction-LineWidth = 1 +Junction-FillColor = ID_GREEN2 +Junction-TextColor = ID_BLACK +Connection-LineColor = ID_BLACK +Connection-LineWidth = 1 +Connection-FillColor = ID_GRAY5 +Connection-TextColor = ID_BLACK +prefKey_Port-LineColor = ID_BLACK +prefKey_Port-LineWidth = 1 +prefKey_Port-FillColor = ID_ORANGE6 +prefKey_Port-TextColor = ID_YELLOW2 +Transition-LineColor = ID_BLACK +Transition-LineWidth = 1 +Transition-FillColor = ID_WHITE +Transition-TextColor = ID_BLACK +Trans_Condition-LineColor = ID_BLACK +Trans_Condition-LineWidth = 1 +Trans_Condition-FillColor = ID_WHITE +Trans_Condition-TextColor = ID_ORANGE2 +Trans_Action-LineColor = ID_BLACK +Trans_Action-LineWidth = 1 +Trans_Action-FillColor = ID_WHITE +Trans_Action-TextColor = ID_GREEN2 +SelectedSet-LineColor = ID_RED2 +SelectedSet-LineWidth = 1 +SelectedSet-FillColor = ID_RED2 +SelectedSet-TextColor = ID_WHITE +StickSet-LineColor = ID_ORANGE5 +StickSet-LineWidth = 1 +StickSet-FillColor = ID_PURPLE6 +StickSet-TextColor = ID_BLACK +HilightSet-LineColor = ID_RED5 +HilightSet-LineWidth = 1 +HilightSet-FillColor = ID_RED7 +HilightSet-TextColor = ID_BLUE5 +ControlPoint-LineColor = ID_BLACK +ControlPoint-LineWidth = 1 +ControlPoint-FillColor = ID_WHITE +Bundle-LineColor = ID_BLACK +Bundle-LineWidth = 1 +Bundle-FillColor = ID_WHITE +Bundle-TextColor = ID_BLUE4 +QtBackground-FillColor = ID_GRAY6 +prefKey_Link-LineColor = ID_ORANGE2 +prefKey_Link-LineWidth = 1 +Selection-LineColor = ID_BLUE2 +Selection-LineWidth = 1 +[FSM_Dlg-Print] +Orientation = Landscape +[FileBrowser] +nWaveOpenFsdbDirHistory = "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb\"" +[Form] +version = Verdi_N-2017.12-SP2 +wave/unknownSave.fm = 100,100,520,275 +[General] +autoSaveSession = FALSE +TclAutoSource = +cmd_enter_form = FALSE +SyncBrowserDir = TRUE +version = Verdi_N-2017.12-SP2 +SignalCaseInSensitive = FALSE +ShowWndCtntDuringResizing = FALSE +[GlobalProp] +ErrWindow_Font = Helvetica_M_R_12 +[Globals] +app_default_font = Bitstream Vera Sans,10,-1,5,50,0,0,0,0,0 +app_fixed_width_font = Courier,10,-1,5,50,0,0,0,0,0 +text_encoding = Unicode(utf8) +smart_resize = TRUE +smart_resize_child_limit = 2000 +tooltip_max_width = 200 +tooltip_max_height = 20 +tooltip_viewer_key = F3 +tooltip_display_time = 1000 +bookmark_name_length_limit = 12 +disable_tooltip = FALSE +auto_load_source = TRUE +max_array_size = 4096 +filter_when_typing = TRUE +filter_keep_children = TRUE +filter_syntax = Wildcards +filter_keystroke_interval = 800 +filter_case_sensitive = FALSE +filter_full_path = FALSE +load_detail_for_funcov = FALSE +sort_limit = 100000 +ignoreDBVersionChecking = FALSE +[HB] +ViewSchematic = FALSE +windowLayout = 0 0 804 500 182 214 804 148 +import_filter = *.v; *.vc; *.f +designTreeFont = *-adobe-courier-medium-r-*-*-12-*-*-*-*-*-iso8859-* +import_filter_vhdl = *.vhd; *.vhdl; *.f +import_filter_verilog = *.v; *.vc; *.f +simulation_file_type = *.fsdb;*.fsdb.gz;*.fsdb.bz2;*.ff;*.dump +PrefetchViewableAnnot = TRUE +import_default_language = Verilog +import_from = source +[Hier] +filterTimeout = 1500 +[ImportLiberty] +SearchPriority = .lib++ +bSkipStateCell = False +bImportPowerInfo = False +bSkipFFCell = False +bScpecifyCellNameCase = False +bSpecifyPinNameCase = False +CellNameToCase = +PinNameToCase = +[Language] +EditWindow_Font = COURIER12 +Background = ID_WHITE +Comment = ID_GRAY4 +Keyword = ID_BLUE5 +UserKeyword = ID_GREEN2 +Text = ID_BLACK +SelText = ID_WHITE +SelBackground = ID_BLUE2 +[Library.Ikos] +pack = ./work.lib++ +vital = ./work.lib++ +work = ./work.lib++ +std = ${dls_std}.lib++ +ieee = ${dls_ieee}.lib++ +synopsys = ${dls_synopsys}.lib++ +silc = ${dls_silc}.lib++ +ikos = ${dls_ikos}.lib++ +novas = ${VOYAGER_LIB_VHDL}/${VOYAGER_MACHINE}/novas.lib++ +[MDT] +ART_RF_SP = spr[0-9]*bx[0-9]* +ART_RF_2P = dpr[0-9]*bx[0-9]* +ART_SRAM_SP = spm[0-9]*bx[0-9]* +ART_SRAM_DP = dpm[0-9]*bx[0-9]* +VIR_SRAM_SP = hdsd1_[0-9]*x[0-9]*cm4sw1 +VIR_SRAM_DP = hdsd2_[0-9]*x[0-9]*cm4sw1 +VIR_RF_SP = rfsd1_[0-9]*x[0-9]*cm2sw0 +VIR_RF_DP = rfsd2_[0-9]*x[0-9]*cm2sw1 +VIR_STAR_SRAM_SP = shsd1_[0-9]*x[0-9]*cm4sw0 +[NPExpanding] +functiongroups = FALSE +modules = FALSE +[NPFilter] +showAssertion = TRUE +showCoverGroup = TRUE +showProperty = TRUE +showSequence = TRUE +showDollarUnit = TRUE +[OtherEditor] +cmd1 = "xterm -font 9x15 -fg black -bg gray -e" +name = "vi" +options = "+${CurLine} ${CurFullFileName}" +[Power] +PowerDownInstance = ID_GRAY1 +RetentionSignal = ID_YELLOW2 +IsolationSignal = ID_RED6 +LevelShiftedSignal = ID_GREEN6 +PowerSwitchObject = ID_ORANGE5 +AlwaysOnObject = ID_GREEN5 +PowerNet = ID_RED2 +GroundNet = ID_RED2 +SimulationOnly = ID_CYAN3 +SRSN/SPA = ID_CYAN3 +CNSSignal = ID_CYAN3 +AcknowledgeSignal = ID_CYAN3 +BoundaryPort = ID_CYAN3 +DisplayInstrumentedCell = TRUE +ShowCmdByFile = FALSE +ShowPstAnnot = FALSE +ShowIsoSymbol = TRUE +ExtractIsoSameNets = FALSE +AnnotateSignal = TRUE +HighlightPowerObject = TRUE +HighlightPowerDomain = TRUE +BrightenPowerColorInSchematicWindow = FALSE +ShowAlias = FALSE +ShowVoltage = TRUE +MatchTreeNodesCaseInsensitive = FALSE +SearchHBNodeDynamically = FALSE +ContinueTracingSupplyOrLogicNet = FALSE +[Print] +PrinterName = lp +FileName = test.ps +PaperSize = A4 - 210x297 (mm) +ColorPrint = FALSE +[PropertyTools] +saveFsdbStat = TRUE +savePropStat = FALSE +savePropDtl = TRUE +[QtDialog] +openFileDlg = 658,311,602,483 +QwWarnMsgDlg = 650,740,600,250 +importDesignForm = 642,247,634,611 +QwUserAskDlg = 798,487,324,134 +[Relationship] +hideRecursiceNode = FALSE +[Session Cache] +2 = string (session file name) +3 = string (session file name) +4 = string (session file name) +5 = string (session file name) +1 = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses +[Simulation] +scsPath = scsim +scsOption = +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +osciPath = gdb +osciOption = +vcsPath = simv +vcsOption = +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +speedsimPath = +speedsimOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +interactiveDebugging = {True, False} +KeepBreakPoints = False +ScsDebugAll = False +simType = {vcssv, xl, nc, vcs, mti, mti_vlog, vhnc, scs, mixnc} +thirdpartyIdx = -1 +iscCmdSep = FALSE +NoAppendOption = False +[SimulationPlus] +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +vcsPath = simv +vcsOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +speedsimPath = verilog +speedsimOption = +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +scsPath = scsim +scsOption = +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +vcs_svPath = simv +vcs_svOption = +simType = vcssv +thirdpartyIdx = -1 +interactiveDebugging = FALSE +KeepBreakPoints = FALSE +iscCmdSep = FALSE +ScsDebugAll = FALSE +NoAppendOption = FALSE +invokeSimPath = work +[SimulationPlus2] +eventDumpUnfinish = FALSE +[Source] +wordWrapOn = TRUE +viewReuse = TRUE +lineNumberOn = TRUE +warnOutdatedDlg = TRUE +showEncrypt = FALSE +loadInclude = FALSE +showColorForActive = FALSE +tabWidth = 8 +editor = vi +reload = Never +sync_active_to_source = TRUE +navigateAsColored = FALSE +navigateCovered = FALSE +navigateUncovered = TRUE +navigateExcluded = FALSE +not_ask_for_source_path = FALSE +expandMacroOn = TRUE +[SourceVHDL] +vhSimType = ModelSim +ohSimType = VerilogXL +[TclShell] +nLineSize = 1024 +[Test] +verbose_progress = FALSE +[TestBenchBrowser] +-showUVMDynamicHierTreeWin = FALSE +[Text] +hdlTypeName = blue4 +hdlLibrary = blue4 +viewport = 396 392 445 487 +hdlOther = ID_BLACK +hdlComment = ID_GRAY1 +hdlKeyword = ID_BLUE5 +hdlEntity = ID_BLACK +hdlEntityInst = ID_BLACK +hdlSignal = ID_RED2 +hdlInSignal = ID_RED2 +hdlOutSignal = ID_RED2 +hdlInOutSignal = ID_RED2 +hdlOperator = ID_BLACK +hdlMinus = ID_BLACK +hdlSymbol = ID_BLACK +hdlString = ID_BLACK +hdlNumberBase = ID_BLACK +hdlNumber = ID_BLACK +hdlLiteral = ID_BLACK +hdlIdentifier = ID_BLACK +hdlSystemTask = ID_BLACK +hdlParameter = ID_BLACK +hdlIncFile = ID_BLACK +hdlDataFile = ID_BLACK +hdlCDSkipIf = ID_GRAY1 +hdlMacro = ID_BLACK +hdlMacroValue = ID_BLACK +hdlPlainText = ID_BLACK +hdlOvaId = ID_PURPLE2 +hdlPslId = ID_PURPLE2 +HvlEId = ID_BLACK +HvlVERAId = ID_BLACK +hdlEscSignal = ID_BLACK +hdlEscInSignal = ID_BLACK +hdlEscOutSignal = ID_BLACK +hdlEscInOutSignal = ID_BLACK +textBackgroundColor = ID_GRAY6 +textHiliteBK = ID_BLUE5 +textHiliteText = ID_WHITE +textTracedMark = ID_GREEN2 +textLineNo = ID_BLACK +textFoldedLineNo = ID_RED5 +textUserKeyword = ID_GREEN2 +textParaAnnotText = ID_BLACK +textFuncAnnotText = ID_BLUE2 +textAnnotText = ID_BLACK +textUserDefAnnotText = ID_BLACK +ComputedSignal = ID_PURPLE5 +textAnnotTextShadow = ID_WHITE +parenthesisBGColor = ID_YELLOW5 +codeInParenthesis = ID_CYAN5 +text3DLight = ID_WHITE +text3DShadow = ID_BLACK +textHvlDriver = ID_GREEN3 +textHvlLoad = ID_YELLOW3 +textHvlDriverLoad = ID_BLUE3 +irOutline = ID_RED2 +irDriver = ID_YELLOW5 +irLoad = ID_BLACK +irBookMark = ID_YELLOW2 +irIndicator = ID_WHITE +irBreakpoint = ID_GREEN5 +irCurLine = ID_BLUE5 +hdlVhEntity = ID_BLACK +hdlArchitecture = ID_BLACK +hdlPackage = ID_BLUE5 +hdlRefPackage = ID_BLUE5 +hdlAlias = ID_BLACK +hdlGeneric = ID_BLUE5 +specialAnnotShadow = ID_BLUE1 +hdlZeroInHead = ID_GREEN2 +hdlZeroInComment = ID_GREEN2 +hdlPslHead = ID_BLACK +hdlPslComment = ID_BLACK +hdlSynopsysHead = ID_GREEN2 +hdlSynopsysComment = ID_GREEN2 +pdmlIdentifier = ID_BLACK +pdmlCommand = ID_BLACK +pdmlMacro = ID_BLACK +font = COURIER12 +annotFont = Helvetica_M_R_10 +[Text.1] +viewport = -1 27 1920 977 45 +[TextPrinter] +Orientation = Landscape +Indicator = FALSE +LineNum = TRUE +FontSize = 7 +Column = 2 +Annotation = TRUE +[Texteditor] +TexteditorFont = "Clean 14" +TexteditorBG = white +TexteditorFG = black +[ThirdParty] +ThirdPartySimTool = verisity surefire ikos finsim +[TurboEditor] +autoBackup = TRUE +[UserButton.mixnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +Button8 = "FSDB Ver" "call fsdbVersion" +Button9 = "Dump On" "call fsdbDumpon" +Button10 = "Dump Off" "call fsdbDumpoff" +Button11 = "All Tasks" "call" +Button12 = "Dump Selected Instance" "call fsdbDumpvars 1 ${SelInst}" +[UserButton.mti] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.mti_vlog] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.nc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.scs] +Button1 = "Dump All Signals" "call fsdbDumpvars(0, \"${TopScope}\");\n" +Button2 = "Next 1000 Time" "run 1000 \n" +Button3 = "Next ? Time" "run ${Arg:Next Time} \n" +Button4 = "Run Step" "step\n" +Button5 = "Show Variables" "ls -v {${SelVars}}\n" +[UserButton.vhnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.xl] +Button13 = "Dump Off" "$fsdbDumpoff;\n" +Button12 = "Dump On" "$fsdbDumpon;\n" +Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n" +Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n" +Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n" +Button8 = "Release Variable" "release ${SelVar};\n" +Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n" +Button6 = "Show Variables" "$showvars(${SelVars});\n" +Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n" +Button4 = "Next Event" "$db_step(1);\n" +Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n" +Button2 = "Next 1000 Time" "#1000 $stop;.\n" +Button1 = "Dump All Signals" "$fsdbDumpvars;\n" +[VIA] +viaLogViewerDefaultRuleOneSearchForm = "share/VIA/Apps/PredefinedRules/Misc/Onesearch_rule.rc" +[VIA.oneSearch.preference] +DefaultDisplayTimeUnit = "1.000000ns" +DefaultLogTimeUnit = "1.000000ns" +[VIA.oneSearch.preference.vgifColumnSettingRC] +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0] +parRuleSets = "" +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column0] +name = Message +width = 2000 +visualIndex = 4 +isHidden = FALSE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column1] +name = Time +width = 60 +visualIndex = 0 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column2] +name = Code +width = 60 +visualIndex = 2 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column3] +name = Type +width = 60 +visualIndex = 3 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column4] +name = Severity +width = 60 +visualIndex = 1 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[Vi] +ViFont = "Clean 14" +ViBG = white +ViFG = black +[Wave] +ovaEventSuccessColor = -c ID_CYAN5 +ovaEventFailureColor = -c ID_RED5 +ovaBooleanSuccessColor = -c ID_CYAN5 +ovaBooleanFailureColor = -c ID_RED5 +ovaAssertSuccessColor = -c ID_GREEN5 +ovaAssertFailureColor = -c ID_RED5 +ovaForbidSuccessColor = -c ID_GREEN5 +SigGroupRuleFile = +DisplayFileName = FALSE +waveform_vertical_scroll_bar = TRUE +scope_to_save_with_macro +open_file_dir +open_rc_file_dir +getSignalForm = 0 0 800 479 100 30 100 30 +viewPort = 0 28 1920 392 100 65 +signalSpacing = 5 +digitalSignalHeight = 15 +analogSignalHeight = 98 +commentSignalHeight = 98 +transactionSignalHeight = 98 +messageSignalHeight = 98 +minCompErrWidth = 4 +DragZoomTolerance = 4 +maxTransExpandedLayer = 10 +WaveMaxPoint = 512 +legendBackground = -c ID_BLACK +valueBackground = -c ID_BLACK +curveBackground = -c ID_BLACK +getSignalSignalList_BackgroundColor = -c ID_GRAY6 +glitchColor = -c ID_RED5 +cursor = -c ID_YELLOW5 -lw 1 -ls long_dashed +marker = -c ID_WHITE -lw 1 -ls dash_dot_l +usermarker = -c ID_GREEN5 -lw 1 -ls long_dashed +trace = -c ID_GRAY5 -lw 1 -ls long_dashed +grid = -c ID_WHITE -lw 1 -ls short_dashed +rulerBackground = -c ID_GRAY3 +rulerForeground = -c ID_YELLOW5 +busTextColor = -c ID_ORANGE8 +region(Active)Background = -c ID_YELLOW1 +region(NBA)Background = -c ID_RED1 +region(Re-Active)Background = -c ID_YELLOW3 +region(Re-NBA)Background = -c ID_RED3 +region(VHDL-Delta)Background = -c ID_ORANGE3 +region(Dump-Off)Background = -c ID_GRAY4 +High_Light = -c ID_GRAY2 +Input_Signal = -c ID_RED5 +Output_Signal = -c ID_GREEN5 +InOut_Signal = -c ID_BLUE5 +Net_Signal = -c ID_YELLOW5 +Register_Signal = -c ID_PURPLE5 +Verilog_Signal = -c ID_CYAN5 +VHDL_Signal = -c ID_ORANGE5 +SystemC_Signal = -c ID_BLUE7 +Dump_Off_Color = -c ID_BLUE2 +Compress_Bar_Color = -c ID_YELLOW4 +Vector_Dense_Block_Color = -c ID_ORANGE8 +Scalar_Dense_Block_Color = -c ID_GREEN6 +Composite_Dense_Block_Color = -c ID_ORANGE5 +DB_Power_Off_Layer = -c ID_BLUE4 -stipple dots +SPA_Driver_Power_Off_Layer = -c ID_ORANGE4 -stipple dots +SPA_Receiver_Power_Off_Layer = -c ID_GREEN5 -stipple dots +SRSN_Power_Off_Layer = -c ID_GREEN4 -stipple dots +Isolation_Power_Off_Layer = -c ID_RED4 -stipple dots +PD_Power_Off_Layer = -c ID_GRAY4 -stipple dots +Isolation_Layer = -c ID_RED4 -stipple vLine +Retention_Level_Trigger_Layer = -c ID_ORANGE1 -stipple fill_solid +Retention_Edge_Trigger_Layer = -c ID_YELLOW6 -stipple fill_solid +Driving_Power_Off_Layer = -c ID_YELLOW2 -stipple x +Toggle_Layer = -c ID_YELLOW4 -stipple slash +analogRealStyle = pwl +analogVoltageStyle = pwl +analogCurrentStyle = pwl +analogOthersStyle = pwl +busSignalLayer = -c ID_ORANGE8 +busXLayer = -c ID_RED5 +busZLayer = -c ID_ORANGE6 +busMixedLayer = -c ID_GREEN5 +busNotComputedLayer = -c ID_GRAY1 +busNoValueLayer = -c ID_BLUE2 +signalGridLayer = -c ID_WHITE +analogGridLayer = -c ID_GRAY6 +analogRulerLayer = -c ID_GRAY6 +keywordLayer = -c ID_RED5 +loadedLayer = -c ID_BLUE5 +loadingLayer = -c ID_BLACK +qdsCurMarkerLayer = -c ID_BLUE5 +qdsBrkMarkerLayer = -c ID_GREEN5 +qdsTrgMarkerLayer = -c ID_RED5 +arrowDefaultColor = -c ID_ORANGE6 +startNodeArrowColor = -c ID_WHITE +endNodeArrowColor = -c ID_YELLOW5 +propertyEventMatchColor = -c ID_GREEN5 +propertyEventNoMatchColor = -c ID_RED5 +propertyVacuousSuccessMatchColor = -c ID_YELLOW2 +propertyStatusBoundaryColor = -c ID_WHITE +propertyBooleanSuccessColor = -c ID_CYAN5 +propertyBooleanFailureColor = -c ID_RED5 +propertyAssertSuccessColor = -c ID_GREEN5 +propertyAssertFailureColor = -c ID_RED5 +propertyForbidSuccessColor = -c ID_GREEN5 +transactionForegroundColor = -c ID_YELLOW8 +transactionBackgroundColor = -c ID_BLACK +transactionHighLightColor = -c ID_CYAN6 +transactionRelationshipColor = -c ID_PURPLE6 +transactionErrorTypeColor = -c ID_RED5 +coverageFullyCoveredColor = -c ID_GREEN5 +coverageNoCoverageColor = -c ID_RED5 +coveragePartialCoverageColor = -c ID_YELLOW5 +coverageReferenceLineColor = -c ID_GRAY4 +messageForegroundColor = -c ID_YELLOW4 +messageBackgroundColor = -c ID_PURPLE1 +messageHighLightColor = -c ID_CYAN6 +messageInformationColor = -c ID_RED5 +ComputedAnnotColor = -c ID_PURPLE5 +fsvSecurityDataColor = -c ID_PURPLE3 +qdsAutoBusGroup = TRUE +qdsTimeStampMode = FALSE +qdsVbfBusOrderAscending = FALSE +openDumpFilter = *.fsdb;*.vf;*.jf +DumpFileFilter = *.vcd +RestoreSignalFilter = *.rc +SaveSignalFilter = *.rc +AddAliasFilter = *.alias;*.adb +CompareSignalFilter = *.err +ConvertFFFilter = *.vcd;*.out;*.tr0;*.xp;*.raw;*.wfm +Scroll_Ratio = 100 +Zoom_Ratio = 10 +EventSequence_SyncCursorTime = TRUE +EventSequence_Sorting = FALSE +EventSequence_RemoveGrid = FALSE +EventSequence_IsGridMode = FALSE +SetDefaultRadix = TRUE +DefaultRadix = Hex +SigSearchSignalMatchCase = FALSE +SigSearchSignalScopeOption = FALSE +SigSearchSignalSamenetInterface = FALSE +SigSearchSignalFullScope = FALSE +SigSearchSignalWithRegExp = FALSE +SigSearchDynamically = FALSE +SigDisplayBySelectionOrder = FALSE +SigDisplayRowMajor = FALSE +SigDragSelFollowColumn = FALSE +SigDisplayHierarchyBox = TRUE +SigDisplaySubscopeBox = TRUE +SigDisplayEmptyScope = TRUE +SigDisplaySignalNavigationBox = FALSE +SigDisplayFormBus = TRUE +SigShowSubProgram = TRUE +SigSearchScopeDynamically = TRUE +SigCollapseSubtreeNodes = FALSE +activeFileApplyToAnnotation = FALSE +GrpSelMode = TRUE +dispGridCount = FALSE +hierarchyName = FALSE +partial_level_name = FALSE +partial_level_head = 1 +partial_level_tail = 1 +displayMessageLabelOnly = TRUE +autoInsertDumpoffs = TRUE +displayMessageCallStack = FALSE +displayCallStackWithFullSections = TRUE +displayCallStackWithLastSection = FALSE +limitMessageMaxWidth = FALSE +messageMaxWidth = 50 +displayTransBySpecificColor = FALSE +fittedTransHeight = FALSE +snap = TRUE +gravitySnap = FALSE +displayLeadingZero = FALSE +displayGlitchs = FALSE +allfileTimeRange = FALSE +fixDelta = FALSE +displayCursorMarker = FALSE +autoUpdate = FALSE +restoreFromActiveFile = TRUE +restoreToEnd = FALSE +dispCompErr = TRUE +showMsgDes = TRUE +anaAutoFit = FALSE +anaAutoPattn = FALSE +anaAuto100VertFit = FALSE +displayDeltaY = FALSE +centerCursor = FALSE +denseBlockDrawing = TRUE +relativeFreqPrecision = 3 +showMarkerAbsolute = FALSE +showMarkerAdjacent = FALSE +showMarkerRelative = FALSE +showMarkerFrequency = FALSE +stickCursorMarkerOnWaveform = TRUE +keepMarkerAtEndTimeOfTransaction = FALSE +doubleClickToExpandTransaction = TRUE +expandTransactionAssociatedSignals = TRUE +expandTransactionAttributeSignals = FALSE +WaveExtendLastTick = TRUE +InOutSignal = FALSE +NetRegisterSignal = FALSE +VerilogVHDLSignal = FALSE +LabelMarker = TRUE +ResolveSymbolicLink = TRUE +signal_rc_abspath = TRUE +signal_rc_no_natural_bus_range = FALSE +save_scope_with_macro = FALSE +TipInSignalWin = FALSE +DisplayPackedSiganlInBitwiseManner = FALSE +DisplaySignalTypeAheadOfSignalName = TRUE ICON +TipInCurveWin = FALSE +MouseGesturesInCurveWin = TRUE +DisplayLSBsFirst = FALSE +PaintSpecificColorPattern = FALSE +ModuleName = TRUE +form_all_memory_signal = FALSE +formBusSignalFromPartSelects = FALSE +read_value_change_on_demand_for_drawing = FALSE +load_scopes_on_demand = on 5 +TransitionMode = TRUE +DisplayRadix = FALSE +SchemaX = FALSE +Hilight = TRUE +UseBeforeValue = FALSE +DisplayFileNameAheadOfSignalName = FALSE +DisplayFileNumberAheadOfSignalName = FALSE +DisplayValueSpace = TRUE +FitAnaByBusSize = FALSE +displayTransactionAttributeName = FALSE +expandOverlappedTrans = FALSE +dispSamplePointForAttrSig = TRUE +dispClassName = TRUE +ReloadActiveFileOnly = FALSE +NormalizeEVCD = FALSE +OverwriteAliasWithRC = TRUE +overlay_added_analog_signals = FALSE +case_insensitive = FALSE +vhdlVariableCalculate = TRUE +signal_vertical_scroll_bar = TRUE +showPortNameForDroppedInstance = FALSE +truncateFilePathInTitleBar = TRUE +filterPropVacuousSuccess = FALSE +includeLocalSignals = FALSE +encloseSignalsByGroup = TRUE +resaveSignals = TRUE +adjustBusPrefix = adjustBus_ +adjustBusBits = 1 +adjustBusSettings = 69889 +maskPowerOff = TRUE +maskIsolation = TRUE +maskRetention = TRUE +maskDrivingPowerOff = TRUE +maskToggle = TRUE +autoBackupSignals = off 5 "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog\"" "\"novas_autosave_sig\"" +signal_rc_attribute = 65535 +signal_rc_alias_attribute = 0 +ConvertAttr1 = -inc FALSE +ConvertAttr2 = -hier FALSE +ConvertAttr3 = -ucase FALSE +ConvertAttr4 = -lcase FALSE +ConvertAttr5 = -org FALSE +ConvertAttr6 = -mem 24 +ConvertAttr7 = -deli . +ConvertAttr8 = -hier_scope FALSE +ConvertAttr9 = -inst_array FALSE +ConvertAttr10 = -vhdlnaming FALSE +ConvertAttr11 = -orgScope FALSE +analogFmtPrecision = Automatic 2 +confirmOverwrite = TRUE +confirmExit = TRUE +confirmGetAll = TRUE +printTimeRange = TRUE 0.000000 0.000000 0.000000 +printPageRange = TRUE 1 1 +printOption = 0 +printBasic = 1 0 0 FALSE FALSE +printDest = -printer {} +printSignature = {%f %h %t} {} +curveWindow_Drag&Drop_Mode = TRUE +hspiceIncOpenMode = TRUE +pcSelectMode = TRUE +hierarchyDelimiter = / +RecentFile1 = "\"/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb\"" +open_file_time_range = FALSE +value_window_aligment = Right +signal_window_alignment = Auto +ShowDeltaTime = TRUE +legend_window_font = -f COURIER12 -c ID_CYAN5 +value_window_font = -f COURIER12 -c ID_CYAN5 +curve_window_font = -f COURIER12 -c ID_CYAN5 +group_name_font = -f COURIER12 -c ID_GREEN5 +ruler_value_font = -f COURIER12 -c ID_CYAN5 +analog_ruler_value_font = -f COURIER12 -c ID_CYAN5 +comment_string_font = -f COURIER12 -c ID_RED5 +getsignal_form_font = -f COURIER12 +SigsCheckNum = on 1000 +filter_synthesized_net = off n +filterOutNet = on +filter_synthesized_instance = off +filterOutInstance = on +showGroupTree = TRUE +hierGroupDelim = / +MsgSeverityColor = {y \"Severity\"==\"1\" ID_RED5} {y \"Severity\"==\"2\" ID_RED6} {y \"Severity\"==\"3\" ID_RED7} {y \"Severity\"==\"4\" ID_RED8} {y \"Severity\"==\"5\" ID_ORANGE5} {y \"Severity\"==\"6\" ID_ORANGE6} {y \"Severity\"==\"7\" ID_ORANGE7} {y \"Severity\"==\"8\" \ +ID_GREEN7} {y \"Severity\"==\"9\" ID_GREEN6} {y \"Severity\"==\"10\" ID_GREEN5} +AutoApplySeverityColor = TRUE +AutoAdjustMsgWidthByLabel = off +verilogStrengthDispType = type1 +waveDblClkActiveTrace = on +autoConnectTBrowser = FALSE +connectTBrowserInContainer = TRUE +SEQShowComparisonIcon = TRUE +SEQAddDriverLoadInSameGroup = TRUE +autoSyncCursorMarker = FALSE +autoSyncHorizontalRange = FALSE +autoSyncVerticalScroll = FALSE +[cov_hier_name_column] +justify = TRUE +[coverageColors] +sou_uncov = TRUE +sou_pc = TRUE +sou_cov = TRUE +sou_exuncov = TRUE +sou_excov = TRUE +sou_unreach = TRUE +sou_unreachcon = TRUE +sou_fillColor_uncov = red +sou_fillColor_pc = yellow +sou_fillColor_cov = green3 +sou_fillColor_exuncov = grey +sou_fillColor_excov = #3C9371 +sou_fillColor_unreach = grey +sou_fillColor_unreachcon = orange +numberOfBins = 6 +rangeMin_0 = 0 +rangeMax_0 = 20 +fillColor_0 = #FF6464 +rangeMin_1 = 20 +rangeMax_1 = 40 +fillColor_1 = #FF9999 +rangeMin_2 = 40 +rangeMax_2 = 60 +fillColor_2 = #FF8040 +rangeMin_3 = 60 +rangeMax_3 = 80 +fillColor_3 = #FFFF99 +rangeMin_4 = 80 +rangeMax_4 = 100 +fillColor_4 = #99FF99 +rangeMin_5 = 100 +rangeMax_5 = 100 +fillColor_5 = #64FF64 +[coveragesetting] +urgAppendOptions = +group_instance_new_format_name = TRUE +showvalue = FALSE +computeGroupsScoreByRatio = FALSE +computeGroupsScoreByInst = FALSE +showConditionId = FALSE +showfullhier = FALSE +nameLeftAlignment = TRUE +showAllInfoInTooltips = FALSE +copyItemHvpName = TRUE +ignoreGroupWeight = FALSE +absTestName = FALSE +HvpMergeTool = +ShowMergeMenuItem = FALSE +fsmScoreMode = transition +[eco] +NameRule = +IsFreezeSilicon = FALSE +cellQuantityManagement = FALSE +ManageMode = INSTANCE_NAME +SpareCellsPinsManagement = TRUE +LogCommitReport = FALSE +InputPinStatus = 1 +OutputPinStatus = 2 +RevisedComponentColor = ID_BLUE5 +SpareCellColor = ID_RED5 +UserName = yzzhang +CommentFormat = Novas ECO updated by ${UserName} ${Date} ${Time} +PrefixN = eco_n +PrefixP = eco_p +PrefixI = eco_i +DefaultTieUpNet = 1'b1 +DefaultTieDownNet = 1'b0 +MultipleInstantiations = TRUE +KeepClockPinConnection = FALSE +KeepAsyncResetPinConnection = FALSE +ScriptFileModeType = 1 +MagmaScriptPower = VDD +MagmaScriptGround = GND +ShowModeMsg = TRUE +AstroScriptPower = VDD +AstroScriptGround = VSS +ClearFloatingPorts = FALSE +[eco_connection] +Port/NetIsUnique = TRUE +SerialNet = 0 +SerialPort = 0 +SerialInst = 0 +[finsim] +TPLanguage = Verilog +TPName = Super-FinSim +TPPath = TOP.sim +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[hvpsetting] +importExcelXMLOptions = +use_test_loca_as_source = FALSE +autoTurnOffHideMeetGoalInit = FALSE +autoTurnOffHideMeetGoal = TRUE +autoTurnOffModifierInit = FALSE +autoTurnOffModifier = TRUE +enableNumbering = TRUE +autoSaveCheck = TRUE +autoSaveTime = 5 +ShowMissingScore = TRUE +enableFeatureId = FALSE +enableMeasureConcealment = FALSE +enableBCCGVarNoEscapeName = FALSE +HvpCloneHierShowMsgAgain = 1 +HvpCloneHierType = tree +HvpCloneHierMetrics = Line,Cond,FSM,Toggle,Branch,Assert +autoRecalPlanAfterLoadingCovDBUserDataPlan = false +warnMeAutoRecalPlanAfterLoadingCovDBUserDataPlan = true +autoRecalExclWithPlan = false +warnMeAutoRecalExclWithPlan = true +autoRecalPlanWithExcl = false +warnMeAutoRecalPlanWithExcl = true +warnPopupWarnWhenMultiFilters = true +warnPopupWarnIfHvpReadOnly = true +unmappedObjsReportLevel = def_var_inst +unmappedObjsReportInst = true +unmappedObjsNumOfObjs = High +[ikos] +TPLanguage = VHDL +TPName = Voyager +TPPath = vsh +TPOption = -X +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[imp] +options = NULL +libPath = NULL +libDir = NULL +[nCompare] +ErrorViewport = 80 180 800 550 +EditorViewport = 409 287 676 475 +EditorHeightWidth = 802 380 +WaveCommand = "novas" +WaveArgs = "-nWave" +[nCompare.Wnd0] +ViewByHier = FALSE +[nMemory] +dispMode = ADDR_HINT +addrColWidth = 120 +valueColWidth = 100 +showCellBitRangeWithAddr = TRUE +wordsShownInOneRow = 8 +syncCursorTime = FALSE +fixCellColumnWidth = FALSE +font = Fixed 14 +[planColors] +plan_fillColor_inactive = lightGray +plan_fillColor_warning = orange +plan_fillColor_error = red +plan_fillColor_invalid = #F0DCDB +plan_fillColor_subplan = lightGray +[schematics] +viewport = 178 262 638 516 +schBackgroundColor = black lineSolid +schBodyColor = orange6 lineSolid +schAsmBodyColor = blue7 lineSolid +schPortColor = orange6 lineSolid +schCellNameColor = Gray6 lineSolid +schCLKNetColor = red6 lineSolid +schPWRNetColor = red4 lineSolid +schGNDNetColor = cyan4 lineSolid +schSIGNetColor = green8 lineSolid +schTraceColor = yellow4 lineSolid +schBackAnnotateColor = white lineSolid +schValue0 = yellow4 lineSolid +schValue1 = green3 lineSolid +schValueX = red4 lineSolid +schValueZ = purple7 lineSolid +dimColor = cyan2 lineSolid +schPreSelColor = green4 lineDash +schSIGBusNetColor = green8 lineSolid +schGNDBusNetColor = cyan4 lineSolid +schPWRBusNetColor = red4 lineSolid +schCLKBusNetColor = red6 lineSolid +schEdgeSensitiveColor = orange6 lineSolid +schAnnotColor = cyan4 lineSolid +schInstNameColor = orange6 lineSolid +schPortNameColor = cyan4 lineSolid +schAsmLatchColor = cyan4 lineSolid +schAsmRegColor = cyan4 lineSolid +schAsmTriColor = cyan4 lineSolid +pre_select = True +ShowPassThroughNet = False +ComputedAnnotColor = ID_PURPLE5 +[schematics_print] +Signature = FALSE +DesignName = PCU +DesignerName = bai +SignatureLocation = LowerRight +MultiPage = TRUE +AutoSliver = FALSE +[sourceColors] +BackgroundActive = gray88 +BackgroundInactive = lightgray +InactiveCode = dimgray +Selection = darkblue +Standard = black +Keyword = blue +Comment = gray25 +Number = black +String = black +Identifier = darkred +Inline = green +colorIdentifier = green +Value = darkgreen +MacroBackground = white +Missing = #400040 +[specColors] +top_plan_linked = #ADFFA6 +top_plan_ignore = #D3D3D3 +top_plan_todo = #EECBAD +sub_plan_ignore = #919191 +sub_plan_todo = #EFAFAF +sub_plan_linked = darkorange +[spec_link_setting] +use_spline = true +goto_section = false +exclude_ignore = true +compare_strategy = 2 +auto_apply_margin = FALSE +margin_top = 0.80 +margin_bottom = 0.80 +margin_left = 0.50 +margin_right = 0.50 +margin_unit = inches +[spiceDebug] +ThroughNet = ID_YELLOW5 +InterfaceElement = ID_GREEN5 +Run-timeInterfaceElement = ID_BLUE5 +HighlightThroughNet = TRUE +HighlightInterfaceElement = TRUE +HighlightRuntimeInterfaceElement = TRUE +HighlightSameNet = TRUE +[surefire] +TPLanguage = Verilog +TPName = SureFire +TPPath = verilog +TPOption = +AddImportArgument = TRUE +LineBreakWithScope = TRUE +StopAfterCompileOption = -tcl +[turboSchema_Printer_Options] +Orientation = Landscape +[turbo_library] +bdb_load_scope +[vdCovFilteringSearchesStrings] +keepLastUsedFiltersMaxNum = 10 +[verisity] +TPLanguage = Verilog +TPName = "Verisity SpeXsim" +TPPath = vlg +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = TRUE +StopAfterCompileOption = -s +[wave.0] +viewPort = 0 28 1920 392 100 65 +[wave.1] +viewPort = 127 219 960 332 100 65 +[wave.2] +viewPort = 38 314 686 205 100 65 +[wave.3] +viewPort = 63 63 700 400 65 41 +[wave.4] +viewPort = 84 84 700 400 65 41 +[wave.5] +viewPort = 92 105 700 400 65 41 +[wave.6] +viewPort = 0 0 700 400 65 41 +[wave.7] +viewPort = 21 21 700 400 65 41 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses new file mode 100644 index 0000000..1922051 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses @@ -0,0 +1,82 @@ +@verdi rc file Version 1.0 +[General] +saveDB = TRUE +relativePath = FALSE +saveSingleView = FALSE +saveNWaveWinId = +VerdiVersion = Verdi_N-2017.12-SP2 +[KeyNote] +Line1 = Automatic Backup 0 +Line2 = Save Open Database Information: Yes +Line3 = Path Option: Absolute Paths +Line4 = Windows Option: All Windows +[TestBench] +ConstrViewShow = 0 +InherViewShow = 0 +FSDBMsgShow = 0 +AnnotationShow = 0 +powerDumped = 0 +[hb] +postSimFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb +syncTime = 0 +viewport = 0 27 1920 977 0 0 391 1918 +activeNode = "tb.inst_hilbert_fir_dpi" +activeScope = "tb.inst_hilbert_fir_dpi" +activeFile = "./hilbert_fir_dpi.sv" +interactiveMode = False +viewType = Source +simulatorMode = False +sourceBeginLine = 21 +baMode = False +srcLineNum = True +AutoWrap = True +IdentifyFalseLogic = False +syncSignal = False +traceMode = Hierarchical +showTraceInSchema = True +paMode = False +funcMode = False +powerAwareAnnot = True +amsAnnot = True +traceCrossHier = True +DnDtraceCrossHierOnly = True +traceIncTopPort = False +leadingZero = False +signalPane = False +Scope1 = "tb.inst_hilbert_fir_dpi" +Scope2 = "tb" +sdfCheckUndef = FALSE +simFlow = FALSE +[hb.design] +importCmd = "-f" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f" +workPath = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir +invokeDir = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir +[hb.sourceTab.1] +scope = tb.inst_hilbert_fir_dpi +File = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv +Line = 22 +[nMemoryManager] +FsdbFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb +UserActionNum = 0 +nMemWindowNum = 0 +[wave.0] +viewPort = 0 28 1920 394 100 65 +primaryWindow = TRUE +SessionFile = /data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0 +displayGrid = FALSE +hierarchicalName = FALSE +snap = TRUE +displayLeadingZeros = FALSE +fixDelta = FALSE +displayCursorMarker = FALSE +autoUpdate = FALSE +highlightGlitchs = FALSE +waveformSyncCursorMarker = FALSE +waveformSyncHorizontalRange = FALSE +waveformSyncVerticalscroll = FALSE +displayErrors = TRUE +displayMsgSymbols = TRUE +showMsgDescriptions = TRUE +autoFit = FALSE +displayDeltaY = FALSE +centerCursor = FALSE diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.config b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.config new file mode 100644 index 0000000..b0f1f16 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.config @@ -0,0 +1,55 @@ +[qBaseWindowStateGroup] +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\Verdi=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\nWave=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\hdlHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\hdlSrc=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\messageWindow=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\svtbHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_qDockContentType\OneSearch=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1=7 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_0=widgetDock_hdlHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_1=widgetDock_messageWindow_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_2=widgetDock_hdlSrc_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_3=widgetDock_signalList_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_4=widgetDock_svtbHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_5=windowDock_OneSearch_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindowMgr_saveDockerChildList\Verdi_1_6=windowDock_nWave_1 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_encode_to_relative_window_id_name=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_messageWindow_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_messageWindow_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_signalList_1\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_svtbHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\widgetDock_svtbHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_OneSearch_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_OneSearch_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_OneSearch_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\SELECTION_MESSAGE_TOOLBAR=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\qBaseDockWidgetGroup\windowDock_nWave_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\xc0\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2v\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0*\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0v\0t\0\x62\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0i\0g\0n\0\x61\0l\0L\0i\0s\0t\0_\0\x31\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0&\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0S\0r\0\x63\0_\0\x31\x1\0\0\x2|\0\0\x5\x4\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xbf\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0\x34\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0m\0\x65\0s\0s\0\x61\0g\0\x65\0W\0i\0n\0\x64\0o\0w\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0,\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1-\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x92\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\xb6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xda\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\qBaseWindow_saveRestoreSession_group\geometry_height=977 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.png b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.png new file mode 100644 index 0000000000000000000000000000000000000000..fc0003ef36c40772d1719d66e752ece2d69e34ec GIT binary patch literal 94086 zcmZU)1yCIA)-Bw?;F7`J{S5?n2=1=IU4y$bKnU*c5C{@9xVyW%1$Pf_Kj+;0-Fwdc zpQ@>@nX0F2x@+~?d#$}XOi4i!6^Q@|002~JDKQlQfcFOgm?;G4_g@n9C??+zFwP>< zY6u7jE1Qac-oGL`NNG6(09xU+4zS#o-rEv42P zt2SFowrwKBb$}vd#5kw$@Awu@^4tV&kB!VF$A|*xk*O+-M_3Jn9%4!CkZ62cWHw!SCK|SJsZ~GQ-|MD6m_XMU zcPi7{+_XRoaZbOuVEfO%m-&X|X0NNKqN1Xqacf3+Mg9BhTnQo@?%moJ>T#(xI}`OF z01xs&000`P#zswrTA89kwY*jkd`J(Etp^C`He5 zsMy-nT>oS4D+`l>&)M$&gmE(s7z)5+g^o1}pgdzXnOB{mV==|czxul=nv{|)NGU)$ za-SNTdY^CbY(^3o?t)G%- z5{cmZ7jsDNPmdbDKm+7E>4fc`FN_-x6j-QXnYp_8 z0C`=30ETbtSq&RbH_PSf-%=oJ;eGC}2VW8jN4a5T6^4m7THTf-mljqp>o)URkdK)Xu6~x@pct* zcJ|3agaQD;1bq0?QFoNjh^t#WtK>KwzkM-3oWkkzdU$+p|9gcvz`vuSes2~_Sr4~B zwakMxR(fWfh5z|PFlVvFdPOwm2DGcLF7Qp;7=4ab@ZsiM(GzQHB8tnvo1Br-TJy|d zd;5?sT$}-ttu}Hv`gO?$pRe8cx_<&!&nInSAN6}+2-+E!nC<%g(ky;W$dP*gBCZP- z8AZ?b+R0rNWc$ax7_b?sHS`}3=lf0U>ohjqA~3bEIA3q`UT^gTetX}Yot&K1m@O>j z=fD>iBJ}b&Pd9cvUqw&#!I~!=7JU%7Ia`j}mW@!ChnVj^xvw`qH_PIv$cG&@PkD}O zhNE%bM?$FjH5obxlXxgLUSGKcn{Es3$I@E>Fdl`;;`;Ixq~pQJ+Bu={*GR?Vox3*f7+jd|vnCD;3_?-^LP;YG|<5 zAJ<6NJ&uX3*L}AWKCHj=OuEg!Bf-+D7WEDFYF~(nSgKu) z3vZA=X--d+!n9~OHy))3KBH0KU?tIQGK=DI^Xz9qrmRSy+p@a`g`k@Dh-;7u13f0dixE(b-Rp;S?{k651zGy?;^I_Z*MERAy_We?H{?Jt3LGN&M~$*rszZCo)5>m&L3Ugm z6rd>h^7mmsCnqE0sqfC__up$k1r?oII#cB{0q}Xf)_OO+4)SuUhdCmHw>A7>UhrXB zXJ%NEGAXb5Oeo7j&d+%EH175idNPuwvgqkqYdbQgsRtPx#}e${FDTf4oqWD}Qxi_l zrl#KXa71m=uO6AfY!$1g*ZiDbl=D0jUTP2W=hF8*D>=5~`DNNMv9i8AJ*#G*tJ3V* zvwUpd@caC3)! z*R+f+SI5e2SWE7?yZZ)>f9r5_nhxvvigMT%7P+Q9{?L&b>z&3~82B2*?-)G)SvhaN zIhx*GKv_>ihe!}_6cfe}UJq<+Jaum85BIb5i~RUQX0h=#kHk=Ijw2~oiayEAb7uU-B>dV!4v$zvEzqHFt4Xo#YP?;P0~Bu3WM^Qz z(Ad4>r}M~}ywz%~i0@28)z;#ca(T(erDvoDCj{zGT{vE9GdVVaJZD|nGUM@Uc>gT( z*&=J?fskg)2Fz)NstZW8X*;8NJ2R5I6p@mwONquo39=1FHy7p z>5iR*8_BK3WO=knf;2)#Vs2p$t&ZyZ=0x!}p~<9oWE!iF&ehc$Gnu3pF0fIEugY@ipnqXclv+XH|oJHtWg?(4?$eP$E#nfJXt;4o%(-Lt8b z6S>V+*qozC0TUHUqZ-2^1~yF?x;FrWa+bcGZ0I@4mO=Qt)m(&5%)I6Fi$lj?}M;M>ZJg;Mylkd}s; zl>fKI%HB;vQPzx!Cu!yFF#+p5+Zz-h6#rAxj^{-**ItDUZvxmN8bQzS4qlY{K5rO` z8TsFB?#FKFnR|&yyehAouOfqHDSGwRUlffi(9@dEnvcblPZmB`e+OeAW)XjpG^y~s zH=CM(k^AB*4-f56hae)OA~ILikGt{mxAoUHtg_c$pF4xOs+H0B?aPn*iWSesnmj7o z{mt=!u}Evyrv7UG**PXIB;vA2k@f zjq3@<6uMZ#^!_JnhdAHzSdo1PS6fa|h#-&;j6`WOB(JWnx*pHtA7eJJ*+ujj*DU49 zNJ&du+1pPH4qkZhpPrstOy0AJ2!3GJn{z=0u(il^xD++;e_n{chWEu2GK?<7mV z6quQ!GSP7&$^ZRG>iELdes@oL{;CE|<|&q@Qz3y}E`5Z`OEiZLMmagome&^l-S>3EhFtM`WA&For@n# z`x-lUFO6;%Yrp96_|c;*gcptn`47j%#}zT7+KtG~cS*zNftjDYo6TPLa<61LpYaAT#Q*HJ>xbLv z$b?Q7BxK~a_gDG*iyIODPR-g)^ElhTZ549qf14Zs_o6c2pjQXnUYSzB@I?@zqlZ^yjBv*ms%*XDq{ zK9`5^{@V|tx!5WvLfilQhe_o>%X-g4W#^{DXpSIcu0-9Sp4=j%dkPyZN zkAhDBkK>g2Mofe@P>`bkXr^u{UjW$K%B&QQAZUnl+71&+T#|6Id-t+xHIHsar4 z9)AmwYK4Axc{Uwhi;BxDT-<9PU!GxenUFHp;^LAr1dV4?Y!UuL{j~c~^;T+tv@L9O zOzwIzj-wx4D8QglTQUqlh|X!)U4A4ZIfEgrTMNuB6&PM!vsWxg6mBE!%3T;q#6v}% zxVbK|!IrT`?59ozKnsT&2|x?yB3QXSJRbhqb|&7k!h>)M_nOCCjov2H*rx(Uz9H}d zU}z8s`aAu+?63;5s%;)~kl(Lx6;y?kBL-iu}p3p3jLO@FVS3r zklON68~|WhpOQo%r=tAzr+R6F5&yFmR$mR716k-G-Z9$jsjUwNe)PnX##iG_L$^pp z=0Dc9+(-y%p5HYxzJXb#_K#7x>Pwi2kX%7lF5jRSR3@;d(6PhQI1i7Mlhd?l=$F5i z^7cfbJ|aKWxe3BRi^Sj$;`LV3t$orJ(8x}s7031nWC@K_<{&zAs(TX9li@}Hs)yoZ zGt)EEjjA<4!bH<$e3Z~!kk`XFQFtopqlPS%^dvT)*F5TP4FiTV7CG;IGBpY}GrFV4 zW+-d~6MjkOeeu`E&BZCTW`Xi2RDUUpD#qRAWQX<6Th0thu8u*|>c4A?OY5v|a$3T| zU1@bun41HFt={9UusspppFATsH|sA5yp?t>6JOvyke{Mhu4P8%oiV(!2=4#QVKjU z`n&%F?N`~j#S~j%!TMSEHjTC9_$@hE;c)-ZsxA8dFBDL4J4q$Cpc;OfkDCk#k)oQ2 z56}ABZ!oCxA$*CmI}F?T4Dk&O_8IKGz~6T?22*BFGf3h?4daoEtir?5Awi33LVAyD zrTWt9$q!i%&W?Dsoi)|x{PbBf7)|=46XXk3WAWj|{sz^$f2c)<)>2ES0Z&(YgMa`f zQ&hcS@1)a|B#V729e5^#IgS$R-R*wV$Y>~kG6a$s_y8BzTAD($VVdj1n>{L`l9j6C z!ICRPD~$|He+mj90B-^dfgCWVvs`xEkuT+7VJc;B?o3zT#hfYApe08EqAmmiUN3b) zVjw5h02rfCz1L=(^XBeVt2|3v=X3ebm{68E4SWKOsQA~-eBjVNb6hywkhRIY&+RKk z1AdO%)p60gl;srUKrXYUFZ%O2k8*nmH| z=abTXTS+X-+vC*P_kjjWg!!e1OEh>fln`ir_YeXU1ked*#M-tG}0@Z4i5dTKE+$e45J*HG9C$#47tM?J1J{qu&5!Hb<4Bq36(WrG!!r9;~*I6bI7 zYcATwa%moVaZh|oWL8O;X?lHX*OEGSu7(yuA0zufMjk#6!M-%|uoqG+)Ffp}B>TqG z3>Z>w@$V{LWqXzuIvLx4p(hDUz5y-4nDee|w6rvJf_80AH@f=+ETNHLh44 zNU)e4cDUa*mh#VJQx1#DbG=PyG>kF0-?!R+P9o1_2N=PFF6q-*d;1Mdex6gYFq3{A z_Q!is<&0yT8Exlns1(5>!j%hb-e;{ph9hApnxTh7LUfa|h9iCUITC8*^8kMUgpNJj z+~XCfRXzOfpdP7aZ%ozi{eJ4F=lS-f3y&hO&?=Gz0D}Uum;+#A1`?8z3RIWp9T==V zs<<0l{;Hbn7C}fj6lUes%U?Ok{QW@)P=SN~oniFaQvPItJ?Xa61WiXZ1MM|iRR_=` z;(DKF2`mvqZXED1GvmnuOAgd*5so56R)+0%;+o2 z-r><-clYp41ZMR)7rmmycQqIuPc>9MnwVQ!&=r@MoSNx2{oKn9jYv+DV08hF|8BtA zDtF{1Qe^K?@qi=xSZx-6561OH=A-$8jq9|r#ZUR_V1=2p`V+F}KIXJpPIU_n>^VHS z6>nfvseyyW&sMSay_@~I|B?mmm?bBF(!O6GwrF>oSt}|_asDc{H(kp2EDoDIY8u8u z7DQ$|(L=l~oaxv}!7NlHH)>RHHM#z*OkK^m9ktfZwY|A8WqpI#a?pwmfrgn}eJ`_zlL2TsuQgL`Oi%emXi-~ndgzhvWDNpL$KIftx zupATw3Ss*(Lb&MOIKGYC%hT*!GffjipKQkS=ZnGv{{&bdT>}&4%qzT3IkLUG`nIh2zc7czT5_RY_2DA}Py)FU5Tnrl(HT4$M3qo&zAJl! z^FykIceYq)A^+U98&iMy@bTn;5Wogmy=6}!N;6rQnxjfv6Y25khU1G0kA<4`R&X2n zpn`xG^0t|x6IcumMyC*2mKr6c7ilJ2r3g}NtcRh z0gkPX#R3cnMh2-v)ncyU0#-Fs=m_Z4mrCA^OQk9}YxmsESk%v(Po-S;&o*jS^rAJJ zv?4))dGU_Bm@6yVIlhlW&CAPv-w~^;t1U*et?MKZ-3F#$fzSgqI#P|6yZRsmW&n%; z4h;1lZ2iYKzr@5s&znH`EcHvaO~Ve27R^32e_Mf-)Qd4fs8HlAw+N66F()FAr=q5! z0*frCM;DlVNZFYgzKWhX#`$8)qUp016`Cg`7VaW)R%cZHq_n~Zfc(+9vw=@w!_Xf} zZ8M3#YrcBV>Nhk-(?;BC(4XhnH*QJ|IonLZCg1C{h zLnGblSA({jI*FZ?I}}(8XG8*vL62J!OH5puS{X|m9jvZL3kZb@b1QEvvay)4!^kk7^y#z|>jn>+Jc;0RA&cnnZsOH0@ zRm@6AF#yZHGX_07_dg${u|SkuNS%%LRED#HecMZ+mQ)PL(16nLEk|=+zj=a{p^IAy z9nxY3_&bcuE>e)#R!I;5m791PL(Ys7+KGqJ`9cVdDe$=K%vUS*f+<#IRg-y1alZAsiiTP!Lvm-C9;oih`IUMAoCYdEM)@O6e|Nbs%JK zJbtw8kOOP;TK?Am?rz4y;PED-L)^8^z}#i7>xD`1ZuF+X$6BfxJcX$tSmt(ag~nq3lWMK4jaheV~y~Gc7{0?7=3kwW=LOX|et?g1uek(+D1l>~O zU6EKpwCbN?>N|>*J0xJ`7ixc(=GWka3VFrIi-3wK^^#52WmQW){vgZLyi!;0W@YYA zEh)*$BDS(RJev=mH4>+}mUc41pL-m!`2CapFq+;L%-^`~iM{(%XqOQ(Sj~u9^tIL2OVrEu= zUNPsYH&YuFB&KLlYE!LwI$n&)RMPqL=g-;MY)uT4vi$s>^Sja#Uc3P2KkEK|Sp;9N zOsF1ho^uisFsG(hS2K0ERlo>9kmwH>Z@2LH-bHD(cZNYwvUjghpvMRs9H>D+gd(f1 zIEI0l1P)H^;AT6FkBOfZ!IwN{k*s;DLP5-Ph+rjAV%ss>(^IV8%LE$ju|hRW{1m(K z-y=bT&NR^!Ai*VNY^3ODzg!l^sce@ASZ8*r1o$_ckCD1BPj1BU+KR$Eh zv|V@Af5A~`r)Uql@2AWY#2c28O=oory38EfMqVsS{$(QjnB1fPFh!Fu>6kJc>Ri`{ zCN2O*f*q|#qxl$4>MDun6gpc_>X)PffB}E7L~IzzQuX{kGE#TU$t_Ef+gQ|wwP;=o z+v3y_EeQOhqCYE@2Ivf;hfOhHyUAK`v(weFt)W`KD1X@gDDmIj4v->Xq z=vgR2CUwh`X%>HKnIvGG(ljV8IoCd&pU*~)kcS}z(#faQO`gfEw)npARSLLo?k;GS zP383TEr>(W1(ukkvJNX*8208a`75isNZ@Dw{lC^%}egbxX~>8-l zF(#)5O=$X=Y|-NYsl0>hkc!8V>|!etw#0hLiI2|Qwuv`X!m2Vq6tTX%b5pjtny`?V z7WiAhXKu@!06o3MBCO z6ZgSRznPAO11euyNJtp`toKU$_Hw9b*Cg_rx5D%BK*{xV-Dl#iqlma7c#G)wU(adg ztKo{Awd-7*qqCJ%aC~f{I=9ns>v!CB-zTn$7AwnUZT`&mC{t*#X(Bpw z=$PM40?a@+)Z3`o&1p&f(UEAQVY;I#ics(@Q$HB}z41P47X6{L(FPKxdtVM%ihiXBs&X9HA*tb)g9*-N<^wuZ8aey?7Nr5+kk-M*e zfe1AesWwpDCJ`Jig8dJT_BEyr{b+c;B$hiY`4HzQ6|X-+98$lT`0f_3B-tNHEYnbW zuouY$v%&A(;$!tC{8jZk6o8VfB#|tj*JY`^LsTe~=re+d9t?4u>-RN`-STUP$#dsA zO*!bp@+!Mx2W?aFDf-i)1A8m0_o1^R2dTW=1c%P4gNXTY*xuCkua;0tt}yp;5;vo` z{N}xby;D9!Fj;J7B6qW?n4f+$%Ry*pb1+%&#gAYVs9#gl+c(42HZ{v$GSFnppcjjM z>XsNHQ#+>GfddKGhlN=aZ#NT|`8;Sf z%oaOc7K>pI3ZzI@iMk*9MEE8lgm;g*994_UdGlWC?vCAyIs?x>slFZ=9nvQ0QdFfj zPYYe}f;OJEn?cxoZfA>*mZ!B-5yr%3aOo9bvLJ*BzYBjor^3{$%FN4|=`F+@JHO*= zj*k(vTq#&l+RYc?k~xrKGHVyVekqF3Jg|Tk_qr>5909IKaNHF)Z$YBU@B!jE9~p({ zwq>;Q@80R%xmsI&`-Z;tU$Vkk>7(_Do7Z97ibW(fjr0tyw&*pc^n7K$xzjR1u@Zst zr`HPZ)l@Itro2hA2K(Ye4K0~T^Ag9I*JPdl-F$PP0jb~>ey{` zTlQ9wKM8#IBZoFSU=B-j!!(gX$D`{`iZ02LjJ|m`rlDe@G&@|SA5wVg zYTm=ufY`C#sj1A<6Q`y4_B|iQ`)B8t1b{h4M9u!7S{wf06$PPuTsC29TUgw~@WO<| zXWk-GB3sBa$V)o_0EHAZaxfO7#IR|~M&+$%fF4E=Rf{MVETywjK9m?6g()?)_pJ>| z-4}wfXoOfx0nb}IL3^cstSA{?avEoOlRE>y8ygjgW`?^CSn*)4vE^qM<<3lxaZ5HNB^CQ_^~0?A zX+}n&ps+QnaRwI*AyYi_`};zZ-ff!K3MD#jh?usxFpi1DBi|qJlNoz7D7Un<6#gtD zDJkh+jR_>ZN#s&OwJ4n?7)xM{Worwuqah75YJ8_agMk2Yex0*cqFPtw#|COr7@!giD+_H zRAGNGK0c8)_v2BEIW60M(R0y%D9%g6Hldd0^{CyHynAzXH@iuV`<^h6eE)3|X0EQ` zZEr%bb+^Pid31)INDj`WLu>zoxBIM5iMGH$>TO+iQHOPUA-8Te3b6_2THfBW#h<$} z+07O+AIFzDwIQ9Yj7%2yap`N+P1wH>SxOUNyW4&1j$ecoh0T{LD<2fCB~=eYFZ;hB zk835zCA}QJ;u6Gv&D!hzKJ&@Pegqd6O0s#}}jlc=V&z@+Oih?|s2g9y_>MgNSBlJ&|md{5IjR{8je zSGVBjPhOvOSzcYHXgWcfjNf&rUrXhZ9c8@i9ATA3O8d&mZH`SK2`(;+%&|HVfzGIZ&x49EM;yhD2le-@trk|<6; znQWI(xJ#A!T1oIf6VUxghgLY3jm(HEMB2hPvPm+;`McNfzHRG8WYFhz?JmkjYchnsF$uc-UG>7_DAhUb>mzU#Zk(AD|k^ zqqAB1vbMO_{21trz$yd>=HS5t38|~M1;oaIun>On{bMax&F*Fj1u%z~XPrS>FT2h} zB|F@2#KX`Cp#U-={4nL}2mABNAq5^1Yv-rt6uC6rOo7Pvkwf7V*ep0UBl&g4>}5vh zU!5B2yIKzvLLg7CSm0=4(sfhr`VA7JlBl;h=T!)#(;UU1KnTJ^To;`y@FJf?GZMUC z%e_I>K_46oE@OH5*yGmn%^uQN(^d`}vR)~nc+XekOp8)ODJl}m;IB>-`GYLhy_Bz> z)QYSDX9JgcLqy5MD&2@7+A%L>lMP+G*4I z55bPN%jQ+1r3QTnDuqdNFrAnpw27Bufdc@#fQL3R>nvRjQW};hK!WJSy&1^OnOGGgYUtBeJ@@M@Nur&Iq8 zkHmhPmq?J1e1kD`AI&uBU^9wh$zM(|=^KWXR2b=7`xq6xOrW=r=y2Zz;phE2dfz8o z8J?7kd!85?d4x3QipALb6qbDkQ{yoUQqzZjy$Y~8R4 z8)L<7G^D*@Nkh}Fj;}mkDch=oj!DN+_=%15l+|Kq1w-YrcO;a=RtgG8B}sFVMjU@< zGpO8=W5`iKgWDI4jauW&Iot_Fc^M_w=9Z%aCenuiK?CoGGVaR8V!UF`S>)uE1;1Kn z_wm%fIFO9Ie-K9PTnp3LGWcD|si~x>I{91HTtrStH#cH}pEwlVDtOMUvSlPStd z0*)jVtdqCRTGP)`0gA0Glc;~K&B-fUeK^IIGYV#)HSj!3pv8^S9p0Mvk1iN#TT!Uj zWXhtVE{KJ+7IV$#HA{Kyo4Fl-=xufSQ7z$h$}+NLwpFNjeRq0)S^37W8%O32oiLS-6p!j*(tJ{_8)t(lxEIGdQ#sWuyq!IwE@uIgb zG3)}wnpv%PTScATs@`YSJ3{ytIl|XugIMgOyaLv(hY~B|O4B!Q>;5OcN^DOAGFVI~Rpp=r@EHuPNkvPC}K*~kx3Kdc&h5c z>i+(VhkdPiO9V^uoECC^XfpXM&gSh%!ef|93zbK}W;3?BEj9|lN^Y|b>b6f@{ zMfegz^U&oGyK>++qrWJYro5~{uccf!1Cv{^iW$_)G1shcErF&z?xX4x(E zNG0UDpy=581B}qnfn&s{CYAU+3xaNGg|NgMb0JwyZ(97C78xyWX>kKabou6r0lF@9 zIDXGH=kY&b>Xkm7$?I>$(I`$BJD{42+2Of5hSJp|gn#gq4jxT@tcrPdr=r_K>U-9v z>|~{Ql;4vEX1Tm*+#y6|zgDV`g{-D7Rw}i=)}-T$CNMCjb2Qag?RFLEuht$5+1Y)n zK42psFSB->`-P`e%!Y?z*$f9%zvs~~mfOa{F~+4v)3UkFPfPY=$<-}!T^nEt#qn3$ zHL|9Q5l6ytz8>*Y&{R)hO3VQB^YiTuyT4c-Y9{bodMyn(_#$cs&aLdvRP=5{=6Vk? zdro;#(}M7r%=^b@tcJBg8Venyr3%4Id$TKyerNq`Hzki}|`E`TI8~huWS^f+#=CDWxDyjDA zo*vv~IgInHVlWIvJ6#E`Vw_m0w_?Hq0MY8o*XQd^9f6TN43RRN8b0=Qsm5|J<@+a1s8Lqc$_xaK3(P@?C zbt>l8&G3)5hfALE@pL&7W-R}wL6ZXuz<9|$AoAemw0ck6wdpvem0fj}E+#-F;4pJhR>>|H9*!#NhdI_b^|vAa=xHXg{!|5g z78II4>VLW17V2tigIah4D{ccphpo~kGczjq1rkM(v!m}(8Yi9a`hR+RCkB%T8>(1y zp>iFz0+;n@dvl`>oLxr{??NuU#*|cr7s9CEv5pGWXaN*JTC>MXKnm}6X9I=^vY2lb z${^v#A}S9n&LScVP2OftLxzqph5zHP$CqNXaOgoHjsYyql?R5ZxV0$j?vfmT^y>l3 z2z`PhMA6zokiSqQqP-0p&T51K+MJO3-0f}siA~kRLBM8y5sFRj@lo~FD)zPt{@iw% zPEuSlFF#Y!k1BdRBp9T~uPDZ*LaL}2J5ZJ|A_%NreL<%3MT))}xax+T=Se}JZPNlP zZDnO;8JXcub9#DuSXkH=x6^pDCCd{h*Gx4s6S;UQ%CJg%Lh1NXb2dP1Yt|987lWtj zdmoD4%BkOr>y_+OfcQ&`EL2oJWrRe(+U&SK;Mj+?AHy3~+a{X&qn*dooCOhL*gjK} zNaENxxvCRLx`+&i_<~DU=49EJ$KhPL=h99S$Ke7~4xFU+&2IumJ)B>KS11Q;vOj-% zZ!p4S+>H54h!ts}z={&O5fgb&p~%BXD1$?3dyiV`ovGM^zR#0r%-PR>f zoqSngw{h_kTI;i?pWT~i;az}>wo`YIGHic%uHL%;m~jQ3-t1YGjg8@HZF^lQ3m^R# zEGik-UR42pMbkwG6(x~d8?%}T+g zFFo4gTdMfTvPaFSxk7gqSEWhQYXFv3SMv*vLoUzwpDB=_8Niwus*2W~@Y2MQr?Z{zjZ_e_`JyQdYDi!KRECD8O)0NYVo z(Np&%%;RRtUMw*GXc`}9*Ia>^E09%83_|yO+g#2okPR(^pDusZJKe3=aPIEu^D9iD z-)mkl(iM5nMLS-+hZR5|a`Zh=;VpMU>XXy^P`$2nNEWt@3Nl3rlLB`cCv`YlJ+x>v zoAp#Ml0wWILIde;88W4&%(k-5up(qi)_`k_N*9BG4KKMZ<@qE0YDWPI3t4)9^vbe{(>HMW4e`HMI5J zy`_YoK~_$N1pzpeRZU-z{c{h4$baK)8?&<^#Y&W;^T#UD)jIEwuLkB7Ln|*F>$U%m zHfLFHV>gjg#uf&{3Jdk87P4UF=`5-SnkdzaPr4GPlK3joaSZFgyypYo-L-ep7@n9Q z<+95}zn*jT-Dvl9b#?umGhxaamWSu4>=O_KmY*3O8M(f`{xOk{l`y2HtPBNkaB%Rs zpVewIT*4}(*G=9_s&|vNzl3k>H+ekVxLGKQAEM^8ZXep<-`5Hiy?bC?iA(z*u(-{} zVI6(yE@#~9at98)72J5qO;?uRUw~FtmsF8Z3%FDWlR&jBITyrOu5-12So|rAGv;$x zr0tbDlC83EW9)QqgsJY-;ay*Fl&X!{8 z3;1Rf=yY=dR-$c&hw}S$41^GZ zl~C~m?>cbnst(!6qWgcc0CP>ZNihW8qcdMIB(m1(t4=NV4xha{g zL=cYp%9w4g-VmCl5JJr|gtAs_y+joM7OOy$3 zGF?~ujG(K{pdL!3kRE;K8JQT9MCuY2+PS23%L?1eki_gc;m96gfLQA|3} ztu9p{(?mLckVBwLpFc%b>c=zIoWc_|R*R$o1cro1&6DxB@|h~@wMPAIzG_L2vf=<~ zLugAz0okDj1GUcvSZ3y|rq%=ohK+31ofBlf*aL)%m}0E|A5~ug6t~yKyZAzp#ih8r zyF;(q^_+>w{3i zMb`L(=mq%~XY9to8CBFD_tVe3_*I>?EibnRR6j|t;ko_(zjBe-vX#^&QFq^=K8sg- zN!ufesDH=gCd+N|`*;s{+27wsCK7C?+Q#zwi*!x(r+VeB7S*T9@?3v`+qXJCzl=o{ zLW#Upf)v7dFsv9s41|N3OVuu%%hjeogKFH5h7=&(LS=2kpT|PF04X94Gw1FHfQL}Q z{2m-0n7d?zkg5BAa()gMi@%nZ$rOeLMJx;)3}YZe0~9M8@!N&l=EcWFFE(F)rH@K2 z-5`>Z9{WQ>h^?DjRV_5@d0_SIlM*A-2!xtpM!Hv5B`UY0r=e|Fso1<{(>0?O!yXQa zgNP0z4x6;G@U;Cx5ME1MJYvK~Yk)%p72scNA%Xf+3d@NZ2V9gbfgcPm8q7;vMpuR< zyIia_E-fvM#{?oli+}+M;E?hC*XtGV17KT=t2FP7<~p+gm-Ax^`i^tsoNYlYc!!ob zDl85LUW1GK;~7*Bu+?zz#vidAH!%tM!-S9J=h^&x^*jf<2Iy_wkTS61!orFoyCwel zM)!yZS)Oj$2Oh_16PZeXG^4`M$m@4oP9fW@CwSz}&&xK5I`|OT1nT)d0i}I}Zk8sk z-R8XR%JfuS)EC;Zi(+E=&l4Ku~SGO!e5yqrt zkj2GdMkNA3FnsQjd|!Bw3XvBVjA@TP+3h%dNr^+v%Mfz9rrUVK2buqc2?iMix#&2C z)fLw@4fKan9(kZbnfikRa7<`{9!vu2-T7fGq%8+q+>-^VVSg_#H_u)^#U`l^NQ4+h zp^9dTKHW`b5Cq2IV~?;zaRmsrGiqR4uxPUf^el?F>#5MqvOJR~RF>Cvh>-WD_ayAx27(I3*0toLigZt7z>;It=>Q$Ps$oMwP|Xi#z^Um@le&M zUrCv-v5~8a#Hx9*>Y4~%)Uu+T>RJ8REn?-kO%_~C61u46O5}s;hpdK!dL?yWcL=JU znJK1*;&MJ|!9vy}GKqw035D2Qi3ihzzPcjN*-ccH2Nl}9BIvJuFi3h#0s+SRnNuDw z3f6>`AsQMGC`!T(Nw6Mypg-x_1m*ram|M$AK6Z+rk^`mR(m#%GiEQcdu{3|bjM6b#$YuW$ zc%129jT*gw^>h)I)D7@?G~q3Z2*-V!j@R1O5HOo2?;Y++NEXdgq{cw_A^K#)jT_kK zGAXWfMnh*s5+C##k7Vj(#rZo3LJXfK&>M(M)Cw9~KDLs*XB5||I}?lVs7e9`7S+uL zK+CxB81Z-+2PvxNkB&MtG?OOpt!T9(U+fqw_l%)XL4b^KV8)n%hNA9jVgh3(V#@@y zXP6BV>XkgN)x{J1y>AUutb_&WCNeFzCj3_umB z>AQb}sP-X5{eGIsIV#FLh%CxaC34{lq* zn#A`S31&g;`?=20lL}gG`?Hn8=zV-_AuS!@M3H##6cG_oQ^QOn8rZk>tGv8VDiU5~ zJy0G15Z0McQHXpt;fNp|v8|+>B;=MvL~PkaM(iv#S)U(LV+npZH@t;1O9&C(4ZQy zlXaOG0huJ}h{Qwx&jo$P&{5 zOdXRDfTw}G$o2Ebi&s=KBcy(l4fp1e)#Z7ImczrF>Mon+6>NqUQH0At54ihxG|@nK zp1TKvY%9xY(Twm=Ghg1i$`)LbY8JmhvhC$#y0|e{yCi7}kCfYLaL}M!wdfmI=rzEyYB2YH9r zqhnRdlMG5tXZ30Mfi0-_J7aH^j1VL$)+6!7K9j zo4fI|Kx%wDYIr1+tT;C%I9oK8CQp_59(JRmf<%0LL7LE1+@xQh(X!tjy-=Q2m2mr% z>~TCV#FelC3`pO5kmv#A#reDJw`0=YXO)@xZf^-Y#9rjfzthMI^YfwfN?ZWc6)Z1@ zO`Wg^faEWDXEZWZz$|{FKT`m3T0{Srv%U0~+^N<@n1H+lXK?<^2`*q*8a@VorfOv) zIghnCG^FEk5bj$SNZjzEHrXe7u%X3&mwIjFCjj7Z9(bvMO=8fTYMIu}s~yMfs}!#4 zPSX_#N0I%Maz|MuV4XP!n#RJau6H?>7(W7r&k-Bd;j5xQ_56=pjdMSti7p6W2eE-O zy-@M6^!1_OMSO=jR{Xb&aMc*RoQ}U>V~L;T-U>&H0t%y}XYxD#+H3HU%b*&~B9C^z zSaDo^jc9Ri)GJ4bB^{X4(I|B2owW@BB#s=50`c1y*G-b=yXjp+rI>GZUZJE6{ls-< zX3OS&+2#nz6{!$In`S_9X2)T=FH~5xQ*(dp;mrSE)bWsDF3TqIESU@TFZB`rr)S$x=|(giaX)Qk5tXSShc9M6s4ZwMCx5pdzr0stC)fzxGmgbxZX zj%g(;Y9l~iIsB6*@*GF-<7AmP!#x|sbkXL7aJmM;05by{m6x%|MBwD&=u8O`29~NE zQ`Dco+TYc~m}q;zxuZ+@!+-jd%5yHV_`ch-6WTk2DbO|nfdlI@%R`?<+E*v+d7ds# z4_o#W6BaWp^^~IKARRroI=#Mb+o+}MCX6l$7FT-JDf1M#A*f=t^#yF~teiA9!D>W6 zz4gW)1KG%`3xgYv=lh|i2lT_yX8r(C=^xv*X8K|Ppoo#^s_s~_d=|G}e=3MR0y#?K z=?Ckd2Y&IRqLzl!BW!(GVnn0!wiD!JLm&x#AHH23f9th@O~wyH@83S=-$k{JIVeew zbu$u@H?tgg$P?g6zO|bMgD8Vle>sP2`XW@6mQI)kEs4=A zR59;>zxBZ+xocbui(9=VhZIv)Z0^b{t2q}=+QTs>k0LaVhNAh(At)dGZCwn5(#P2# z@Jr2;s*=!RdW_EgFGjLa^lpbKi_|zok3R4170V51M76zkkn*ceLSs61WuZxZsY$)X-<-bg4=}Sc0BP~E z-R)J!5Z0PHHI~tkB}DOxYd0HDPR$E|t55=wXt$qvVU7;mpe*G?fh9;f+&*<_*oNQv z9elXz4c<7DH7$@M=czGeWyPE2g07~4_aFI#wV8p){{JXrV4W<{>C=G@uKk*mlA_D# zbGjgNt6>ga$nZw=@bof5CTD~RW}Sn+&!H%gyC_15Rv3hvgiwp{Bk&Uc7Zn!XU|phOUrDgm zhKy}iLV3tO%9tSDb4TAljKFRQ#gAfyi1V-x4eG{`#ydt%P7x2yM9g~}>+E>Y?d+~z z_Ftzc$#zEqvV=kS4>R}4-Q1|eocpZYW1cUS?}llW6Q*z~BV;lBkhyQ(hleExpbEo3 zR(UWWz~JH!u>o(lNC5zpb0C1!0FkqN?n6yt8p~M;BO10(hN=b0_WhJpq8~4V}X=UJp3qG{D(>N~p$@RlrZf&w>Za&R1ay@mn>yuDcG9K`iH{*4%Qb z3l8!z-=hgV6$-ozgTg56jKQa0m(AgAQYEtA}ZkpB1#~V{&Zc2LID0fN|lYK)l$plWUfm% z;D8W`65VO7u;6sjwBf8&TWKrLYG`Z<5$iAh9<5|`yFDT>PDuHQD(B6>f2eECtEKKq zUwv1eFeQa$7t`W%i+ni}GCM71M7F_UFi51Ec!MmAI&K|=Pd_%%@<?Vz-ed+4qHy5zf^9pu1uV3kdqLLKNxeNgtVuw}-Kp zriw{IAyrTfFy2~m~grL zo*#eJ7>Hohgo$8)nOF35Dy~pbX)eFw=jF;nz29d$7o2|QtvRz3D_Y}n!6KGLjIu;O z@XHS4WP=!cGsbWmwmdZJ3;LA(7VkuLGAVdysrmaa-|iQxQ|;Xpg~i1C;@8B5R5`F0 zJywuX1O>c$&s;N>a6rd>0Y19opzaP7I~GbH1XR=}$k_R-R?);@8iSEnNu?5WsJt=o zQ>5x=M6J+x5!o=3!RiRl`c3zG66g>}0KUn{mTvTvAxX$%SPe8#1^}40fB=Mro3kY~ zNoXko7UYl}l?*H7`MeE$W*!<7?8H8Eez$boh}mg#7*kUoh~S)xq7$+XJ@Q47Ml+Nc z&r&aJzcYCc7|n%B8p;|9ErAVB-NkO=cK2Sp6^l6w{{_zHl`70#d_V5RxwhiHvEsvr z++x`mw>JocAE6w=E^)qjj*ReFt9AVpdG%9jPxZ=E!r<^w@;@dd&%yA8zx-D|lS!Bo z#M4dXR^HfrUkPa#6}`%-{vz5Wp9_E2nE_%0O<2!`8DLM*+?_)EN3>e$FKcrpT8$_c zLk&^pF;?*+)erX_CnXHYgF?2Bm50?$kE`wW)!L;mh1hv6>GYF6w?54y%kxWe0Cy2< z^OHxTvzTJ}nINt|^%^$c@WHhuxDvi7#p>k>YY;ZIOJ~&gPkjPCaG?^%Mv2#64L-9W z4eu3-j$wxQ?NXq=8X$;!0ocfu!bx z+Iktu0v;J!QR!?kN+TmDPGzqsxV-fCs%Bhe*)7rL2sWj*gCjp|Z5LG_O+x z!J(U3*4w*XzT{+$hNh$WFN*H;g!6%A`fX8OUS4^5WIBTVzZnCgp!9yOAPYi4q1{jIDxVrwSJ+J8* zoHri?4&gzk9H3X3fS@y)1@02-BV@8Zny@U0KvHfooqs?sRt=7i ze_5IP?u>M;-=iNsiIG+MXL|vJqoISy`>A`nG6bREe27-)50{= zTs!`TG6v#=7#8YdAm9v%2g~DR_eB1+b%4f;Ub3LUA3`H;Cq|YJOXub<=rfW8K*IJD zYwD5;Yvk$?fB|2p7N6n4!#(k5ks7OJai^J&v z+y0{scBFM6HH^s7`FS>vm71Cw*5u9DhDS+#eZ3nJDg;Sn3Oym0D?Sr9P=XVJY*3*M z1Q}e2-N_UC)y{W^JH{|IITK{H9RxW@O5 zkE^65^lCNxi0sXA==9~!^JkAE)@KosQb3jvzsB=|rY6P?K}2JZNe6`QIL$Q!VIb51 zqqms3DUy+bddhdVfgo4DZ}t^nD`eOm{Saa7cwg2#-tfJ#>y`NPC@NMsDG*Yq#?s-- za*!M|s@RmRTd+74rCE#w{X&U7eRHpd&P@AZ(%eU@e!ByHz%bjRxRV>VY1w(Zwf% z0{iBdrncyh|K@$kGW>=E_PD`K-0HarzwkMOP$L5yW;>r0wH+MTHm|*t1gUYB&Rjzr zPyk5Za6nTKVh$2IDcjf+yi^*S9;hq=)q0yaVt^Vc8~{?NYmzpIa%X2aIqOBv^u}gE z_i5403%{K@0y>O%#XeX{ffT1w;$?lbIs{oBSAhQ{v@rvFJe{3~tG=`&K_pBhj2lQ9 zY@$gl)=eBi+MEA@&SE|{NYjU1IeKBul_Ci@1OpT7oWW;}TplJKVmK%5;?JpAjX>;L zH5PE7&?-|o{V=pKXrgb(>QbrIMr-G;x1XB{PsWHLlkMCH`+DC-q5GFx@jFgGeeu!b`!>G>Ecse8iTyxf1 zlbeP&7n?Eas@lvU89cYZ0n6pm@NVDYHRm8-xy23B7ZhR7QlTUQoV-D%2*|X*%OwV? zW3ApMt{u!wYJs-`_-F zY8CJeHO*G$)ND@{jJPBt;k{fpowfr!l zPW)C}~;(mQvG*>SL2b~Z<*MZwT z#t;|)=xC~#V`b-=zaaPv-Fn*CR~!t{kf?&&CI`Z(NC-Z>vt)kgs2{B4G>TrHrX1U( z9&2ARhKhbZ9_6*LAiQhuKK~9>g*Nxr+aEv=Fr5 zxjn#Cb!LO@t1B!?%diP1UHTH0*swD8oi-5pHxdfv?5-qHsX$b7$T3( z#c)9a``-N0PpBv39tnKE2uOk>QNkEEtq*f>cQ$&BL(QDFa#vOty(=r0PdK=mm!A>1 zsN!=yy5uX~qt?lnBu;)Y(k6l9R$*ci(kQV!XDjEP|b0caK*)o}?eQ@1UM1Hv_)h9xojWzfj~t z#0Vri9&fN)vWzyyLIvm#Q>f$^_}^TB8rQ}Y2YfX5v;%aYh&A`Ju3=#$bCphx5^k#8%Z}J*fcmmHJ?uz7*wV1%S)+y%k3smk@J=RWz?xU7 zVbahx!H?;slvr3=*Fe-VhUD)_8;#Fl**Wt?l_e4vEgT$OBK=Pz2j(yc*sq^T1+H4X zk{83jkPlRPD`N|h(6EyVQ?iC|=>~(=4Y6U?3)ZE~w3WTS{2m11;iFDE=%{-+nJE{6 zkFc^TreNH8^@Oer?`nVQp18H^ET|pU7}ru|Hv&7lXbwGMi6H@EKv+P`jtVX1bgJOP zPe1bhY&3WgHR8`kkUGR~rJ6*L0wmCG&e+u(cend%e3}M!tXjU~2YGM+YeC2DBBJKY{2|-#06=%D>_k-8L7kFx42-{g z@wr1d;`Rzk~1^CTsWLa zuLU1o&s*vx2h*8iIqdPaHT?iSH9uzWN9Nzk?qGz6nX31M0~(^N!|s@zw1^Li5a*$i>Lc}yerWVGP!a&GXxv=TAU8bzCn~8;~GCaG|0 z&b5Hh-xDyM8x95)O+e%GmfDson!Z?+3y!;=C_WA`=Ijad560U@GiLK?|1?|O>(8>lwz4trOrlQP{A?_>lQUH)h7%o~~PO@PX(sa%H zYUlf)K~%>0{cG7oof;d2SplG%h5-ryBoPBZ6b6H{{&7X`Ed9=|o4zw4c)OWpNR+Ev zGysO5s$0!`cK-y`D(bquuH@+Py6>us77niwn(+NLP81I#Nana3)pQ#YL)zjN?}8r1 zi}ZvvB~Z6{YZHck7~wB z_H8j#SN2Nrw(tsp0npGQ2MiPU{VerBXH)(zAldJ(|8?)-2~L~0EeXHe0QYOyTo2T+ zP$WF^UwuJe_w(FfLEU&zpm>ihhg%b;3I>s-Rhc+IWQ!#{$SH~>Ub-=P55rgBn0p6O zV5#e_BzYN~RpA|Aq+G`)A&=|deV%No5I{N@5088FJK^nTNUdVc%R+DEn7EaXP<>7M zuRq~jCYvdJWAEtx@qJto}ZB?!$_zS_%`ahVTpgK^$M_3r-DerGV&plA|*=KLnrN$53cv2xm)^ z^aih$nO9EXEtb^j+qbM!j&DW$;kg07<%Jnh>U2@ikcIc-5&y4TS_yK^;_kxArTHf; z!Bg%$i>b|vhd+Prq#GwhZyBvefPsE^1^Mki*7iR;9sk@cid*E&|0b6F$2kbznGquI zbfPQ$SAYIbpaZ=WaQgNYk}(DwD#PBp`mE^S@bo61aZp5E3|W8=8h> z@AzB{e!o%8o(Bx$#!r?Mk)UHU*Mw59<5c|hE#a^$Sy>=50{oN9P@b>lMM6D`&@@lV z|6a&9)_r}PW0oVETTM%S!Mb^gF@q}zgpZH5`f*zIT6+<5glzt=VTpUovM~9mUmice z7)tEvI)&aq@ zt(%kfyxmGtmp;h7pO`rJ(_bdPr=ad!|Hxv6h^Tn@4tJ6b0w;j~l|^{&Ki3U>?kvXX z-}_#8%D?=$xuQLG-1hD}{!6gl7%?+&#vJnd-qCHKcJfT-=EUHu)c-$0q-*0>{MCxo zoUiSM3o$*5u0w(Pu^Y)QzI}lIJ6`HP zlVb*Nrz~sSW-*tp&*n%KBNw~qQu9`+3ga|LZblLEI3e#nni$iTEiev0!QtioYf!tc z4C|!(`?vossJ(|kXRc<8aV#YaU#*@4n!Y^-Psh7_(laJa7wympzc3t#ve-v4|ZAD8nTIi2A>kW_p37h2c4jH13Kd5!YUq&STwU7)m=@iQ&Q zcqsVY;q!a}`&N4J?i?^vyOyS2xA64)rr3&wgh}8b_(bH0jKK z7}lir`|>Bsgd=PB;iKj->jG{hA`LH(QU0uesfPnZSNmp&CLzt|)4V#i0gL$%{pe|; zA$x}1qgc$6od{o_=ge@O&jWWa-C9UF3O6rA-N7>sc@Q$LzIxvKLNZn9OLKD^2Jp9E zgbr?oJh&FtY3ci&lDorUFDs5GHFkQA8 zKY{Rr0as>Dcg1&Bfq`H8dJA4M{>5srVQrPe$4SCc+kdZBAj*Z$QOzY1+3|K7E2cVb z{fuN93BSs8V-BHY)6=HB!~t~M4(h56MSLOc0k@yB`dgtQ-$SgfYjzFXdCxz%(U@`q{Qc-KsTEW*)VS;=O#DrtE&i+FRYB&zPPu7ql1g;F5*MvI<*E< zxec;@<+E}rp9RVUMvuxSIOO~yXg`q@c2urOeg$kUaFmb9$e8MP8m#V?4t9g=D80%( zxC+7^L|ebU-@ffZK!l7aGbO#RC3P{-xY#S&r&`?$nmkvTsej)?K@nU zb3Je?bIU9eiC4*OCa=pR+-*?_9@hWvnDXPgR5@t-?78EtwN&|jGpG;~E(dOvVL&{{ z?0EaQxyekkcTS*fSw?HLgMJ4wST%9pwc+L^Ruzt*z z)-W3fE%G1CxBSU8DN{?zP0O7NH{TDaRC`z^CD`svj|J;@m+@wO-@3z)6~|` zL*M%rd6KV&TJQH|t3yKZD8iP<5Eb1zHFrzOPRrq9uot0sLuJuZN3))_jV&7V`!=o< zV;0*-!*R$zeDVNqfyQ(oJ->NAQLLX*ho6^Cv8ckrx-ONs#^B>cE~R8p(tp)E7@ohQ zv2BTzRs$NaXxW&`&_!qiOA6^9)?=zRDXSzE&bPd>8l1VbK<}QgpMurgug;`-e}+xu z`@ZD}7NIGjIe$jR`=HCpX(5P>4{PYK=nXaI(;OD=&Qr zI<}klRD>UC_7y^RRcSUFo+-FyxZEdwV(PMt*RHiHqw9J(PLMI3AmB0Gj^NVMs6Wm) zs;}nvZOE@>-T&@hS+yHv2pzrI+lTV%>9Fum-0N%PlyiKo>3*4<2#^Q;Y{Y7 z|F~FY*ZHfo$~nSO_2Bg^bp~rPpL2e}w|)DrKoZ%IzSv}+zGLtFa@f52*pd5GQ2KhR zt-A7g-wE(|^iu8n?yzSjWb4WhWHv4-0hY5&3_SPuV+zge9LPih;2Z`B0Bp-e69tDV zraEK0?MVm+|Al?>ysD#*jf+xGPo$a7U=6}Z_u7-TM08qMc)P4@Ze9EBp4t1_>a4#w z3n{JXJI6waiK*9oy;&W2G4Ss3xxWwos7D&?R#*2<>)KmL$@X=A=a8w^^PWkFUG+2H zILF?2#~`@{kCK3imKdi5c|@b`bYk(59N7tk|5BNgT%s zwr!aawKJTIR5f`en=NJerE#8Izu2W*z*Koq3@UVz+r45O@li5cuI?)BP(pQYL3wq) z92<8CZua;Ij606rS#{c4*y3kq-^C8)NCL-oYYwwBs%aQ_n%vaihgr~9Pw!5D14$-p zx9J;)>-au?W^hf^+ewEsH?X&5wZ5%~@{dufCZ7EDJP|+sjm0>kvSy7$9HMC++W!1^0;P3lKtg0{feP`!=DW_v3?JWBm(kR5xex4j4 za8sZ-;Z*o8FNRtJ1gmf;IX=yg6JRxmq0ezk3DsXwJ*Bj~V8*i1)y4UxrJ()qc}xer za6&>KHHo6S_S<5;T@C)j^NoV_N`voc!;-$w>$%Ot8yN`=rtxXbigZM*uJ2rag&`S* z1w73cLZ5w?5W_#kU{2je@70f^Nuuv}FQRQVrp(kets8*dRH63_#2>{Db@iKLp9-RmA={M}MjDslUtnsQ?EJaoiADWzF_8mq19z#kGpqIHW!KT* zFkbfO6jfc<>x+{z)F5=*YA`ys%Y+ws<$BHcC0(M98_Vy`r*n}hxKRNYU3a7a4E$xk zrFJXd$KxG^4KFqtlQ8y@Hq5pIHFR);SE9umzlDwGzJ;7!yC;{^!cwv!+1JY~b&t@u zcfa=(H*V<|0#uf(**(+F+YK-cn(FyBF10Vyj4EfHtYv8{&D4jyJ?Nt}G&in-`J?|| zFT3{3*#Z>7he64zxg-IjhCbVm;{>;kr0>1rY-OWk;0O8~t(L=?m<9;Ge8J)D6xI$BzA zdkAqDI2aLrJ!f)qc7AgH>j1uLyIN_UIeb%;5RuS zY6n{~I|;BJgkVx;JRz(rh6xQG@!Y?RH~x6&?;DT4bE?)713JtX4ooYHmc;(1!4q_> zXr{Ek`&v?D>-#f-Z~sg^`|Q-*%}EB3R{RzBCr$ZS&y9k>v%mG1TW%bq%yM$tq-8fZ zD8LeG!KCfsc!I9Y1AXkt`FT_0N?o}N-dW(eW$3nBV!Kboi3L(BO-9r5nFt2J^hY->y?7Z8Wl z?S};t^Q~UB6KAYvP~DnQIugKUZM@*CPkuZRu$+M9R z*4zIcLcexOPQF^tEFP>W9q%7~j) z)DMOKzProlx0g1xQ@pzy6D}hQJAFg1(re9H>HhYVTnwAMBfFO6(l}QqihMfJwH5PH z6x0eRSh8jmblr`NU5^JxcIR7{k9T{VA=1J6knd27Jd4v1Imgmmm~m_(m)cwt*yllK zE!V8$5*-^3LdPMRWD%=bVgcAA3{0%O(7O(mF?d1b$qXT{s72QG&aJa&e|-9TQ~f*M za4B}f!$it-V%x;cSg9YHG=C@C^Db!oL>-%8UEi_&Qe!J``FJ$r{I%Sb|X_XnUnqW@AY@N{tYM&&#yIe>0fgu>s73jaW{~#zERH zb8{-bcOz}<(r78Ec(~|ZkKO094HfP1*lkHY_4~T@3o7c3>|BFX#X3G~l>mE#$(XW; zZ)O$;x=vrdJFm{Bsds+t-+UWrmA19D1n0pknolC_W@nKYqE0z{ox?P#V`YH|*^9wn zegLz+)N#ttz8LY^&ZhbLY=Hz-)kN(-lwSoY^G`<``}^-dDx9^9|NJhVlXkm z__I?xB`T_k3kzygDAE~j7$L{R>z84vpm=cry7S#bbyAA*#r}F`pWOrk-GmW2m zd>jNA9>x9b_i(?zUu^Sv;pi5kU`QXlM2Q4C>kHn5P}T;RPklbzNd+wwy{Czr$<|lD z%r|te+auX#<6Ne`W_mkBxw zJRVac6VzjvrTAYjxXRc2wrNNqqJ-so99vxS7ehxxC9lL>=1FKiWuG zgW&l*mz(X{<|$rPNqZ6lJO8weIT8ZW#U#$TjR7K3Y~mSB>Tt`@zP?}b-G5kwhUZF- zG5Sy6=XtCTNC0bV*tdgQGU$gt$DDHzH6-{MmR+v6`Jgb*u%m?=Np-p(55 zFvUY3I-XApQvS651du!yW*V2T2mDJOLD!veaYVg*YS zsTFA8|M7nMHn$+}I9;P{JQiuF;Kc)rn?kCJX`=ph1a0(&*)h|Wqh-HE1Or?<0lSa1@o?Apr@FpQWfvFd5K*^S;CxyHNh zi+jJkWP9#*q7OwfQ-V>GfXLC@G++R`Fj7}^x+3!3LSA|!)JXa<7Q`<=-o1)jIGF_2 z;vC}ADZ>$3-;Y3&j2Zk$~;-Am+R-Q&g zLXL~Tu%Z*8BIjgfY6w6=_Vn@@auoa|Unb@Wt!C?f?TXE}bpgJn-Iz3gmMcnh<7==I ze!f&|((_YWA~xd{tS8_vH_zDCduUN*{GlNNu+}+27=W!k@HgRFe_HtR;`(-ZM+JyW z%UYp>zVif&-6+Y*`vwDTnVJ2&a&%=+(WExobdP~vve5e~_p{%v>7z0SdgFBnBO^JU zyOYsKjbLp=!*qgr>0d<2UY-3F2p|qQqN&*>32sSNREv+Lw0B8T-pj1Ox3i_u_6=QQ zybxXgEQ*H$BhsA;?!Y6ea5yQLspHyzSpV7c=DYfe$=J?medmpI_@G=ir{!wmdMjyg z+(NcjMRA$;G(~;!c=iRoz0qi#?g}Rs;j-UAZxNvTCZ+%e4nR!9u{LAL<#snuZP)R* zt7(ZEwf1>WC6vcwG_=nhxpE|ETEW8H4_1Qc-@-W>qNQU6K!>Si$BYI^KUPa`&dyu9 zTpJZ3s57hD`O&yFB)es-ap=_T);L^W))C!$jmHwtF=Wjdv}zBhXZFMKfxHWZqo=>O&dSobjrS!+Ga zju7%7f<`wI?KrfPS3QiaoS^KQ z)1XNNDMl*ETHd|DboXEk#|~kJcW@Q5eEe&%|JS0JQojks5W7~#h%;+l_{c1S0B1#I z)vqf0KD}VX&phV`7hSSaxV;V$RWYt#3=~H=@3F(55RnD++(CeCH07F; z?1FVBvLU&py7!Wl_m|7yNG2N_TYO$pCVp1akE+T6Nif!xoa)nHV|mE;U9rJ_pSPn3 zqON!I5rzjnR;z3^Yydzm+GT~aDmo%MMa-w=bwFvS`1SQI1rW#KjYwJJvXkzn_kMB9 z^9gJ1mP&mt`^C1cI^IQ{eH4U&#jzsgTjF>%8FuazMW2~7Cvt)9V0hl2YceV-9OYAN89m+8bAp?=(l1&e2mrVq zAz6{L08GinZkHt*$67pstJC$b0eOaXt@-Fb+d0HEz9RyxpYF`+M;eUBJZXG#ID} zrhl^dZ6=mg>0&Vnz3(^t18|t(;8dtDGVm=xooAE0renB3q&Zwv?u-LUKH5 z2Q&U5?l;^%ANSm5tOsY5r9>zvuV#qkThl9i3jwcWu-xow{Ktw1L-4w|lz2zg{v$xM z;`pWJ8#Q8XW^u4+E*R?i2uMH))ONl(XDc~|f1h-@!FH{{#D#6b!chjZ>-6pP&w`Q* z_cyMdgqh!B4jsse9fLBmUn3Qy*P0xVNOsExxMbqv0l8JhXaFO$pKq8^nKva(CYFuIPvIIEt2|DzvJer*M!UW?Hn$xFZ8K}JK)pB`Jxx1dF;vm zVePHsqW-#n(LpIeN)(VrL=*%>x&{yhC6#VOQW}P?K@bor5h+PQq#L9`KtgKh?i#vb zhMcp<=leYOckcO}bMJkKKw!d2tdoxs4gk+G*JDGYF+%u4maDIlIgu22~?aSKAkB1B+aGPRy#Xd!+pB9m#2R z)#-5xx@^S={?ioJYbz8_Ko@x8WAZ1rD#DG#UEu1)(gms-H(d|mACH8T;O48oR(qU| zPdY!nUuq&iZLe-`_N^b9+%qWMJryg_&u+4|uhGjQ8!Ph}JhMONc_hs5wN&+^?etcW z1Z%Q{uEU&V(>uCtcV=hcuG045X;o)kR7CpexT7}=UZytIvxZ=Jp|6IfcXCn0e?E2J4A_z+2ygQDm zq1tX(KChLYo)&t?-rvIIggZpfc&Tfp{) z;E@Y2SNKxglJdc>2x~Gh0b1MnZxUrl9&Yh+qfAwHlFnbh^Ar{5K3dyZ+2vx6%Wi?_ za^o`r6W5r^()u``j?1$gwFdRw@_Y)feIZh11pe>qy&WQsb&u|G_mk6HfuNx0htxZb z4ZcT0G>iGh8C2Z$(Q3I8c~4H`jK{?;Psa`-FU+REnJmCsJSIlF9CYvWOO1Qzqa%QCli^PEo{73RJE8=*v`5Wo9Gd5ss{ zI+x#A_wh3=B+rNFI3;y_mws*gU#-2yQfy4UCCT1$Es?MvF74hO)5X3i+q*=78 z()03w(ICEQWb_}41O>#s>rZSkxwFVv`hZ~NxHKbs(kkwtmh6^K@69YVx|HyRk&sh* zsyY(%RsmNbl?OISz5-DR|6pv^6UDGy?io<&x>n|M#&=z`aa28)tZKM7`sBiyUS;Hc z_k0H8!{X5_u8K19M(dI2%VSzH$UT+BgZ@b#=Ahf~e#JFHgqVB;)pycA19bl9|60Yu z3|_0NQKVu<`r3E*>vHnwD7p_^uY@u0(lub#*7f4vO%==rdb@>jaBzq+=kMJ4TdG}P zXSn5cg;MUt?6Y^Hi$58}+)S4Ya@BLdQzrgkn|#v)U({up+7HERiu5kU>8F9UEA}%# zlMf5InIY0Qd8*wzBN%EM#z#NhW?ExC`z7ytIv&SuaJu2xy1b|iZMcLsx~!9@^j1@o zV(L&eHR~UdvlqQIG@GpBT1@pN$H6=)?k9^z4H$YzVeJ-=MUFUA!^xCR%#PA4ofoez z+l&MYPk`-T0u;VfRxlk6H1u%AE`YbHG#|YYIUDvdgy~BxiA6exZyAR~WrwGeq^)Ff zozI)?ZO;Y!NE2}M#)M}nEz>4E-g8?|6k>6x zk5FS)cIP;XtCAOWPj5p`5=ZLJIw{>G(7$*4DG~XmM9bd4j*d0c3rllk8d#EO**y4xr{G|E_FL5tBUmup^r)V1KRYdr65M$h2C< z4?mT0%_gR6U7iW$4LkK`YF!5}2v0)8E#xLEQ@MA5L!%30ZC6gHT%~$jvVTZ?kf^bC z;+M#NW_Gr=bo5S^$zi7ju{lK=D9Ct=cFGdQD;eH>d52U za+_c1>6xtnI~+oJo{3QSf-~nZR#;`O)0=&bT3tz%`~H--*kh=2j;ia=pDyJ;zlzo# z%9@yZ+gLh(spNLRmh|UtT>XHp7JcChg?)U$CHm`j`cWHsa0?5A^bJ4H#y{elY`FBU zahDKlbdTb}}B+Vj1!cEwXL|ykY3*|1eHMZIk)*UYnm-tdD zCEvf7Gy3^D=Pss>*7!aga=xEkwzYn-aD`LX%leG6PgKO_T}5{bE~>DIzt`W2}U zCL*zm!pcpKNl$W?ZpsHf`Qu2R_0l1!nX6Wo;n7hLK@9!ky#(^QH!|~czlR3HoR({M zEs}^mdX;);j#uImr%6-th;BO=_i}RHzsaO;+2HwYTtQ^=W#nn{K7pmMX9(yOLA<_# zLChq#kG~o@St#1Ri`zl;>JE9&i!t6C6g&)f()=EpOX;t4u9`8Y`*|Wo1BlOYU*Oa4 z`cEFsB)l-p=;k-P9mdldlHiEjLz4E8_6(yEf)_(!0SCU1!?&RFa8X7&+@@br8ZrWk_&vk1#XgXSQ-D*9Yh!!h33zmx;t+f6fSee1G1>dtqpcn*Q^_ z652k<&@0%6Vh#=o=($%aKSE^n6ya<|K89pR>tRk5GF(xL|C~5+iX2?op3&fr%Nu8c zbd>MME%v5Ja(PyrZ!2Zd|Ev!=z=1&g+URPnmTh}du0ovCZ^J)Z?#}8%AQ0KaLh07~ zIBBGpdq1;oaHjDHbM5$2fG_$y+Ky2uAum!$UsM|#R#cR#w(F49Bnk`<8E`9!Cs50YO1OJ_PdmHx-W|J#LeRmccFi z+jMpn(}+KiR;5&^PfHL*3m0r&HWT{gz1&=mC1 ziy;vSW+1w$h1O8MtE<~>DuJ$*mi_5Q7wcN{$BU7YzOA>kz_wGE3lx0I+9>a&q~W-t zXc~^Wz!S5dEL|?gBUVxiOv~s17%d5K|?mLLqH# zjAB`x#@|dftC1;(k$eyI zd@xVlZgW#plRf-wl1%b^H-bTI=5(Yo#bYHQPT%QIoyP&#*vf6-1^dN*T%-3=l-y7I zSzqwO(4h%Wn*4XF&tT$=^G-d=7gak;;{O^54D*{EN`c$7KAhKx0xxJi98iE?BH_N9 zCGFgXb?5-5^Ve0L8=ag*;J(C*O{iaUzk1&#hg5G(iS!k3K)AN679(nrDPE*t{9fDD z7Bh7olISKfe`fc~6J*>&o&C)+#CAPuhT6z`4~KxB{O_IYtLB43DA{{cB*dmrc|eOf zS%CP7lEasF=zm6i(IR;+!*6pMFdG(~LDKzI0Jb%8>C6_M6#M!+o>2O78*$h2jOqi6 zcQ8+5r#iL?UYjs7y&Q|)S2t>r*J)B+tD?f^27nELqmIB4>UrR+ke(fLA^ z!)+nP&+%JJ;8vr7ey)6O+f$heaipZAGhRFO zKuC@>QkYrH89Wkf1j^Sdy!eiQQM<-@ZCJ~w9t@F9Ay(gY+>Fn>8(rIYR?8Bs1m6ul zk0zvLg$YCC^#B(bGG=s3{o2pu%ccZ}xQL_ix78;e|(;{Q$~iCG_Xg`#I&l7(Qy~we{tJ+U2s*r5UglP+@53`sfa_d*ww%IuZ6&(|>&!tYNj>Sd@Mn(af2-=w+SCUw9|6__SfH*8zeDB? zdT;ztNxghW<~?VBxnd8F<27kxi_`z?@nO8oVy9x%(B5luazN$C-qW}>Ad-z1V>2)m zl|tg2w!OXW)^LyvZ0LNy%eV_jtJd_-XFdCR7jRvslMXq5uy2ETHwXuZK-wOS7sr#} zMddAaz&(N4o({_~`8WgH43SjoIGG!C&dfKfP6#IC@hEZH;Qwr;~3T0YC1MlXgo?qg0znQG7rafY4``r+$CIYQ?xl661Fp9#KA zUmMJ_A1nSgUiP{?9_r%>b{E>%m=r%kmmwRS5=WNZ>_wN0x0I5!8&q@ng@xlW7HYP+ zN?KIx*HI7GDLAv*ZyH{O{JJ04cq~Hb-x^A-hoh8Oeb6h`cvN7Y>^9@EX4OAPCOo$R zoRs;NfDh(^?vW70lA%H=m`|%mAr%L_ApTm~TR!#__k|Gll=zb&L$4i)jZZSP;!F@- z9qpg#sv;4d`C+}{nlS_)d(+Xv0+B&xJ>V&e4#G4XzldS<0^O1D8-g$705HfFNaFW# zVoVHRNSv1{4GWgvUE<*RLz^N1OGqi8O&}NV@Y?y^7{Q+qh;zJ_yo2eC-jB2{sKd*0 zpJ_?VkFVEohGeSs3s>|=!4ZBG9eFtQ04cF2J579&(XZb9P?_Q_d$?O9={;lwzlfq$ zB!Oh>9_GcNm6jfZ7uP`2R`#!~-dTf@;}S4={)YRU&G)w;1tl1a$Qeo=(?V$T)j6I~ zz{-=y!9M7aqnBGmtKi;!2^LAE=EDQC_6*+MEfH^{Yi9R;lGPtbAlYiBy+*g+uozs;>O6Z#jm?$2D%*+KX+KKjAFGk!>5rPEyLmG~ciH`d7*(XVZOXQG;iH z%(IB{)pdl~&m!FlD-e&3Z{YSp8eC~4oaAl6TLcP%0IWzW+?RK_Sq^*M)y4$B--yVY z^_4L{v~bb@g3=9so*{EN6{& zMTKQXa1agDQ1oKE`=Qe0xY09*ofrdBGaQ=iG zcf&B{K)x|96>PH6K|fDo@7`itd9n8a#5&tOnX6%8MGW9_er?b3`}S@io?W-Oka;AG zo=$}x3L&|*Yv;#f$O=ySat>S@&fk#Zrq=JDx+AW6?Yvsn$AW%{CF{5l%R@Vdv)I=T za~rcpC*UmfDcI2nI9GZ?Ybm3n7`@z7ChVaQ+uRAr=}zNbeIup~<}&(C}JX-uYXP;m*m&_OIPrZ@FpkJ(NpP#!PXm{rFgrtozZKn+M@dWg5m1bqG_Z-#a9||CMc1- zDudLZiB^eu8qf`A+g_{h2n6jeeYH?k0p`8p^WUQ*;`uCIzn(imrebD|F87Za#8TY1 zjZFI>FEhJO>0%{;O!^*a#LQ_|?EjR*dUIT=AS&nvwE2&g8hjbC3z$Qvt7$Y5MZuwsB8yVPSm@{$n@H zGEb^uCAV0ja-tZ@Pry3GcmEIdM`y;7j?m*|1Y#*5tmGH3$yrJLL6uU~V7 z5!%b|-@R}1zNVN|CAV}R@XF}{=KZz+8b5|z4QCF)Q!Uf$=6?JD3p0E@Xbo^=eW*n=;Pyq2N{fgJma z;Pv(`L2)-^7#x55TBKf_zKCv{bsm%<6W@Kx?^K7FswPNsY@1ih+CJH`$827nrLL|M zT^_0+8C`}hP1>9>hgsI}-2ee2baR4_Ph&E#NhgqPkbE#eC!}A+P`?uRP}pJGHJp6g zm6D?`F{)Z*a1+1mlTq1*maGv|H-OxtV0j<*YLU9ZVFiex8Ugj%Dnl4z4pmT+M?;|r zl=wvQ-dAx;isG_W7U)0_S#QK;^s+H=|6(Zzl%e6d1rxW6g#wFtY0pI^Mb>_}7F}4i zFiU`sUs%1uP&s|vB?3E!FN7fNYu1{W36G~>Q?Xo=Ozv|bKpzb|4YTIkP}_<3t)cdl z_KhK_=SzAm=m)m5KB$J{i5Tq6N8vsb2>4~KQ2p93iDMera*QNB-;a#}1(nki#3+IE zZZ{tf94QtF;@2;nXg)Hxv#rJiKBNGr)}?39SCYMB%l0Z>lN#=tY@~uwjmdNkHFQ5} zaY3cP2(!>5BqMhP^!#>{&@V8+NU=ioN#nlTFS#XAHKR&CEp&!_uobA5{@yV&;XTDM zlg5jC_|m((Eh^zQmkDAG>l&0ofHt<9CI)X9271TZ&uUKUg^dq6ZsSSMj|)`l6nKWB zG~miN3h%4p$78ZaSIU!<9CWs^;qK}XvtQvMrOh3m2H1g5bS>F9RQU3%eg5kDI;sC_ z>tYLmc9KCPGa;a1+QZg7fx4)X`Eeyea=+tLO+~`_99<$!u9yma3z&xwZiSZ)AjLfB zUJ0RWS4k+fAjgFvx0&Epm*=YFxb5_Ozy^>6!5pz9>O6ZSjJd{OnU2Ti{YG*^+*HAzZeA` zJ*x6K`$Zx&MUC6!gSt3g2#o|lO6EuAq07H}0Win*JGzaRtrXp^7pK%kb^BY<>^mUY zgjSh#9oOz~?^MqIM)+)pF%uekzTE)%K(sq@oeW&BH#q>L%d_p0zUOV>Joe+Ite5J* zT@7oc&H#Cs{+VW_qo;}eEd=6w11?tFL7CR$rDhTm63b52149q76 zwW=JED`%SCCw$W6No7j}T~GkR{L6~avq*&GdD>OOi@bKmvsNCa=^BanD%1>kC%Nw? zNX53^&u-Df<_UYV)O_ZNEw~N6CwJhD1>=Y&?65m#O;J!J)XZ5x!ao#z~GVO@4TMyyB)^=kBP%nI`8N*<{9K zu$yI6b0U`NjhX8ODS~hNMbpoM$)8(dw)-2TV@Qp`dySqGU)++MSGk0yU-taQ&KP1@ zBO5p8ju^%`bft&l8~Q#V^nd+YZZtwkt-X=ouDSR1Mo`=c9!~-B2!0dhtfe=^D?Ddj zS`zqzA4-Yj66Z50-v-a4Ale9{o_QkI%fl>8#m{Km_4YXI#ig>p;T-CuqR;IxmzRM? zk|#?zau|(;pa3tW>p?!m&H4&)Girl-RvuOO2og&OSbATwe`{9jE0^D$pfd;DqxC3N z?$N8slrr<4>q7fpLDNKxm7NcO;W^i};q|dAiwlnP^AaA5RTxu3{Q7^WbF{*cmbH7| zVETL7g41QHxq7DE#VR*tkj`|aDii|%BKR>gN{y~r^b_-l7c;h8xgJ-<3I^(j@5Sb) zd@ju`#r`0!t6@29ZQ$9X=36}pTOqO8ynE`(2xl(=HLihpm}mkP)G-wG3$a`;(Dj&n zk(H$R9rA>o-3o3W-XPMNitCgIhDALi4{4X3vnubQXTGD|g$x*9d0bGTU$6dA5|b2W5+ngWXUBm% zUPk1&A@D5aWY7Lw4Uw}3%*767jzAK<1{_)L3u*_zj_7-?WnxLy0A}IiF%vSe&0-QEy7CqZ{d=P#48cu^T=M4B~5Ms?0k-f_$tRw6Te{amo_wC0a1@pZ_{f-aMwD0*|JCAlTGki8BB_$~}53|5?xd1q@WFdP)a$GFv z01@}yEs!{9m%JJH{sKggA-Y*~TNiijLqdPR459!7izROWEkn62EG&%9S6lnD zWTXh0c(np2NYsvEWvDivdG)9a`KflvIdqn;c|-XJx!86K_Q4Wl z;IrtQw44|*48+^Pnp$JLLIaVXNTJ`I|{>bQ5#P@#F z+1KrTQBxiVmfW?x50W0e-47uQS&9jt8SB!3aP{n}mx27w`Xhav0q=`Q65)eoC&3g` zTbKSs2MZ8jeY~G{0izUwbTL@bQFwjWdIA8%Xt0QS5`}g?D{uqC9=3qMQWs1Zbo)-t zMxhL;i0L_cFM&0TLG1V-6?5JW<`1}H#rQ`oHsWWSpc(G0rJ@D9QU)>=h*O?>wja*n z8Tg(or=AXFHSC4k0~-72bfXB7WN|4HfqsY`283u`_ot1Hii!$g2RI&&zR0|RkPcj+ zlP1>(MWsOa9(4knFe#us0Ef1det>9PSkJQ-e3k|inA0O&_%Bg6_MfOLS@oZ&Yb1aL zV;|SIwt9Ao-S-yS3j7McJVqvhH3X>QWIGW;2m{aQu)>1i^_U4UDf^t8xJ}KS$YDK> zKm_SH%#>hj+QruTvC~oWXw3kz0Y}V&O6DxhN$sf2N+KUGf+9@E0X1>leUhf(AuB^V zAj|art3D9~qN_>wWo~tC?d|bRNE%nGNwWN8vW0Q=RN@&m*(-WMQ$!R4e^djB!h*d( zbKHY6-Yf|gjEd9^ugpm8=tkxo=y1V4gPu2P;e}%qFP~Ah6(#q2T@ zUc=jPgYOk3^DBSmX}*V=pWtaxJ+P`=oyes7KX~&WKaA;obCQD0 z<;m2tBDnSD_rmy_%;~`c`jL2P4u%Mey%TCvZMBa-50IK`ok;yLmUf#BvL~cD{17Pu zcQ!w}mQaBx;swF$-ngS+d`{2_N!3rF;CaD~=JS zP2JdOL^re;Xt%L5tXa#vc36GN8=M)!^q#&U4e%6F3UPCUw_c>m7#Y+!gVI&*;iiU` z{;Q1JI8u}3ypd9S%SkPtBesGnuGHWewNoXjQnG1sYKc7g9ztDh%miSlsGeIH`=fF! zV>IK@70U(hP;Fepk?P8YQe-fCnph_+eig}DzrHa&JYwH^s^r_DVy$OXsrc;4Rf z#P3!1KNyx_w|#B&q3+>urUIyDfyYzDJz7vZHO8&e(&XN&`6ot~U6P>IxWDvA%fPX< zIh5P#nE&0%s-L~cgo2UL>`G31ssvnjsPvx_chg{Y`7c3(?r-WGB&4L+!nKuE#m!dj zJ*V=Jwx^hEiy?N2=chTp%Mv6gFF3<>*7P46l|H>#IPVoPiFIo{nEBNHQ8Gpca`zxy z{VnvF{0Gx*XukDlN%K!G+?fVPX|O_z6>bqVuAN-^i%5 zi{)G??*?|eYplRwx((hQ&S?~3eEQEKG!6ou9HrZfq@otQXY%^*;5a+lGDwkW*}v0E zmEOpEoMf9r_GG2{IbiU0jiMkmFe@pHuiS1V=k`RY%LWg;cAkVl@xuhrXf<&6EN&B%*e;`jOE5nh=L zl-@Hqx;o{(HRF^ynwe#*eri`C%$A{vkgnSLu1Qezbu_Wk+3cJOGuQVG#x>`hs^;JZlF@eJen|_msXK4ymcs zgYZUt+~8kzhm^EqCat5%MmYzC6|i)VM4 zL2vy}SqFbEwDc-E?i%Fv7wZ6sr#5Grk>}fkqEXwVvxz^(i)cra2VfJ7}p{SOpB;k^-{$c>pnHJ~PEFOOT8E_Xqp*f0mt zBQ#qF;3nYKrUA}sj)b2t{?C{Wz%S4e05k>$m7yIVpdUm7#_1oN z{N^0p5Y<8^DGu!gm=#7^TNdnd*Qy$3VJgp)qa2}vMFds;bt*tQ=DvPdt_g7QmEZzA;03I!z0nknWobW*H zM>nX16Z&Jz1i(otgbfZ3^0{t~F%z02QZ9h0NL(Ba0>%)7eJv%h>jrGQ39K+Ffatp= z9&`t~12BiwMY%MD!MtY;&Iej#OkTfc_7wJ=imxW9UzxTSSpaPj91T|hUnc;n%OSBc zzF@U0&H6ta1O@Qm&B5W}7|WBfcBt!!j>R)FNz4fX*=~43w4Dg=!xqz%tg0w*r2)qZ zx>arjgwY9cPXS_U0=NRuMpOZe`t9HkE}c?SFnAfpo4W51wFogVrk9e_Jt@7aXy zF85?2i{OGY4JPdocZ68N05*mnm!%q1IT(i{E4#p|035fV&DbvRk&K}A23w5`7n(tz z&0?U0{vhF42<5qVsseDIg^!uzo@0>sDxuAEjZ5?UYhdrV$!Zu9YnpBJH*=Q`ppXA0 z5oz1vD>Z4wxb4_=%G>m-6XTv=Tgyr4&o0<-*juTn%(JWj7Tu)Y%ziA$ZUCfT#$tbv zS88W@fYz43RT{uuP;u$<1I%28fYvrPO6s#$?KGTm8`SXk!<+o^fB~|5d3gcm3FCUa zInD~T^G;$R6TJe&EVA}J8bHXp9JQ?8uL60z1c6a|9z~xKUS1*F-BcPKN>h0St(-{k z9Uq~8h4opY1h&^pHvK=$lE}fxyzSE0XQ2(CSpvk48ndogCP_59rEwgrxyf1nvv2|> zGXFWS0;<+Oa#9&OzbebR#xFWrT-H#ML5%B)UuRG{O6|dibN{M`EdM3z9@q*14&aBNy?7bxl@| zI!%vb(><`59($tW;3sjQ3!`Wrt-)B>G#JpkazH9@Gj@p3Rb!Y?ONgD8QUYAX(um=f z6b24_Ui|jQN)52g^5;t$&X;*c9m>Bl{d1o3nN>w=@7^2QdDkz{_JdVt#JwKS6y#^+ zq73nA9o7=^B7*dN)V=FNYWCxgyf{eNopZIWtd5-Y-vs5fuKq_{F6~|NYp^oaw>lv6 z`IWuj4ynoXRmX=|-AQ>|IV8IJ8g+*b$lE!$_dyd5?mE-TP*BA2XH6^> z!HUBVan4U-L-gp9BzHu?7&Rxb9Cf&}kQYF^*pu&pBd{MA7OW7#cif;uW~gwysrfO>n5+(lhxGk<+Kv4x3j3Ajn-Umb78v8N z?C-b)5a&|o?R@;d1yoi)e7^{d2S%}CU{rlH|LeUV*lI9D$^aDivA<*!|1UFa69luD zVu+E?bjZ->MhXck?i6P5gfY}ts_P1;|1ph-6|^4dW+ogd++u2Cxv{7&35xB%R)K`T zojGFCKZs29+StU)OCNL$AT8VP2Njxv{;)>@g`csNr`OtF=CC&gqRa;}rM;`4m304W zRN*&@!q2@nkwLWMAJ;4?oV{5NK7|{PhdWowV`;Xhf93d9+lp0!vit6XSYtR^GS46_oLCank}MMRuuR zc^?=Db1+trIx7=MbmwZDau&y)20}nFovj1h?P&4dd!V+3HJGTiT~rNyK}KgLRxjUm zK!a%VLq0cBA=*@EyZw`R!!G&?x#=5~Y>HC}j~t7d50!`)Ii?>ubhM!f_rkMP%$u+} zegZTN6ifl5)RM4}Z2?J4?M=-IZgA}PeNSLw&(-|@nv-8m@fBL| zoSwxzux?1}HK47}OTFM%fp0+J*w24&lA=0q<5=jfEgL1U6qzpa*ks04MZxZ;@5N;f zsMTkx+HaetTLf?F=zC{7)OK`mp+&C%8nF5r3s?uLIqKYTl)y@DIVcd%*yHWG->Te? z>k`Q^dQ)^rap1<2jowhru@K;~PM*0Ho}}uF30!vaMb|ovHpm=5pkGhG!u<7MiE8}f?9%cJd~2NvQSW{ z9_7}2%bnn^O`WyAwX3(7%I20RCtD_j`uV1{P38BR7P&$)+hb@K6+Tm&hkqI@t5laS za#VKfjjOJG2J}-dhF#B9Pd|y~sz*cRJnYKtYS4Zm-v!1bZiPoC^iqX_*J!$`Aj&#< ziIt&NA(%vw%>C`IVM>W$@t2to%!o4P4_#|Oh8*Gc92G{JaVXNy=XfX|-JM{;rV9G- zCa`N>R9_UrK=LvFxaU=*wZH;@-K`;${RHZ2^gCKt3BIzqZhnuBAz`Dvih(A}iqKCI(Q8j7eJ72kNF{K8HYzlwke+H;|E#KxVm;`oI8yt=_I4O zgSU;sUB?(Epl4OuZ8tO-9KrtRHH;xn&qW;o_x;Jj4d>oWYm(yqr#9c#w0drUDd z+^yTZ@g8e8GT7Fo+iPznNS%h=z_Umf7cz_!>8GJCnxj8@LH5~~W6#DM@f0I(qA423 zG6@DB<>}>hAokF(P8-a#VpJ5zS=yFXO6CVg%lI7>#3sHQ76rZSH z!b$baG@aAy5GguG$|nHh=Bi6&^dHd3ORS7@BcX3th2xUa>3arV6amkY5euYFlR3dQ zo!2ftxjaReG*r!DDD^l$oG4lQO zE9qOVAt^GTXP6l43n$`kVSRNv(0cqhwsA+m0*@ckC*1F}0)lr-@SZ@gu}L~R_+m@p zuW!k(?kCDiX{i!vV8}%5iHlB`y*R)FeoYs<+8ti4A*ueP4TkRpBETumH-KsO3749K zi1Dgbi4Z5vB(POTH@X=cL^9s}y>sWX(-&#EBcb%zw$UKvS^G!c@oIFU6I}zq^!!}! z0{5yM+Z>cTF^k6)B!y4wjM>-K&4Qi~oe7|3noqNbvb@JU#EvaZ0cXv(-5Q=|G=b zBNmoeDP!l9_dm5yrC~$M!+B6Cfb_8aJN^Oh?MF(j=^dddlh+8uCe@-1oFYS^K4|w? zUTq6tS;IOk5@s;mS8_nbp#KSknluwOO$oX1Jq~)3B&=*RB0yvV9yUg3K_O)s>(~Aj z^K@#4f)=o0n;#qVu%G`X(Ee{{7+TVk0s^ZXXmy&YOX~ z3E@iRuC{R4V95Y?f{MVsj3U%6ZEbG$(iPGVDKZ621;;;TbnzrTD?}?s8lM7n3vy!T zY!;MNP`qC4@|$%fsI>=7CIcIEEI(yW$bPEj;4}Qf3KN^CS4F%=$4CrtsxEpsYyW13 zb?XYWvGrP4S$yHHdi5Rdi#+Uy6~1`W?pp0!pE=O%RIOV5`87&Gy_nbLMP+bkS}64b zVUR}*hrzLb5iqBrPk+4>O~JkN!tORth4*GL%|-6c@ng!HhB+4AyLa#$TJAr};&|e{ zi;l0bRa9}uwYd2sdu{8^1?L}e&xNn*1F~`4im8-ZtOuS{_TBU_?c8elkaLL-7rFQ9 z0Y(e!23`IKVpy%V1U`VhsWvk=xoTRd+q0JRyq#!!W=bGMh8xY0K(T2UeOMi(iyUap z3>CKE{?fat!>*AM1){It#QF~65*`mQX(%-h6+loVpR27ZI;R(h@fS)aiZ!L=x>BuU zIxHCLJBNxGzZoBGz^n`LcnnsK{^*;}6mve1#{V}?ld3lz`O@)#zh9K2wKL}K!Y0M{ z$z_9}+Qm=Nx21!1w+v0C?Yw#I2P=9ryKWj}vlMA(k_t2qX`}$N=a>4YtMjV_31sy? zp!gHB7~#j~Z(nuo*9s*&jUBfk?tV;M?6;~Z`O9``o|fg%c2=wC-Ze}Xq>!?hdBfeo zHS+2^2s=^Asgx)d#qLK)so^Q%!hw?9>TAZCQ+)j_7C81RTbl#X-LpcLTME>T@~l;} zuQS`akMCG-$$4Yin2%XLlEpJ}8Il3@$ud7IjWY6=`HwRtAx_))u8dMu!0ii1tcfl% zI&vH68gvy^qN{;heesW5H4U|rks%SdTuB8k_Nm5k!P5)eGw~wk>0{5(H%tXdwLFVF>qbI0g&TNHO-=P~R!LI9 z6jE2Ef8CeL0WN<27bLEEi(I}u)qiSU5q_SMu*Fb)MKm4T5Bf6XuROG2tP>HE zw|=@w;WKEwdp>kix%}x3m*)#Y*TZ5)!aQIs$HInb--N^ zy3y^Ot7;;eQdpj`3P7`{HejTIYG3XNG-v1#PO|Pw;Y5XPWy-+1 zAiu9s*c`<1e;=l^v1l4}>;}^HGa%DrpNffiDr7PfQfW450;r1K{3Idg+BaiRq^l%b zXo%*{JzRMZBfpo$QGbo@WJUqO^1P?4b-DYe$xNz1lHuj6k4)*jYF0{H?%P{O-|+qV zTmC}O`N)ND?%VniZzq-}IzLe;4t)zUR`SjcT;Bg6$Gyd!^|x4(9PKW6p`7=Jj>p1t zEhWJot?7k9CcW~_9~s#}0H`ydhjuAix!x>@;?DWmZv^B!_O(D32AfKvrm`s)?RW*kbrSG-K6I$vuNV$wCNVfINV3}dcl9RcPDv| z#nT8P`Q^k@@l_rmD-^ENG~AbIkQHf{zy5iauPn0_A#rYVdT|?4e)C^w_$keGJ~sNm zg?>eEvFZ4P*;cXdUb5k@JU_1{2%kD26Fz(nzJ*Js^=1Dl-QViThxmZ$=n-`MnsAPL zoBCVSp?DrWA8fysE|jUhU^exc=RIY1Uc}+c1PQ)O$^p%I)f$=8foIbTn0vKtAlh$G;`<_Me)94HHo1brck=*{eq!1~RPhH+=^lWIchYyRNzq1<^ z7Jsj)&Km!XvPgC<+Lvk-u#qPb%UGDWn7RN`{)$D=FWp*4!$}oHndnD|R;d(Cv0&Ov z8CpM9t%|s3HFj23MZr_SxJRL9C9ffi5fJ){V1Q(&{6%~6MWr?y%b827;ap|m)+uS}+? zB9nM2+3p32Yo6zvPux7&8Iwbb968v8@K;2=YM=PmqHB=yD?%)|5SnjyZatbX$h<-up3?pjP_eP1C<=Nv z{yNFLFWihbhLBFfi&FFPEe5^xTQMg#wW(gjz5+OqcfdEi{w*t0ILiG_`7hu%{A1}3 z*UT}F%Vs2?9gh!(YnAtc7}b;h?@ZSi0CC6`OMVCi&xfh)&@UkCSj1+;mSo0L`fE@V z;DL!%!D7QEzy4cKIi|nosUnS!ioUrfU;~6G)(}ksiJ!^r<%`VBl>e!yGoD_`eU21m zYb+2{!4U#|RO}!OM8qWUa2oY-=c@p>ChCXfUTQ-|b|Y-+9qQO!NfAP~XI*p~=g`NWsM_;x|WyzVlHw(lhU6aN%V>5`+jSgu); zrJ`G5xfl8ojKP_>7uI27_R|!9aP5pzQxwdyPqrqOOK${_*qd)5QgUnmewsxkR5y0E ze(g)kPa#M76Zy=6xw+SHIJ}I#Ia~9=gtFf!v51)i`BG*` zB2cIg7I!>)-`&~DrOldde30M%u9^2>!wWc4TRAY%NAF!jz{^4rp7hxr@x~`kF5Tdy za4d^uNw(+pRuBdrI*~HA0#Fis{%_ox>6&VF=CnOi))#4|_d73qkh2G8r@r)*bY@xl_k>foRp+Jb&mv>#k64GDgGnQx);D$%u^KCndq;o-5+^Bj}a`YdsGT-N?UG z8(2;yMoq2a6s+jNX_gP3{=;!JM=*2x^yW`ZAA0;S+fGTb7~;CLe>3}Am}(P9E%62x zU=W*#ofxp*Dhf#2PB@VtUaQD6PjL}_$uE}M^ z)>?b09tHr|$k60uEoh~XmsAKsZ~z;SQiui^Lh1~=pqcfwNz+vmLPvBQr_dhejCq|` zpIP*aQiD`JI|cX=X1&_G-@;QZBqGMRwLscPL&YYH)&GA-YYu@G4$!MhS(Q`%FFSKq zaMVx|76xeo()`YfrC1p@4_=r4OU5>vf#1Jk^L+4;GPn=M#lW49rY{HrY3^Sm>!ZPj zeut0&#J~Q0^j~q#s`jfK?5UPSU_2lXjP=sI3dH3XgvE*MU19P6q`d!sA81GlDeSrB5eSrNB-I)d#nEB$MCn!XM61tHrsMAqQ^a@xPyaciFK zcP$Aw+0&$k+1KbtS%S{xahZeQ`=fhURmCbNh_SjwC@gh|ka60AW&M)yv%ZY;>EjyIk;}cD>ujfM8D9P;YoWrjK@kG9v6AfySCMho zKxXZY%7&+xytZ9BMvnnQ&Yrnf3^kdlJaLhbP5^{gdBe=i z40za5>s05lw1b4(4n2Nxa|8nqB^+R{l3)IsxPNVW(WgS+(es&Yg=x<#` zS9h>%MfNjDf24x{&jej^(U+P~gPOooklBb(i|?re00X+gJV~2&XZb`fyc9CK#W>r< zZvMmApGH{=*Pmxx&x2k4G4yp}Z^{QWvu0osm8iaX!+26odBDK76D@3lva{NaLQ!0g zJ8qDxMKn;c)#wn=`!i<02MGys4mUqlYJ`an?c#^+eWQx)61ZL6!8|PYKPY<(u&BOw ze-!nrfJ!I|(jo#5DcztTAg!ddNOyOtAS$IWba!_TF-muLhja`*z%X#v;P-dVx#xfH zeV)7YLD_rFp0(F{*LwT?q&CHxgU6QA*a#+i)My|3-^Fqi!U!~_j`-2|#NY0)DL{XH znRrvj{?)(cZ6HAD#rk1(rGob>cArnp;ev?~2speUfPazXPfZ9eZDMF@F~S;whJ_41bZ%hhMuA zr2E{R{AwS509}}c#d~LAwcVx|*dH+mLa)J!QF#*T=l9sLYvB!h?3_{c zB%YiqlfuG%iw3P}O(*};r0>XvG3npyc4$xhHYDB6DXapr?$`awA7(;#el$3~tvZ2! z^9peKsr6^sw7Vw2^WzOHN(}o?kam6R5R>N>nAg_D4wRO9LrJHYlC2*px($mK8F|z7 zoi`qi6+^hUg1%cI9gsHx9M4_M)aAPhKtc<3@96#lwtRyJdSgP&BY(zdb>bh7$VY|izCHC!<>dj)@IOAyho3C3Lr(Y|E-st#9zmv zO#9c5+>>|<%Ml7nh4d(gih5j>XB(Z)#3}GwdMML}Kco0r_=lVaR4>4UX*ru0WDg!m zm;Z6>B`vE%>7cGOkM8S_*IQ+jd$!^^M`R3)dpU*Qe`xVa<*-p9=be2-!Xgul{NHx} zLsHdPD+T?*?5vp!Mw+(@D*1tYE0?eTQ+Lf~vtAI|kNwD;&aWB%;ub7?P-nj40&pC?n zMj_5NImP@z-@gSl%^% zU4Ier-#yyCv&99s#SX5*I<>8}>r7l7+Mh5b#|if7zXfM1mLv<%1ATi{LU0*XW^LnY zjXOf^n(hh=gt@*XPg}W@BqfxLWCVvP1jFx}{5uk+YpS0;#pC_L>_#ycVou6HHk81$ zO(oK;jXm?}fMOqLvoXIN7a@U}fah>JVj_`1nVX3rAhZmQjUg|~Tviv}s;=H>>r1E! zcPb?+y(W!=OJk`&ce7oT zW8?Ra$*9Q4OeiBO>(2TMTTKh5ik?h%uy`*J<)BCn$onlns!!iz)dKneR={vNL!wc>Tyf3h2+P#A>iy~e_?^>GPS=$&)4|jsA9!8R|iK>iez7L+d-|!Z~ z+(;(q_YeU8`s%i6wF<(s!J{<={hJEzczbEpQ3gxS=}!p^^`9|d*K;bs4Jy}Wdwm=9 z$*~Jin1BhsjGy4OlKadVzL}|vDdBBL+0a)t`d3bF0?VBiQSC)Z;3VA%y&T>Xajg zo%6setA)>i`C!GTUd@EbX=G2B#SV{mSMUwdAy+=b#}E63$ye?4MKL1ye0hsF4sf5w zefPvkg3^UnZsJWUE|KxC5woyZn_e)O?`uD0J(!7TfV^tMmC1)6(>#|^(i zEu)^*{pzzUiLwi99c8E*nQ>jO!iSNxPAq79Yn{_)YSl>$U;;1tc};;QBN5cYPz(S% zOj4=!jDXhRp=@TWn^t6DVZ8A0$Y+`gHWn6nh^n^s^w7scfo%pYk5Fa0-s1|Vhn9~4 zwO}181cG3s;`cAL=48nbrJztRSSjvOj05pkP9O_LwK?ak{A_9w7dWeIH;uMCOW1tM zu&*nD(0B*#VKIdM1IFU(lO;o6j#}FKPO2CI@Y%tOXP3C^_SM9sCU%0Q<# zWI%|y<$mMpmPSJ;^%i-JF}$4{vpg?~{)^7P()#x`K-L=qg1IsMldDqxlJ#o=@`wH4 z4IB8^MBWh1oVk5&?Q%Z;a(tZXcy+kI$o_prjTMm}j@Bs>R5b}vR+LwZ55u`S-!RYn z|2@A~XWi8&9dYZkFptw=Wnn4E&d!zywChi;uaZ_&d?@yS7*wQveAVp|?1JIm+P~CS zS7SUEshj9>%*f2lV}Erb`s$i4H2QBR{UQcF;zJ{EizLN}zf?A_B89OPu8GXr8ylzx zgEgEZGnp&kdFF}P2fiY{R}`wI)zT|majpEP^|A1=agx`;KtbPD@8c^vPWXD&MOjeG z%5|#NM-U%AVVKB{gBh3C!>jpWo@*mG4l79NxSIE0W)dV~`C3(lSxulzLO1Wsv}|c# zgD39<<|9~#s%Kkw*w)uUWr4NN;2_vqCyXU^>Yt@>eEasT;`X)wu?kPLFy|FkeLsjk zofLg}xg1D-d056iL)7!_bR>yalSv)v_#lCeDa}VQ06a~M-OS|35vNDfB*vqd4E8@4 z%ROT8!{uBls6Jr|LKtC$V!1oPYbV0)mn_1RVhpv&1(Fp#FC#@Mu(`$Rv;OWOU)E9( ze|vhcTIKMG)$dL2n8|v~0VbMwt7YZ8IPq}cQ{ek%26qL`6_26!O&l=G}G_kZ)P zLj{)a$@R;9joH3xx$2jgLk!c_DkdSHGXHDC+A1k2EBnLc%1f}z_t6MZ**UMp6qF`c z<-uIkCCc#fV*gj4=Z?ADdaby5EMf9K6eZG<}Aj`N(+4a*_`*(NvMo<)852J=boL z)#DXJzYj-#vK}O0U(4)MGz=b!6J(_NrfEKpst^2QEcR>2*X4M#ivFJJy9Z_of$S=i#_jH{-cwu7t2 zEss2qTdwHk)uu+;!o*9WfTo6%U^vKdUEk|QUtG!y8&ncrwAt0fUwR((Y4H|}ISISH z*eKd@FV0=uweWGv+~1`Ej~aDz=uL8Pdra~pQsjiKs4g)s-0ku+!6wWbS!Bb+#^%7E zFsAK-4)t~~BW%>K0>woeilThXMvwCIQ`p$to2HPP%X%Vb{nrDA*6nI-P)7+4MbQj? z)hC}E?At<^SXe`X18WU;mRS^B5i>44Hq$4+>Rluxq~_qeKSj?kekM(y2TrWUTa=B= zIkgpN@mMLz^q_u^!0Wch#J)06d8H@64=s#iVq)r{KVT}lqqDfA@5{UDqh@@F(J@Yd{ zNXC#p0WO}_@q|>A_FmJynk9ci9xe1|#X3`t7&6Nv}G#JQ(!_d4S*RIPY8%#}es#b$;El|{U>Nxw_ zNk#Uz9QugFwphkN=9`yU$vf-Qj$qkYuhk8U3$`CjS4;;Zhgn~ogG?9BbupafqU)36 zUkwa*{t4Gmm$@q^FIw%Br1arr&5lhJ+1#8{X5S`H70vSwLKDOy2qhHbnzSscKLLP@ z*xfMeyrLOBT>(DMDFh!mT*IrW$K_G*=-2GlFJE*7E9=p%b3u=`jx*8A8KfH5=#u>j zWpXkzTW!V)ybc$zgCkp8TkAcJgUCe};7MNl0a-~E@0i8DR#)@Q={h+%ffREKGrOO3 z&$3~;=7>b$8iO`m8rHMfmhGheNp(H%z2P!8(^z6sQm4~8girnX9olQc4x<{a!xK&R z-;a0jcJ2S}DIk45XG{+5c)^qbB~*fBKsCmKT_XCYsj5^qa!*B>*dU!#r3*C-)Iz96 zgM^+jEUdq=05v9hwmJ7@a#mjIdaSQc?}gi39NiH6in6XBSa2-o4&mG16*o`{g^GO> zBoQ$y`NxfR5A{#uXs3)U0i_V%Q;TfE#W{q}g9j88^DT2usDjmamxdCz!?>_BI3U8YGwBHA!j*Pr>ctb-8XNQRD&3dLZ)th$|8mTDFPAK}j*X4gqmCD)s8HeoKW|{o8*Rl@ zfTJFtx9{pzlV#oD{2;tPWsV4>ZV;Xr_??UTz4^8xoLrA^C!h0PDniKwItJ2lah-oj znuA2}EyW}1Pd6M8a5U1w`!KJouwh*<@FG5%<2m0`$2XIN6UTfGh3D{=BDiB8+e%!| z%11MCZ|AlfymKY8(DE@MSmLF5HynqU%Si|SYx7p*UQbnZ}B+q9D^0oSf(#r->iHw#3_E6U4TG2u}@-ri^%n?~Odtr?qLDygaz z@;Hc23`q^2LG9iNo%NCZ%YlKOsmsCLi!@q~jq*}7-6JwsvN*RxKO)6!3g6ykWZV$3 znJJ?7n$2$-K|whnGxOMtIQ%P?zGzd(B%AERs5mc3XpS(tCl+79$ppsT@VDtPRFVQN zm<)`BzB>zOb77F8O@((QQv2?_z(`BNzg%-~nEjPy5hk7l9v>8f|2eEDfcFp4fNwi} zjmg^wa%Wk%v@p3NO>>!SrL!2b&iVk#W_=xqq-%^U4e?3m>MmDbsGwxx<&f*r`3&q% zLXbed26ec)zNVCL)RS}EX|kNaaV5uMt~Qi^clS_iyXpXbtbLZdlEtrnPquji1pdG6 z|0cVO>A_&7SqA^!!a95j%h_5=47n2Dz{P%SGnt(K`RjU1ON;PdF&5lTCK&W5^wA+1 z9Mb>y2`{4fc=e?e18ieuWMzl^3AY;meN-|5Igj!FH>dN1p-_-o6S%`L>IF795U6C_ zK8XM4(+CEwk$I|d7u&v&PhKc|!L4J2j&q_C2M;UH?oLB2#m$eR59V06yQ#h=Zd( zX4SPY4TV%E4kb(AbXs{}kzV2Ca>?c8sL(pOjg2_l{9cdR+(G~Lt29P>+rylm>?Nkwo(*cknaPGh-N$-n4#S$%O`7-LyZ;R2dxUtt!GU z=wwZygddg`Yd_JVR<&&d2vaZKznt|+jP^w9DKKu(9v6Dnr_Pfv+hJ=Ma2RV-$NYge z*R#|{qHFY8)5pt8W+QuKiPPmTUaHtv&K(f!oG>!-3qIvoa19Xth*jymTMHc^Y0V7T zqjIf%9m>Qd0C8H3Xb?Y5ToInFGkiIj?)DbaBpCFknzd|xbIiQ6vS#Z@qs(UoFaIdR zUy@;aYCngtamg}l&{{g`90SVIAWlw`Ap;cN0__A`O@6^?`T(d>`XM* zpk3<*7Xj0d2q2#_+gmod6VjSnx-U=d|BCK54dZd4Vn+fIvEVNold74_fOqIR{oAF- zec7xtqc-g-Rb>N9_B<*N$jgSIR)I340~&GOek~cxA+_2B_(kJo^oX=JhS}ZH%ou1G zRg@0FZ3}pjQfa28Rx2s**{w9_8<~oSv%e|zG#WpA%14K~j1%F$z@=+p$CiQ}_Qehe zwErF|Ec0vQ;-y+oh5p#*t9-}qJ>Gv|Bom5yaX}L9Q{JvycDWYR7BXL74rPzRq3PuH zu@tQKSgWbJO>=C6R)Mf@eG>E|3~(u$MK9~M=^W(G?SW0|{b#m9V7BY(sKolU-a39m zj0pUZhGZ}}to>LCrr}~Sd+~iDKPnLw6NoJ!#FgIisqGNw(|nv z%!fhG)eRU6-!au;ID{&maCDTppq4-ZM>ddvvz0WS(3q=oWJd9(qp4iyFI;tNE!Z0z z_QkN9zemT38LDG97?1P$>pWE7ymLH0t%~wSAu?mpu^n5bV-~SyYc+6=^_M?8_$!uA z?MTBdx(;Z=zQD-x$a7^HKinFIt{!zotLVUL3}>bWmy~4iDO}9pG|HRD+vBzS71M5^ zsHoHmT(Z?fAuWhD#>e)NR`F#4^*w8h`JLwQi;c6x*eLP`za= z`?YPN+-@&n^yKg)f1N$YK+ZUDj;Tnrn6pkV_IV6BqpY*fo(avXcXFlsd=X(X9kHba zEKh{$JSw*PXB#7Yc(DUA6fQnG3Tnppe;CK7-X8bH5_?9cNkOrmYIZy+t`#Nnr(E@m zBm?=K2AAd3^tU9q?ZF!#DLvx0M0-C-uPjW%5hhbH}e zz5rQNvwYTH{5)I(kpz9rRZ!Tgrmj3SJglOwJeN8;fLzW?TE1K^o58)I)ECPqB*2%w zHJ>7>73?UuQ@A>kJbYY>=Z8mcn`4u=n|5!sJT} z-hDAw?U0SsBd`e^hbe<7g&+nip<)KN9vx)`bEBksy@aKvS{qDBcP(z18sSzf+O(buQ%`D5p{)w$zXGbugEI#p-0a!2i{H9 z2y7YiE|;DdsEF%(F+u)mzvq4A2LSLdmax(Ij^NVr^C?*poD@<7t5RmmOBnL}x5h+0 z|1}oB@d`LQ6QrBZR8+|4VSmXDr7T@7WtarcfX{eLE3UOvQ>)L#6Fv^R-Js0^+?dvw z+1SywYqWlu5IS)K>~RZ%XLJSM#AD3~1jsEmj;$2QoKl+9YHSXq7SPz6FH>HQ@=2i= z>X~$%M%8h`C#(AAWAvy8_ zdFfYm!MQhOLC|U>rzE3E^u@2`?(^^FGa+9_@7<;gfllAPWS}6eY}#MNzp=aXe8HiA zI>T(r1e{SlFH>Z)zc$Mm{Pt2jpkhi{EHlQT;VPrEZij9RvWzx&kLKn2eU~P8;WAF@ zvMe~wq!u&?yr;?hX+Ou8SWE)%QH;}0f!J4=*OUtyp_m50OrN;FW#f4-B20OAq^o3| zC=ELpKag^KRI{R?JNsjrfVR)yC65;gdTMr7oDw@x?tv;qXDpYo3iP?=RVVWau+sB! zl^0e5D+7dCsGIEGfWqMMV#j&_1x>8=teR_adR?mV9BUxDKeXuNrJ&opoaXYEFzK3Y ze28B^OJv0yKuF;eJ#(+`FF(loQ^-6fc}QSp(W)Em+pA#B>eDN8d#a@Ga;NWB+Vx4r zT=vo$tjbfCa4HVNV>YHcY6#uq4~NMEfN2z4Qi-O30*Ooh$4Yb!vErhlxvA=n=AEp&bt z&U0YZn78xrBNS(=9&#bTGa$AQi$qqNBPL6aWJ}p#Z4{PA^@QtoqfpC2#&<*SH0~z} zGg-HD&AG(ocCn6Q!mf0VsIKWuM@f(J*8Ab(Ga!^b z%it=@aQp3V%v_Bur*%m=eaC2%c3W zA)UnJXFar*s@NO&5q_Ema`g2H^7qnJ#N6o>A2DQb#l#}b-c>ly?Bh*|oS#i?iO#Ir zwZ0~u)_ zCy?6RcriOLB>g;yaV#y4<<(QXsjBs+ug#W_2trL;!^>|{4sYU3=5cIve^x^9; zNJ(E^l^wj+$51Yw$pThT=#KaeTgb87?k-H%GM%iNv*OU?lCL{j@8phinRZ;CpbCXj zDWYx;H%Q*SYva{hImn~@D?#g;Rd+){`ES!XwaMon-n;ht?p9vk1q+PgDx(S)VW;;> zozl19E0=<-qrUQ|gou3TjfK|RETN=pq8_o)-Vcbh88?=Hlna%k;;h=5@&VF&?BRTW z^~+g2>JI^OY-eXhW+vz3t}!B;CPtgH)5kkHVy5n|U!8R)MSpJcC-jJdLxopA_D4g* zMv9m+Dt&g7?`e(tBX3F_h7S@Y2(UU$9>r>qv??Q1x?1ue7uLHmqMXLkf=g`~7MCdj zuVO!o0jddle=a%BW?{Sz<3-wN3)t>+dn@iz9`E)pi*e!w)ldFi)s1$MN3);Pbk13- z_a}IpR^NfaBF_8L3R@?~52f!xXrW;R>LW=005P1ouJTHE9Q}h8^0wYJ!B2<`ZkIi` z-DJYtO3SXuma026a_(==NJW9D2MwEz*b8Cvdxkou^w<{ZNss$1Hkdh=4Lz(?^Je4R z4F%>9Ogj^@A$I!Hyr&Rgp|0D|wp=-)A z*Or7Cew;fA(w3XfDGm0gmN*5n0iW2~PlCNlH=iG2ErF4Ez|1? z5qy6w6Sim7#)hhnlmE;`dbQ9kOGs3xj^OdcHO(3hu#}*e0+v^f6{W2QkZ1{?Xl{$h zs@k^g(#~9Ni?Kg)0;13O!1l}--jUj?8?&I%+f(MEtBByG8A0NdiG(K6%k@xJIA>!Q*d%V%P^`3)6?19muEhx zGNyHVkLdsc>QSeesoCM-bm;zTNJd^kjuy60oqMH690AQgmZ$>)YxH;(o&Fz*(e(Jl z@Bez1_}FD|*X`oErNZItXrd2i3^+70&InQ{e=}cJ!p@gZ=fUoVrQD3Y>yBhBu`f-f zLe8OLOmy-1CJG9@=N3M$-Q=;4*zMB~tI4-}Ul@zBUs+>TzFFRFVf>d@Em`oXgqavlT+isxM@Ikx$LKe%H#LLOUsGbF8~4Al_L(aMI3@q~ ztq(RXo4869(08l?z#m!#YLHsNhxrjI)xhcRbsytR14VK+uqgPy|w-VHnlTT zYtomfvyHR9;#QFg%HZ|ANP2a4Wevqj_Lt8S?db0A?&w%wf8qWMlm&Fi(w(1DGI8-y zn$JBjmAO5_7?X}ma;@W#O#DKx*;wV!X6I%LUKb*0Dn5h_W`f4!jjpctXk*^haKOfHXe;%q(VWtc-& zGg6UDS5i`9=5%VVC)%l3eyqEGCo|5ZTVS<0lj}7k$?d}e12yYCG1J)Nrc=?HolGqO zqd!Yze~Q@7?MyC4vvgg1rtFbcqNLHW4R&Z)cxXDyab_!S6E^Fmv4=MNLhNf7n~=e; zJK$W+mxrgLq zMN4H~j-B#03vt{`-UnTAeuTkzJW*W_A5zl#MPDu@>0PGjIqE$BI6eBS4Sw8t$GZOD zqa9IZ{EMf$vETlkAYVeUVZzWO`c`!^_2r-xknKR zG|)G!Qh^~KhcZ-H24{7tAhcn*3p6utbx*qSv#%_FVe@64lK)mTvSk~4 z+8}0HBjaVK_2-~R z^!=J`WH>JUk12QUBwNU`G;5%Av_huf6{)?Trk%=e=6*^?hbdK>U)FnH%yP0E0)gbz zE^dghsQp^9k1qe3vm`0vamf~7lztQY=FGvLE^0PCVFAIWb$fWjpCPRx_~K+>-(EF^ zca-(`udkK#le(HV6l+SIm7_D`F`%?2g1QbBOYY6%wK5@wn*)Vz^nXN$ik!sVKy?CV z>9RU{Ze$GobXQim+DGrwFTKCt7NTlu>gwG43^FnR@pC=f!-k(A+TISZE1Se0&75)j zpxOpWlHBsEvtRJW<>lpd(+`FBvE9bU)u`IYGf8r9UUsaW*`c1XZ@cNhiu^+I`jH*_ zeyd{a#Q+N7c^OOYPnhIcZ8#i_i~9{CTEAmY8#X%juG|G#AXQrF?~9FF!fq8nhhBRJn#$GmA>`P%$%bViH+61^OH;YieNF~tL) zV%+6-e3wnV!^?RW2Y?ijk!dn*kMbgzbJ?umoqghmb7+jaP_KuFS1Cn(;uPqs<#YFX zs0>aDuyziQja5-iARK4kH=HdFttNUl=WnuR9gHD`Ih2;; zTFS9h0IEn`0#GdA!=(x}*%~h)Vo-P>#;n&+mz&#Z$idCSV;<|g9HG7eyBL&Z(*ek4 zXcUq}tPT{h1CVk%zSnNRE;_G|e7Fg1%YY6#9r(QkCgQG9OYQxMeNnbLD>;Qs74r@s zb%4%AEl0*J=jI5PjZ)VZ6yol*sYRY2-|tuIgiXdeWQB6+;y;3BLc^38Q-VI%TGy{f zPVG<%>VF?vuio3>Z;}e3j1gr0C0oBX=msK14#FJhLnuX!28Uxzl0@4Kc|4>p7U9%Z z@2WPoLmMjJF}qB2=snZlQq|F}UPT~0b2lUBF3wg$!I}>;dGm3Z{Mih=G~kG{u*fZH za6B&OH1@#8eI6!Tbh41=NTuzafY)0?ReWS|$ zZ1b|#?*{-BVS$7~7V@#sN5VY6;*5g79`x+Lq-~x!$nmAr>kC}*7CJ36f zYtQriKaqv=*~j5RDJd!6zJ2re_ZRz`o}T{W2O&ZW6jR&LFiGGx?SCBFhCy|NV%h_m zS4Kw5paL9--y^Z6p>mT{u>KmHn8YXI@|l@2H*})(nNI|fD5P# z?;uF{8uUIOHrS**d4tdVaXOsST+TO&j3jBd*GFyHW~Lf&Rk+Y)K!)tB z=kc-+DXmAkc9n4pKZ#DR7PHJ(L=XA`KI=L`o1tV5s1MlGe}ZU|{;)-{P-uk{H{4@> z4Am*iLM$sUuevZRJp1x`kl|U(s?hn=l*@KE!R_0#wH~Y8Wo%o!WNnIx4aOt;`=yIr zg|MBYsH8H%Eekak_zna7uIJ#-8lp~_Kt@Jaux5yi{tE`mMZ@YQwz^Yef ze+nfvfn*r>HuRHcDVev%tbXzHze7{%Ac;rLKAEr84Wl!7?QFL<+YJ5qQCrriWg&QT zOyulPPgtop28E`xfwNm0TKn=cnHUx#y({p?x1B349{p3@ID1D0*ipXojArpi<0@y0 zI?h>@u~oZH2938C~yotMW+Mu{(FX0{G0Tk6+23M52CL`YtD-<|(WA1;k^kD6Lf zRdvKa#U+fGDS292m1WZ!k#Oa{OhQ)gp4WeH{1fW zqaM?Xax=6>S`!u^(nKVqSw=do^c^GzI<-wKCWP#qdinCD2#Kxd>F@ZT2KJI6{64)# ztMcS;m37t=#AMc4T&xn`%49$bwL;`lYIIm3%LW9q+*T_Sp+ZMtgo^bz^)UdQf2g$B%# znYno#Ix*lzoyKg2HPiek^=B;gYPXlr`!c6`w=ajdu@AcDn8yLRR#~V|J4}TZoiE_2 zpTGBhJXGPM75p&D$}>6w+LI(q<3|W9tg2vUxZL}zdGHB4y))u7Z2zGsa{6kh929S~ zo*vhZw)kUM2uk3VO-wI(+5~2r%{EUCtP5F*9294jzq_{X4AZer!A*g77=8~9nSQHs zJldw0$ZuEPkq^HZm7@9ma$+5_S;buecbwZUd=xiSFa@6ns2%0#PQ?@hJ?s>wLcK^ME1mfZC@+i zeT{gqM6ZBq%VX1ZU#@@^`W()F$nb-1ypDW>n^vld3sJR_cbc4MhK$S#3nI6scktML z2`A$@u%dqV*~DjQF)KFgx*wcu>n@#04<-3*{9GHa_C|-(%keL@h>CF7ZFDcizQtj; zUMwHG*x#!DrktS?YfSb0xmCv^T<><>gtO&rEf4sl z%jMBo@x2e#ZTqPi0_hyT`$}ii%09A;o;yyDN%j}QsuJDzg6+aHN!fhthLEC{ zhfP>EkqERoW%11H@R@57Ahu zOKtJW@x!1m*zUnpf_8cu(!UE=j?5@cB#oVaQNx$CSg&@cZ$m-TpRi0bP{Fk!6U0L~ zS`7X8@#ET!Tb@TF>a7R3dAYe_Vq%SrjpreRXFnyN4LcGfKnY6$pYm-t zLr(0a3?&&xqb;iU6hemqQHgF_7ffuinYb(h^v(-JY?7}euw8RNP+(G`YjNq~kBR)Y z=2qdoX^T#i@1!OPaHFBKJl4dCBA>?gfhE~Mq` zUbD%)9ej4<#bJ2;$#5|5oW5f>5Kd+`itO7I_dMQGLD*o2x&AJfp1%$HJQ()Lm0wZjWtT7juKsnwa)z$vK6b-!iGZU;cDsG@V?r$ zQi548PM34Td%t@2(R>y7?;s;r>zkjh1P$7F7*zrJiRs_Y<%7{>n%R9J_t$zb0$nq3>@aDcWs*m>3yVSl2Cn zXA%KrX~9D44wV-d7Xze={DK`&4EcQiGr|7B0qv6~XGfbZi}zfD)jVF*g7RMyW4s7f zos;vqO-sD>8}|{_*4Fx`*8(Sm%uU@b4gI>7!xCTc%9_e+#Z|#wG7GolgK1Lql{y)y zU>0vQTSpFjCWr2&VDGZ?ks1?u{Hv?u(D==&hyZP}$HM}DOE)SeCMG<5Xz1RNF97AG zH&)oUay#Lo zNh{jOy_j>@l&|1cWzFLBI9aPXxF~YD^{ueAZQjsSZ1d#lUqT;h-P=J-BFo=>T-)vM zbgxyi@6`xbE0{YAcUukc|EG4wn)V>yObnuG619#_Rd8J|kI?Fzwib=k?<0%bK-r(V zv@q~|)ML4YINVdDzdvyF`y`>U|vM`bz~+4sl{;SpPKzA zDI$d1<9G{HpiTJluwOQcH9^?pm{*Vq=BBG7W5)3_5CEh`4&c4lQb`AFUL8#JE#Rzs+U_@Jn z8Ko$WIwT{81s9St+HM-&F>G~%YEu2lOs@iIG5KoisU6WiFwQFNJi z1v(}I18-N_OgujbB4uZ1zvi4%Sg2cX9DM%?3vC|xEz1DuXSMY?4HwZ_AKo!Xwy%vZ zk!2xG%`Ml^6RUg{wvV$|+>eZCUZoWz7Gcs>enDi^KSoH8jEq`O96HIX{@g!p9Wg95 z#E<(KAzWIPYQ{;NaUJqv(|`DzWJ8f*QQP*h6)xVLP}$ca9|vx`EUQv6BYUKPdB9G& zk4x+NSu3LY+4>&WsAgj-R2p&kThWa2;^kC5Z4s>W2_K*9?vL)TYt`1cx$1Xzi|FH1 z@gpDN^>lv?GF2Fkl>-5~R%H|M3R#3tn3=m>i8E|+xfnT=h(5UJ>!0<%Z4*o$F~_@e zNATmdw6s(|Lg!i?8PfarpZFC|SXx&J3czZ*C#Ptfs&Z*c(J{0+aqO0KYlysoEq8Q{=f;Z-kzVG3kCSFOOFzYiO8GxR_U@ zo{oPU&bD)SZR*4Q+)CKu6gLw^hyf2>;MeboLI>JqF>EF}*@G)M=Y|betTyYJ4%O+{ z`I*g_yH=ZG^p;21%q$eXy!~c7=g(nNCxD)$4{xwKC~jYCK@;6qdqGgG(?c_XTnAwj`NR3tR96-Ks+OGhM&+_{YhWMu2!d%WD-AZjqG zE9UuQ;a~R{@uBJz%p_vNV=4>`bfD~e>+2{LRn-Cv$WJ65aP5fQB^NGfvRS*Xr@Q_W z@2G-?O7YDr3a9=CL#ezv6O#+y=99-|)Ah7$E`y{q_f?8U7rv(;Emn*0*PXxk`8{$| z3hz)+Q&W5M=8cYy)l=yLH4A%tdr8SJBj!gv8DISUM^vbeQ4^-Z@AdWdL9~6-LO&PF zlP6Eu+4ugrRX$;P^X5%DI=X>@0f61W$jI2)*XJUTKuSuQCKU=?;@}{VRaI4Wbv*$% z@_;*y_4>~2I^`B5@B*Qvw5*JPTEvnk&Y!SBG&CqQ6eweZegdbWcK9C3Dk>_0(=GuZ zuZ`lE9$w~h=Ib5fC*YWDIdsO&npM`K#TpO%7E;eRl@JJ1Q$(ItIL`$ny+6Tpam74PR9+I}NZKIR)szu&tni401-9UtjgfKB#^e3-`amDpi z0>FYs9kS&W8W4g|*tZ9MI(-yurnq5Lfxl<{1 zPwb!KI$arDh>^Hgfi#h^g(#f@QirczAb)C*iv^@G;*{7~h)C!@DVE_4owDKpP6oYD zKFaa~^#G(vFnze$SfLImk8f>mKIkOO$M;Ug?PPbM^r`{Cs+Lx&TI^5eXagD18-+Tx zl{q;bh8%9#%n%6w$7?`-ynXw2&?{$o`5s^-UR+vAOG^WfBPS=XwV7)G&j671;DmAq zRp9~VlDWyRUzbmWffP|WSYceo&(3ZKP^+wtHuB-l(>oyzsV76dTL~h`Y~h*s(4Co5 zyZWgS4%8N9RzeDFA+eD}j{;x{LB8IMl4opsmQ;u}duJvMG0qb}pydm=lsFM}28I=OzqG#}c&GIipm*shtEwxn+fyAdC853}-_fRF-=#7R7TB4g zqQv(Y=q(^^YKwCWwDFK%{w;1K@eOh#{cd(?e&+t0qXqPA6re?knAzrUL|{&8EjC>= zXe-*s{qV!BC6T+kE1i2zo1<=X9Aq=rE(Qi^N@C6r^a8pzIdkpvk%*p9MKZ(SZzN*0 zcr$k@zyd3Eo(M9EiuwSc3s@ltk7gDW6ciB9#CfZvgaPAPTwDaHBEZ)}j$#B6Z&0ex zHiSu&%P-YDwV0ljt*xyT@qjb@cS=fw!^4gM4U!z?@ejxQ4<8l*GDSTAV{2cq1zU04 zvT|>!Eh{}eAJ9i~a&m4iNWR9T3>Fvf{`z>MRhPmF@6m}~Izx^VKCBwk-r?LMC7p1W z3Zx|$cM-ELO1N9Ce|dqX4~Njuq)3!o^~`?w^%;(&M&xmZuCx%yAUGeAw?F5aPC27beEFcD9KOdFQ0 zT~vLrWN`gVpFU%abQKCXjyj`Esf3m4AHWW`2Cj{gcxW*HK62t-_MgJOOA2}AaJyUI zhIu9&VbA}W`K656Mb|UjH7=_uEMy%t=>Fu#BRu-EF#%ZHy4ib&j4sxo?-d_$*M?Slc{7ce}-3dy%MG&D*|O2Em%3QqQ}t~hpsFGD6C|G<;*5Wa41 zZukKP0GS62u^=xGzyvG5dD9P&FoLhi%E;{R?_+=*=6!EPb<)B^6Dyi z+v({kkcMXc8f=Oba#*aGu32%!Y;0_(73n4DEpLs7&99M~DAdUm4b{`~^1Pc=44wjoX~R01T-z6;_B4$ zxCI0zm-dE-hq<`9acQQlk%{NQ{03s;v>zsQ$_>(uLnq>@8W!gp=8N0Mn^ok8(hnaX zCO3+C=`-$rm6dUFy?*wkW9jF@!opCNBCjs1M(Ha^M11^ok)CK8&}(0h9RW5{pi}ES zHfqzFWcM*^CYU`#h0;1<-MKbm!&SKj(0CUzPP}ZwGA|D=T!tXjA#bVorMj9Lume46 zne6E35Om(+%NWX`WGz+df$vkdQ|@hYX|QKNy9OMy^NR~Jm55>saRnyI+=Iej<-~ST7pNbPl52QbwN-8*g)SKXU6fM*yN0LRntQ8 z)HZ&=|Hau`z(uv~f1sY@QBVX71nGkTA|eXXrGzLcEv+I9jU%07&?()Fg0wKwJ);ss zcSx5EF~AVRPy_SU;Hi7B_rCvo`<#!Cd#}Crir@Ox`mNu$&Z<=CHu<{Gja^)HG#U&i z2K3=xdh5!bxaB@tKZ5g;qb%ITn!&sda-?gCIuxzL5%jPP+)y!>gv6+M@d=Kvb#=ga zib_htddO^OloV2;Hz8>IUx$~S$smp3{0lfZ1txaS^!S8@c+IinMuR{$Q=L2skZf+b zt$!aKHLUFq&lq9vdUv8TtbGC;Y@FRIehoVD8wJH22ICEI1Kag%I6j=!ACWz_-mtcz zCbc~|CTw3l_Z}Z|oueN3ImOFt*`&nY{)UHi7w%7=Qx*DUe>V>XAbF&byIZiZ7O)Zh zsM@`MQJW{^H%HX=0j)H_pIBqdjzoRG3|U~KJMFJQP6IC_@*e7LWFyM8;ruBC|n3-j%a#Kc62)O3`2Qhao>ppD$8h9zgw z^^JAs<>4zntG5N|mxuWs+fL=DWYMtlh}^#D^{wJH(Y}?iLP=BVC*QctGpw!aCBhAk z`My2l?DB5L6$Tr9%)&169EiM0i?+Z(VAT!{4Y|4PR6THTi5|977csy5JJ_1bm0$}W znNiPu^E(M%D;^#-^AT51((23*b+mTW8@ONOv>oX=@~u(0@XLu4zr}v~oaSSA`N_Gn zG8OcC9B=Qa$`Is>o&?^f+~Gy})L(uj_?U+M+l7fp+aWQ9Sf@vk`KGtG9GBT8t;)@- zJlyp)7gGoJsQFO(g4+EPyQ-V&aJSusTO&yhbeG~BU07{Tye}~8n0&OwFJo_yi{`F7 zs+yFPKq+O?3TEO89kn1%VONXu6ijB{ytQ+(Q z8;k2AGCRpi_G5%csVvK7!bGjB&vm5N)Au^{kc%IS;~Jr^V^|nw1GeUGzk?9Ga0j+s zJ|XV3SQ7q`g#U7suXdJt5awN{+gbhCiIBCtL0{5o@X2&<;H>}+-eUr!#rE3m*sa=q z_3D5&f3FT}*94#RPg7$}czkPP3k9G^$!OvLV~XvXC-qvLP#rODii1W9R5sz`VIe>j9wfgy}LO9zp7K_E3dep@;&pH zw&wdF{n)@jS=+L-{?@A`?`bYX6`}F;*E(t9mvWTbpn~)oWDFgyW@)E7yT`K>Slf_( zX|k0MCE@cNAgB4AEHvO@hk7mP8_V5=t0MQ-E`SDqA59u zYwTX@YSMeU33yST=bM6q#$N5E2_qW}*W*!-zYy{bf^EFr+Y%DzhV~{4BM?vTfz|){ zat!P@{INVWrlyTC5^;aRb7EtsfJTP$y_sVCFw;G?&RexPS$tO4ZyH`n6i8plq=L{; zXUI~jUpu8{e8%5zx5|;FM;2=SB|5WldJ<=G$ro>jz%m-_kn^_Yf6u`(&n zah@bYw$6l0OSzY#y&Xv{!HAr^R_XEw_tpp)CMfiRygCcoNP)I9J1>|CLVbRFGc+e! zR5{o1y~#k=or!`u_7Q*xsI*5gbX$;LaCF~`g@mzsnJx5WK=@D}kk8Zf4ON`4hs}tn zqKG}ge6qzfV?^)|#a~u&jeHY!c}p9JVEl+O|g3t`qxr#H1zY zZqF3Mh=J0(!6-@G>wci1W$n2}l+n2@Hp#s`dh{reY$_@$z*+((frX2U3t_MvqzDBI z5-4cYr%pZlwO99C9B-tcpm4#BdjXuo0=;5RI#%zba%Lzcz+9i%BDIL` zx_h{LR7+Q^gbR#}6EyAjcTvQd^Mj)oWGeQgNt*QLYjW+s-0W8DO?to zW&xIxtgP(B?m+qQ$Ou5j83~fMv{# zL`N#zne&25yx5M>rD2tD>GyTR?kq}s-_q74DZuwM)LgdE(yKfWK2eu;vpVt0-O_T; ztre2%jKKtSDzR0-KgwnHmRjH&3Yw*n>aBGi#0}R|{Zo^L<7XK0^LWtKU%SbHpb13@887F!ZVi@SF9tF&7%Y8hPd0Qw$17Uve zjqC#Q;8hfX-V7P}Y0~U*#I!7Bj#gjSH`o4tT?Gy+8=H^z78aJ4G}N|e3XL}l+ZOis z(E$BB4L-E!%qW^k-$WM*dOl3a47 zsRviinG{AQmU*cXfF3gkv?c)n!)e9}5gw2SL<>mQVPvFJZ@9bHf#^Y6ivcFFgO2e{ z*n+PU7p%d|xOA+rEi!Hk=lx`)_s!9LDuxuvt)=rv)lCCrH~JW$cR$>ibU^~u<>T}*@-tg>*j-Y{#M_S$KjmDt1llVwW%Y#NPGx_!=d#!Haw*a^` z zI23dr^--{YM8w}%D~#GxPu0362m~w0sBs1xlKtFu@%^t`Nn6Et^?-~8mP6-<5mET> zj5FQCw*gBHPp_l`InhUZde##MYs@>6MQv?u0SvaXy}f-VZ`KPx+BmQ24k;qC)XS^3 zy`2|MTw;)=OiFeAE{Qs*<_JnOFhEpJ4TP!@T{q3RGQL%Ar5>)HDGw>=C*c}Q&>z z_v_E8`J4tpVm%9Z;0%6|wbmZu*KvwHIN0;qZas|x1vPLyNIjptLx6(;N6p3>kU_a6 z+$Y9_Yo_vjG5D+F<54(#zXvUcoVwP8h?!z*38-xb+FRi_+X0vwK$Jv>v)kL+wtpze zus86%$FhesGH|vXas@`VkyF1mhmWHe*oj&Kz9n#mnGF36Ffvs#G(b4W8ew?^4qI7x zI9T$0!Oe7B-qr<3F;joq71xzO{N(2)LM4RP=sc7DjH6gknxoj*sF3MY&xRNrmU~HC z+G(IhBX`JIv@145gV zN_!L(#~0VVy0L+j*4wMFEmQdD?r1Ts;Q&6zGeUAW)oY%OfB0ryZZ>|IcwQMm&=!pQ86NACD(Fh0#knK1j zp&KCoAqqu`P+)TdF?byS3b)54o|`*Lo=x<{Gk|O*f*cc%fzn=bzU2*L#CvBL>QVLHH8kHYc0df4KPuA3@ z`xiW5y8xn-AN~svMw+s+bpYH|bKW)h>TF?@2QEK9UwHI+9gsc3w!@C!n<8p9?0s}0 zZA~zon{Efagx4+}=+Gn(quR2szQ*czdYB>EtG|DcIRBm<)6qiC!>a($hiBtxot&MG zfhb;HUQS6#;X?X+nk;DageHr5HMZ$Fl-Z0sF2oG_otD~+gOz)=Vo*dp+GM|0foqxc zVI+Z+@+k6f%@III3E!jDrf`_!2o?u4#mO)1(re$+rAY zR!Z@F(&R-`5K#O1#fn1A$T0c3hhRZN-9L7wg~h+V6cvak5RmIFJVU;lo0}lF2nyH> zxN6)%mDW6aTY37~;UrDH`&qp}fdFy`a6X_BZE`Suv|nIjn)t0NVOXcYuo6K0f@K84 zh(Su@a2Hx_vwA-4Y@Epnj*_x6a|KRkN5|^`@N{F)7i7X$%-|OWWD5L+F*{0)$l&3X zZ)@p@CC`=SgYj|3Vsl=pIbxTH(@j?Yw+VDoidi#d2^$bTkt&?8t-6tEYl)t`l>2i+ zqQTdA$O}sAf(i_FgQCqgStM`F!*Ithy5iFnYnQwiO0w8D*bXj_{PjhR`WdiKc4Gj!9_o@hIXynA1 zc)Nj92S9slIc0Fe%zvt$jwL}*Gp7$ktYj%C00(sm@Pdkj?d|OW1`V0(M1qoV`-fEYj7ps~8KrbJoHXB8S6 z3ZSW5+H&}(*@AI5eE^ZQi&)QE+b6%kZLKudEOjIaBl7c?7qW!S2q>^`UECpNjSgdl zve0Hw!3en}3~0DJwi-$FMI}l(5PBSReNR_~v9`v#d}+`f-GG7k@X-x-_bT@7FXaefC4@S z4@x_o*7iLgh^ec64PJ3KOdK~C&A5)=^}iaFn8YM=e|GFi#I({G-{;>~r!FakJ!_N| zye$+X(uA2DHv(-REbWkdQG;FOq2Q~SkHicGhqL0`2+az*#?CWe9R_T?m-f&!%L!tT zrK`{9>&=`wyTRH3acIw0gio7;)d8$_zqE-Ex)&Jyg#(Bf2oUsecc)<$i#Cc+c?|x^ zFf>&$oCE+{RWxOL`?8V90()KePkg5c4eu+rb=*qJ$yZ$Alk-g7BO*Qp1qC1=n)cKw z7T{3qdM^vmwgElq1M3>;wWqJI5AdDGKrlBDULZ2I;=8?ZZZmL&jCw7tcFd)MJvSK3 zH2$Hx?p)Y=eAsE3m78-SVPGNq)3RP*V$!dfj?9eBk>~vE=Du}d!GZF9WyZ23YRv<7 z&`e8v3Jbz*neb5)7ng#aU z_$t3g0$F7{R5ZIfRR`8wB0#?eu1L^3XWaN$Z?C4VZaIh<0sa6|3lE~r{EIyDQ$b-N z6nG2$X;_DWQ0Y!r5V#cW@8_55x!w#mE4DT_V~}-cdi9=>MCgD{f*k>sebg&91~}RP zS={XG@{*sgR7&IzQ;d*4agad*+gCO=yR#F-rjmtX{v=@)OJlDE?W6l%npy2l*LSee zq&ML(41$68h7bjQp3=23gb_eQ z9DJhGdT_pxzH$qnZ7-;tp#iK%=q_V`=YglgEP3;&*HZ|DBk z2@*njugkuM$7gjoY2tbJ72VnKL2ATaGs1Jt!NqG6H?gCHs$3@uy6N7Sjo$V(*^P;f z9hh*RKrD}KJoVTcb=#ODHJcE%F$&erPUCA;N$yo1;6Fb7$|Wzf`0lZ#oYf)39t@DW-aniudRI`uG2vu)FEPDjvHt_feGU zWL(OnFp6DwgRIU4_5c@&jd|h@Rc5=T3InDL;^HSvh5Q(S~ct@-?8TprucE!a&xd0i;86SlaCBhvUcVLKb>y*(5}s z*NFEUsva>?x`!9?kOFw*E>2FYBF}#pFed_c-rg1tF6v-zU@-Fe^BtiF+zUP*c@bMf%39NEk%LIbMUdY)@!CQG)F5JY~!V<0;^8gr>nQu0i3T2`*ssYv*A1g9BrN(%l1-k z8|Q@m{K_P%*BD593dKqXyF4J+fto#H*_;7Do#TNnK*K>+0qpM>W@FZ_-4^ca>UwBu zI^)Y=Tmd{USA$;6Aw_QWH-8gnVPdkfw5(jI!t%qUks+W)7Iwt?O(+%DWaj*#z+tnzV>v63?}%vEG2aGtzv(FMw$rFR7P=J*FW4} z%dkj_Ozi24-!WXBzM{lz0NJFE4|yuL&)aKW`^f=&N38!eHLsDAr7rMn-o9OWLz0v` z$40tqVgeiNj+--bg>wJmXuck_gaYons-SLYc}W!1R;S{59$X*hMLroR5j zakXazS=j|gv0AS^!V;uVmJ;ae6_`ZpuYvaOu9^MjxJIBkCGYRA&+mUuMkF$IywCCa zDb`?reLoF|<8jHfa_UWL4ziE|#>?24b`?WCBiV;+eGf$f;8-gcye=bxrKY z%mKZbAt3<+t6$A-vB{^3@rzoTD_aBlP6GZ7vH>+*3eIBj#3+K+@(EObmE0QLXcOrC zppiqt=K2np_8^++#%-i)Jq-*4@dk2B5-h!rrBAiBlGSzK9a?H?$?Zw9SB|_tYv!MD z1vr0^YmLk%z%~hG7Vbz9FGnEkfmzLm+k-v9mu3&U{SnTOWO%mPElY&?8 z-&*NCZ(X*p*-Etsmd|BUK@idtKJ7upIFvH z@)m445OLf0XolwrH!-UfTcq=>9wKycu}7Ydp}@G9*rN?m=+6EU0tG%OMrAaVvSI6{ z`C5j^c${%9CK50vF8FW-rf3jP6YH48;p3~sH;UR1Y;4?h7%+7DDBaR{AzuJ|!n|mCP!gR9D zErE!yUxE9SS3g?n*3=7gI9$4z6%q!I@JXz(LmdKdSx;-X7NV41HbTw!=(V)Yirlhpg zwvER(M-$?ca}1WaQrv@^X+;iFIMzg6Pd8p6v2kINUO$VUta2CgLw%1`*HjsVhSuWYNR~oHVc!!y%s9I z74djTzt)UAgNgAol3BUS&9Ge=C7>T@?tdTNsL3#>W}ytbyWGQSH0c`dN|)>^GFE3AqeOrd6$YI|dFLcN<0w`L}= zu&EOQ-{|D)_XBV>F$d!Vhjbq@#~)=a00DT0;-@&C#kM60+dqGPQ$W2Z@`_SXj?1+e zG3TX6?^#o)v0B_c?{CB?j=5v=tDTpb_Ip9X=F*aq@9hq~?^pWRp_`%YV7Cec_Bt=X z4g%BWfgA+4+{)uuSuuii{^NaktldE~1&Y*uNr7hWAiK15xn4L0f^?khxgcU?- z;hV||+VWdtOU>h?s=OkpzbCw%#%h552c)T2iKeB!QFK8tMcP#+%20XtYL6M0SUwZ) zgPplJqi2HE*n(<$BS&ogiY7YS8_;DH4Juj+2^(LsOn7iUsP$PUfZyGtVJUzkvnzQ! zb*EEPS>%>E(q5?xMQh)r%g_I|-If=r~@3L<4Q0jXoYnEREFeHKfbt zJJ8<{Zvcwz*H{K2>6)hv>!bEeS64ukNT)Pvc1c^eL)>G>^4k+=@rXvgO3vsN$^Erg zraO?tj%ImCTr@{DYZ%o0{Q>24_6d$x50w41nIzRu{bV@t6|L@)$Xjv%no3ScOMUKXYP@^K&`0{;;}$L z)-|L1cj0=Z2x$I9AAdgL#|ad3wK|(K<}S`EFO9 z)`pDw46d7Ni=BxtvU>!ze8HjckjjqXQtNYMkfkF>9`j$lqM1+2ab2(I@`6V00FW^o zH%!Xyj3}S%?`~EqiHVCBlal*XT0Qze!1`FBDcTZY)`OjYG(41JKigHcK5sBoQXsLb zBb3h*j*L;5XvuV%?Jh5}G;+h|+vw}Ed694D$LhxkYvv;iCAE2lt)6IY z$(WcIPt?G_z4ljaD%h5Ed$V5hN658B6*~EH1~nz+WJczjy=ajmqq`hA5}o!i^BTYy zX6{eIA0{w(tnACLt@%9U_S1qnf!->ox^tx;P3&)OZ-Wf-$mq=3<>w1j)@lp>IZl=M zWxAO4m;F^Amwqv)lUDX(&ac1JUv)jpaHvKs8hl-7_lmZFQuNkXfOXI<(OGiexbfMJ zchyG&4qRPyt7H%~3C!9fRTmR-kGOw0lcEoJ!iOZES-RQO*(=CRju3ZFUL$qn6=Tl9J=6EEDHt|kq{aN+7S1(ejY0nhU` zFYDme1p})Vp^!lpbn&Dh`dCB!$x=HF!-sUuS}I?lXN#pZ%Rmfn|7QW#sDO=St-eNs zbDUIj25weM`oCJmY<)Is9TD4SiK36m@8Z^tc*+?ela;*1)!>#L%WIG2Y}nC}&^&(! zei?TMDscCZAiir$XbK)f=d~T2I{zeOB&W$!LSdq@Awj3isZ^wPc;UTMvJiF<;eh-F z!o)zA)g;*9D%#tE0cRa@Yn)8YbAO$WO1A8kRHIKFZ50aC*VWF@(Z1CXnp%_dI`>1! zbZ&9e;zui=(UW-}Ca-a))Ac()F}z}?EEbh!-!FRZ>86&3oSn1D6;Any+_%UW+oJLU zjZHNI(znG(Q@LkAyJjhf;bB>j$wTGw0pB3whtaO#4FcM~;PVC$hys`7=a~3DzN5-w zl{>E;XmgV(xU)1@GK|c4erasQ9?OcNI(gwqn{q!(7eZfGD54r=eS1>RKEt|gp+1VkrVbaro985PvvUZwbFG>wQ2(wScCyU0Lix^BVy z2N~SkYCk3)$cd9Jbp?da8Qu*Uk7vKejr_`OCzUCOtF0eiFVLK~eYmpsVUz;4;K8PA zYi93#Erq+bOeVjzx~6_WdxKl}Rq3qc>a?}a2DghlTdv+E9aVgE9>(8lVfM<}b=`K_ ze$5F9&{C<3FE8LQW_>!1n5+$XlB1Ls+F-<0SlTwrFtk=Ir1G`!z(s?F((@YaS%%~7#Z(H!K5pTs23dw@ z9!O|W@k&d2F+2F4l+u#TP^;94e|_|9H2&y?d(T^-iK4TQHC7*HFp1E%{)4pu9#PiZ zF9xun$X6i1{U-nYHo#)8BZVCM;mCNt80=!Sg|86}Ls3}35u6CBhTYR{`I(;Tfyw9Z??^cxzO{oA7!JLC3JZRZWEg8ZT+T?gB& ziof3a_eU{ff7UXRV{fborf58&63R)@6}5W^AoH@<(l8;HTck-*mn`o=vgFJ^kQMLy zfc(+mdMWq!jl?HV7VWCTv{?VM+<(0I*9}H`d1!_bx!xmILI3%Izsfbg0GNE>I`;r` zm|Nz~#j_{grHd#&EkC@id~q|Y2r6U|mhM`AmNP{pEWHUqOMVi6bC#k@on;o&kpQ+2 zg5Hw@dym|t_+w(?ykqo2^u76H2BR%?O(*kCT9Ag8na}SQRe-bIk`$^5@$7Gt1iYahBtoUEP`{&cY z8uDLn2wV@ER*XA*+($+-CtrUprN0L8^c{igVd?*^oMZ$H0Q3CF3-I^Alivwy`;l#1 z`SMSy)>}|y`0pRCo31G6xpMeiP#z4!)gT_D!wGz-31HdC*JT;<=m`CQI*GpzFj2|Z zS&kp6ntmr^#{c^bKlung0I3Zw&dC3<4#32y|6kV2Pi9p9A1{K1d;Lda{`oa9y|OVk z4qwgY{=1Fl@uG(m@-pxWnf`x}%geya|JfV!M=a={RB*T|$h`)gwV$(~#3$->f35hxS>Ior z>0g%$DE-H{{BvM`G8_Ltr}0Nka$Xr!@yZ~9QgUUXRex@a&Wpu+>`I`|Jck+4vhV&n z53N`(8{roQ8ZwVTb534{>EWBXQAgZaRzO0Iwos-EzZfZ@59wBm>leI8Ur+W_H~K8v zNnNY*HF+>zCSH2U=C98rD6#wK&&6_`T#)dCagfP@&3~Bf7gjX%84XxwKwqDxe20D? zdyjgsr;z;bBmEDKNYa!_{#Xc)pPu;fG+c^)<43x&b4ujrx2-B-!^r2Uj%2HXmS-=Y z>4LJzs~c7Zk?+0K|GOP&7FT_=X0IK#+A0+E#meJH+Nsa=XYYi|+J^t2miR~HYBJR8 zj$vLF7~Un_GeGQHx$^FSQLIX4fUPmuKcX8V93aij{G^G zA`>iKoUaa73LwP)OCyk`543X+lf1p-{9~T}brz-mm_;Bj4(B*rD)uT9Kh+_{-F)@O z@cg9dfI<#I9Bvkp%lvESx%2Y>nhw5{Lz(bjxTCt^|AixfmHxjt2jB|{Ka%79lqrL^ z|M8Wdv%3GnOBl^*en?Y5lvW2|!Z8_0L`d zUd{Zs2&_x9$o^ZV9nROCi+@WqmDs4mcE{i6S0GpPFI@LuhwZ;}XTbgc6eK^99e=&| z|1yVvmHUr&{CR`3wfXR;^5uj}hd=(QHT-Kwfs_ur_A}vqmH&ST@Bh+a(7zw6@Uz_6 zzZI?jY{AbJvi~UeUvK;u#R%v@*q`;~ro$g9n-Whi+{cNO!NmpPT*ySL&fQzoa6sp6H)&M zqX4C;{!nrLL79H?-4B1FHvH>Sm;d*rPL|E@)}2>I^}c7ZvMPy-yCfIrTlr*?-AL~& zf%l2uLLc~xP9^F9@6(%qxy^VxA6SllCxw<7yr1m33hzua#HsYvQoRF*w18`jZnt}P z^T}A%Yw0TpCu~q@w9L<|`Dg8Sd&y3!Y>VCkcHHp>C2(ZQcA=X84-A;U*M;Wun=~L2 zuXFSf${Tnj5)23O4W!ddGhFy_i5Yy!HL7bDI@^$z!#Nk$MEc7w7Ewe-NV2H#G|XS> zDZiL(W400W@VE+(K_JdaP+)K#csH{xFNq9+{+c!IQL@$=_TIbN2R~*1ef=8##oNkT zg(|>V=%HFUzJB7J+SB#Ki!a#E`=*95KB+%v=lmovrLEe0fr<;EDbXlUY@g_S?Vg0z zrmb=VB0(_!ZH?~BU7gYS^07oAP6VY{SIK(xfw8VY@kv2moQz8Ai=r6N=eOmSZmUgIm0l0B)kB>z!W8&K)I1Drs9+m908T_>~*2 zPl%+uA`JX$RC=3lS*kOhOtCr7ZC-TMG1HAlBU!6ye5d6o`A2gr2bDm6)Q_0JX+iC78Z_9Gc{V)t%QUSSQ z=%QSdeL`}{vdv87f;NT}Q(*RJQ;RyCUIy z4aAwKLi_WmXYnB_t=UW3vebCn7p$+jMH;ix(@h;8IJR6QDZ>S54yLhhL^u3MCeCts9C2~H;&pbbTLf;eA6H}CfHzaVk4 zD{cowA$`|`e~=xRoB0vSjLTGsLa%NYpGu6K&3%8WfT^+dimaQVVDj<)fs(@-FNIPr zQNud;;g1=MSX)y}^YE$|qhh>o)bKn+!;5Eyj&`-pMqdXLP&9aRSEoLp^R?)Z;@n*^ z_1hr7U>W~+$IP#F)0nU>wW-*Cc$a}) zCFCjXlae1kQ7{n*aKN}nTPvrVYd8LlToB^5JtaNFIVNDRnQU`~&DD7- z0G|4a%i!LcgDIXS#b!!bY={%*5#D3nny08**0V>yp2BPDT(OPuJ$W_FO07($RRPW$ zm1miSbkkw@^f79*Iksfe7m%GJ z8!Ia-htFo_%;aq`TQv&7dq3qhD!;d1|C8g87tXu^V{Vxx@JE9R(ja68{<7zMi`KCp zs-z%x7mG{zmUA6_Ww_D-B2%4eC}i{Plik<_qmGpOhYpiTWgW5$=?{^d^M}!V$2J!% z0ND)d>`x%JCd?&@$pSdHtSA3)FmXrSaK5g`k4bDm`rH(Np+TAkbaGR=m02(!{z?eo zLP_5eQu5wgo^$H!e|}x-jSSbJDJW?9t3W>y?7K67xY41h!l<>qtrx*2fT1ZUD5Qz% z$K1A+39C{J^R;>$A_2Pcnb9JRzdzy^MXp0m@RR$ zYNJDZFyBC!V=wUo;5z8)X7D*qkKQ5$qoY6Ux@6S^$3oNQ$XkOUG;COvnjaa0IwZRJ zV5@38Ms_^;Z8yF7y)KCjJBvCEq zDk9$Bd;2p$VEb6?0AWE3kG;U+V2c7E3&b_A4M(y4=1uIuvNefCCLnE|0XAEU0`!}d zJuJ16BTdQSxq6A6#}y|k`>q#EZjAf3|CaCRf*MR|0xS%>vb*a(u^%f;Y?mgs;u!^G zDN$QU6B~H>gZ5*Fc&|6Kd#%~1hJ=gyAFJ}$2V zm0eE__g-8>5!<+AC?zGw-W+|SnWaL-LHwRHG4OC=b(Zg7GbEu{hEhc{^W*;P1PEVj zRj}D>=!7}+D!e*4*n|_)lk6&; z@R1%UQmxwlK^5}g;Qf~`Td>JD_U^5)6CA_?v@{`U+d}E; znxLLGw4laTX*qm=rgyN!JhA$W6VI8MZ8a_JgX?8eAaxHzpCmbfP)0j?H2bA zyWI5&`0Y%fO_UydZwr0e&FpNiqTJ?QrTb=LC45hG%Pn;qYd9y?n>#kti`KoKxYtcQ zKX7T>-K^>56Fz_WM$GKEVWI0vev+tpF?XeAdB>Rh*@%JmbgsFMe?R>fW`eW&nVw#van)H2NQoO8mp-b>OKOyhR#^rli zYAn>jA=NQS4vX6nVw*&b&gA)im<9Y5-cM}-n z6cvM*{m}PAFzxOLT@nLGL7~h!Q*r{)M@wUU`mEISM5pqeYas&mSnsQ}y&*em+*Mt% z`yq7KHb?o8q7Ktd<0&J^gX!X?_-%}>n2&tpaPq~k^DwHekT7;p5$8uHZ;)Du!B99q z7WpfFo4fcPwI?Bk7Nf=@@T@*Big=Xye&n;;^H=U|Kvb{?2&9TZsW^<#7Y)7C`V}); zA+@ky$r%M*tWc+xx%l*443qGkz*=|dVqo^P;*%#+6@!iD=7zD&vpa5X z3zn81&dW>P8=}4%599!{uR+!PM$5Ertgva!L68YnsF^<@BtWL_D_>TYE`4P|`PTRw z5G*80L?dh2VImI8iP}oKp0;EvFlBByr#=|HGLT~6z3(=V>^2}fHahw-I0dfQZIu$3 z4b|wG#p6%zOzbT&pT^_fo2s&i-jQogsXC_)g|5xN>Kw>1uwQK&d?R}aSM7U7zJYW7 zb<>5NU5fFF*!By@{N=|gVzr;|5r#C;RfKsj9%0DLmi22UKXDNYEt?_n$PFY4y`Sp;^FbZ2PDlkq%X(eL0YiIxyx=4_wJRdj_cIF()@jxLosWdQDZJj#X#8As|#@$(?)j34{ zxy6TY*zeWMW!lv@SkSE?I3s@;F5-TZlkM1#y&o{YFZE5UkEDzuXMBWB=0sLDuCxw( z+)WI){NQ5RrnF5Y58bSbYKoM==2F6#xXUSaiN~v5Q*Vq}bf9KLYg2K@#hPb3x^jJG z@{!|@ABR9$Afb^-h|20gnjuKBxbm~c|N zwj9PyN{Zu_6vvuL=hg}PNqAfwggmwR*lQI2*Z9FHpaI%n`QqC#dj*Q;PtHd`1I@6C zF?BpM6C)^V?CbEXhI%eR+x{COw~L6(=j6JpqRv3d;;%HyCDeG=eX64%FyS*)Zxk?b zEzbteslg?f=+Bow?N0vY^C7~%MpiDWk>=I~>a(W2k>aS0##LhyTbcb_8Fw+gVu;@5 zzzd;CV%5Y8)PaS5Q{85P`^dd=+`x7CO|OFVflA_~3!#3d{si2_=17bZ!-%u8<#?H9 z14W5>M~kG#4uT`1kUn^4J)@-P^qUJ4yzE z`7%sOIuh?!KbN|F-E%%5V*mIlG577a?J*-eZXltlT(mo(ZKyXZAX`3ffO!BRFuzG3 zJewq#mlt4?a0`K|G@l(bfbAy)gxs6?eqyGi zF_BIZ=fS|>9Y$3*c5PC-EiR{xCJD2zwgfhbQCF^$0xIV3srZF^d0=Yzz*2${o%^wM zCg1R5utz(DC)l}a$%YVhSFO>;%{*p5d4YQ@e69m);|JKruBM8}>5KLgcN*sxV_Fj! z7Y6nVR*W}2k0OnUmXfF=!r@{e5iNJm(Vay;S=NoLzmSd{(xn3NDe1C%E?7m!kZvSk ziU!4=UrQ=)6tJ1)Foiby!j)kg8QxssEKBh{4g+8NJ84~;(Fo+SLf-0KZrHa{h z%O3UUdgNWi1j^drnT^cOq4{t)O{)PzD=#_I!cyQ>_GSxld7PoJbW6`uXsDf?U2v?I zyqz6R&1=hWQLj?weYb^_(WiibYX!=lkj1{{sMoKVv-=YRvMnwy4lggrQqYuGoHfa^ z8>?@8u)xrJE!afQ{Vw%u-GY7R;cEME*8Q`lA5~sd<6y)GbhgrA?M)5x@;Fr%c$9UzOGtyU0f+8wE8+N?p%w$hI)CtXKbH0 z3a&5Vvb$PnA9qDyebYskFG<=?OFC?Zg*8Q?Mo4ct+eiemE6&clzD7l~T4QPaR&5{l z$u9Nwh5&766@4(>M9Jo4_l&%{GZ(yty5)^cSiAx=I4oQk1klT>|ro7msX6W0dqsS?ZX-z5I}p*pG7>!`Uh zlY=-(CyKr~0;;m)dALP&I6)83Rg7Nr%4hl58zUl;edU4sevCTxYvwSf4Xg7>T&e~) zP1my(VhutQMQraD8WflBe!KciwtZ((nhO&elDB+lC?8gIpm%NgWr5|3O`$VN}QAi)s>d@2n}9e(cMU?@R{z|LVAW=Z`=U@*Rn_`oLcLK4%)| zo$BwFTy*~|i=L%luom}+CF@E_>yK~~&$Tl%>W*-eUpu^r#jB+$fBpmfEs;=RhBEJW zKHN0@aewu<=@%z&{)yZ~zLyIRFsVD-5<4vMGVynQzmq@0AjmhgP$o!|2XZO!WfSaO zwvBVkYp&*-cha;*e&8gLi+qlGsB^h|}w=mb2$6*#HXvXnLEkGS!#@CC+*c8 zxbzcLVxOi=IX{6uQMUyD7j|Ux2-2NrmV0GCP;vJiV$S6Laaec`J?*RaGh6xnc5H+L6fGw#t9%sz%jq@v+s`+Sg6 zoXo(Y7o-Il6v_P^6PwB_BN8>W^kv2YCS^E1uOMfXhApSw(B_$SP8D~5h$!lW=RXp5 zf5_)$+!#`RJfitI-q1YjeQ~RhXW3Ju9cK9xygxi+{47lwWVugM z{w{)?Bv?{pv|U`FYR{0_e;dpwD&;h`EV1>ZsAZ7RTO^X87@iq&?juiTPgM$ z>)X%vV&MS+A_WlKL9Ouxi;Yi%3e=1JF3+RPd6$(};#8Q^X3o}NcZQ31X5V}Hhj|K$ z-T2ZmN$%$1wOZl!8*7(xq#|KIdxR*;(^Pw}KCiDqy_s%se5_6u1v|G)Qtvt6i|HqZ zspQ_M6U-+yoS2qPb*;Xmkjzv3=qs_QJ;UPAfH=Dz=geY zQ3~Ee_&0gg5LWx@lU*Q%bN62|e|yjUH-UG>I-Tu970Cf2#-~k_)T2~6ZWX4crpi4d zu*F7wy9JraC61wrMw3b`s`h4;kZ(98z6YL-XtuC9KO^?Zp|&)Mc7uL7qogfvxAan) ziHi}gCub9!HWxWo8W3zy;^ZMnFUtP*e7f`0!7nRYRW-c3k*_63nqCFmkKx0fXPBI4 zmzTSndSfMwh5#wG?9D9dTuxm8B);QAF?i!Ddey72JIOJssWU0q&S z%iX#QIV`uoaGVKIUukECdy5FpH3}9F^_8MGI;AGStdHf~9jURp%|ze6H{bNi>-E)L zir-2tdZ$JcqTrngInX{D=6ye1BV16qp(<+iOJ8}wEfdo2z4TXOya{o140$XpuBqi) zF@Ms+k`e>d|}372TMH;#T@m3HPQ4 zQ%}_tX}{GqJ?jz|zt(3}8dt5p=N-1E@yR&fmK6zlX(7O7=zjQ^kaig+1FQn zt*cPU{Taz$^C5h0)uOjnI)du;uGioHUE}nn<~xyh&Y~?^6O>rL{`v-6p|$f?dvHhcocasf`rFg2v-i=82&j6A>E-`rJnh;X`X>n|G%5Qh$g%(QhUTVCDzLKci2-4J2 zp9rT34&lbWEOXn;%b z4}g(x;+xYEr`(VqYpWn}7&zh)`)N8N!#L$%1JoC*(De)JHD^p|J_pZ|n%l2)?aI+uV;CJqrTWs}+tLB6F4!Mx~zo*xGcV6pDS~rY- zje5PO=`}Q~@ce#=hK7c!jgj2hh&!CYmt&Z}KuwgpF=y=9j9ZaA3t;xM!dQ3Rhaf1s z|EH-d4`xH_;`;jVYH1sk#QudU)mUqZwMZz{l#fngP%W6s=CB4b`B#MqS)XvO+w%Yv|hDk)ntf(heCFV@+las+Ay!k+0(Hrbp zJ!|k6VNjrbelG`|lge`=VykE1$afBt+p4-V0(o=lAw8s9{}d<zY+|#&D^;_;;9lntk8QK);qIrhos{ZQ+y^0T`X~D8bc=Iz=x%7pq-o-p zDyaBOgZ1P#N0D`lL7yn*#B%FS0s>osLk)svw3qx6jKe%AGBzjYMIXIeA7S|vE-|+H zKr~{K)yjIiF$N^4zmPKI=5xccU!Gk6P|U#3wrF7z>%RUYWQ6_+wss3FQdIt@4o=6B zobQSC7(iLS(!UZ2ZQ;h=WqI(l$Q?Fws$7<$8#0V%UD$&pM&D@;o=kl1otw;)Cyu`Q=;_ zDst%C`F&5VcaLH&iH#W!MnfN5oakXdM;UuttAh&(t4zY0q2I_Atu4oGsAfC~>QB3cIJ%t3lq$zAVtZKX_Fo<%9v&X(s zy+Y$pNPJLFGaR0a=VYg5fOXo3!y!iL8HV`~^b->P9GN+CeD>^>r$CTokw&F^DC)G? zUadH2?s|lbLM|Mf<7N0@P8Z}^drZF>iX*g|Uc=%p|Coq-bly5Q_Dd5+A5jzTgTo1PWy!FR5|u1rbr$SUvL{{<~Mq zT6i@jYmiC9;WUacciaIp4YQ3zI@!7pqC>6gDBoaZ)5v-Ju-zp_-x}`tZN`LOaNwIY3aH%H5yO`hsM<03I8SFP|e@6?!6VP{3afa+D5y zY4p>pY0Gq&So5h~p!ubURlue<*PJy<&!nxrr8)+4)qo^I^hIR6OBdg&hE_`{w5 NR2<<57~S?}%D+&*dUOB) literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0 b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0 new file mode 100644 index 0000000..cd55311 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/novas_autosave.ses.wave.0 @@ -0,0 +1,52 @@ +Magic 271485 +Revision Verdi_N-2017.12-SP2 + +; Window Layout +viewPort 0 28 1920 394 100 65 + +; File list: +; openDirFile [-d delimiter] [-s time_offset] [-rf auto_bus_rule_file] path_name file_name +openDirFile -d / "" "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb" + +; file time scale: +; fileTimeScale ### s|ms|us|ns|ps + +; signal spacing: +signalSpacing 5 + +; windowTimeUnit is used for zoom, cursor & marker +; waveform viewport range +zoom 0.000000 16556.930000 +cursor 0.000000 +marker 0.000000 + +; user define markers +; userMarker time_pos marker_name color linestyle +; visible top row signal index +top 0 +; marker line index +markerPos 0 + +; event list +; addEvent event_name event_expression +; curEvent event_name + + + +COMPLEX_EVENT_BEGIN + + +COMPLEX_EVENT_END + + + +; toolbar current search type +; curSTATUS search_type +curSTATUS ByChange + + +addGroup "G1" + +; getSignalForm Scope Hierarchy Status +; active file of getSignalForm + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/pes.bat b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/pes.bat new file mode 100644 index 0000000..7c6e4ac --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/pes.bat @@ -0,0 +1,3 @@ +where +detach +quit diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/turbo.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/turbo.log new file mode 100644 index 0000000..a390900 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/turbo.log @@ -0,0 +1,3 @@ +Command Line: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/platform/LINUXAMD64/bin/Novas +uname(Linux cryo1 3.10.0-1160.92.1.el7.x86_64 #1 SMP Tue Jun 20 11:48:01 UTC 2023 x86_64) +au time 435.828291 9.073482 5.031866 delta 1206132736 1206132736 total 1620897792 1620897792 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd new file mode 100644 index 0000000..6e12ff3 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd @@ -0,0 +1,44 @@ +verdiWindowResize -win $_Verdi_1 -1 "27" "1920" "977" +debImport "-f" \ + "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f" \ + -path \ + {/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir} +wvCreateWindow +wvSetPosition -win $_nWave2 {("G1" 0)} +wvOpenFile -win $_nWave2 \ + {/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb} +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "." +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "bit" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "q_data_temp" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "." +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "." +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "q_data_temp" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "i_data_temp" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "i_data_temp" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcDeselectAll -win $_nTrace1 +debExit diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd.bak b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd.bak new file mode 100644 index 0000000..16cf7e0 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi.cmd.bak @@ -0,0 +1,51 @@ +verdiWindowResize -win $_Verdi_1 -1 "27" "1920" "977" +debImport "-f" \ + "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/files.f" \ + -path \ + {/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir} +wvCreateWindow +wvSetPosition -win $_nWave2 {("G1" 0)} +wvOpenFile -win $_nWave2 \ + {/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb} +srcDeselectAll -win $_nTrace1 +srcSelect -signal "q_data" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcSetScope -win $_nTrace1 "tb.inst_hilbert_fir_dpi" -delim "." +srcHBSelect "tb.inst_hilbert_fir_dpi" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcAction -pos 15 5 4 -win $_nTrace1 -name "i_data" -ctrlKey off +srcDeselectAll -win $_nTrace1 +srcAction -pos 16 5 2 -win $_nTrace1 -name "q_data" -ctrlKey off +srcDeselectAll -win $_nTrace1 +srcSelect -signal "q_data_temp" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "i_data_temp" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcAction -pos 41 1 2 -win $_nTrace1 -name "i_data" -ctrlKey off +srcDeselectAll -win $_nTrace1 +srcAction -pos 36 1 2 -win $_nTrace1 -name "q_data" -ctrlKey off +srcDeselectAll -win $_nTrace1 +srcSelect -signal "q_data_temp" -win $_nTrace1 +srcAction -pos 36 3 5 -win $_nTrace1 -name "q_data_temp" -ctrlKey off +srcDeselectAll -win $_nTrace1 +srcSelect -signal "i_data_temp" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +srcHBSelect "tb" -win $_nTrace1 +srcSetScope -win $_nTrace1 "tb" -delim "." +srcHBSelect "tb" -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcDeselectAll -win $_nTrace1 +srcSelect -signal "i_data\[0\]" -win $_nTrace1 +srcAddSelectedToWave -clipboard -win $_nTrace1 +wvDrop -win $_nWave2 +debExit diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi_perf_err.log b/hilbert_dpi/codegen/dll/hilbert_fir/verdiLog/verdi_perf_err.log new file mode 100644 index 0000000..e69de29 diff --git a/nco_dpi/codegen/dll/nco_model/DPI_Component.so b/nco_dpi/codegen/dll/nco_model/DPI_Component.so new file mode 100755 index 0000000000000000000000000000000000000000..b1b7fc2ce5c12f4d6d38e8b561732a75f122d68f GIT binary patch literal 17472 zcmeHPeRx#WnLjhb018PaC?Dl>kWVd)DFRxRC4o#FNuzal-H6@C0V#>CThnT7Y;8NWT4xOC7_>VocJ}w4`@VPX+)S)> z`^Wy_;W_s`=l6b`_dWOAbI-Y%!}b2k3a7)NB)QZ-D5{kf7)U8IN>^|Jq*Ps`#^5?# zP1CaOENfV4{{jONs+3m))W&G!p2fNf>eU6I%4OgsOhwNLnDho%Z-DiL_H!sg#~NnT zC{W6M%(v6IEGLv?DXo7w8lv)2X4vUNT1qLQqIWs;$j=X&JS@0@+Z(B00@MLWLOC2A z+u6XWyDnQ-ts0Uu%3i)9R&(O5doIr$kGy{VYbQ!buNe6ZWb%{x##`=Lb@mx*?4^!X zYHTUGwo#QHaNTjn)ceNXc$MF&WyeFV5V;8XEM#hD67m#eN>h=iBhN%W2bsGHC8g#e zpZWFo%FnxU#kSin>{-|CcBHdwju%JHw~{P=CSl@85rXaN_+Nc8$8@=5c}M zt5)7J^WE~_%>P#DUgzYF*Jmx9`s1)Kc<%4kNq1@=tQYdrYX!J`5OhKwoch0f8z-98-P<^+EYConxMw1PkIdwKL+ZXs1lx_n}BqOLDVlm34e|PN0NKC z8Fq@*WYt&V)wqmXx~~(|cvWw}nnxGv&7sj(c2WA-fVP?-{{A<$;K%RxE zjQ==yoR!^XC?pKhtXnp3m|xF#a0bk#-ls4*9cz9?QCOzWSsa}K5mo0o#n4( z`)k<#S3&8%4A}fv!QhUjmgZn2TGJX0234@3xgn~8bs#FZW>Zx#6mAXgXoy6^t(&S= zH?}l~H`Q!!3>&=soM7$l8ZuDR*svFZpV}4Nz!p|F)#+H^= zRU2+-RISl9k##leG+onN2THZNrj`(j!8LFTXjyZ}khv_Z*{xBdPyrc~3_YX3P*p>7 zTg1erR-ww*uL(BSw&-{p3|9qe4M)OJQvi`SA(&`b5b{v_C&mnb+7pDz@RP_IYTPJj z1hLl|u?NGUnrIDf!1hSQ=zkRqH;2?^m1|b54leO6@hvlMp7Cm-s}t`L$A|t$)=2vc z7&|m?c#+$tHsH16QvVE$67kzWWui9tPLnU|0C}!cydH@y_L91OcM(0rc)tyodiVKW z64Pr2Zd%t5S#WxvN$RoS{D^5*%z~Rs5^(w~I6pdCzTbk=J5bVq1(#=!cyS9pM}$EW z7F^~~Vy7(lY>Rx_f=k~KdkQ~~V^HR)LTh;~_(Y8umxUIbr!LJXvEcNskaUp+H^z~{ zDYf9%ehXM|83UxT-h%Vgq77}f;MVuzHVaPc5J~kG{9^)w?zG^=7JRn_pKQU~E%=!h z{ALR-?-F8nS#Y;S{(uFidm!nc1-IU>ZVN8&NYXiE!L948z`he>1D)=ZbG#~WV=U@U zL?9g4cWmqv(vm?l%O=eAs?5BzaV?rviW1f9iJ6RNkmj99b$V2i{kra?I^EJ_OxNE* z6ZLc}lZSMDi0U+ylLvMERjRwG-lgj=Qk{lwvR&7IO?B#m-ra|K7r~nUEfP}S_UT%p&q!^y&FK_))iNQR0qyY2RdF3 z>`ND3?cDkVZb9?3|3hqy|vMVZ>b0P_wN75fuI zMV>0UxN9(9)D>+yf^tDvmwkP^u0_qCs4MchKMSaJo+-T+)uE!zM-qF{Yh)-M=tS=D zrw7-YIz9;PI$P`16@4Z)RCFb*7ei#R7Qv;!rR{7vl2|p&4jur1f-HjKHu&SD6o2vw z?fwlXx)2Uo>%pb!5H8i-xNJQb=(z4cprfrT&{2IeX&i)`TF5^DLjy3>PH=l-=X;sV z{#e_jT=Z3qM$Za#y06DA2y~(s{i)=gXpLe(SNI3^PBM#a<9euHNoFt_;N@~^m0`1* zTB>T#HhIr!qqEw7=Fdj6rG-keL3)v0pmU zeY)@M+?dRfo4=b<*_fM}6=|yb6Yjwiuxw*Ok1X*Fu>?zj^xK zJM;(Ae~-{T+IxQNb3=d2)&SjCnljtzuD2&{0vo~24s^P|GtCSRwR_B3B3X__!ut<1 z%M5pr?lM{!HgX^PpAQ>q+A_n%4`(G|lQpvZdt<8GED7a0~XEZ9YcUE@=IxuAUc7H`n)X90M=3Y_ZKhHh;s2L}ul43y^=#oUH1%}&`sTiXF45t8bO;`{wgvOY!d?nrOFbu2 zufAysze~_(H7em_wT2-O&(5mtr$`C+K0T)BOWQDecEl`C_z?C;7`%bne(VumMg?1e zOgzw8eZZJGoyiKQa2; z&Z|Q39{2eCf@DAW91Eg%@m@ryA^kaDO|?)xH2T+V09SwAx@j zT!foOkjBW-ZSSxPuH3#$F|H;Tzb% z>1~T~Wxw$<>-7&gu!r;y6+NOZbrjth(+l=DJN<8B(?4ck+7X>LSkmi%NvU+E*B{4i zKxgVPnC=>!-0Sbh6mfm8|5=a>82uQWX&xBzztQV|O}F@3PK&2I z{imhHaf8LZ{*+R&%-~oQsD&=9vpW1QoPxm@K70r%c$y~!eEgyiIJOZ(&_1A`%j2HkqF7{i); zKMnbDkjH3OuycCvXu3ux10H3C3kc3llIa^Ma)lXAisfp=_sXcLVg-~ z3ciMJLw*4HB=Qox+;<^Aiu^9}rT7|pE%IZ?ZzC_m)cXbG2az)wm--&^vA?*~#qYV) zgm+!4D(zB(Z@SdUluKqerDoT-RMSS6TIP4DYnQuJ`2v^fnhd=$F7=~7JJo$JBQPX{ zioXaMzb!yG3L)sfr@&SHcNn}t6_yeBE2P3-j+MgN+Wfr$wxIfNJjI~&5fxv8^zR4o z6;J<$fc}O+-`l4k(|3Bx^c|nRc~k#?1qNx|d@J(J$X`U>gWQa~9eE@2YUEEK&p;l7 z{5l+=h3aACyOFO$rgh}S$P^JXpsNa3bq0;v{ z)X#tDP&=M-s7GFOsKaUeZLH9#o}1%TuUv$Fu5zkO4RV`P-P_?*&)@A-|NdR4ntT+P zk*?Q{n3s~(MlrsU>Ei}Xtukn=%%I*<#+e>uTB>P0^E%g4Y^RIss$J!zIOFjc!H#LJ zOS(bn?`A)GC6hS@`bIL7IRSd;)l7zd6R-ulE&AK{4p91=a}#JI=Q9->del{+r=oDmr;5g1J+@t~oO;Q5 z7tB2esFo=QeJ_#8=uR#x^xWiJT`<~t7?uov2>iPdzy6!N@Lk^;PXg4&e&mJNRbl8U zCHxAluKD)^J_~$I1zCPsmVXTJ+t`-0TKUc*Pak@YzmI+heELQ*lKy`1J>Yw-_CJ@ke?Rl}$8hN%=MPQ)kAeRn^slk% zJ9nA21~zCVXwYVt$i z9|iw>EB~r&`}@KFIrxjM{Ca~=&`0T`9{8vSKI(ywdf=lT_@F%?zlW0FKZ&0F?g_6% zo!Xd6oqm%=3Cm=i9%$+QqZ z#qH6afs+0u2&i7`fDYi@ND0eto&JsG^;!vtUQ>W#DQBb-ucoY~;w&%gJlc~`lHc&q znx2y22iQUJQ?LENXEC!q{J22-znKNG4A*sO7oWLweJt~_Ox1PMPu=SO|I5H)Z(b)y z^8lH|bUxGNOe>gP!L*L)E~Ymzy^HAsOdnx-oau8+|HSmqOh@y8oWyiK)8$MrTfO>X z??U|2{ao)--$lM<-X$fUSXQ!p$pzkp8^R%PpeAbIi$q9h{$`)`NYa~;TOX)kL2PLRNrE+ z71CuFz9PsxXJxvu+sikeU239|-+|`JxC66X5Bty4pxUVM;r+Rn?Z|rrRh@3a z)qyWGGS4%t&lBW}>pKu2Kj-1k&XoAWgL)KY2fq9q^b)F6J3Dk7Wx~DZXxPM`K{cQK z-;IDLN5D^wfRA)voM1RGKHC>S(ULqGAAY|`B%hztwfyjLh|fQ;KYSc60Zw1V?9U7R zzTSZ^VR9cyiqhqb+sEe);9f0}d)Wt^&H>oZ6Wj$n-#Ll98SmrqGm6c91Gp!TKM%5f z`?-oAXngp*QGgdA`C~t?fX`tXx1Ym!RO7iZQSGNJZ$Dr1JaF%DarFtjps8K^xswFj z`6MeXX5BX!x1XPQhjIIPh%?x}{hY^S;BEucT5}}shj>eYlHI2bgw52r?tZCb=YFnCi zHio0&kZ<_~%a-LA(kEhqHLa~RdvHi2+PX*8wbnF+gQ2#jrae%x)bx>(98sJzfqOW` z(%RD290~;^bbKTn3D&kmd>1TTdZDgF8k(tc5#)?BEn3Am%Yq`ERSE5EFzht9G}8&4 zXc*OCuwp}5l|SfTS5D`5bRS4L6ln?8*EEOdz)ty<>&mLutcCogjkX%JUP{d^ z7&$wd+kD&G8X7~38$wFg>T4qP$`{(x3{8VZTMf>xaBHNYr8%b(1g|yRSVIb2+SwRY zJ{ktf7Y*;mRUaeswYKPkPQGwGKPL4d=t|AdFrF7fL&{gxLX1?Y9azym)igEK!jBeM z$Hk|c!~rVh!&BUZCo|7n^I;%b_tD-I)0a-N4-sl#M$3De#r66SpXOUcU-sKV6D){n zO(%Ija1|%HjlbK8zU;?^cCjM0i&vt#|CfDvDKHWdec9g&mHj+KbRrwp6hMXc)}k-_ zB%zfoEdGm}&}#5$uP$}jPYEq$ee$1V?D2FY!0C{wh*NlJ5;d z;~X&Q)89Jn`n!RVhcT-Ph(fwm;JZ={|x=)9Fp|A_$}k;x8Pa* zmwkFSFO)ZH?d(PLg}!LhH}^{=hE7jbRhL9h=paxki~q8|?qPiAcX??Z|voWQ8ku65SCZbto Oml_gpiy%|G{{I3I@mQh& literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/Porting_DPIC.mk b/nco_dpi/codegen/dll/nco_model/Porting_DPIC.mk new file mode 100644 index 0000000..4b04ec8 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/Porting_DPIC.mk @@ -0,0 +1,13 @@ +SRC=$(wildcard *.c) +OBJ=$(SRC:.c=.o) + +SHARE_LIB_NAME=DPI_Component.so + +all: $(SRC) $(SHARE_LIB_NAME) + @echo "### Successfully generated all binary outputs." + +$(SHARE_LIB_NAME): $(OBJ) + gcc -shared -lm $(OBJ) -o $@ + +.c.o: + gcc -c -fPIC -Wall -pedantic -Wno-long-long -fwrapv -O0 $< -o $@ diff --git a/nco_dpi/codegen/dll/nco_model/_clang-format b/nco_dpi/codegen/dll/nco_model/_clang-format new file mode 100644 index 0000000..55a16f7 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/_clang-format @@ -0,0 +1,27 @@ +BasedOnStyle: LLVM +Language: Cpp +IndentWidth: 2 +ColumnLimit: 80 +AllowShortBlocksOnASingleLine: false +AllowShortCaseLabelsOnASingleLine: false +AllowShortFunctionsOnASingleLine: None +AllowShortIfStatementsOnASingleLine: false +AllowShortLoopsOnASingleLine: false +MaxEmptyLinesToKeep: 1 +NamespaceIndentation: None +CommentPragmas: '^(Return Type|Arguments)\s*' +DeriveLineEnding : true +BreakBeforeBraces: Custom +BraceWrapping: + AfterClass: false + AfterControlStatement: false + AfterEnum: true + AfterFunction: true + AfterNamespace: false + AfterStruct: false + AfterUnion: false + AfterExternBlock: false + BeforeCatch: false + BeforeElse: false + SplitEmptyFunction: true + SplitEmptyRecord: true diff --git a/nco_dpi/codegen/dll/nco_model/buildInfo.mat b/nco_dpi/codegen/dll/nco_model/buildInfo.mat new file mode 100644 index 0000000000000000000000000000000000000000..5c1a6685b65eb5f00126e59c940d406697031228 GIT binary patch literal 7191 zcma)gXD}Sl*S?TMja3pQdY9+~tG9%xOLU_5M6au@8oi4aEFy>=1PQAJt3($O5xZD5 z%35Vt-&cPBncw_BydT~(^PGF;%stO@&xd>G%+*yf(os=mmlPId*HtkRa&~if5@gqR zxAS-Q^7W8q*H<&u)RTH5$gbw=WasbX$nNDS%WitJs(Aadv&*oHipz=tWhJE8#YM#> z+5e9gev9D$*)2_7z)fUw18@<_!PYka)_}Y6*sXzR3aVa`fg}CZ28@rAE3yS};ClmPiLbP6GT6@|D)<9{SU;IZTkG`hlpUCgN|4(E>zlDH$ z5AdH3B>t=6O<*s@zCmD5cbCNW&7oZ+b;6yiGXTXc9ZrmOUHYN=h3Mou141J=J*JmoVRROm!wE_dZ zy4=F^-&s%jd|tM^r+fR&qLg_u5LGEY@bVLbY8TV$Fsby|@q-@5*UAEnFVhK!EMq&8 zIK$%fn>gp+0m77`o4qVOCt55a`n?N{S~WgD63a=E9yJ6H>GTw5M0G4yNJaF^(89IO zK0M!sfr{!?#M1i$>u$#xlw~mqQKZbTS?7O!)M4P>R38z~W^Lnq03JD>m7+H31iQkV zJ=&%Ys&ZaGn`jnCS=cLWmVL(0m{Abw^mPbYDg>-C^O8r>*C3pFjkcX1r zt>4M+S1q|!JmV30Gfp>87{SOzYa%%0Kz*<5cVd=@@W(qt{S{``^s0U}&0K2CNh`6h z%WyAk+r8nHhJi4iS=kjyw+~q^Y6_pq9=6_>ivX+V-Dk}cb>r56zzz6J0bJepe*?OS zz|o}rdJ5KN-X=5}AvqlT4bA0_(+xW%M&ko2z7!|e3IpYcT4-yVYy?}rDb>2O%(&xJ z&1PV>x{&u}Z(@gNMed1@W+3g5rGC_*`(ZZ4SX#p2s?^)xEb~ppB&SBDiUozjbQ_}; znC(Bu3h>-9cDMC#uRik>B5e&|;xM6}+aIzKgNFpr4;%)QsNa!mE_ z-{&Ih1dexQtFmxneLh4!xZ^D+z7&7Nqqbt#8C)Jk&16z*La0=Q5v^Km=3oZsRK;GX zfnQEf4^}|2W<`w54AWlF=4e>-&(7GYz7v;|Z2FmjKB4-yqz}W{UL3kJkbH9aZ%V;FjY#`4n zun(Lj9D8BF%u75;dQ_~}Tn5H2p5Ec$1xvv>XC75DJ)GAxsh0LleE~Vq$K2b?{sQ0S zcG5Fu@;k8*vw6q=fPAXGuF6XYot|)QGL3|vo7ye)pU>NMsULXJDFVnZsHa5|Aa72X z%y!-@acxKpomYJd9K76*i4Zx5_#{9@wjDs<;ffv1j=1d46sqVh9--Iysd=rA*{8D2 zn(|B1iGWPqRi1nl-}&7Puj- z3*6nQ?lejz?le7-H^{g_!VU7>X^?tSi8eRoX!gQ^pe)_hyno2_NbLm7H!0B+zqOD6%0)Ds#uuG9f9?$iNXruwS%4K6gfFol|2C~xw782@~LfEzc2$!+blJzIU1 z_&FCQ=0oNw$ISCfQMa|rllFBpwriG~jKNJt?*E$T)znNg`oAIHR-Es3Q^0B2FbC8619{( z-+59*2%O0tb}w3b49vvn_4HuE4yKzT{dglnxW!{%0x#rVRG$(GUG{K*9WkN_`#Li) z!uGJ_J6>`qt8ZYr+g^Mqt5I+sDMA?DS%hhzf(bBsNu&0tVYLkCSMWsv@VqFZH6^Hc z*=iCjEs9_`Mg(~}!opLkjtoNPe}OKB1KLHG>UDycW|t5eL6O^(;!7Nra(^^}Vz>8g zJIZx}__o2!X=0vfK0|jzCc$^$0%VBWC>9r(W}ko<0>yw9?h}x(euJqTIInfTlC1n% z=vH|#P(R^+uTrkhUn1PUMF$72?2O-rPHEttR)*5dDimx(XEdaT{Bh#NgZ@V!X3u!L zY=p%q}3XjKGyw*uSJ z3v2ASVwOtZoRJUM*F{IM40i)?F(V2cERp|Q^oU#sOVmFXbK|-wcsIAOnxZlq?WVCk zhGR&gF{IlDx_S&bo8MC<5djd7n6$lylA5Q@OuDF<9AC3=Uk(Zii}1)P(cfskghl$; zkSthtC-_@rU#EeI99`D>b#T;MS8?4%3)X$`u!`lbB#iYqxRDw*FOJw@K%Y#73L}SC z@w}D6Ez4bRFs$}4iD;Y&Jd_F5Wp#k)1EU>bL8ORIMzlf-&blv@4b@eGQ6z9KF+>m- z?5TxgcV^vtx<|esX)ss(E#WDHI8-!_6n?>t3fCILOSoT`IK7)BMQk#n$y0F8`Yvct z;RP60YFMKv!juvHn$y$9rOiwK5BU1xK@0xfpyXUc{Mnf>3IaOlo&+n|!q~_V=?rKC z_(jw5RV)TF3Ra?pl}I2&7|?mP`!y0j@Cy0p3{U*6v7qPec#;jd4~r3mM_1E(N-<~G zTUeXkun7N)M%!+sjw@+!oxI6}wfce~GIO>kud=7-3>kce=kkO@T`m&$f0_F;h5A=U zoV#{eKp*L`$rPXABT^*<;f#9l0$GD<=^4B0S}?_NibTd`!>P@=(jt({z9`eNNWJN45!9H*2elhQKTIwX4oQ44k$?Z0lznW%gcKWsC4Gp?3I+7cZtMJ9 zKh-uc*tq_*JKOERq6&0y_0Xfy_dFb2VIydB8O!72M}Bp9$QjZ@;*zscr_TIrN-RB- zHRhGX=kl%7;G%%I7FM4QV)0zjMzprp#rmM&9=|Mv)g^VMZjjmdX>%ObKHg|#d(E3w ztVn~J_{f6Ej`K*XuvD!mv3=*fU5QKK@KVB~Ti=xZdEam9?dq)PfaPOfU_cyE^E|Gn zJQWC~mED*M_R_F-C2l|!lv!0kf{WaO34sc_SB#{mGd96TZQwU8BvQE(hO_X=LOh6p za(F8Pjdgt50sBB@MN5*~ksu(2XA_mrXot8;wOjAPew{-L3#@Yvu-r21R|Wl{-w%}O z0#jo$SyCtv?{96VeCwsS>ZRJ7cH1gpj8PODBI<9pLUL|+FB9v5S0Cn{3HXeAyRtHc zBb$laT+I5*REYXMP3q#@UDGM%{bA}6bb*NcdFob6Mz)k270;lsqOori@(2wIfMW;S z;ROl%)0IyC1%=TeV(v3`X6Zk(q%MdM-Nvfht&4KK&kKJTDs6c0i!o<}eq+*Ha5JgV zU{o^1m-deY+6+TGDTp2C71<2^9?x;5A4RUnCjh^sjzCMP%wHLpWnO`|$y^c*!y5Ii z4E!&}F)Qz?uyPU!=lIO+9{4eGx#4HjL0A7N27Sk~nRhu@j4?vy4AB+qqf|ijk{X(|wrohgcT!zHh%r?8XU3Srwj`$lYY>SEX(I`XvA|l%gE(T}a=WJyEmM5y?R^JQ zyhqP0h_eJ~EVMM14}Jcqlg(^3rMiBsdIhfBguh2^`s_3rIP%u=`2HIk=R^#M<5;4KN`#$IV zQpR|}*a6roa86|~v+=zk#!ARS!PIWP6==L5DTEGk3K>ZAie?|oN$W53qu_xBY>e5I zyuTjlOg~Rri4=UVaIFk~a#Gto71cirt(oq!{$=|6)wl4%{&2XrO75MNvtO9NcPBxM zsm>0y5Cb-4U4w{@*)Yg%?1^-m%Nc6AMP|z;Cj#IH1Tjoxr$EHel8u_MXhs%82o#EM zLAWC3!FyYQTjs`A2V(uV&V1QGbkvG}%ifx9yi}cB>-^~rqz$h*G%PrJ`hh+*so6(z zBN5nxI-iRoRQ!$T{X{?Ng%@mf0 zelx$(?SdCoyYq8%|K3Forjzv@)afoA(|ldeOlNvs539>%4&<(keOamE?9YPhi|2u9 z8hCRTr;}j3(s7V*ROSPH#3lrJiy`2fPta$AbO2Qr6)0ckjcP)ab4_e#<2I@XCw>snvZ|vNJ z;<|AAUw3XlkK!b-r8K{pteQKAos|y?*{>NKR64&Pk53OEs4chMxT_?cp0|;k%(Grm zr`tNMM);S%O#oQj&cYUO{a!`AilyA+HS*UMz@iIgN-U$)5>^y=bQ6iRF?<+LHbb3r z_XYQ^*xG0cxL4)~2D3>j4!n*uD*_tqq>q0Wl)mPk2V4MRhI&wAokJf$`C#WS;7=tJ zKmJz4bXYVnJGw#SXo@)FW3B*9qteLZunNb|LPLjTRVwp_qm>a9OKCGdjQ2iQGdM3w z8jX8)K<`+33{F96RHXV3N;cqwkaPt%K#auq6n)HyN{@9XnwCl~bed z*U_p{nBkI0?U$}&sn+ad|l3E}t_rUTxAuZ+8xs#`A$o;uU@ zuX_|TMHDlYIPd?R>RLN#mi~K^clYWfXm~l*2P_YOw)2CkqvR`;>)Q+yl5w<$o@r|l z3;-$Uoz;0A5}~T?p}|e+=IV?vUZCPHjew>j##l=Y+?VTL?e$z5LB{0{clLY^65g7A zl!@D5^jViAI=2WPULGeu)e#4SVe+VKr@5mu>^5>U!WFT)T+D+{Cr3XbIVMDf-|F9V zqNbQ2$C?)#`Ok|MR+clg)tAf$-Ts^&7chioV-<@ zLR?P-$O1bawGN!v5MIhyiA|Pn%aGaSrJRQB6s4v&dYQ-(oFRP=e$}Jp)|UJnMKbEC zC=GBb0O+@)wCzm`l?(oPZ8L~C^K=)f7glhJA4TyU6vx$(9;_emvMP$we`-L#JVioK zi81Yqu;M#!(4w~IlJz=0W7X;t8Wz4Gkx?14=`Gbi&SBE)Jm1r|y0s_^o+vb}GDq(O z#J*yz)Wy<IzVqxg zpbW$y;CavbspqJ6Zi!uo`V6hWM3DDYx7udXQjqu!1G21XwFsux7PYI;tV?B0U)9SF z+MVyXsAXFWN}DfjOm2oHcE{9q)XR+L#O<_7lBjn=z8z+-Bpg#Oam}S|UJv(SAFXG9 zK&x^>4E~ZgYV^CO_?Kj0veq>|Bs+%J7NeXe@Hz5JbeMlN(fbYzF^8I2Py-15KeNlO z`M4*OG*#vO?+LRV0n>z}RQIRK_}A1@+C-zRe`{s#nti-ao@HOP#ZeLHmN=L6C*$RU z@HxRVkOG$akUu!~<)JW7>mRHAS3;pYb4rnS8A9XNq%R9ryq{yl@~8N+Y&5O&!fXyJ zZ79erAnY<#j9Y;`_#-vzcEbQAt!qtRbKhr&5+lL&_)s;xg=)maRGF*x_jvord^p<} z12Savl$8-h{uh!F2HLrRPqjm*==Dpzh@S2kbvv>Gl*3rHARBf=!aqkyQ;*%(xfIUs zD{O?3HCM%3Tw5NpV$>5ZUqKbMVxo+G0-(~?++bdczi;yMn48Tq5 zUg)aWW+9&WDr93dCtQ|nz5w>>9)m09+*k{h}-cW%|bdZKEyx6Fwho44?P^h@4lwLSaGra z4H!Qypc7Hnnfy)G^R4kfAS^*i;((3sU<6z~`r0=5!#VynE(B%u$N_TlHP^WNOhOi< zXO~4cm@G>)oUzoaaCae?wn>qY<*yj|o&zz9vb`Lce*d3<1lv-`{a0uv7zwrY2c^?yzzI$JBFStzTj4^T0m$Im$u+%N-w{K zODDtc4@O3p?Oyzewx_}xZ3@MSHZf1C`>UVnDHQ|{=H~W1-jlRkvT5_; z?4AfkL-NGX!FB7nEIH`T3to$?IbSwI=b!_&?5*Le8nv%8<8%oIpkPzl;%XMgMpAv< zN$OwkDOv|2Ib4~HAAs~J%?INenhO(aLZohQlg)|NXkZ?n(7@%j6_NrnT+5|don2!S zmbF{@$=ZPpj>}oN$jCAIYh#W=C8e1|4fETfOv@ z^(v;9Mu%>A+)M?jmg?6QQ+RYockMOhNozyry|X{>(SlwQ8%%u)yQU+O{?VG=XES}S z$f$72$72tN{d?&j=2KjWXo@7VlnH+|5cz(+5$1)uLJMyT#1x+3E|`wiY0VC9ELj=XNNy8K;_vAonx zJn7tOgb|S|gf7=i_&X!%MosHa0%j_mIwU;bk3(wbUbS|bP$yMuZjs>Rsp4Z}xD2%7 zpW043*z|?d(@ZM#7ui0(Bl{-OXQ4Da&iNzJ3mRD}eziSi8g)PSAEkO}^xG?e5KGD^ z%hS<`-u`Uf{V111q#xZ~y0fak!Szs&6M3Ua=Wj?Y_#@-2$#5cEU$4T*avP0K(yZ^~ zYGZ`7Un3Qys^0UQd zYY_xDko>vczI0Kyb0U8B7l)lY{NCaStG-_IBT?n6iLr$!%X?SJFWG#qmKIxof{vwy zCl(#1uaF-YZf&nuzznyDh;zRF*{-P%kET`1aHES4dLu)qv%b2)3Z9I(!f( z+c9t>`_J{B=Ne{1(VZKa+gE^fKGL9TPfh{WT-OLopu-geOeb0MiqKpg(2%z0Rvl#f z-NH-4;l`g49gZ7(mQYb2S&$LJ2TWufE^9BZIybZ&`@)9CUyLo% zNHdQhrsR!5qeH^zp|UVh$jcglBujX_K;7?Qu*;8?DZ!F9W5HX6{ciFlW{ z3VG56E}pC1yTbeP9`O2$tLykEWb5)|=GPJogYm=q`(D^b$v=ue2ThmMTXbgsojwL6 I+01?XAH3sBk^lez literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/codeInfo.mat b/nco_dpi/codegen/dll/nco_model/codeInfo.mat new file mode 100644 index 0000000000000000000000000000000000000000..eb3a4b3c25d427059f3e26dd06375f6eb81878d8 GIT binary patch literal 14458 zcma*Nbx>SQ^eu`62(H21-Q5Na5Hv_|4t#FCb$M2++lDF?(Q(S1|OKmcYpWY zdhd@{^-k65-n-9Qz5AT*I$hm;RHe05q-CfDIJv1+rL{S}+1OjLQ)}3pyMJ?Xbr7Z2 zkkwUE6Xa*7mUXo05h`y1T zgGVGaI2fB568x2tYfk(Nb1tT>Ae}aOe|ix21uxCWl@t%$elxblf1wip&r@-meKj*f zoFSJQ>xYA{)R3gZL%vdGMc0fDz(?03(IfWG+rg7MO*A#aLr6lblf{dk(aIN>qz*(t z?Dd!a^BCj&>qpPxGJv?B2ySN&nleW#QN!U&jW6|tnDFnWpf#RiBmhIhgBRz(nDLKr zgdmCqI`zTN^4-vD^MmWWO!V2m5sX-T*l_i?gqQy{jPL(6>=MpGkoo}5f)V+>*^g_I zL~be^-LD5N2dM-)$a~grS?FAC_r5e7Tq_f@{eM!P|8FTaPH7RLbqNHC;h?ybQ1*Wd ziwu#z|0m@CKSJmK9aD`Fnfrf&0&pPv?V>-62-CkF6h9x77V&ZiTM-k(1tTD9{--sD z|8ICyX|8@k7dr*Qh(9_1BZa#(LDU=c`0)8hD~E{5RZVOJ|1OU%Vj-2!SFX9v*K1H; z_zivp{zzMIUbLWA(M#m*(FaxGJx@mZ`#7~!f!Scf(UN*YwX^<9somN%obQa<;zf)H zg4oki9Wt-+PgF4C7J+)zp-{nA)mEhu9_28+G64dCBu@3*O3Gwmv?FB|Vs(D>vY1BP zI~tl8$)Bi=NpTTu@69#wJ{+kGwa6)y<`ve%QRr{HBy}`W`Pc=yT6>(NbQrQ7j<*od z`BT=_4xhjd29{l4Q!g5^(xqXHyY1Y$bhEvLZiRpB_c`vLe9i|9xeg7&{W*KCvLEjX zkJl+^f5GM!p;aL6(CAsOuqC%EtWQrhnuoH3pr2l6jv$m=M8*i-|6a1=TMv0>`Ro66 zWoyPA@DM5cimO|v%s>XxoETJcG~yH!i^8_C*Zk`8r$Sqh^E)!VIcD$`99`5aLg2@y z$58)|k}RcU-D3$!{uS;@{3fx$EZ`+RO+W1QK@EzR3+~+n$v@mj3j=?G11(>TivkIT zc8r_yu9*I9n!yx6r|N;huRN2T(RPHpRyh-o7gSGfK%zzPi6r2lk^dF*^;?_feQc%O zy#KxN8ccj?bCYPWe<=amAh2-Y_r+_cq$%ukQ9#Tj%m0_ zf3_VY>lRp^Lfp6!HI_oLy$YzB)r0-%FL%)P7>&^tn~yna8Y9p#ERVH~zz2Q%5vdz% z2styaI{ri$RlSsDyFU{?_8nyPL)K)fPyNWy3@4HUyFv!`07iC0wehC zUrh54_U*NgpPwzbzF;;iikFGait1Rn8G3hZdT7mBgGiG}B#ZuJwS4&~kidcJpYLCY zj#9fam^+R^PhstpcMzrBSx2cI;YZs^kNS0@k#)Jhq(|^EkL^sIcJcuKcIw=dn~AhC z+_LT4Q)N`zT)pinp&xB4nbqL-=YAx%D}UZ}bz6Ou{W#Wd5P#{(&!WQjb>8A&J9`@K z)=LoD2V>ryvuG;v~gt#l_)vR7`8awzK^IWtfrcdViD6uz24P$>h zk+6`_7spP=eojCHjixdo3dUgt(>MDveTz=?hJRNj z_{#PQb27ai+T6SuN;iFTgHonpEq@~u@VGf!6bWu)z-lNYrPhb z6ZH#T$q@c10ey%B=KFxHPw-3wlB@2@!u{D4Bq(k#Q;IF?qEZ1$#5fjcne035ix}pk z7aVhg$dfrDOeV=zA2So;F341EtJIQLSNYEb)3{lMdzJ@Hs&?B-+KpACdd!egkcuqq z6g*YprH`^!V$G1zkM0###oMThfauQStu;BxsNX_9Div$ZQhMWFB5qato^uYOKawkv zV}>kVEsCL(*oc1jZKYV`a7eZi{rBmyQ1bwbeS8Z>bGO&1x&If5PHV>Q{7B$sr``%Q zrk+v``6P(Ef@Z*20fh6K-r2^L4hd&EG1kr?4kWsD*|K0Z#i>C3?B0 zEpn;(jF5Bn^i`b+CXE&}4nN859V|@-$)>4DGJIKZ`D(qgO|2SfX2%vr?$EDOQNb(M zBIvtYcdJMuJd`yCAxK^L9mI=R6TJNkZ;5fxG~gxuYBBKTU;VU0&WlL3BDRvEOX3QH z>&C)YQbo6Kq`nvR;c>m^xen%w#d_2mhcP_V1%{*VP!ythI^XLndo9RFmY;DmWJ)UQ z!5^+Jk?J_rz#Ec4WE%{PphZ=DdrKWKTdYP)ZAE8pG)QxhVpKIdj23oRjm8sjF$w;;jXCs7kU+2 z*%V6S&QCnfu@@-WLCt_dq2VvPy!=gS^q#(?hxjAmL21d{40BjY>s^O0zULLl@=c-aJ$5io;n^Qibdq6OKT~7=F=R7k;v6n=9 z&xZPnhGx`X5iJITh5eo;m>xi0pT~vD6`}NM-B1)v!*jS5(`)cP#RS`HaK2p11(_Jl z4HBZ(@*pz`QlS?aD$7qmJo>=%Gg57;N8mG6Qm$s~#&^#TJbr-ac{Fsm&nGt=S64t~ zo9dS8RpIduvk>tTP5v2Vt@bvFNz`6??2$wY9lY*t>BOn@B?wtNddaZksAekSjDUuf z`iChrmdN)#6%t$M3dORq2uoqFY7Z-r?UQkdpvb)Ns8yvvJaj8r7jGhfUeof~xO=uk z34VDw{6Uz%arvm9D;9bUysADMcG_x{)*hQ^|4XcU{CaToyf~Tl?+d{~=<4a6fl6+K zv@Ks^K0h~UxpW5N$Ekk;ok3WIO$0N&Zq#I1wc@mrsA_%~R?%q&$UY4NA2sac!)wM- z13XK|J>xQk;6)EAnmk=Wmsc+u7$zcL@e4)yh1gcDe^;gb${3b zr>i{!efTm^z$FyHC~Wj6I1X)d6s^JtN{XFv`0)d9{L%Me0x1^% z@2U;3eyt|uFY_-90rIhG>*>y~yd`F#rvW3h7xv;A<4K}qJD=JjV7RyE$F3R5QC(Hb z=~yWpf~N=#BQBG3Bj1c{Y!QPgs{{tUVuvushdV~c2rEO8>90l zUNwDMDO4MRBEpW2v{>aK3H%vL)A{mXU1}%SP_hVYf~=l7Bm2MiLz644Qbz;>Q<3BJ zJ5p#IJt-2>a70g;WG2WdV`roX7zuW<^7&iuc@8dL9Joi@s?}1) z!cQCjwr=ZTZ^{bRTJgzbdum+mhs^|Y3){^75!0d%4fe>DK_u2AUOWH@F?n zF}}7Gy3T^w8Ka{kQeF;cSBvh3)LJ~2?wN#J5b;|8%}V~T#Uf&9r=bu};3$PveD(t) z&3p1jt^8vCG><@}Xgf-msUPMVImC!zVi1$>eM$(AEE! zOoCeBi6+eN;xk8bT>znRT+)I|Io^*?7C|QDmPxXeU+s)5l6Z{0_NGc9m(NA4A>c5L zQxoC1G1AF=v`85QSoOSZv-+Wk1F78ygZ8^>(c2OaoCX#vR&PfjB2^ABugY`ld_Sy8c>trF#o z!;~!Tq;gXYSQ{_mvYv=cr+zc&w`(wAe8pz%3>5m|g|b<^=kaNLmk;NWZe@_v@WNaB z)^ms%Xp0bXFZ9lK5zVlIjserAP8RJDP^rREVFgoy&FL+u8;Oi_!B*CL8!bW$6~$ig6IpW7cbfAt*Q)O#fYB<~*pOLUF~| zHEBUb*;brSVyw2E)>^0QZnq_aX9 zkY12tIxL>*vh83!-!MAc`RLDH$jY9ohVG=$?`F!R&#um>lXld>{|EVtd>K)zBC>C- z#!>I;boVI{Z?5gr6Kz~{U$II64x7Beod@}HK-ok9#fZ2bToV3mRl~5^`Dyl!Hy3A- zwHLD9ScP0kF*RCp9j*zVZk9O!4KvpHlxg`Di6fSaHtcXwPt`A9$-kiFnUK zZ46}4Y0oS42$%4-+msWP0$KXn!?tEEZAgmBwQ0l0=rV~~c!nt=vIGZNQ(ssGj{D?4 z<8PhhvM z#;f)%%NXF@sW;XSlWt?sB)<>e`N}+MlucZS{n8mJgyB)EGoHV%mJ_YxpeW;I#r}7& zp@EE&`lim1VWb@Nm3*7j^p_=jelr`M9@kYaZ2=BsE!gAB?04F^gHlb*(C@vvkm%xIXX8 z1(h#%dC}kaRK_nqo#yg}81A(NVa6)vhkvf>>sFj)kRc#?7eYP}TQGjuSw2>N-HwIX z-3T?cyUhBm79^VVu}a!@L;(0iu|Rs{oq8y4SYESm8K+oCd_V1u0UiACN?(@_A>9xf zW%!PvI;DC$j7*ileUV5{N9d=@n{jMU9skt`y|4Xlwmcz`D!PDpUxUJNzQ9~l<0Ojr zIQy#}n@ZMPJC0{m*1XxM>yOn^2BcKe9Jt~{j9C6@ZN?U6&)-$P)rYosPADUmI+a(( zk;Cz^{Q|b{b(PFC*TB*`E@gFkG|a@74vS8o!&UNWgYCjly&lW}_8KU&tf_*XdXwaR zc6T%0^YH@+$#PU9jz}M!*BHe+s;miV3!lae)=!qH_d3ECyR&uxqH zt8RVIFlyYLgo%|hIRwhmIGnNpiYT^&QCSfUYLTkHFb6k^7OxnU1H=iBWOm@JO=P6& z&}{CiuL>yoIzQ6HY!51OGd5-oE+na*mshrXxNX+_VRdj^sw-viPB91gD%lT)9n)hgwZQPjE0-1$s& zt?Gj;To?Z#4sNdbRLBuEq!A9sE16~wN3c80;BaXuoq1u^7^_dwDyF3xQ2eTxNGd9!A%@kA|TWVbc70BD{9|DNI@U0V-}$ z>g_#~yPd-1<@md@CH_^bXdAqgdWJMx_IzH=qYFcZPoPLahw(CC0+Cl6BEFh9#k<#< zrgt44Iu-pT%O1ZKUiRY**ezq~Sayv+qa}$mM}5-lYhBXYX4#m^a=BYIh-F|JDlwj! z^|4>2uOrd_@Wkq2LyL}yA}``~#H_p(^qvd#GaG_g?sXxHu(m-D{uf(yZ>CPUm4R^5 z;uJwmLzT`g6~Rtvu8;ppzQDgndtg=jyQJ{1NAXI|wR?`D-^`hNP1B)=vBxaRBpKR? zPems(Z)2$D{=>+q`5Nhh>aJ_=1nux=ye6X4FM5xVTN77{nF&#o$3I8KPUOQ0$1{%2 zf+rGtDkpo)DAPS zl)QA=v4%7|7ZuNb-`j+aG=cvSvnr(y>C` z=fig!w7)_r)0w1;W%js_%W6v+;4J=&K+0i}*LBM;PSCCpdMIlmQZttrKa)4XR` z@mF56#k`sFNJ5H8NNowNp3Q_5e7(OvzGeH;R=*sq*YV5`x4RQV2)@0X95vD$~?{d=mj$!UsM*4P4AL^*Fc?xv`so zmdL{5_`qkUo30B0uLZB{$EC~*u_wKz1CcGKtVh#}oAbx%H1O5trsxe|y}k2MqAhr$ zkJy?*yjFZDa1~r1BeuIW$ulvqIcYs1@_^!f21J2TgCw?wmn0X{Y6GfY{32Z9g&%(U zobjNjKRa58?`|oXLU<^>F5|BR_FikFC(zBC=$@zAA@2rxP-pVE?Zq$IruCsY zBP}}c_nYDMpOHQ(ez67O#rA^vsr(%^Q%ZKXoUd2>Jb8=h7CPDTfbBCr zu(>B7MCo+peDRDMuZ;C7ILMT9TXlC~Y2tR}G7+O@Vliar)C>Vj^ zF2l|!yUGX8%efL4rEhfrb|nmn0oED5)20pQugZh?FjJnjzK5uLD5)%W&fYH{4=U$D zbP{kZ?iKJ_V&s7$Mx+mZFl2j@Ck-PoIG>o(%zyk6XP0xfXi&@?%K35hk}~{ThIQhr*nk&p)Kr_S`S`p5uZ^3>t1;C%cZFL;)P)Uf#aP zNTzu0ely~UVMzZiIZ`r^8g%3d!Y2vkyL$)aG+074WZ0!}a5Ugk)!MrYkSN!)XV#4o zW*!gE&(Cr2@4vlXL9-h7Og0T*Sr_N+)(2w%mx*?~chWvObn~j^1m%NUayt0VIsRTq zsuG)t^xu)2zy~c&8jbXh@@obR^GMLda<&Ic9idC6^7Acq9f|JTGT#t@?#sJZnR#?*ako3 zxcqg?NgJ_yvm7}DtcBUDhg=a({n}~Eywj)MhYOPyKroUOz%$YkKr>PnAT=@+Kt7An ziIwe$vlZXL*T&y=vz@2ko6}MK2ql~+*i+Y0MTAxUii7%=lWaYe>u({KFK>mHi)_7{ zH>#=X@i8+Dy_tSRn3w5yz~n?&B{ho4GSpGVX})bq*@=u;6*WR`@@ONsw>x&lsfL*1 zHs5+6*`J&U)l`81-A!H9Ar7kRmAw=YiE6IhU!2z> z_|-_x0VW=$<wG}A*6Kt8O6$CX7L+p!x0e$O|2%eZR+|^wGAj4#5nR}D zhcO(QD2JGS?nD88*lpygmKvLgOuYnT+Unq(-_LI`Y1?(P=-9Dz#d%B2uS<0#dgC|N zXYLp}I~nS%Iy-qfI-sn!HX@3M>9ltX=C=3vY5OHQS+7dgWIH^Ufe`sOLJ0tJmLe+m zK4CiwEHL6LmmU0mrm}1;0zj;vWTB|?uBgJUsQPYOQ0>yPKd!y?4TJ5?3MJnCdgINP z-T>Wfn(2dyWjUujQ6tH_`K!Bn?RY31uKzI?%WOD`YT}N2l zt<}JcnNu!La>>sz8WOlrKs{UQ zs8s$bI70&Bh`8!@?pY&INO`@hB>@?-KAGL*IuL6;#%c&CHM!`$zpGS1ZL;29H}m_l zA!~PFGS{gNx_^Gukl2FArlN_zf02lpydw{IXFoZu&~wKgfMGv5H`H^dqKZkWWLXQb z`UsdTR{`cAHV4jb0Xfpr9+dWC3;ak`Pd0N#)M*?i_e*Woj`p4c@YMmyWw|VKliJ7D z1~EYI*V0+&WZq-0!VNgS@a0|aS-^0{08v*AP{RDwl)l%EPXVUx&bE~ z1mcdvEPlZl_|uB{VUhf>zqG(ATJV=-)8E4&iM>UWV@p#E@Ir#A-3Z9T<)JYLx*`R1 zhn(iKeR~APWAp?7cju}~ z+kiDrp}P~W>l5tjlSyIdQ#ADHH?)oP>hA_phpCX#p)MZkOWi&EMS3T;H#liV&oOlh z^cC;P>H_sNJ$Cll%JLStt`00_1n1;Q&h%gYt=`~j_XVGl{^fe!c(&T~1GE2p@eP9d zCO|*Q1102u5-LCm8B3oOsBa9^SA0j;;QB=AnhpQ)mi93<`)L@r4^%n~Djfm2yF8@k zKu4v3RxuB^7>~E?kGJHHx8jefnb1)g%aKn&D?0G0@eGh^By#ex!TSR=oDdXzV|OLG zw83!`v`McXJ>A)*nzPcrPqwE3Y<+#ShlM^v+HSMaZ!0g>%uZIpyZW226kH%90Kmrv zh&BTyD0pNcAA)ws-)My$lz#6AJ?-ftURTgOrb+kE=&5)ca%WxdjMbS1yo7 z2ng7JehgoENIQp!wdGzM0f7-QrO5LjrCYntFDu&MIOzT3f?n^-tlS&4EiQ7u;hpCm zyn)d5<@Jbt`}K(S4Xkfqd;{kj*xtbO2Cl1%T+cV+-5app5cdYP>rIF%_H_l*G^R9jCN<|=3udh=VQujMhogbf3Y{; zzecUK*8N>8grPe>H*_kZdkh7&(JwI9I=jhBJ22Iq3%KX8Yen<-8S_TY4yyFf%A()K}ED z*4zVsk<9(y?_(5anfLcaDrmeaM#a-os)|@LOQ!AYGE3%oBkZRXKW8b5Bu6kQw=9+h zX(63^Y3B09Lf)U9;oko)a|#sQAIp1C8Cy4T0cSpC>8J4h-f?4=B=WeA6mtuh@ZUj7 z0VjCl?z5LX;O!LmN2Y*dyhZjasZCG?t9k>@iM$RTvkqD)alGyx1s63ZM591V8$p8D zQDXNo*pXs4G1$>>NqmIZjsI^$Tmb9&+TGRJ+-qDy@|oN#kBi^xLrcrc!O1zzhVt1d z-G;gdN(8p%1WgoG$pdZ_6@%nQks%gf$PSYP1T{p<%nu~0`e@AzW4{vFc{>1$;KDPd z?NWiVU47X3pFP#nw0pl*IpU#7&oA|gD<%BFA;C3D&NC?`@Si^ZE=iEzZHftLRU}f- zPK#kL=6TyIzuUM`c%=bCeDtiEPuq{}1FKpRkEO_{eG6_N0XJQntEFOiv|+GBF7GS! zz`p(fAkwSqH3U}%n0{q?y@MU`sPzQKlW*C=hX2VBo$%fnsb4z;j0TQ&rFBcIO zrBx)H5uNESS-Mrb$GaC+KkKIIYrtkf6F9*8Qr8dRrE1+j#8Ok(_X*UX}N24xCH0wC^ zxUPQPU{q*2yi<6FiO9rH?^*^beA)nd0!nJ~ugKy+@TaT_!FIM{uM22GCi7=|;RH=!P4*9UJv z4JXY&Am-(74JXnE!HC-KT=*uML3kMX12){GtO_BtITVI<)R7F^KzeNtzJTu{dXSvz zpn8Ch@WFYY+4>nwg-SG$pwI&&b|zyv1Ymhc&_Mp7n257HDwv2P@u(vL5dAdAjJdbmQe5}0+8&1V#_OdGx_By zljuTOax5B~o@#sGXVL)0Hr@Btq3&EbkT?ufiVL)aR_!=px?EWAl%;sSH@ONE`Jv{# z5$OnV{R0YNAFBxJV03JZZ;S`+QHX&ynLzo>la2be!0x*5f;4gwVqBe)qVts5wz`l% zy(1g#E#b5!g7gZSk-b3dZphJTtf#D1mTjMb6f-C05WGNZ)PHxV=u z5kFxNA6L^{T9R4L>oKgI+4xhRWSrmC7r2>E8lO}1Qy@EbmH>SwM{V%u{@G+SS98XSFuQBHMM)Zq%Lq$C(*&{h#qURB|q` zr-1LjFpAPINy~+EilY1@MyCIS@6WDstldgV(vla~XO}+|<*McYqlEG!7!tV&_@rkI zAeE*sttcKLac*VLRezSgL5KMt8jy&a2aa?9l?8?}sV^z$#+10*dk87I_m3@2)9?)t z3DqMsSl2#Y{*5NsmyakvQ8p0T&njK7Jy)fu&52t|`(D`&s%UnyR0oQ`tl+ZFpeN?z z`M~z>O*4zXi0rDwo`$%U^d#%<+mzNUwm;|-zoy&GZWa^KoEy^*`^F{hJQ8?Dt%zoO z3N?;?`%}2;A6Psix@nUAX@;=vCYA}Z;Z|dDb%Q^-O?~2%T?*@^bmeWzBsEXL4tiL@ z)a=6{^Re+gUmU1dyeQN?_G3B+h{PHJUfhqxMLjf`96y^F1bci6fVkcho~CcM+1(sA z;C7_5i0$jlT6pBbJ%en$K9y+gZulHAcy)vjNrt6&g+<2iWC0z- z9GX46q^Vbn?A84y0)f`PqS-8STjBC&3@R+OD)@mkOlDSs?R5{njBf=y?9+I4=H}2GZ1q3;6ulH5Kg;&}Eq35z>ZB88lw>IU+S)KN=M%|iR82C zxRo#)-!BIDd*DElkn`g7@||KnX}-^C<`OZo!y{Ts^(fDbQ_D-^4fdzn6Gct>8e_00 zvXrud(vBcdwJ66)9xXaT>)fev$Vfc1qYa|!f;bD~p)94YYmG&84Pnl1Rk6oVqKV6c z4_np~ynCvH91}^se2Sjb;xUKn#d91Yt=q?w4Ob=A8mhJEbWFh~F4TUsiD78|`@qqK zLm>HZR)l+h)SYgLjD|WFosrkiB%|W@iwS}BLvMdEwhV20gi`?HZI0PPU5I$xnBRquwm{zk$TPFZ#Olk6MAV4R!AEK?p7B}n0Rcl zvz#^BcB2aV`(iCnEC%==LvGxE4`!}zY*+z^EI)OabT6>a+RXHbABy(hu5kIl()BcV z?y2lA0zIkHPEHziWFRk_9=11+ZB}l27U+KCb&?WdmJ136E)NJd2oxgWWuRHhlU6)e zs)GgqQzN$LJnmngF-*+g-_SGD!bPMrXc4+ykBGFF2jqG zcpgn!)UN2pMN2RM!7-UL*kAgHA zz(14|XQ8gpWbI|WN+Q2dUmI#n)V@GX{r)EnYGu`{nOAA@HYl*Ri?C5k0qWGvf3NLh z(_zorQPaAWuVA!B_v-9U{tR=E>(Gr77gWfh2w(6ii?7Q0aX2RWE!a$fZfA(6y9voX z(;@3pey3xA8I4NHev&<~s`TNcWRGiFuKFm63361~X0&@@5je2PA-WSZe;$i;_MQIp zdr-V0&01F&A3+wXEmVE8@mwT3{^c(D!n8{e9Prvu8XaE&_4#~n_lqk0Fn}f?p8DBD zQL zp+YAy)-P|n;g6R1?+WQZzE*(co6Q9&e$V{l(J=H(R%)NR_>EF;n%)SX$67@@E`^wN zq2>hE9rnVsvHLFcko6NpH!;{s(Yr|RZ(F&W8V$lt=5p-vn-L`5UHuxTK5(#X`OcIh z41~W`j{_N4k_j}V-(c%?LVB3jfW~GB?=KGNUq0Zs<_SNoMsHPwUp6B8 zmox;}D9ost`Fi%G3Q3^oBX=E;KUSOHJqcZsw7qsdYQ&Ix9mcJ{c4v!U4a{3iBwrW% zU=NFPney()$N2A5PrBKx#@s$$1OU_}J15y*B`*-50h9_rv9a47Ai!%%J?rKj{&%X3 z96}DG(%DnQJ zSP2Ubglli*5Nb}ltIPKGT2f{Fo96c|$H&vFiK`y2re}P|GkTTY-B2}d_S^7l+^Kb> zGauT7hBIMrz(kKeUZ~68bpR~mF!1Es7dKGWD&Xpx@3=I(6oz^lFF(- zn{yZ(em&&kWWDA5$~OhTrYkTtI$E4nS3o&vQ`18Lpos$iPP>T84q?gfHJIdbhe5h)+ey7b+CQ|dr>KiNlZW{_Eb=cr~&zfb)V$vkYqqY*WC9?*8{b}zhM5luq62z zwTZCf1-A%dlO60n`4r=`?uG|IGoVO&2V+ zmnI%754inUqUr)yLD%gAy#vc`*&JGcsROael(3zbF12-u7UbMpBP;1ZBc3?_1<$yD zS0)cPMQ7Ns=l<${0x>?bZD8Kd zP|;s>K{sADpkrO3o0kkTlX2bUm(N$AhxF}DeqV1o#CR~7=KQ?G;Raaqah2)rK747@ zaE}W#Ve-Gm+*ziv+Nu;z&>~})3@(7_5H;ax*pkKtNPt(k^lqbofh3B-+F{wQQCmVP zewNNTi?RUwmQk>_6xoUVis?+TaJ=&Fcjo$XW1#>I`gGDAwavZ73%Q-d0(R{}((8CX z;jWPD8nQXQ(*#Ajvj>6tQra%UE^MfZPBJxRGi{8>tzxV`ZPzx;2cvw#ZKriQ2Di*( zMW&^E#V|6;YVrab}1#6UQ%{&3$B_8hi@ z_B_TvS#@Q#cpjtvb5O}ioc}a>+syx)38`$MK1=SMje69qTb7#2NPupG(=(3$kE5IH zddSx3L2IP2xhV#FUs{CWKcgB0++-0dG3M$TLn$AEpe5UxN22)=vm48&>f?U}w~dAh zLl^crI;{XhWi?r&r^*@L-TITV!mFc};@n?5A3)v%Y$jJxfr!ux3~;nJw2SBk5Sax{ zCD)ag+qf4y4vhN0ImI2X{2-cF?ppAG?IZvDVD{qeaetyNF0mD$oU4Th!0a9VIg-*) z1ae%9m91#K^LAgcxo}=R3YhNr#X5!CU!%V@R|)kBp~?6}c1!@@qte89TfBO6(B l5SaGy$#k5;^Or23*JG}| zE_2w5o;M>QrtyDLc#i**|Be5N|CT?^pX5K~Kj7cyU*lioPw-FkkMj@nNBJZC?fhZ> z5Pvm)1;3Bq%_ICyewO#~Zhi}IhICtp+Bs@PJfktzkZJ%(eKbt z>!R0IH`XYUvK2tB&3-uiBg7y#X&)V;_r?sDJKi0mh zeO>#a_F3&y+DEnbYmaDe)844PMth}ppLUmKXt!(qTDR7&HB+^(H*Q3D(6|9%y>S>} zt#J_HUZVkFjZu$qmr;kX+Nedi!`P2-o3RgJrLhO$)dt|#HW}9;+-U4XxZc=-aILW& z;cBA_VTG{`;R<6b!evG!!gAvpgiDR95iT;WLRe;8iEx3j5#c;z1Hw7RdW0p$I)u}W zH3+8~s}U9(s}N2!Di9VLS0KzcmLtqDE=Q;v<*3*N;}V3=8%q#AXDmYaS7RZ*R6 zxW5I#y+;w;b2EbTHz9}|LGYsM5!`VI!EFZ+oJPa4KC~!1g{EX(Xi3&V&r$m>1jnio zG@%t)BbtvLLF=)@TM!($7C|iT2v!jG zmz5$|N@y=6nCBC|vkBN4gyhyapTNb^e0l5$u+sxRNNMkRqtMF|pz}ks#Yms^dE05kmsq8dUs5VQv$Ar{9 z?pCB#1F-i3W{Pc<#VzGZ#HvHwt%yfBh}c`$VULpR1wz-MBwG=;0sp8D-sRYBqDgVR zN>R=tx|UZ~QK6k%;_N_#Y#r_;Lk9h(|YG?=|xma`(g z14_MrF+NZ4WB<~1d>lKk0X-+LZ1ZL(QQpC8*_+=i0) zTV$U+h)0{oND5;grb_c6qdqEzf>J!xwTMIgy4HL^ccEB^qy3d06#8BaEABmthNx}P zT%0}Ncy3;qQLtHK?W~KnU=TmUdf6!q=k2TypBgc^_v5NN=J!TVG#W8>i6=I`+N820 z%@Z@0j>{|CxLGp}TUpAR#N4sR?EO_kN!h=xV|jMasY*189>U}5o=|D@QFs5f4)0NTHN;{TlgknunBU*b)@6aor?(SSf9A6Kl6+soFy@WO=) zg*ttxVY>N2qYqoyIQl^IKj*(?SeO4Z-qcGWpb!|P2;}l&Z7+j;OtFTcAcq$hY+*wG zznyWIk*JqKKp~I{0>*l+h!xkks!9AS&Gy^Odzzq0>NZt99p>||vo|sAG=c|Hse3s0>kncvoY*y&Cb_CAZ|H{?RH}a1GOTXcI|?ULRcmao0Oa{dgVpd8BpUmN2%^dsdq< zg~mH^s)^#%Lg6P?xUFRiw_1vYnOGDvsq>Gof4n9xc0GYmrDZ76<<{WcUu;@7s)H8U z9WASsAa%Aeg5ZIU($c4{RnSqzXtBPljms~AAIFW zuCu{sdwaW^+nf5@ySuiW-Mp~-=q;_yeG5z5TQ)CTyK-S^S5s%}=7sg`EelKg&Yr|& zdspA;RST~kUn*Z$U9qpH`($fR-`UtN<#(k`3DU2S>2K@qY-;bSM+P#R{@%Wx_O7-J zWwc6WRCVTLcTeBmo|e`gnNub!v>L$mnyI$}zrLP+)Tg8SbZgJ%g+o~GZf!bNg*9CL z8-p(@)bq3ge)o$eaE-Cj(yOlMZ0$VS+9QFyN_-TJF;s`02czJ&xAvl5t!MfwZ*6Mt zXgb=_Dp9ZAhSpnH+S`wI+1i07*u1c&yQQ_Gs-v~DwF}MLN3r*qZM$`GGk)q>+|t_H z+|z!tue)b)Yz~XpB4g3?W=ggek@+QRWi)boZ*^Bo>lq6Z(W?%2_q6P8?P}{gj(YUL z*q=`ZBwC)9FCn?=nlqgprMI^B^rCxiURbdLD7JQ?yyzO67dF&yU%p}CHCK-xe^pIu zUsEU5FuG6XfV>5T@07m{eObF|WyOZ0jki+&i-nJ1TeWJ9sNz-onwn2EwY8e)54GM< z^<&#+s2|%wwxunhqC61a)&Q}$Ev6sMCk=3;mz*x*O+41#;2T`aC(7NVzSBTTvwmmEX9Lv&4&>@=hX5dq6DfJt@#0ETz_% z3+=Qjy$fW?iz<;t4=u=xc!^TR>hQktd1W;aO{P0V6In7VC9z=d1kC!qj!m*d7cY=_ zCu$c%;^PT1M1D^|FN>+9l~XwzC@C<-l1PPDo5vPeh$S-J*k6k5m5CQi+-~yIBP3my zEo2qmy+y4;C2C7&s#0hwHLb$Pc!oCGuaL&+&oU+2%Lm$S?Eu1yz+$Y`#<(x zrvJD01H5JVYv7WJ`DKPytwnMynVSUKL$Fg9;;8$+mOA`S`(tQdirC3QcO|}Knq7#s zi<+rsX_wW#3QMB!UUm@53fcu=6_^B7>wDT2K)b7iL{GoaS{HqSBtNbwudK6L8)!%! zsKoG0%^W;ofdUxjPsl5)Mt@oERA_iXpSaS+_DaVqHoTJcYEd_}g?kIT#iR{bvQyJm zDfc*5zi|F8*8k?}zh?Y*{3-rJ%>SR`pWyH3f9LN22Y4mFhrf{b^AlhJ2YC(O$~W>W z_!2&c7xO&*U;3YMqk1U>Mgjr_<1m#HhnPEbHD~B*_R!U=p{tUitC>SrGt4WR@23x4 zO&hwJI&?K<=&E?=YVy$4B=d?G!Nj4fB6)S&grTcKdDTB&UiB8ptCswstGuDBTzS=; zGjug>=!y?r>G?pT043-l{oh>u{fz$_-nhPrr~hI8G5#L@SNva{;84B^s0=b2mzwaLKv6;>MO6y=2+KrXIs~^W?9!W zO04TiGp*~w8RD8A>no;P*DI!3*UP3_*Au5$*OwJr*X5I~>uHn3H9h3ZCtBB2i-xXm zyL^Imy}EGd8X~~Zb?<_Lq3f0j`POw=o^?Gpcj&r#R*rQ&W1Mw8iCfo&I*A*a`S@RA zKL7kM!xMi8p8vym?*G6~^ABSF|23Zfr!e>b6l1`b`S*Dve-5L=>o7jtj}hV?c;@>Y z|MV#8S*j`ufy^P0gHdY2TnfwPP&jusg|lW+IHQEZNi!)doI&9g(=L`U=SKzLmW-9Dm!#`z0LAb(}J( zclF$22A6=P=>fpfCPAB203}I9^3D|NC55y}RQ+1hoS9r{NyUp3NBdpV?FjnmPWA-6 zvi0g#_PePCVzor2&=ZmV&*s%PtOr-6s}N8KWE}#;|JC!Kb^dauMf#YWl=Y59P(mR7yu&9%!{K_pa} zRklc}(kCUP)LBy@rA~*+qdK{i>O@AUJp9S3ZuicyBkD(DbxS6w-0GHwoAf$x%@kl$ z1bxT6RI#xZ(7j}Mx5CWI6fW`Ed&%-{#qjE_-Z~WVi>#_x|5yA!ykSqJtPsd%1eE?S zn_EYfO(7620!sc57hjdSLLi$F5b}R5{6%PYVmmtSSVmUV&mIawh?O8WBb!1C!pR73B(BNK@F?QiqKz%i8`X6+rYI)k@1K*|59k9X=$g}}&1 zK=J>P--fCN3V~D+Q2M`AkyH061V%mrLjK>%^bc#dVKecj05!^;6BYQ^%+4#j&60yp=5eV!zT>vV^RR?Xp&~`%;lpE$Ntc zYGYl0!>qir!+@>QX%LYtcYh!)vV#os zz0~xtF3xsL2`N2*WLrY9YnARS1ke1eE+g`r1_0NFm@C z0VV(YMM}j}2#h`iiu5nS|NrZm_96Cq{TcSEtf*n`yr^bj+FaqpuHS1d*D1p`n3{%d zaoha8;$32w{=Dtmy%O-NL68OW5~{t|XvtT9tdBQ{zf8UkxGKRWKh#9F91!Y)J3*Dd zUK$iOd1E%gructWjCiUL3W1A)fa3ob1$LFGLLe&;Q1gFQG=nOHLg1nxAlCms%Jg4r zUt=F-@4G04@SkaJnbZuF2Gk53g+$j4xy};Uy`(!4Z<$@X^RF3*&nU5NfsF4Z6?zNL zE}DUo1wzCvOQso+xh4kTaytmgH3KqPugb(r^8}7O=pd7}1I~r+fobyt_ZXoCNZw;a zymC8OL3)fcqhvis#Ph5FWSlkEEzhnXe;A;fgD7%9avZ3*%w7}Z-caM_UJ_mDpSv`#>{Lwxe{N1` zPKfHO*{Y=Ctrc^PUAFTt;95!e6>+UQyyU__Lhk$}fs`Wzi@TU>m20&fjO2o@b*opE zhELRuTlb&4IHmqWS|qDU6G28XE9{U3?LYR+601lA*mQ>%*g^V_;{TzJVk&WkKvpB5 z_;Icg@_)IM|1V8Rep|=Z_>|u$ z=KA#HH+yD&HFQq}=DW2q9c= zNC?pewjniuHh8x9#e+xj|EwOKRpAr@p(3F8f2i=P#1#Trjewf}v$}ay;S>U)A|TfP zUrGD_|C7Cvy(HAk5+|O!JSy=oTb5UL7H7H_ku=uATG<)6FONMx(7EE@i5O(g-h=RL zQmNk_`zpIE=YQqao{Y6roLKs|-<1&!z~amE${GMy(mnt7yxp|`_BfmD;3U@s*l+i$ z-tdXLmVdy3X_p1uBq4PHR@1seCgW|igXO;`$poy7689k)-wR%~{-1cDQNJn#6aoSP z#s5`LPza1&1eE@7?6$qCxk5n5|F35He`}AiS7!|#1M{!QE8D+ci_A;5=f4N~fhPF% z^Iq7Fjd87AMoTWx#@_5DZw;R~TZ_HhbUYr;09d>tudE*c<&ZS!M@b@U;%8$-Y}{+? zkogyDV-xW!+Q#1F1$Pkfc3}SUfc?mOgG6lEHrqk*-;XGHuZmraex&$+){J?oAPRwD zM?mraVTW90s1V2+1l0VWHBF%kq7WE%1cdzma;EUwy`<8FJQ;U*lGtQxnLW6 zvscB2Pp~EDc8B2Njua#-1NI{+-;*ZEYF2m1V&hiYA@kplViU14OuY6Vdyf~~LHd#6 z|8f9Q?+Ssjhk)Y$W3SCsjTHhC0X6?iB-Fb?VC*3ve3v8@#%ZF#aa z|9(^2?_=I;wXrdF+U2w4B5mx=UNYA3iL@k7l32UL^xIB??V5mst*npT%bdGl8yk0r z9XS7jZEPZb1>4wryg&~k*bXdS9k4eMJjp?+vV-EkHzo4eckz0Y;{RiB%vUv52uK7J z|CdOpcZI;%LqN^{W3SCsjTHhC0V)5J^soPrMdbIx_@28_uKceLD6x6l{7Y$)V(dy) zVxybAMA3^Uu?;LYG7eQv81yM>>_2LLkc!Q2am38blRGAux;xsQG^w!B$x*1hNbPA^*RI z!TSF-kpEwP(TkV6N&5Z2GN9joDU)qTYQ1g6+?%fK7Mqzr$<5mfd=R;9VE#s*e*dk? zCSKg$z-sJZ_+Q%guF}O+lWg+o7O|hj?qTo(DK^f&;6TOR?xo%sKCrB95{my{^bt=L zKp}9^5K#R8qCu}RRtQ{l1l0V0(VIXOKp}9^5D@Zz9n-(59bt7DDaJtV)p=!i)Mx`$ z@viB%vc;^1b(;?BI$+S=0q=dC_}_&+{EJxyB=@~+3%iar;2-(?PZq!4E{Qe3R=%3; z$IVq(xp!NzPL`mv08*w;cAt>xVv7z-{hrOHj6PUnZD>fAPO{(Y>=llc(UaY36_Jp2 z3Y(>DAFBmqHE=Lk%MRoBT>$Yg6H1BLij|^;cAM>FS6GOab4%MEkMh}z+`M9L#jm@~ z9BN(4Noj4xuXV2X1N3EA1w1{%F8^Em75r!d_S>7ot;hLE#>!OoH$QiI=@Go)UIyr5 z!7XPwc;q$y97296e~!P5-^!2hEx4Yj|3&|i{u%x4`n`IOen`JsU#ySU{-}LV`=s_} z?QX40tJkj7O0`_}TlOvX7<)ZzhuRa2A0>QiTlMjpojs2~bo{XgZ~Oh{ zkCZHm{!(@JQU2VUuG?|$!NzC){)_H&n`SK(zvz$e`&3)+hj;9K;o%Ont_3Zm4 zWzn1d?{^paSJz$F`jP2BTlLBRo$&5mC8g0{Di(Zs<`>?3-MTk?YeVfnrtteWl`N3I z{I2QUU#s2y&IjIm{6gfJvg_MQ=0|^d|957s%l*WbkKOm$o{zoi;lhx%Ex_ z6@Q<+v-3@VS$q8H(zcdOC3B;{G<>7*!}&*c{pfv9+&|&=cRh7}SIL~{FF$_dCvT{H z#ojFsEc(uGzI*o9{7A{{=r2beFMHLic2%CZ=f`=IOMkuk)TWYI(O>r6RruWM|Je0` z7p;5nme$7)AhnX{FZUJchyU-c>k9t;(eM1_C50cq=}5`U=r4aLzA$k8o42=~yKvu= zM~(3fn@VOxe__SV17B_1w)^q(-@EPpKi+y_SIP9~FNI%R`0az=-E#Nixf=$m7Cc+O zsbpI8m*2j1mGR<3yMO($J8%C)?{{0SpHwn6`pdiD`mNTwukLu$C!hMi&mWooJ$-h` zl;|%%TKmtxKQ$n=MT;=DUSYf{o8)`?b^R?ed4iYOCSBh_;FVrDVZGo zW%duhIQhp*ue;{s7qq&ivyJ&jN+v~r+4K0|+dj8?OU?&dx9)%SoCjC#Dw!DlW#WD3 z-|&WC*1YQ_|9ZWq_2-vPDk+Noa?^hwc>eLLw{E)cmJd`Oocq^LY$}-${bl|OE+1_C z_^$O2F1(@qNOjk@ca;=Ie|ez#6FGnT+?My;wf}*~&wQur)w@c@M}L`d_x%@c>)tl! zWAoqs*^+;~cE|jZg6J<#KUDIMUH_=s^zfb2n)14S@{`#m`O#l?JokdXe(uq|e}4QM zcU<|{AHVjNVUU%7% z4?g?oi=JCEHwV9HJYT!AWYJgme4?i9V_(UsdiWogRO=I0erxsIanWCId1BtJgU@ek zedNv0y#Jxbwp;M)H*YH8(Nyz(_KiE9d~ny31N(o`_uVPS4&{{S(O;f^*Q_aX4{dwj zL$5y6fJgq4#k%?YKTXg7W&COO7X1i&QvU=}YI( zGmE}-jyfgurE|cUNnbk0ni=$^bC{V(hM^rdr1DW)%-qse6Y z(m9Y!qA#6e$VB?mIeZk+m(G!60)6QmGz#fU=Xf!mzH|;11@xtJl*p$qodZN3ed!z< za_LLwu#iJvI!A+X^rdYOz~gN`9dXqp`G1XmFXR8<&+_l`ukrulpWz?lAK;JhxANEX z2l)N`Zf@|~crWkZt^6i_kniKwUX9{gwK?{AvCZ8V}Sf8UZVvIowc>4!cv~&rR*AO?@wQUD zT_N69h_~h9ZJBtxT)bT--pa+>rQ+=p@wQaFEfH^v#oHqBwots4iMLYmwm`hi7jN^# z+g$NBN4(7zZ?nW(iFlhS-e!om>Edmgc$+HTriiy<@itk!O%iVt#aoehn;_l_#oKuC zRv_N;#ao_u%N?IXM1qJz&d}vI$B7@gc+*M#*V%nc|2(@-`>#i**GnN=j zwDU2C*^yk^$&Mks4Vr=;Xb%=cf6$4mM!YvcbI^np+-}y7Z<-NfF=WdoT%ADJhR@Z| zE*vxOT5akRGL_aryX@x_#WR)7SP*^JBB9fgc#X@V;oCOuLtDJPyTwV+5Elu zy&Fwx{SKjj{WbYzMp2CxnVyQyiG<=B6kxBZRj5ag*$YKdGJQ&ez5U%}|71xBxj#N@ zsRc-OzqbZpFFS}TR6*4et8R&x|LOVH_?H;|)Jq|t5E!uttkDXDf~|bXjw|1NSN&zX zKK_octp7#P^Iy4{_hLkCHr?aWtW=A=T`SH1O^o=z#(&H3r(OyHg}^9AU@Bi$;2atR z|G%E;RqXoARy}fj3&?yc$o!zkLSUvCvKNcWq~LJ9Ke88gm12ourt(UkL`F7LqNy9u z)RBI7{++3^I+tfN*~EwJP3&(iIjo9>*U+&`x#iLM|DTNiGqX>>N=+f45Xfc(a!A`+ zAo&098UKAYmsOQbA)pXQAAw?CUSQV+nEF4xf#Gi%?Cui22A6JwOO<}@e5>7_tr_}Q zI)S#wRLr+R8Q+ZSc6Jh~`EK)D%j9n{TWcy-gWhwaot!z9?Lm`EU8(MEdnVP?ol!VC zpnxTHc595PTE-zIb|+RWI`H0wm5Ku%s%B4_n~ z=&K(U0t$hViNG9Q!n7j1!1u*VgP8?g<>Z^||7_gIte7gbLO>yq9s+{@f12r^h7pjz z*In0DMXhx1t-gPqynYB4J9n)V0>Zs#m4M)}b%hw%SQika7-30;wGc~=nPLoW1tTM_ zYP^%tmQ%Qp_f|v7gmue{*NGnY${f|>0{1vr{`J!%zYVrRBmkaBAh1@VRr+8aMJ9Gu zd}<|<{Lgr{Q|x_pNP&ddKD1oy#y_t%j=i_uypu%TJ_xNX_(x)GFaCMmT?gT{4drV> zUUjISG!K+p3**JwWOtC6A=S7KISZlNEAIxxYDYXOo%FRLdu|;{)Ct`PAyAK4q#z(< zo!w)(1bW$sMlH4&`t4Cg}!pq>}`E8vg<*5R1Ys4_dqd1>WE&%jjaJm#{b=PXEXBbL{6lV5w?u( zH6j(y87N!2O;kmHa*w&kOy>aN(eu@B_6t(y zx0@|S${{kUl_lP7rrUN4-m-6>p$MRIq5pcEJdS|l(LsYE^7%%|Xt`K$}W;SD}@mR@(a*p*4awU=HAhpES; z!KEh(g;x_dv7=~O8Z_dk0O$crx1%BE8S}Kk!1FUv0@CWz5J8&CgP5Mg@GF{Rz0W2| zo=$VRjgvf!Fq`Rs*-YMJocMja38ffwVxNCZ|2JpP6HK#HqOnBI(DdxnGoNVwr%~EI zuezta4fqi+k#km}8PFM*%0rtHyh-tG$+zb zw+C}wCkCNJEJF4g;!57n$!?_BhJVzzykqS{uH9fTgb4MU#Ia);tW~G@d05ulJ-!zP zv9W{T5qlrW|D^wGHd%&WL}OF1qycZ#+~X6MR?rU;Y>Vd;Tl_B>xFMs+U4QAuwVQ7`K!&?Z~*rW;ovr=a^xM85W!2 zWD4o|*TKT|`{C{9%5W+?cxg4%K*dI}c78cY+DA>@HyLXsH`|j^c?)#hq;(hiQgRiz z(x(C_Q3`G8s(unB9;VVq=IcchrHx>+c-x?;??RK%ru$~>fhTW_Bv{k-dw21DuPOYB z#e&!-@roatW}Uex(2SJb7x%D{ziTJDO1V+4MGAs;6{%pUMjgcR3aKGT`E4oM7qg8% zyN%z}TGQ=9elrTAI|=@u2Nz$M{eOj-{~P=X{un;0mqI`xkYxyrUz*P}({S&|)bWdB zuII;G&xyG%iMcM0xt?rY)BMl*2N?eu|0LejOCg{T$Z7=gm*GDpm^!Tz`~fm%?jl;uwPeKf&~J_5}N6R#!Z(aJjpqM}-~T1=5IrT0{#< z8vCM_D!q_KD$VsD!cwm7kenf$h9#F&{G@bCx@6H$CgBjpNd0vHxyUHe&K0EtvXn>& z+EqTecxb9aO2 zZ8kP*=QgK9^y1mH-71?1;F=T&pucoaWRe*A%Q8K}IM^p)Ki{cfhlQe>Jm%Je(t};v z*17X%e>`2v4Ni6~*?tWeeyqP@>wB{9x2$pH_tO3={ui?TiS@1_cMmwNBkLg@kR3Z& zcqZC8A^d--`9EerRF?{YF@%87|MfA<{(Zw$?Z}mTz%a~hAsf@1>S&B3`){F3Ax7cX zyHKo3No=lhrqi8S2H{rJFsCkW(ePc~(F{f<37yKB&RO`wO7vox&<18zFY?-10_ z{){^8;FHmPtkTtOk|)KsFbWPUhfaHQ6Cc=y{bh$to!M|zF4pf)x*BIU7^%b24s;2o zT>rnD@jvqa!Rr5K_q5f5EvN< zjGKy`KeNXbP-xEobpF@h)CpiCqxDoN6#}UtkUtf&zgAE%``Y{hc_sA!zhL_1>=$g5 zoz9(G7iIC=YC$>4baf!DrS&aP%8)%JF?he*$YLqB{r-MCp-hjx+mjB7x!3LojI)ec z+Tf{lgdyRwhfH2+&mn>7*S%USm~N@`W)f1j48j0buKEY@gC{*2k@q1ltAp4$UcR!F z{cma`sk%!cFgg&R`Cr-pjE=TcwNMBIML_WX-!Q$M{f0dm^zM-mKer(&1-zg>s>_em zq(fhYPtkr**FKQfRiLlIO;Y01IFm{08lQZa#I-)g*wo7*D)j!->Y_r}U|RbJx5DAH zSVo~k?1MvZzyH=u!fsDGOvp1OD=7Xy5=JmpMuk9F2q^v^7P2Z`g+TTrAo%}dO#dKz zEc;7ql`wMV0O%w+iaR$i9X7w!w927#1jtbxon}IZ>k_X|#qUR=)Nao#)g)sg_hN@h zJnN%Y_+Cn9?_CF(b6GKPT?3k)+({0kwGLr57BzP&zDS%YoDHXmMe)LDR>l9V5k*}o z1jZf$WdE=9|6{M+RgDz_gn;1xKVbS{_Jh%c$iSV4KoZGVB~qQ9d=PsA7csF^e3pzrTv4Zuy@med-eN`+wE^Kbl59RTqVTe*_f&_m7o|sSp@# z2nhcF2-BO`BUxUz$m;9CDAyWm_29>P$6AgP85gI*5HBS=bc-?(!B547Q1UdHVJDO6 z+50EYFHH7Ny8L4%a^!fa8CMD9fVqcFIRJuKhU9>FNvDxH<&jk6fcSJ@Xm7Gi@&EXY z;wz92|Bp{!@_r{wO8=kbW1cFWLLgKG6#owuUX{2)Agd7&{Qo0NAJ0C*-ka4$Md5O9 zjN0<=KLSz-zdU++etEV*6p&{n5%ph}fhxT;YU12amdmdh8Po44E)0gbd9iehIxadZ-47?uc z=gZTvfU@VBHuC4}$fqY8*~=D&TqF&iGPUDYrdN&48(Y~){3E}v-FQ#r%ZJYWIfiGT zAG=lh%$+KoIN?{E8frJZlIgqm3g@;Bb^ed<0Z&C#2#i7mX#Q9He-t*Xs**y$2Lgis zU(K{Hu&de4K5no@iR9f3j){}J!2Z+WnA^c@$dIHDE+I*4Ozb}u51a1kuoq{NbGqjd zCimP2`KQ~IgQOW#JdYb(+lZFHrda)aReCMajx#BVi&7h;;+S(g+AuZ+c6Vmcx2GN^ z?psh3+GJgdb&4uh$#ye~U3Ww|dnJ&%1--Wy?IX{j+m5@aFO%FFf3Jm46>vIf_V-rw z`Mw06JjLk|v?|jE`+e#SBro*fp0l{idHUxzXZ^oN?DFhS(p&+oXB9Cd6`DJDEiRpGjx7}yX;hkU^UFM8UEP#Gl0WUQ2s+ldE zg8OD!_sL&k<7^m@I8|1hMl;QJZJcdZol(l1a|$l}ni1HL)X}J}}lE^arOLA^Gi2^p+-c2jZB- zS37VO&ok@LS1BFZ01zJw7DZ)8(cU#^@64^R)^Jrcf|m>Ho8( zVN^L30>h7h$^W$$raz{&Xh()W|IwUdZmZm_&;lNu{%(c6(E2v9Q{ddK;Bl*=pAXJ; z<^4ZVG|K2&m^jF+S>7g}`V=fS!L1OcH;WC9Y@gF~Mf<+SLl?MQ(WRP0OyFj*998 zm!;K-E_Q-BnPV#c_mii|UY<#YmOQ^OSew++3nxHidC~(M$>PLmo8-L;Ko;ex-`NUD zgmOO#`xF0p)*(+i^w(OE@_(-=Z|e8Q=Pi#a^IrymH?3Szk0l!^X=#&*G>Gl@n(HXS zdTN{be312#yM@1ZX|^JzE>q7>NRy=!*$>fbqcbgK|C4ykQ@<(%MmGYc{*NtW+6xfO zj;(9%@u>FdU>oLpc+%Df&Ze_!UyU(|#-KKH79jmqD%PcLsjydNa!z;4A&gnPoSoB- z0a>!yz3ayGknP0yPPh`PsrV(dj)BYOEaIaD)(kX zd%&MM!Dx<~GbhdM9j4u{`^+n1*J55hoirBB(uvX%zmui#cEs#4+rirsm|UNCn(@xU z8o3GVi!3m_<2(KRsrf&4gj3fFfw7K&(*KS19-!(!mJtyA|0>3=(vO2W`g-NwA|!*s z^5fvIw61-ANjm(s226%@ShTZ?7;Gv#|KihN4`hZdC43&u411}cB#(b}- z8FR*kE%5Yq^p$G#l}I&d&&am;3^ZHY*dbWL2e)I0Z;yB%+L=g|J!tPmnXv)(Q~J~` zfa6oAzDl;g&VHr*fA|{kR3wGKC`CZ=|54hos#*#G9|+L%ud%N%{5|0#dR9isJt5?g z^A)#%V{SG!>-V&#BaiF@KPHLfn8~I)hZwN*r?41;Qfj*m{iMrYV`rS{+2i`FOPI2Z zx7IyY9&y`UXh|zIkS=JqqSMnwYzoAB&$z^~{B2nBj(v^C?%U5PyGS{QB%C%=x@n19 zN0)I(9uvDJWnZCB$IP{OT9qKbAf&+;{yt($A73}xrx$-u?9NQT_c&RRYes7 zaR>?myRsVK8Q+zqBkKAzI^7hDHB(;BSe6OT{L zt3d4i?BPtZLVMZ5zyMx zC(*W*XxqrfOh|2Z9OEUN;8KA-MfMhZt7k?+v*!_C>eSa^>?wOoW8>+OAD11^y()!5 zKp`-^2q^wPym+hJ6av|VfXV;0o0$G?1T$pG2C90a%sV~rss~IqNN35d!D-&XIhg%^ z_6|D-OHUtbPdg0e4KKC3dVnK&_!u~qjzw|A)ucBvDfCR#tH$6 zfZ+f6On;e{FTWd$?~$CojOwH9(nY*xH*HzyU3Rva8GUrJQm3wuwx{f^j~?ihl4oRd zIuesTzqHXFVUnj@pGmfkqx^r2#j#G+Ss@@1Q0spZ3H7cJ7<&kq{Ga`Z>9q(()oY;t zRxtXt(7i{>QnfIV_A4!>2Zy#H9ef4+e>B1y#aVc0X!WT*ma*N^V(-orn@^OBRpMYg zK2e&I$;&wK^mlnbaBnc?WoSQ!^K6a9*o(0d=*ORP9h~L6r_KI7Q?1b#?IFEbXK>HU ztscQzCwU&Sjp7j#{6CL>5Bq=lU-<9&ulSSvC-|sd3IT<{h(*BM+q={Z7n|XHGn`|F zC1zM`hLef^=kcF1{v7`s{}cZ$e;OmePe*LURlyYk3IU10IG(R9rC>1y^C_4^K?w!L z6ig=mujwZl{?=#{<&BBo2REJp!y~UP=L73#w}BCL!DhS@T)Nr8s@M2F5hz(I?0t3y z7u-@PSq@=XV@R#-4#*UJQC~n#%Xt}x&a+D%hcxJP`b{%CfGctK-ci%;#XDW^d%Vx0 zBWso0P@-hHKsqQojlNSwQdwmj|7!=Bc0k7=;E7*@{4BWJ za$~uEF7V0UPVJ{S&$-#;q$j~q>D(H!#rAvlkN@=8qjvV@R~9(eENgprdr(W1bC*a7 z>F!xU?@cCo_~Wz5Qc)5TorgpFma|JjlJZ?Oq-1eMQZkuJ-XYEpr=1wRI1}_F{?Ri< z9%WmGR;rv*pR)f+JmRTe6#}Ch0kQu70*2ZD1;bs_$m-j{C&&}rU|G;vQk*(RCm>hh z)Q6K8=~^-F^$xAjul0RGho6+#hf?DZ7PIk_OPD%EX$eYR=%gKkb2gAO$zGgTaST|J zhS3=Z8oXV%q19T!0tdBJHF*Bno7?)hKL+GK5B33j>!z;X^Pi_%y@Kic{O2XLe{Spl zO8y`2QBUQk5Xc?`l>Kk^w1p~(LSVQNF!g`>Hio~egXcZS?*JDh{}$((f-`vH>vVQC zv1H-hg6t&M;!GWX46o8;{O&2RkJ>q%U#(v(WA`p!m`uF}yt~uX-JE5WKt|}ONRvZa z9VZ``oZYVPOEnETmyWbXozRMPz+$xndG_Lb@OpfEJ<8RCcV`J85o~+`dclq4(fd@Y z5`iE2$l662E5-QjD|AYEVtJ8$*xqMSmjhC-O)we2Pd<|UyGelZ{J%dl{^#INzq&&q zpb!{w2o&ZNFfAW1Eq`^cSpVC}w4H1UyM^i!skjrPIeA$cTpM&w-^mU}_hrz&7@D)% z@h)cdRX%6+#A&dP*~jSM^Lpa+Q=Qq*t(8pZ?y8`uCE_uDXE$%IqL@%BY$_EdWN|V3 z-PFEb>`C5JZ`x9NOaZT{ra(rZhv z#Y^!2Uo!2N?AxQH>bV9J=ZBmxdtp>N`QmvNOY+C4C70R_Mn=A3`=A9Aa*W>-L=vUI zKAx@=lPL9g8D?;%ly9cjMmsoumnlgn+Jki*=^jJ+wRmqsf9*rbDL>K@w&VSH zTrO(=&nOx5R5cU=DIp-%{|+<#Fq>^pU~rS6VfrL<|MP*3*efx#kx_rIslwQbumeNT zQ4B=F+`1bB6D{@mb!G2AJ@$CIQ>*{H;wRcY7|g5K4*ajhV7m_?9UWGQ_rv(S3*R4R zG<77OSfp~N)J*XZLOJD#L7mjA$AD%triFbbsx-V)?y+&J%+0=?CW+}|dk~MV8H>mN>fU~|nUof9sV#{lk+Go6AB%#E42JGgZj@tHFevA+H-VRh=Ng|HWxuJh zPiD63c$YiOjS%#Yb?PH$$q!1We2nhLKgLYtS)qAM6 z=WZXOIrk`<<4V!OoUKMS&5@K$Sk~VPic7O>4+xo9ne!%G(i2Ayv;jnkn?M@Lx{7SP zyzlZer^_$XvX;Yp@+l^Wo*;f}So~BG*>C9R0`0W( zub>?Qzu2En+VMm1$Lnt;z}XeN6+`J+`}$QsvCr5$Njf&ae)Dv%Sy0PgzqwK8Bwq(^ zd_c+niN-wjn?hi;BcS;IXm4RvKZSrd0z&_HifO0xjUv|IB8%y#xp3pnm{TK*w1(&= zH;g2vf39!{-n%N(TNhLC23b(e7C}l1aP{cS;UL$Tf0>G4Z)NBL~_q@>X_tQ_y)kcC4pSoV+qx<~w8v<=5}2 zpOo0=>^iOBdnA4264`2u10GFe-*cAcn@nf}y$GJ0x2z0P$j{m_%bXrCNS)Ht0ea;m zHGuI-UZwvV!$X^@x`NqJ6puKE(#hFU%E2OUM+c#fynj93`Y_BMEIs=`aub zAqPRR_QbIJz1+w}!!E}vVdQL3o@5853LJ@SVtR)BbdxtCYE-|zB>bnx{wuQ$nyBny zHYRySB9n01VPv;NB0pJ2&F^B(wCVY0<3fM#RR#(Hg+LY}pq~FMY!p=_g}^W&AoPE8 zm_7%@%HtL3H3~rKDve5gFtF;&PQhXwQ@U@DS_frVDykiSDYLI+mgf1(HB7?ipS=e& zIR}$(GV;;vON=G@V1If{z8bu}0haA8rmZ_|G9(tC+WtMSwAhz2+q7Q!gxQ$VsMBKT zQuGSO>*ZZ?Z-IRqsFk|X|9K2~>T89-m_Wds|FyR<{Ha&AA~1Mxh=83BeDb0qU~6G9 zb1B;k3;63?efgy4s39x@Cg<=y(Ag1V>czgm18^i!X*!g!_V#;O1G(AzJL9VJ|FZRw zSLIR&3A}EdUzi{PFg5Q#$x~(D z$gC)sJjXC67;mA_TKSZofGp8YP9Modz{pu+JR1BCQtwn`#*)XMTEu-HT!_k_ui3jr zrfhuDsckwIKmPKiuBrC_4aZoj@=yqjGz5hF|9z%?pUriaJ+j`IfQjL-aafOR49WzA z_|XnygjlB~&O=MR)&SP~Tmwiq7xpdtln~sGC*2Z+!5qrj;F2K{cdj95)7do!$;nSD zGj{S+6^XN4J|-h^lI&H%GO7x*Jy}hWjd`zgvV*@4Em=xWsQ(;Vm9-zT!&?AA51eKyo zwdpIq1A|Z}{?is%>m&fOOzXhESb>Gs2mEe|O)n4jy>xW|>E$1i24Im z;Z(Ky>CVTg@7ql`XZ9U?qo%IwPq!p)7zES$r&|KK0uav!l>BcS@ze)}z!*b-=6|*S zZ;Um%s;)vHih$t%YnWbu?HKp@g9VPDCqo{fqn!q8f-90b!1kNro@^&JDbp5ZI(MoW zOA}|YNYMl}#bUOeRrp^2^OqX?p`8wI~Ig^jptX`tATtfFzY= zX78NY_tWnznJ-ls9ZT_leT#_TBM2ur-GA6hYY9=x;@!d5S&6SAr!QH;V~QN_WZe3M3g+O8ig#Q0Q#varw630yS*T^no8l;AHNDTpwjf_k;rr@qB+!d)z z)qEwM0>;Z6jYG#RXOLF^#4dfLZhR7~2Eoiq{G=^SM9buYVhX7VnsU^EBe5i zd$7RcC+kL+Sh5%yY^t9QZ&StBT-GVP#`Dfo@&8muHFcjtU^F0L>i;nH<8Lz6XKYzJS@Po9Unc26p6(sLSR4f;;? zQhd3*<9Z!w7q94%s-AK&vEUMw+)#!= z=6`RkTn6X=|F(Ceu&J7Nox+>{z4KJ-|D$0HS9MSbq>6yj|D}qYx=$f6@)0okKYuyn zFV|g9{~FviBoCZFoLnBbYxz+0d*>yOE@`M2D|FKSRC%8>9VI@HN4Vh^AEbCu4|$*o zE84xLF>;T&C6xTqxUYwk(w0`)kDJ0vJG(8#b?`k9XF72zb~94jY6>_H z`D3`MfkGfv1l04NDst*Rg}}&1K&=12ld*T|8?(7Kks@OT)+H*jE)m(7IeP%7V358w zfHOt*WOxc@XI@t6(^V!rQ+BZf*lNr~gS4VS2DLC7#Ct&`W76O+hO>#h>l0R^dFQCs z|Fe0_Q)N>KgpGih|9`>se)bFY8hyB_<{s%WY zw5J!Qfnoei;B7`2AGZ#xRc%=1BDX@`_r=EAjkrg#w$*{T%4yPAWttq4vm)BR>h~_c zrix-GZWq#~(=HP2kgdnB6r;4Z$2nhjm{@3qh_*>wT#o!Ib zTu5rOj9L0!TF@ESa*Iu4ExG!W$5hfByw;~olPneXyL4yeWI2R6PfMZL%O*rhfzCNK ze)jR6r>zsJsU5uL0%@C*$WgmjDeEyyb(%9(o9BGifH|n$oO5Me%Gto3#+-cY^6XDz zlc$^)1+R14HCTt)T+Yk>bOH7>*)#SYmiit6d%DTW8cY#mPg~0Q@%ZK4+2>3!^c3BZTXcf)NN02{3+f~pBbHzpw@WqQR%%1D|TQi$K z??TBe^S4<;_ccLqXl8R}UnrU3_@x>2zlW8~yihX9@e6IKKFx}!Uzj_={H4s1S|f(O zrAsc96guuZhMZ2b$we24|L5`VG5$~f7yf(xEB++^2|lWqLO>xfViCyUnpRL|hI7qu zmKn}4!%1d1!3;4jkpI7#41Y_#t2eL5a?d@}gH|}1JmHUnCQC)hJ;Lqz$dh}dq*V*OUYDt5^pdMcRwJ3I-P8aI zO=nB=8}dIG@9e!2TE!NS1=4i(Vs}Fi{vX4?UVIa;?^Nr5-eaEnMIkWy5fJl#6VsZs zL!-a8!&k#xV}UR}IyYyCI^A6ylu5WzlWy~Xl1xtZauu>R^qS|J4SAE zq|`Ucd6(kQ+FS5D>oAAX4nT5@ax(6IKY2Icz80iG`tWV$yxx{lI#uu_d;s*h3LL;$ zKYP9C#9(3&w<1R;&rtLK@Qny6Cxt-vAwcs#hm4?~(`Vq#qSBN~?NQX6c5devJx_9tB@&x8HVu2@KY)hBsgiRu$<6)1UL za%IRH!E$!@rlB@}>BgX>C3z8In+QGb9|Nb21<@`R32fJ8S^c{Ami0cBOv%$>&)R!L za8*MMo7m_b0;{}eL4kX`Gm(s%6|ni}qfL!ihGV-be#N~03q zy@SpaTTDe|6Am^x36YEp9s3eY?29q+`#%e94@p$&4(KFE!jC`xl~xMus|HeIp!Waz8uL^ng}^99 zK-&Mq`^Pr6DNrSIjYZM@wQAy695XqMjfq2Pda$N$IZ_uMER(A~vQ5)N{GW|u=6Xmf z^EKH6SuM?50M9%$J-Z3k|y~skt+izq&xLFrs2;S;kg^@WP1V`?HFw_g$ zPR5|ID^%i6?=mF6*DKe=7UEv}4{TDyBPcA8;`NSR_axiX zSRQBs&QxSNk%`tr)$@M{YpJsLsOO(XAocuvJ<5^f@;0h0>(-( z`b%LrvV~m-4fQsBvitB)@0he83m9_lq|>fWnhQ!~r)QVE$oB}H9^fpcyqEm-+wZVi znD*C-B`UAGos7|oJH_t6ji1W}icVQ(JMumQx#s#o8%wb8gnxQO3;GBq28PxQD|{|C`ALcWD_wPmpIpLt zq{`V~v9Y+IY@mpiYWGu5CYkSy`P3eQMOCa(j<-Z;JrDkuY#T8?knbP&g~;&oWq-Ff zR_Yd9nXh#)tFBb?r%pttyd=V2+5aRPq}1;UfzgbBlK)3@>#Djb1QH`4`2Pb;Kg%9q z_a%-S`medhrBRE5M&lAl1e=Y``e0>HiGYmF+Oee50#S~Hsd@-*Ld+5ew~23QIqD?n z(FWhAk_S&$1F06vT_n!YMlIT~w%fe+M|*?k8HVg2#bak_yaeHRw4E_wZODzKXkzj# z7ug@QiM>5sQiHDYEz5ZM$rP!u|4X;|l9e;e#;QZtueNysjbA-h{6F+DO=X}E$YKN( z|IgyaQAJY-gp7dT|9cqQqi+H)P3@IyTo&c+?Z%}bbxVvT`njB-q%PLPp$dxWljiOq za%0qP8bc5%^Xs_ZUs{@$F2VDcPna}s3*;Db?nIkJ$XUE_3uRw~ll;BPG@0u}OfuS| z4JG2L9otLVaouCeC{--h49dPC#&R|R2488Eqp_RN*paiTt|#~dun}TYd^P=vQ2uhz zxVpi(cK5DN47`_ zb&v%5@XuWy*x~vsq=D7OWzi;&1h%8JH%_N{l30&tllwh6CTObKxXd#s)o=kV0U@At3kvkGO)X0xJX*0%;>4`2UqmpUSRe_XkNX z(qJqH;VUwV^m9e2aD37@3q75Vdo^Un|H2iE~doOu9zSqdT$Y(Qh*E z7Sm#>50*^cP6eEIxF4)b)=%!+K!gQUJSB{@8_UqJ{p1I%ELFX}oqJP75({ze`fz_5 z{-AkjdG;=nx~@NH&hE7gru7e+XJY-o;{QR$JQY_VFuD*>&;RIZPgNU*KoA53{~utw z#s;7u9KKhsu`;^*_jcn7&`mmDAQITuJdq)C!*l}HEKWyMi{WP0ufO2r>1Y$|q%pPi zfW&1DQ@+?|>hsAyh}_6ZCtT3m-)^h`{QHgl*cBX9j7v@AAVJTs2jFya(8j0RWa;D{ zW@EaS%QDWA24C)ipA}Ep{}11Qr*cvVWFG>G|7TyDs1hjzh6@3~|4%agZO}cpg_dis zu}ZN0!3v`SbTh}u(a$#orJI&5x^Ra}4Bzi&PxpJZN$FBV_jJP~e?jc__p;NFi`*@F z$b6g}4mX)cP}iaTTOmoMvKJthZZ@0NThi4J?M5JvN93y4qMfnvY3G4FbsUJDDVxl-Zw06>l&!Wb(GuZ3S zo$R1#QFJTXjE)GXM+zi6#Pj=oW)8HEo7O$V0U%p&S0C!pVDkRHxLm;Y+l^J|ShR^e zl19g>L?*p3ry`@OK4eDl^qO64wQnOcS}Y+*5~Zb0&Rl0plusDlPVj$?f0W@*y%Yip zfsuj0j&b9emS2!>Xqt8tZOIZ!9vYCBv#T-GR$^G*ZMvH)WjitU^`QO4NhhXRZ+O*6KyUw)3 z8EVfJTZHKjYl`=4<0^qrgsBSV3l@zT>x9Wdg6Nz(*&=%ZGLLamI<@}qKIW-!6#`=e z0dxLmpJMp?P{JDDRX0Qf?kh-r_Hs_OL1#a@Ki60*7875(eyB{Z4Q#uns7V#ufj#!t zTxzuqt(B4FOXqdEyAq4{B`fEl25?Q1nMwHXW~3yblvm61-zpo6Nx5>&c5~8jh(0?ot8v( zm&?)KIg%D8zUAZ9Td`;0l2R)^2A$JHCph*%MMiElnumHTxyUB7WwH1k!n4yEY!P~XL3AfJ_Os0H=uM0cG<@1h-lq^=a_ZCOvhPzjQ{m&O+7DOc7A zB%~aA&WO2^+S3`A&gvpe=^68S=AEfHpB}r>Lvz$ke3Lo4NCznHrlmb&%$_;JUi$Y^;5R*ORwlHW{>mPPc2hpal^|IyCc&h|x4pR9i|P3ouF0pE z*OXX~X`bjH#UWLd+Yy~u7u;{GMbl0`%q(hsBA ztoc8iA8u7Pg@8gJ90W-J$Hs+|wn|kYpb*Gz1jPFP_nG$n>@KG&T_6Moml*58m79#F z6b@qX5`$tj7eYIB48)zZ;7$R_@9zFgVCa+WWP4j1VjcNrTB$_C15W-p+%F;Y;T!7BYW9NX0x zz_Q&<8|FRJ#Lw`J$dOhUn})Uz^gz)k_<(<_j&w_*&9%?|>G<+=*Y0`OG8iU7y?mx$ z8sa4Tps@C?fztntszE|kMj;Ro0Wtr7lWE@!c%zD*od^tGZfu0)-)gjiA7pBkEY=#N z%Cwb}_ILIfaEamw>jW1!^!?0h&?sT2a) zg@Bm<-^H|dv334SHqdQs!X#5}l};~gxtTJ=Jn!C%l+OnjNAO)2z|e0&u!-e=}G?H>AICeE44Ri=4Jn2hXBq0I(8MXa!(8~hI3k`#8T(Xo8IK( zOl@Q{1}O^GLnWWgw$_R(J^6TVdU4}gPB9dx3M?_M0zs@Hg1A4^Y{l77jYB&JGu#0d zhs`g%Ymynh;7ngK0{OjK|IhS*r_xghj3fk1`Jexg;ZMC30t$iAg20menQ#z1D?jF? zneKv*(bj)*hDhOBq5pdu)7}REpA|kTpKDwbW%PB%)u4FoMuk3DaMxO6Gd{q%zkY8@ zJ{yTvQlYFPf$bKiLMiCi*}q)>@ROpp#Ln_kUqSMdTT05od-o2Z`#=guq>1${Kcx%C zpZ%mb0?;*miEV|qygJj0$|=l9;V6Fhy!?f{7Br#%9>>lm`i z@yf3f>4?MaEI$t0;W2|ATt5>r!cR#L?x6VOgTi??B>yer@yKiZIfg&=QV1vn z#ts7Gc)pfT^FQa`X8ce5NxZ3-LO>xfvJog+3E#jPct8HPRjewyB7gUe$Bp~FD$&KdwbyA#R`;7)bn+G32mN&q#Kep@ z>g5-sQN3yxcGG(0EBU{g|5F|N)O`wp5ru&3{C_pmm*Cj2z^_QNu@%!pKTQv1!!bQL zXEp15`;1QzS6qwJFGj%XESPDTpcNF%Z0rH}Ud| z(Zud`3%hyUb5-+y;2}@lpb!|z2sq|{q5p&a|DRX^Q2PIo+_I|d3V|dDkj{UVssF#! z)c=>7`aezI%J6q-qMHY28dYHWwB!HW;h>A&TcO111(72|)G9cuqeDvRa40$+iWV@1 zaqk+R1kZ13+A2G}OU1C)U%68<>h)=MD)F);op_^Cx5J*|W05+I4ndPC#X>``<7NpV_ zO{)W`d+q(9wLUtNf#~%C+|vX*$|ih6r!hP4QS*Oxk9n$e3W0DD5c_{W%e2oXmj5GF z#tsO1HAamd=}c$RwH6|dVsLJS0M~)xi3Y3KflFuv*7+3gVl@K6(%076#l7@&1i|vo z)KopV(b$fr!9V@{@=P|3XoR&HXat#(wkAxtWiFWlc8_`H_zrM~T2omgeCgBq+tg)A zh2@ta(3$C^ukd@vZlr$}^L0OF{CL&O^+<Osar_rKFL-rFCtcsO8y@iqlhY{LLeLj z#Qgtjru~|&NS;Gvy-^KObEC0QkDLuEYRbW^9>W_McJ^W@BtgcxP%6YYzemUhO;x)x zT@f^Bj$w+UPVA&(*LXp1aH_ErO}ExqtDg((L(HqncAd9qxb;5u1;NtPHiXq|?sW*W z(YzUhedR)U@oF*k{$iEKnz`j#heT0$yz2zpptTS3LPjeO#4l>_koCbg8TnF(?-um@ zvvJ9vd=*0>pb!{+2+;Gdv#&6HI)cxR$ol0PyM%~6P-R?)DXz#U(gy;sQP2Wx54+VI zJX@h!-ELYXR`@=L$oqcns&uC=@3h01reM+6HbnCV0~>qF8A;K+SiM3iHmcX6Th(Bh ztCk(cOuP%L2Zwzcf%>I+k`+|5y|(2v>G?;^|08mQQ-xLtgoJ?N{~-aZl2r(d00ad8 ze>2mo*qhmFvZ%nhMosjbfd$5HuzEULAd&(ZZHJzbj2}q}(rO-DNve@nm{SVp>L;Q+ z->=WnZIBTBrl?($F7pqPTNtu{B$RZ7q`)m+c?Ef4y|D{Tbl5noM>3{cbP7Fgu3{^g z-xDFcq!PcmXq(e-N&>J6Yk_w4x8D+k(Y$K?FN;PzRTPE5up^-O|FA=@GE@j;4FZDy zW9$E=`hAHCHP~d-fa0As&g$oCg0B2mVHuJRj6Vw{XgByXecBFI-UTw$4RvTeRH3of z|4wyhuepWkWR$7<^%IckT(xV{rGS|(Q5cj^GJf~6+K-=#mj&eAe%dr%89mT#>_I0Z zClistHj;K89p8n>I-!F3-Ob=PHEm0}ox*QUVKlbl|B1#t^_xOqv?HMS|7dSvRX>G* zHv)qHzm{n~Wv^u~_l`GgzvLSGqt-mv82dm53ycDNpgpL>u^p1eR+wAtwMhDY+37+HAq9{ch9_ zmZr8fUATkg7e*sUfk-T%^g!?xIMObz4p{5b-lAGl{M|jY$C%=_0`)|3_Tb*m7;(Bc z&bC+POuj=%>^_aPl6a9z&HuwTvZ;&|0$GQEdj7MnNmPLp0>g#?J^z|EkKwN*Hq(Lq zMlGo0bYr?c&>xgK?l5g@j+zvQHd2$pLuXWb?{{Bc;xx3K=~BbQ=?f}&uF=>J5at>4 z^n0|RAczGHVR=oicaNF=TId`L!I?Ms+$Wbj9c_EMU?k5YOl~Z4_g+k>!@?1{k8VXL za_aTHHatr9TaT{S%T56M7C4@E@?RP2xEV`$Rv?qBPTZ3?uH2g!yKL2pJVYAF?%so5 zKtBJ-H^50;lUzV|SK_J-%VB5nEqU@}9p88oO9z$W| zCQP@~{=e7}PF*Vm6awb_uiwD%H$NVY!B(RV6W|hKiGKc$pc9}q^LtH#tJq4Plc2w} zwCmEH2>s;~=442g%A{WN9{f*Zz!#qRz2jJ^(Dv_6bBa0ZIi19&=qLUO2mXwd==Dt%swgxki1|^WUr?5_MN=(0R}r=@p6czhB;>KVI}C zmhyPTu~P9viegjr6WoCH5#H!V3JV}bqZ}|zANxP+3gUz&zPgQwTVJ% zilu}{D+{Z9yDSQlqP9C-)k=`u!c?%(IXbi^;AVHz4(&U6>2EPZI+VhT8yqxMDlKd` zVv}7IdD!!cyWNz-n-NEN$&2fLTnuds(uVr zv^ZIhp{otUX16Jp$RSZoTz-9U_)kyUm+rLXKd&$+t-V;#J%%YD(IIhlQAIQDraT0k z<>GeibTe3FG@u#x8~gRaz-ArJwfC)PN?Hbpg~+{O{d(z0CkJg$x=o!Mpjkg|v@dAdO6Nxc+*#Do|9BqLTje$tsT`~hBK z9E6ln17R_;za2@7Q!0tC9%79?O}_khokmC?l(s*sAphxV`|T1%HQR$+NVuXZhg+9Y zY+c=hd@@}pl2hm$Q-PS$|HX`W>QW&v<`59`|8b^wV*%q_c-0@|#$ikebaGg5{UI%Q z(H05&QBAbyCAUA&a!9MWv1XNT7f(S`)f(*6LGZJ}gXS2fQc0G@+kn*jNGUQ(5({2V z<&(XIT$n+TaR}XDv$0u^%nPbU78X#gpey!lygGtkM{&W^)#}pi3c>RXqcf=aKfDo7 zrK}LhegtU#SNgx~Zzokkg+Lex2>$;irbpP9!bpGk(u}M%ZjjV6@aca}9hYRsUewaR zCo#Ln+~nzMhtj2%!RrzRwY2n$b_C*Of`PNf^=LS9H4&)_DicUR?Gk}7C-Li*EO8pz zfpi-rar$9`Z$~9w)KmO__{JxdlR_Z-5K!y?+1DnjL<)i7LO}5Uw=#VudnA&7b5yrR!NBEumEbrsp{1)EIZ{|1f1AIT<&3EuEd^6v`SMwFToG<1J_-sC%Pv(U@muvd- z+Q;<2>(A=H(|@Hsu0N&!RR6yIP5sN-XZ6qNpVmL7e^7sq{to?N{dM}Q^!xRD^oV|k zep)}JpU_*iFY1l@VZBbT(Rb*T`X+s?euZAHFVg4fGxcJ7 zb?wL6ceVFw@6_I`J)}LLy-a(tHmKdHozZ%<4(*tBvvyG1ukF&Rv}?4D+G=gNc8OM| z%{fSL;QyUQAHv&>9)xF&lL&7$x)Jsood{1FClGcT?Fer%+7PxGtq5C;W`s8zO$hN< zW7H$uWz-?8Hfj;>F!m$dX6!>)Y3xCGwXqxFCgVDU8;zX^*Bd(!t~ItJTy0b#tT47A zTw!cQV>RNEz5fh?Puz*%^9H$a_=7PQ5z39L5iT<-v9bFy;~HEqH8vw$WL$-?%(xQa z0%Ie>dBz5WbBy%}ON@00ryFY!PBm5|EH+jloM==aEHth_m~Sjcm}6XyP&dkv;|1dq zgwGpG5I$!tLikq$d&$|KjWUFPGH|4XzSEe8>)#r45dOxPjqq0nZ8ZO-F%#ia#&m@L zV@yN%Gh-^k9~;F8e_%{T_+4Wn!fzWB5Ps7rMEG@sELOg16d?Svk&o~TMjmq6XXN1e z34<$DUc>#hC-j45XK8vgOo<{JGEn=1&$mg5X8uMEj0I2yQ!o z;51sE^`XhxDYP}~LQ}I2v@~nqh2U5CIu0>FbW@LLdA-E3B z#I~ec)Jgh>Z zC9!5)NNl|rLZVflu!Te`C&=~(jiYF`PEz9(53g(=tCq-k6EE#;7qEf&nqZM@W zFpj~Aw5LZW>*2k!6aVQ5mTH9Y{=in_K%Cgcl^`V2U+UWN%+g4IxyIHh74qmW7q=y& zNVU;|=A%=L2A8L{sOvBD5Sr?ky{Up5Xo}S~f8{6e_OftJ0N#SdT3U*I+@lNLp z#h(XcaewE+uHyf;5lVef2#hfV6#pM%4X&!I5QsrQ@c#kw|2q)#^)OyW+Ko2QNpcB0 z*btOX*5X{TF8q_0YxN*Nw9%mlGC`9m50F%F4Bv_!KYm@p22WQzkuJ3io@bZ@(^;DM za{=6O-VUsLAdA(a9pdR`q{uji#wLT%NZ?IfB2j8$XW{IP?DrTs|LJM%={Bzayuxf$ z*(}m+v)cbNj02s@N+FPS2&nbHtZNcgAcerNAt3nwT&De;!Fb&Mic}iyAZzBP@1mg0 zoG4j`shOwa)ak^C9{i^hri6~(PTAJ_Jm@HBs#;h2#4T7o!lZBB=Wf))#{nG{AJ4c4 z=NQKe$_A#Bc$M~Rt+1AQ@ahtU7~JraWC46hWlQ+9e8+=wJm>S4sWNUs z^V|r3V3AYp04tRfekp?>B4Q(xVvaw_A!zzqx4mIgmm7lSY}G87Y!EabDH%u{75~53 zCNl`cHhM<3(GaSqB{htjZV-@I`LyL1v3$A zA3!Ts9a=#%>2z??p10$(kOcgm;71fo?kY%>n$~A0kEuxoesT&^LTE>Ah1^5+7Np*} zrXYQXb(<1ave?)?Jj>`nW7a^Fi|nTiQk50F1hPgHSuQV8vB})4H^73DSGrn{y-CxP z2fT7|FMV)1z$<;p`Q0#5{Qn}4cq)5^z(qxX=6|*S_o6m|%3L9E(GU>)|F=wk2m7sd z`9&+nuw)!rZ*)tkVph;Kh20>P-Pj@B53*Sgx+y&6ZW(eyE2Ij)hgbMbO*?I;puwdI zzd3~|Rk)YI`#2D%#8J)$8jLP9U5=5XN9F}@y7s6D*M#O04dwU1$K4Pag-{lIuhyS_ zv$&-jW+TL&|FH#*wP|Ov;{U@k@Tg1_0$GZH;{RFNFsfJzfnh*^o_|d%gp2oK$Sk|F zICsi8365E9RO@%w1m~D;;(<5CB^J8I_W^`K3A9XIJCi<7O|4#GGTAy)2s;UJtOwk@ z9-piY;C0~Zx4CSDkc$>u%mtw)2y%2ktw!{*I$XD6iKrbb2km$#k2>T@rwfKpa;L1k zzYf3kAjf9B@5L3ZW%R@uNXgiH5wj1eQ|J_GtT?n;y$%1V79A)}H4eUU%b6Bj{y%%~ z0wBqCl?PYVSZfPEWh~pWEn8am+Q>$-W*^e7<;CuuncZz`UYhRN)!K-qccyo?wLRUV z?w-|-Y}s_p$ab&;gzyR>1PBJ=5P}IIgjWaw!Yhyfad?F!BqaO^kMP6K{{#7d{_osd z_tvZH)~)WU+1Z&p+UZ@*RNZs#Irlv8x#xD}R#x;l&9HZ-fn5)85QGij5S^9j|FgGM zlEKbUpjZm<{NDx3c`1$y&5a4`(4gn--%k#@Fr~|bWoJ9{b+L0$TGZT(lwE_J801Qt z6zvVDFxtzfZC<^D?R>y;1gmJ|_{WF7Sz_d;HKRfr6H5z4~ zepFB8GOVd@EA{GoM}=iS7iQn+`}(6|5T0$ez5Y>Q(xRbLfk8T({NI=w&%ACZa9dNr zF)(;LfvK2PE&<6H!>Mc!}`%eeAw+EjLmWBdFP=Ms$wg(pe&`sg&%WV#Bz?r*qaOVh3n#lnp z?|hYQqt-q5P4~22(%ZJ1hr>1uuOeNvIk;$)L~mhlg&Z$(*TUP%4_G@N8f=2t)MWAF z_9$UZ55v~6f84;XG3{K}6E{b6o1YL9vW#kfSsu*E09u(NgG-{R(U|XE40V52CiLXzq`)(#`j#3Y6X%Dk8AvYq4Uh&h5`qX0>=M8h+H>wHWc6#;Q9Yww5(rrp0|(O?o`=+r;J>7%A+{b z!y*K|Vw8gxx%lbj4&s{|aBP#yV3*;T((l8CtvJ>9(ZREbee8klY;R>{R}~(w0L+WO zbkFn+d(++?H-;HDYLRhD5MFXS$KX?<>z`j+{D5_b^ZQoMei;OR;%&}2~ci3;XUvIzK ze%ZudO#JD@A5Q$<#P3Y}*2L!~{>{YCP5k7~n_r!Nhe9Oc)PJGS8S4@0j z!kzf=#EprKiKi!4C%$Cj$%&5|+#1(> zNx!pq2G8QTd)y^_zSdpD=d0a$e7@41#plc2_v7C-*PAL??di6d``GC__UC_ zu9z73!MuX_fcf8o9lpZ~Y}Mfm)`-1p$~Pu$1w`N!_N@%e}DDSZA<_fdTQAMOc! z{x|nHKL3k*6rcaueHfqr(R~*_|AYGwK7Z4F5TC#9ejz?T=i&^v{VVPRfNQ&N$G?Bk zg;uwJ-YwztXWYa1{3-W7eEx*{W_pxKjOXtpFiZj9-sfzeH}i(-@O~3pLIVU zpWox&h0lNBz7n6`<-%atpLTIt#QuBko%sA#_a*rJyY7qe`FC7w)!KjCy#vrc&wUa8 z{dKN`&#!T9eEto(V9x%E!AJ1<$-#&5`H8`Ec=F!CP5gT>*u>{Y27P>fXwb#y)?fpl zHwGPi_6FiB$Wa2cN;7(9i~i-Qm1vo^Sd z&!xddfIl+;zwNmJ_-#KvsN%CS08j06gD3DgJpgCz@&KH*zi0r?+K&yuS^L!BJU$;C zfTt6s?N{LQ@HXf$ao;usXX4G_J zbIQGj&$4?JpI_vH0?vC}P{4V&dj+45x}bw|!u?Ww9&U7xeamz< z%fEK&3Z7}8D2?Cy77RPL?-*=h@ld^B_IrS<0Mo%PTEim^Ct9$0^Mv-vYQ3@SkB_^c z^xzb^w0sPLj4<{;i_SC88Vc+$3K;p{U;H$)F%-x`0q+0f{;v-1|N6=-Zx-_Gp~3S= zn&NA_I`}Xg#Crz!I6Kc|-5Q#Q4|)dWTRj|vAP;mM-{jfSHqi;~qa2rM={ zrs_6+P>*4M`M4}qk6$E1Ipn19j#Di9TT}m6h!!)08VcMd6fpk(ZNeynrlCLq6yW~< z!QaVy0uxp*uVDmEc|rJ8;fJiQ*i29_^;Cf>-*VSX%uqm00q+05!g5}0p{{v*)3xBFZNaVq)+~_S}NAv&Vv(457b~LEuLQ)IZD5c?@ zZw@|s3mG2{SPEtQSKWHXhiALHj1R*${r}b4(frm>V1HA<`2YKxzh-uZ0xAlS|8Lv> z1*c0@PnqA{#uV7O$F=Xg-@S{1x=Xtq)J3-jMCd^wWubol-@Mg+zG~*X>GP}bF#i8- zEY}8gLxHg=!0rDZS@s{H|K}(9aN8H%2_%D9?QQ0^EDI>ftXt1uSHFQK*T&zXZZ@i>qotbSUCMOwrtg$3<(FOR zj)88YFLxdI0(X)xP`TBPO|DLz(=WX;I zHD9|yfkW;g-sE8CqwXC@v^E9}=VOz3SyjJ97v=ZXA}tUoeJHLtJz<^F+=EN9;Mri= z-x$ut<(IV0hDVX9-EhMR(KbPq%;hDvNl#28k-m=qw!F4#B6H_ARS18OSbsCCjYk^@@`-l3hY%1@c93T zy7j>~IgePnjat&jvA=m-bCM3DNK@hW!ua;GK~G-t9sesWhk&46kzt>dZ$HyQocU^ zgmeo&l{p8TZff2u@f(lg@szN?n(-qCnfVAaKl)M6((rm6o;(Rt?A zh64MV0zCeI%5t8vPG*_t4vI(-5{}- zW%SzN>~qLg9h^QsEkg8q2Mm7o|u9z#cCbU#jwKdb9X2-hPzwu%2~x8KD7w?=UpsD=W!I|a!8JIG8r zr}2Rs)eHJMW}rdcP+$xSaR2{?2HA{tVj!5(Oo}BGMD+Tpmm`1-laI zB?a{%*?&JT7g8T)^6auXlll;aGnqtHLI{${zpemnP&_I4hjW~|9?<8ZRTkx5T^k5|6R+uY`HjOynA1V+*f(Az$N#U zEEYJDTP(njJ5$peeo&2P{vV0N0nw*MaVDc<2uSvKhaJh$Q^{dxI0;@j28cd2P8<*& zOU!Us3=n-5alpOqE5O_%gCk?d0nxz)BDu2dGhw!k>;EU=yj#A16u$BQcTd3?NQMG? zp904J-}^i=7#a!`MFH;r|GwosZvDQsKkooKXtuozy)-3txZIeHDED2L=x~J1@^lUWZ=JDU9u$7O~tq#{{V#?oAsl!{kbQxwnwU8!1|0#Q2k zd=B4BmO1}ZlwLLC8VcMF6fpk(?Z732qoF`C6yW~x zszg4ie)0WVTO-5^f{c6@K(~)^^ey{)^J1sK zqewQ^;Jr^LGH`=MN>uo^if5MK-aHYyYyAJwwUc?%P~i5bz~|8v%>7@t zKO@Zy3cO&vRfyiU~{!z~-2xl`Ifn2tNzP4WZO43;N zKM6aqqt_WFK?q};t&%8dOmdQ`8C8%Dhz6cZ2Es1 zm1ih$kSSpN|AWkZGxvi|0a^dk+sE}kulG(GOt`OugIOl8{#5>6eLUkmrknYL#k-kt zobz=ywV8aZtp`1S(Dnm9Y#$?0~6wioZSm(=%VGxY}f6(>6ng7A2fT{mE z*!(xMKiCu?`M2#~vGB)y?GFmVec%6$f)lq|A z$*)siM-qgR737)r@i(QD#HI0c8T$rn@bIX0LNlc_J{>nGK^}3LXWH7O_h5rQ2=%T1T0>L<2#S=B;8tIgv|Ag`XBkIunuc5$! zq5#|foA`4Jf6SMmfT6%ap}-v{CUEiR_cH(Aegp3RvEN|dbxOP5~qT`<%gMdWHfD3NZiQ`cljO zVSHRvJeciQhur%;FZ!Z;9~{AZ2KPAbR(40Qf_j!kxOubIc_c1dEPdJa_6l^HzE#=# z$)ngmJ-$Rne3!J%SwgTP=`wOJZlkOTDQSD1r=0slsu08 zljD;&4Mq_J7M=5&te+nB%zhGk6ym31^UBYqi{DgHc2!~Tgo~)e?t>~7D zc#dz2QNDf^uy11NcLSMw@l+MN09PO)NxA=)#~T_&6nyzOoBV$^wPv0+6xd%BF#iAk z;-{I7p+FW2aR2|!mUFlDX6tV6%|q^6y{iA7dl)Vz{&QZ)T-;HGQ?d@Xg$g=qa5C5N zx2Q&^!j6;p)+_1O8Oi?H@!iaHe2a86g-h9j14-_D7oUP|@rKUfr#qmt&a+esa+A4~ z1fzkss`$xs_?Hg>+50v3ez2W2UO$yRvY3UZc|m%coYlIG*Q@Z2hrMn8tMS=8eB>fo zN|69{?2bkc{?<^uCP#SoRE%{4jOk)2(8W{!MX)49{QN~y?7(~cQDv17y5Y|o|38ba zG|w6e>^BM+|9`)6)Xc|FK%WBK|G!~5Pr=z(*MD!MCl9$tytrb;Es40o&hB8+PQX>f z4s_KCIY{U5-9aLhpCUME9n+30(nqp?VSL9k9ZHc-XfRR`nDB$OYoJA`-G8Z8ioQRP=Ms$c1~IN^D;D!dmG#} zI?DON^L@BqS6J>|H%CvN_zn1jbl#MFMBb(>*w^QzrC*}0H=6xRx z0znQ$--T~KP|IvB<`qZxIX7`2QoQO!JDN zz`mh?>HoQJSZO9=D3F-~%>TFFYT=Lh8cu;1F3GUy6Hkre>6)-;4tLQnS(gyLJdRrS zORS213Y9*hK4y5Od^iNT9l|0JQi)QmZb}#>o}WXoMY|omQ#b{B6h4RN9}U68yHxv6 zHA3_j54md?hnj8agmK3H4;Om#Q$vA+KmoGQ(&zNx zw6k+0t0_!Uib;tV-F_L_$F+ZT+=Nbcm_?*-gbA32>Zd#_HH7PBf9h&h{^d z**$uX@32KqTDXsZYI;f41#2Fx$al81(CBh?9SltVFG1s(9~%lB2nv|}{{z8hGf6{% zp%mc$|6$8I>^x!J6L{p1`-R-+M?dg)z~^UeCNlQ}_xtL=vY1|D3)>o95wZG2z%z{qs8h8`fE!QbE`7`*>BZh#9635713P*ICs- za(p1354*npv9&Ocs0(q{T>|2BiO$d_KmZL zSt|UB46yv38-BOEGpIpWz=nwka;V1!wOt{)@m-LlUH9NDWlm0y5ns&V#)r)K`=-D={NF!a0v_EN2iV(n!&8&Dj52BI*XH zp}?M?0JHzjy%zqwiV)hl(|ri0r#WakJEuo6J(Q1XvErlaIK4u-DgVZ~1)R{YThHL9 z4VHDH*0Z!59X3-(wKqhmr`Y(=?BB}6q~(E0k>-yKmI}wXVL~qNvil$w1Kr}WbAR58 zftVgYB6cc6Q#kd6lE=4yqiBXF!`^EwHuir{s9}SIp};Mn0FVElvh1gB3HbdD^o6%b zXX<0kyzQrPrqoX4-mXYS6$)_s|GSp`yHFDeU)!hMNu+_F8a(A}kFX~|fiG>UQh(m4V!Ob8M?GXI8GIId z1<~CA{YFpY!m)oRY)(em+8!5&B7)Wjtew}n4|@#Uc_w=v;yShtHt-+aA;<5V>!C!M zS^zh&r4Y|`hwZd}Gv;yO*#BvK29AqE5eyW$gE;Mn)igx!8lmH#R7b#%eVYCM1SMyF zY$$L5DM0q$v0h8||F!<~w;y+p!eC4eCY_zh>;@xf1;Z9TY#Q{tf$EQF|JU)2fIhS$ z)qQe$m&(-0{8CX@6V(>0sF)!2zKZ|r4FwDZEQ|a9hb^aUp$WjSubnr##}Nyh z7@TlksE{j|?N$JKgAzqo;iq=2XK^&4gVF`6{H6AU{*_Lra38Sl_EDBG?f;%nJfJ&= zA{{_+L?oVaixzhHDI+zls8uAtp*eYkvxqZ9uYl-Ns1bh5J%;5$mqv_IBP`IP7G^!9 zf9WL4h74l(xh363aCD_HUu^2p?BC6ANvI(exfuM}CI^bcu`~YvFg<5}W+-s*C}8UU z4j#|Vj12_@1=#+-bEkzr?+`y5{`d9=-ACZ)vEIL@$kEC(4)Fa@?(&_=DHe#2YyXe@ zoWJ2QO&n&CZeTQ?;&&oP{d7Mb=)u8`cLjqV-*ZoZz0V`e+nJ=`Y!x2)P3-Px>jEr1 z@ZqEhhkJgP+;~7!NGSMwVb+gRFPPUnv(^P>flJFS*av zW3;p)9ZHQpsh>j1 z3d{bZa6~X(A}K5M_)N1Wk+Q-RsiY{4+5Z1Y5$KI@wx|!5W@B`LZ2f~UTSp7@vd*W7 zKu<&hQFcYs!lwWK$QsYQXeh7`C}8~meZWLB1w(-mDM0dX+xJ@dW4@vkc;T}9Zuquz z4Du6~vS-UTk$Y92l;^>V{t0>g5$*pqzAvi}tw(1luW9S5U3O>mT4~(8*)wk`J z(er0i3#gl`)5pgCKf`{_IH^~CsN>KeYjRc}E0L5Poj=C@-^QB2pl&EIHU+r-|FmU) z+Io!`Z2RTzX@tF0>AyWfk=Pci*Xtn2p?bGzFI;LP{D|K6LzR2_{r$3zYyVl;RE)Bn zlNDwWfiSA}F1qi5%`cP9KeanHf4msA0K5OEVP@`@-5-w*#oPYz@H6#)Lg$&k4FwJ& z1<3w8)|XiJU*TgpCkh;L-|JNa-Rpi4Jc%QNBhL1E)&#mp*z)dnEtWL(?-JyxbKMSt zdSluDPuR$0_Zalx6yYU^bTzeti_%J96;J*OTnqaN4M2+CYD^__;&)+q#{TD|@ysxW0=Fdv$o`xDf43#;4AO=IV^e_p{|{Tv zTak-VHN4!XLk&Tbq0?*h{K-oyN7RIa^LHvgLh73W%)AdW>y)48!Q!EN7KhJDJxh6 z{B|))2kSP0%s#ID=i@Gc>@aW5QV`w1&mkIUr?iGQ_CHbQnO_(R?0X6r`QP^}HWM@y zNTdMw|6gr6r>$4>hj!lXo`Jjf{NQYF6NI@k?y2$8si1K@x4)@=W%9>f0M0<*h!c{cs(ok6ZplN@!-+q{}UCX`Gujt0ib~C|9t?MY^G=^kU#M2H-N0wpOB0DvbTxt=Wc%ObrwjBT zW|?bNEue>iFaNqUH|PHjg2{LIx+vQ;+bEAk3cLh)<#XVlk}qbxuL*8pn%E$9|SI&IT{KKrvUf=Ut!t*(|Uzvq15{v+`P@fJ!5q5 zUtonC&#=RYl=4}FGskc0nnbq`N(#}nw6-Ea$~}xp--i^k$XK z%}awR5G~}+_MPa?j|3;Uc3*#i14k8Z}Q`Lc963F)RVG}CBaL?ipl*scjU$N zFqRb-f>Klx&vRy(ZMH?Ai-TAUSuipF|8T8nerhOiFeqUB|AWD2GfP8(VHDv0|C=o5 zrPiCGzu&&@R^Tw&6b+2rb}r3_y^Pi;#$?h?nVg%jU6~po@7>N3x zAGPcsjY_}y|2UIHrx84u!mUl0(s2Pl(;t}V8MmfS|{Qo^K>=@}0FxfPQE(1&mK|BT2R3LIn# z@cjS#Ec;Ka_l-h_?RUAeNI_k~9>I2#N;_7e*l)5l$djn_$)}3vvUL$f7yL5Q8fu9| z%}_q0JFnV3(=dD<#h8KNuuundr~5do145p^UL4CP8?}y7FuLoOHg~8Ltd8ZO+TzKH zp|99IhK@4JV%R+jCdU4cqVvosEjFQG0 zo^DKfI>{m>J)h=+B)vzR3il@S`OfQf>1b-a>G={nt4LMKbbgVr?LjK284YzX>%sF7 zM_5&(5Ql<|@OiJa4UFUWJ&$7kz^-ZuA^*Dy)BrOSFcjDm6yWjyak~HSxGq8{5n0@Y z5)o3dy_f=BV9GPBLURiLUK4g_iYyV)pIb2jp+0=X_LtlR#P&ruh0w8;IWhX~-D9-Q ztcqc=4rD+6H~v4JpNS?2qrRE(|H)Sl-ytt(V*LMj6rm%7N%YiL(a{mIL=eLNr@rAP zs-+v2&f$AW-kfAs^4jR&ffdBrX1QH1`pILN}Kb zofSX->%jGQ?#OzO-f7yKk zjNT$fKTsT_^&~ncHotE7*sM3NVwkK4+xP!f`pbC5>6NQd%_`_={D-);x;0+0;E0}N zB=K{9`42o&2>QFa#-W$!ArW`=PIjqioV9X1X)GguM*f29dw==wLZ|7bo2ka`<(lXTZ0pNVsNLkegCLV=p0i@bo`{wf7U9xYb<<@pRX%9%Is~Nu1Z`#h^4u{4OvZE0~Rm zX>fgJ>e*ng|CjSY3xCX)p+KG#*uH;o6FTIs!ClVIy;-}HaW9?fZm!Cl9#`6@G!K=k zMzB8?)&$ur*HohyS+%y#vY$R(L~Rr0EGYv@)k&0Hq#S4&hOLFaSD4Xj!1%@OzsUdR z$#^rCp@5;l?Lh$}|F;L943>rhMNokC|FZw1g+JzN|50G)UUwO#n)lM3KO>*Zn}rYA zv94q1d&8>1m*icB&v?G&b#~kLG3ykPDZ`%>r}W4#75*x}CtNSCA3kavzVnu_S3i0I zr!K_oGtL(8>*68e{^8Fj)8F6!+kZ>n%*ar{P#}#0%>Fw^Ec`KFh62MWuzk_JfJ|O< zaLU=abJQ)EMfeb1*#CIFUf3s~EZ8|$Nf>}926sE#mqs-J=UBja1p#Es@-HbR zbwA01M`|{}FN_r%7`iupsYiB>UDw_W$-jkIf7X1(GPh_y1NbdnM@!^Ybw&@WMUrCHUpbgDvNU z`l!Lv4BI>FT3ZO6I_&IfAKeO8@Kd@FVF{lz%Fg;dYYv|L2HUHn(&x)s@96pLdE@51 zEiXSlZ_My>#Pam|Bor#=5<4X+nNGA|M#%voVFg;nFubA`5=th%LkLr z&J&{=GtqXES}`=3VWW&+d>iOtmQ)ItG4qsDJTX#&G31RQsC6lIB#1XO%>vhXXI@4q z3)IVzqV3_c&1PV-z!%0thvqmX^j`M^NDJ2o_dDBLqc4U{u!?%lu3Hya3b=_+USmYb z;fL8?;1rU^bv)M#c{zIi$rSQmX%L(*$dBFW(CA{UVe`q#*mOvOp~K;M=YQ;D7XFwo zJqo-~8N3&({=vb6&L>V}J=YRdTuF-WnC2-L{qgL`3eSG#MIRQex&`#cc|XpgOvg^0 z+=m!u3BQ?xEH7D?@cYLRyppHCOx^9h(iUMiXii@!i0I# zdJdyTp(V|M-lv{Mw3S8?;wHu-DsV#*eT)15_QYRW_+!2d1q=la4h3E`@e=zbl>ayK ze{h&?W@;$lQGm%m&;S2{W&eSp%f6?;&MVz7M)Kw^O5TiI#+4t?t4E%UshwjGz-}f?aCTJ)yoB{#+|5?la z?C^)oPxlxFb{==1f(<_f8~(z`J$bX)aNebhPXDIeinR-N{h?c9*GH#8@wR?++>HI- zW72KVF%;M>3Iy!`r!D)_y9L(3$xMNri|&`e=9kIlpQ8PvQ7&A_X7g#kn7W>~5w-6L zyZ`X5vHRoEp?KRr9)8CDXO>0tf}z0vpg_R>|CMF`)&7{2nMEcFY(MW_hG%gH?Ff{2 z$Fo>um2Z7kThm3&A4RWSG+=LHcYumE3)l-ddTV@#w3!y~9i(Ak?0+U{F%KIG>>mpF z_TPD_g+J!&U{hfG8TU(3*Ze&B0wZ7Rm@UgN!!BN-YTMp!)C3&AHGY6KT@a@0-Cl>yguY*AW9O0}Z=HJaDoOCO87ZLsq>?qJ#6uNG07it9_xi$7) zXST)LeH|=<_Mi5Y@;N$dM;h*BKWUc5i!Ac2**wR=u}9Bg`6t$bH#Q|5{-wV5)bHaQzL(gkxkVg#CYq&J+9p9By@n)d z#PN2fl15F-XeGU;@`97z(UG8}cf}b#&XrZH5^)AE9_d8K;J5M?Zj6}yH|Ku}r?UZI zD6khP5b*zh)3SeaFQRC08iN8m_q$i%=if{Dypiwz$>ND!mM7PBtX={8e5Y=Wzn{(4 zFTi`duYg@naq=e%Hpc%~_nI*NKlRP%A+iu1q|?1u5z83=pL~8DO&In61qG|w-LNKFZrn_ zk(-;f&O?GISI18?`B^$%??e%GRJy3T;3{B?UcbD0BaQ_hzXp7}=o?I4eSR=R|L>o* z?4Q;3{>^iHk^(!&+^g{IAE333d zk}R3235!?R-Kv_fVHVa{YM(0p4(0x|)MBQ7o|*istJX670rFpHuW>80kHPJ~gKKu| z-^GX4*Y>;IYsmgx8rm}bkp179_}s*w-tH4O_!|lwWC~DjzjQx+zWGh``Nn(b^YyQ#&%0hppKp5^ zeZK0Y{Ql4Hu$;%N@7TK(+rGHX8-SI_Wua8|1W3u-*%q0@W*@^3hWjI zw(l6+3*X^1IdmiU8cTCq$R*-81n1)?Sbw;CeuBWf7@t6lF6_U_|LxYoH*gFEc8vm* z;Y-Q?@%aBQE$12QpxprOcHP!XV1qWtFhPqbn4yc-OV*<}KXDmX-fv=?tBOc`4WTNP zdD7Mxm7?jHpzwIk<9QjJ@VF{Gvl*Q5xFS!GOa){OUg}V>RIw)RvT?IWM8N%H!yZcZr?MokC@H zejPrNyMYK8R4*7j6)fVccvUym5%xew>WaAm2ZHzdi$8Y(zaa->lQ|Rd-`DV4vKBq& zWYB#Y4OG`eX(X!0=u{YG(62G*P3t-3)5#qT=Yh{UYI{~N+7!dA1rBXub$GUi2hA(nO%1)dvDZM%hQ%tn~Z|r|zcSFC90t+E|GTgA3`9eLy+Z-A|F->r zg+Jy?MS&NpgF9gL@SpREI@O?Efd<#jE(Z-L*|6x5rxVsG%?$#bk*uEz+q3LB867A^ zW@W0NFP%ds3NPZDvLw_1>ON$6W)PXr%D!vCC&STw^vU3y#3{O@96$~5d&qGSulVt{ zq$lTr7oF`7W$8%^XW$c61Rf;Dyj1{&ynanIg3%rq091YmUqq~ z2hqVSlj{Y7vz*4Wn_gx}2P3MJpa`AkgLK}Y(o(A0sAG5Gm(ZD=1{1|9vZTeX`(_d`dc?quY&4a3>)pyBYhQ=QRbF4bWEnK2p6-{7ukVH z_Zj$GPl4^*Bi}cuCJkk^MHk!x7`(hY3|7q|e^#oX3H$$-=>L_I@S9-_1q=ml2MW;X zz&lL+@9n@UgQKB9F%;nb|K}{{y7jqYAl!;k(J!nIS9IKdVRTrA3Q?LU?^r?%v4($9 zx~YV-zEn@l8>I8(jo!A@G&_KkJf`!3yj;*UNMY==xt(caizp&UAH)ZDxxHOr$~iEq z?`70-V#<@d$CM!U#V{oZ!PNiU3hiWI8Vc+c3K;)?uW-WPVJNWs6yW~<2KE2l*bV60 z=iD1`0-Mw{cH|PWH2;%)TiWoaeS?)i85u9x*RA_YgW^23dv2k6KE*hSYMAin%kCyv zId1>o^dKvll{xwMAKV*^+}ho`GQ~uvaNy{Qtem8H1OhK=Bmd{{JT|=bZJ4 z;-Mu&*nZ5t31_lSZ6UWttr<%5*e?eTbovJ(vK-`1gW%M6&y}PiSzcKcol7x}WHLO& z0w>&O!M>-6eIwWYq!E|GI$r%6op4TZz?bYE^O9y#4C|7hiTl6bVA*d-md%6tn-^BL zu%aIvJm`F)NfBrkH8Je2_h7d_s)VOaMBP*W`r}#O8(zcNPZsFID)yWKomi*y?ZZyM zcaYklZ{FRX5*2hFo}U9qe?F!i$ zX%YtXcJRG3m?3REU&S{Sv9{TWs<%08qR1-FsnBLMtzC|F{G^77{|}~aGqW}n;1rN#HeO5j0)!o=D@CX=5cRnp3GKF(7CcZtV*6k{>(~-#r=O{ z|M#-yGq@QFj7R~p@G17+`2Qo)*1TpYu-7S|A^+U}|8qM3|L1#sI=SNK&buGR?SDsb z`=33kn=0C>wpgFR%Sik#!Ue4$I?=!JFFb~`mY4e(9yf|xHYYPYmWTnuvxVnB>3)dq z0giM2U*(%$Mju<>*RLYjX&@h-johm7okm{7S3yzFA{%2=a0HuFG~@oijl=)u{C}?6 z#SCUBu-7R--oXUff7|+6i~j7Hudn;!85oiygCq85*0XNC*N_ULCNfl3zG6MWvU!xz zJHr~e@rw%|(M}ZUj1{RG$-d*RhjNj-?S%6a0TNLMduov!-+iYY>hPU}{IXxwp7cE4 zNPlVu45M~WNh68h(>*qIV9~>_Htol+FkF-FPmI5%-sL}w5pQC-tg;qUWT#M9(5KZABOHJd>YPU9dn_&2HK~O-d@1p;rA}``w&)88Nurx zk|@UCIg9c5$ec!g3v9^y6^j3j{oga1&mdwbuv-+6_TRC7+j3g?*mqyspXYuALH-E=4U4a0Cy?Oe|*_6(1EIbhY3@XZ!;hQ}9CW;#`nAb6GgJh<@$xN#{j zZur>5>*TU!2Kz(ln`EyX2k6e_MGxTFBBjceU5;Q1~KAgMq)9_04C#`Y8jQV}(c zPnXhCH?TGKZgPN3W!e;=QtyEq__uhH2lb)iQ z=DIeVC5*ZOSgW!uzLhe52OXb@S5JF^bn=X+z?;Ry(b657qa}>CC@k^;{O}@m+`QP z|7jH_tx*&IQ-0yr$iL7NRbW6jV^RL4hWyAn{-!Jg)tyH9mY_4p|980mZ~bq}*}=yF z``UidwgZ2(0zZG`Ys#X2{uCHM=|bxNFzlMGk$lmOqI}UbZ1eC#(_lPcxi`0M@SzMo zoT9YiC`UV^d{7Cu7ui;8pKVXm=4-rSSUf?OFs-owfp0}p?=n_h%;cY)|Fd6W zIbVg3zdB$6fMp%pzT*&^G-81lQA48#Jh?FsPV z6k>q~@`?qLWNRe)cZ;Hb5|(+;J!x#78kn)3gzqY}qbZgdo<{Se^x>&iz1KVZ zMW1({_<9eX+Guq9Tle7?ot0+2-|V!{Y@I&bx$<;lrGNOo=IZIglZWqX*Vpm-JU+!x zy?(dZUJH%&E-_eE07n6*S81;{ZZ-g}-`xZh4sof`-|V&p%$3e+qx;Zmqqov+ZuC3d zhuBOXDtFg5*BkAAKFAy+WEQ%B`8f4cAxfIHq{Z6mmow>QuZS;E39CHv*LBp9ggtE&NiX4XKy4)oKtu8jYm3FUR#~PSxtarLwhu;+>Na*FGQZY?se)pt+J5_HruXt!CgPN4j z&$L%-9eg~o+1PBXE;Uvf%^M8`l9P`LNZ~iDjrOYIHUF({x6`fm>;281;_*pI3NWM9 zTxs?z?S7-XwApTx2q@l^vL{~Dn37aEk$28)U8uJduwqE25Mfd(+{${kSv?|%E?!KZ zm7J7#B?UPt1;OXfY(bqUC?J=KSgrRPie&@&-#R=g zSxVxVKJiI86M8;n)<@;wkknqY*N3)N$*9Dh%S&XzsOBwM7=AMiKe=Q`W|}KeB@$AJ zq>ci1=!6tHPq8i5yRaRQqw>lM6kK-gCRj(~DdsAd-c*O_zP{qwApM1=R6H)}U%gg` zL*qZGBE(5aduC)oLs;$$&0h0Lv(@ZxWzz6+Zi`Em3+39(<++);Gc!v*A~BmFQC?hH zsLf2(W~S5M@u{+WX0|ew{(@9R%kz)VFI=3ze4$dUoT@&v{a$mmG2|W9q>uXAjwa1?_SHH$zm3*v(4W&{v1D3#rN3EkA%;+3F4b72 zy|Jm%2f-Wb2(zmQMv0As@?!gN_yV+hLijF?Da}6zAtIEgZ+?U(e+nr|v3|7tQ zsBbCZX*KI@Xza{uQd09wbB&Lp;E`nLY_s2QHBz6K3x2uX+i0vbuQr21uA18;Qurzh zzAfs-#0~QQAqa#J=$Hse49;A-JXfAtT2RbRDsDWxxKNp|%`7dJt5wAt(vQF!OEcx^ z%NLg_Q25@<_)%?nX5ko%C#so9zG zS)CUoRc05a%CncJ%eAtC7RM?6|F9P=EG*X+muqRSNb*%@W`|*&4|0@D=~^Rpe1w|i^V8!L(zWq=oc zFBP7MKGc@U*;psLr+yJ=kS-}6)A9w<)!l63|tGv!%{~rEx>kH z<`);1YKq6DDqxS38dN_oN$f>}{?JO+9GCQ2?DU!}4#^Vl$+xE#=H_PRRTGlLI=e7C zyKu2Ge-6AuB%Sh_B-`Rb6?UMqgiyM2LB(-NxkWrXGp!3q5)iKqgQFzlDM^Ux^(OX6 zR;!y=dRs^XPuuuWnJoTIrcd4N_jMZ}gG+O@|=K z%91I~6|SI>RiaSRUu`jO!8a>N3-#;Gc8~sUwl_PQy=t9O4Yelr`uemXexajFJtJM7iAWtT$B# zTdv6&wvVC8iAlV?M{C{Y+8S-*s)#3+nqUjbrs8?IU@BLU#8Ky-q^77q)Kj#I6w;*z zq8PSoslY;VFE&NU|3_)tkSDc88X%bCend)ct=_xtg+9GZ%GKw|lZ(i;R%(}P$EoeaSa;QiIUQCeUM<)no8l43sJmO z?Kd_ktX0rQF09}?DR3lBv1n*Aq2;KertejPm?JF#-!zpMXO=1p(-l>$A`vZDFU?P# zUs{-7SXSkcBmt)u=4+MtW%bj3FhGwl!AB~enN_(tlGc^^^FSW^3kt^gQaoR&Rm!uK z52%Kg>t$hnrh0xs<<^?tFLMw^cLM85J^8x|Zm{ zi3Z|2sGF2m2mb<|F;2i`^z{RVR$xkYxt> zAJASctF4g~$4*ma^=74gwF7r2sjLc&4VG0g-`h(zz&lXZQTgMS0JG03oW`s)yixB0 zWii+$GRVh{hWyD`WfaM%C=*i^GfEEDuk=Tk#dipUJt}eTgrVziQWF@28{~&cd5=-gEE=rWqJ!>ML|sn zYR(V?CZ^r#uGd>P+G+H0qOjUo$e}yF1;MFQ_7_DWxNtB1mv)`IgZ`{xy#Rj_$H$dho=KMSy%eCbY`P8AdYP_A-F^bOP{b!kZyML_8lPf?*7`H-ww zttfE5+ZijGOcKjsb(Xm(IK(yy3XUr5@^WL83e6{nr%_r>EGm{~Ox9<>JE+dIopH$j zPN!QEx>JHGQPi1>?y6R%#YlNdp!CX%6a=ZUDn;u?=&Xcw2Z86OvIG@lGC^$WJ>)-^ ziy$bR50(N<#D-@GCBcgJJ1*3bQ)pxFhGJE|U}FRdK9dV^q=LjoQ)rW>KoyyK#O&;5 zt3{@})`?;z2;<3L@Msz2{{_wCWh$lhh!jWRHw6x%Bx^0a71UZW#)-iKv9XpDHseDt z5o)<8GVfdjx4fGa$BTh=^qPu#C#1(RWWtI0mo(zlC`(h}7I3MVUU5hntdDB;G4zMTX#)2)$gW%QTyJVo?mKvl?YA5BdLC z%3)rtvo<>r>E%dSjb|2MN=8MOzJF#ZnMru37$%AHQl&@oTK>gFZXQ#{(@Jt3q^toc zP9)BLl9y%kbk>`43CLi|lU1Ik@|BSy!-iK2SqV`TN{;~2-jLW7PDyE<(u&<6{~yO9 zpmi{EvQ;jQ`9@>4H-7;IdR*fRlI5CvOK_8SD?EEdM&jOTrQ?M-H_MOp!X-$w*F~+> zFajk)Fqf5Dxa#s_1P@^yO+qWx%W5iHP{>6&qQ=sNBkkAB(HRQC_>MC_;3gQMGcTov zl<@{ud2@vem)PCZ(vzUZa6Jk6|Af~Xqo(gKD$yuC0(5g(fx)9oV#t{17>$|-$Y$pcjemFTpgQNiHbJj9%|>pkOzx_DLp#==35hGxJV77<{KMryjM+X zFn_LF-?)a;vMpXP+7q;kt+&X7hl9brMqm&5|7&FZ@uj6!rF+5glF%7PID6M`)@egq z9lYtngW^gM#VMAnROWpGk0{eV$|dOQUaI7iiD$+7izwU7>rcWcJ(`y$4d$gbb3zfqASac)l*7+uUCC?-E9zKJ`uemh4jD4NCsMl#jv^PHu0Q>8QWWNJ@FhZU zn1a-01r$|+s4SrQ5emlIYm0QUQb1v<1oHpo!TwlbiYTQ<7cXCBprsXdt!kUBGMm-6 zdK1nsK!EId$%>u_hn)%yO*}tn()n9J>39>(Sqj?hkP$L(r6pyln5`8A5D5$EZ1ZOM zX0r$Hw!R9NA+(Z$t0?+hF6&4tViXdxc5b}NiRB=)bec-dpOmZg49?0l*TBo-%HKjD zN(6dLx#vs1I$hzIXVCVL|4)+UWM8@-K&tmLb*p*0%iHd)!UB@v@_i$LHlNd4=?uzlnaM0w)QsyFAa_vhhWdNd-Dyg^vZGp4`R-mM` zxK-ABAUKrT=njQnI+TtLy^GEMwe#q;&}h>cSLpVhAerz5GDgA~ZJ-u{ zb2u@#i)djDX`wYs1(MyZS)49~{Qvb`#85iSlo7#cx>d$kfk1waIrRFFtV@(Q+*_Kh zUum>(RKoWv1Idh-8dttkT+d#)>mUfH#DhB=>Eu*tj#DyTo}$D@AX%SiS+M>_*B(I_ zB?6v{*My#v&CaSA43T#$N2e*(59YN{0(xvMMN6ed&{#?i%IxVB_5z195c2;O;cm%e zWKTn2N~iM3oTj9f$WM`qKSp0#K|OXTW|R;kgL9C&5YDwaSL!WMt&xnec%n#rA*HGK z&TUe|!4^&>t>7pHnpOzJBoFFXaC#{gX_5<}sv#Y)~Sl)J6f@57r7gBpQ=( zTv6gG2T-DRF=H~66N|RPLkC>Mxanp#1Ftx&*-m?nzRCa2AB>c-#m#P`wMB*jZ7*o$ z2Nn*H7~(j)>L{+ZXAQ0b0^p`lN1 z7HCRwkrQGnfgQk5>`>5+9iu2g$p2TNe^JQ?QaY7aQiOLnMs>{10yrRZR`Y>VL?ZN4 zDk)uQlwv|%*s$?W$8J^&gC+%qx&)Ds6b4BytniM1P%vW_z+WEWm-5L!N@?O*J!mCD zW@*#Emn2ul%FLMrCpteB22y5WsO6;uTj(rhmxd6?=u9F1e}hir=1O3{*N2>{yfdXR z68l|ULKEHvz)}^-*`mJzyI-id$x7uEnr!iKrJC~Z4k~VvQd5NuP?6hVnOd>onmGtHBShnga!Hk>Ryf)b4^OM!zoD}_0U*_wVLw$@LWlH zRA#9NUUda`lgYW|IWPa_Aifewb))@FfdGB2;x{NJp8y``)u!~Q@+GF!D$I351jU+2 z7DG^}Nh&?kVFE~w(UeplVj{x$Re3M{6=-|58Ywu9qTEEh1^#t4@zP^v&kQa+gO+x2s%!X@V z?-Wv&*$jEH$k{-fYRrZ#i5@fP$x-lCEquuT*PN0PMtMT9_=mDSQ64bYpgbrS6=xaN zpYlv<3N9tWdThxVdWC3c<^o7;M&YM&$v8-pADnw40-=YL@Kp<7(!bgwIN(rGxkcO` zf=h{^T@3p};1a1%uzL@c*VekYE~4KMXCjq+(6+MvCWtSOiI@c0rq0I#U+4xe6X?Oauw{@J0NW(t1 z*&+I%WQm0ygC2TF317WjLI#EPrmFa-6xf1+{D0lBJCmvXPtc%e73wfBtQ(-hNzLj7 zLwX9ibsSK*^*4%h2FW8$1-3y;-GArT@g%#2VdrCnO?X}Lf$~U2mb&?3b94`2i-kDFNx-0YtaMgwclle?#!()~*R9T?mni`h2I&?=4!T4!cv`POpbkjNuvF8tirK zVi4z<8^IFwTc-=@s-Ogan7McvG`7+&)wL#*%mfZi!<1X7I}Y7*kZ4LoFAU$i%?CMV z>xLr7lowrp+L3o4?>crmcFn)nK+q^GJ&^yu6_gGa(Rq;nZ)~60I(@jqu5<>D ztEUeiW!7!D-fK1L?OTRz98;Z$&p$MlsG{W~J~a!}dq;KRK7KgF%Z*S2|D=VG!zmJ7 zYkgEF_+!U~H1LidD=JX-bSx#M1Cxmy$Ojg=FqlCcDtL>ndjBdew;=6?GU{YZy$Ae- ztW*n-|G%xveX21|@Ey5(D^ybAQWXzqBBzZQvuQ)gHjVRNH{e9xkal?2k<+nR{02%v zo(w1!xEPNyi*<_oN+Yatu*>0@IDKM$=GQbBkDv#+bL{AB3Wz7M|{;(q-bdw zB-Ur2;X~bMRweRHc8;Y;^pefcRN$0Q%q68?`t-|%$;Wj9ExvQyT$zRg-R_|+5WAR= zi+G~k#9re4ev0!#j_X8T36*q(F&py#cTOKZu4ALv5zuzO+-`S}N90$Z@h!dBLWn&& zO4(9GQzhzw<2rU~vD53H*@P~Lp(e#DHVf~XH1CbVmxoSDktFO~qn%+AJP9$~tgr3X zJeX}``ZU<_GP>(uS-LPP3%Y&jp45qD(uX14t4db(~C>BnQ(LDo^n+szmbpaVrDdT9aXP4H*ix& zJO!b~UHw*Z(m@x6MumB~jf`9KYLh|%IS=$glnbcGkC+r@#!ermOEP%@hhHKtY`+IR z7p9(ospN2YFUGT|mn{SitQv=dTc6LvdLgcl-~2qp(aAPh$p7C}SKj!S(*O~#rmYZE zQqX`|;q+kpR5;eR+;6s;{VfrGj7^FZ_&PZ|z8B9u%Xye;x&C9mMfAxcb>;~jV<7TN zv;nA-3X48J$^_KKYw(9S!>4e_sk0vJ^_N)dNQXd!Wot3I)6_ICMT&w&5gSnA9`Be% zY&4gJXWQ9skka*srGnB?gpmJl$>HV?a^->y;#A6}iq!HaR|E68iiIUrjh}`o79eyB zU+P|^a0(L+p16Im+*}AABtV0)0O)k(TWG zl^+oQU^{m+FEs0O^_8x?c`zN4TII=w$8`_$#^F+7YK@!y@~}Ex@KUMo{2_*)wt@VA zD{H1qJwTYA6>mV@0i9gb-E?E6h5oz6u4<|Fm?KayFzKAl*0(yFayb{G@TiQ41w4Wq zc|rk;Q|lN4Y9iU8iCW81`~h7Hmzo-#23S+iZA5<+v>f<+?oukB0UQ7T!KKW zQ=agozrx^=2o(!YnvMXx6=>a1>jj+&s@AzQvNWGaC!plz9r4MtEblm+5^xx{s#Rz| zqQEPHYfRjGS^ALRebQ7^PP~nL)n>Bi!>hm& z*Iu+pOppok|LsJTkuj3NXw*-U8D8QHZB09jrQ1R$7o?|MwR7XBY0Z)bN$sc>int!#oy^t87kR$kTW&+oQj?R$DltP)Wz7A) zNr)G>CNu4RcZ(fYMClzW9bpUT2d(rI^(2IvP+-Kejioq>OwZla-&1l}2bsr85q6<{vl^`jb+C&&{u2nA##vp5I?d>%hm z;DQC*=d%V-x`G9kc{*aN*Ke!~hzu|5?eUUsY)DD(dc5sq0wHE z{y~homB2%b^=7w7q_x_WF&bl8RvXW3Dlx}1Pyt*YXHTmoi)vVKXg55S(kM*>(^W`A z{{Mkj|M$=?Aud((RXSzlF9o8Q*Yl~7p>|VcC{IeKH0B{ETgovEW%FkFX0s<8`VxU3 z7BQ(%p7d`T0nWG}xdU+_RhVMnq1HyW?=d(fhQ%<{wU?)`B4?U)sX~hh0-9^>#%de= zodvPSv4>$HJ0@m#H0@`*brud621(lXY6~rQ%d1asLjJ$I z*T=Ex{#n3A_SIXkd9q5{c($NX1AFI`IXDQ#X9z8{JTxQ+G z!~;76$y~%+<8sl5Qz9gCVcwa}>XsNP=e!p8(a~n9-yu}1-T_OFwmvM#|36Icz6~Ks zSRoPtG0oWGAdGqs`OoDNa7mRJ3?TJqojzl!DJwmGo*;uqqAPb&FIIYIJ6#@UiB&Ji znV&6_IiX6AgF3S%??%iYnoLlzxmEki);+J2lG z(ij|6asY`j6~!%UxvfLIELx!PtaE zjqXNA)f0(k6*8M_=Pp5q4SzVRnT7m+FGLC_0KAT^Tlb}HglSJ>Y9smb4}m>ykse35kmu^BHwf2LNCXFRuufZ8`*luwBYb zY~W<$I@$PSUxc9+*c=^Q|4`#wuIo7w{RS_JP-ARX`A|xegu$5lhfg5LDvFmO|DSEI z{6JnnhoUt z`^G=}$4@|@J9(CkJ6)$kI)BxzcK=$Vhp?f5WSk67g4h1#$`(H&K}8vAUufHaUv5Gk zzf_0K{5F;-+r@PtY$3LIc+=*hM9M#uQ|ZlZw)#!<9*~lhV_+v0d$)fj;bvFRFztY( z?&|Yvbi4i4XLkV}%f-Z8*7WoYOSe&pM{qI4JX!i}u#^Jw|BpMp$KLU_Izp4j^6>-s zolc`oVTeGa&LMaFSvDs-{*>bGc3Qm9CKDHOp8-q%q_|>)ZsNUg!JCyrA!%^&C! zaOy$_+P!|g-QQc+25Mah8kW{t3RlfVfVa5kmUR zX0M7W5j4?9z$m<-808~RWsmfAO$xsXDvj#U=fz$7$}%YQp_ley-f|kkd*n{cqdJ=z zd-h^Ut*fDOyjT+Q|4(u={94yT(V;i=-hxhPZm4e&h(1}Q>7fK~6ZOuK3~j14VpfP{ znsCP1FOZHa7u;oeT|QUnOk^apCUO$Jt={FeD}+I^`j$aH4-(X#pfd3S^&CmOcta|- z<@3nAa44lm0pFxvbagxA{|D&!PeL+8Hghj5D+1HPR|`&Al1GX#wRgU`igvVujroO+ zG6RDE6$dqnNF&JHOQkp4ywa_Aw`QB|LLkZg$HEd8GVwyhDr^BTpFg|emHLWlU=6*- zo*PB+s+8U2F_~gHKRp}0(J*lv2a7(KXpUKn=s@bt-xy@<7bH}k$2>j@UkSH=vG`zU z2?0Y-h-VCWR$M_{i7Is&ZJcZx1-J6k_~Ix zLW_-*y3!(VV5yC7Z@B?DQ|~pF;2Yw*DWkMJ>(En{QX>0e@|DlZW~(VBsA7`F*Elj` zi5N6_BH4y2BygE-3qxdBuJs6yO0S18rjQkda z^kK@~ZWTL63+)VB5=xCMN5*ar?!#HZ`c67ylBR_zTnlFt;FG!FAf4~I#(D>ycc?di%unG+cn{?QUoL1e zWwpRQasuq-_G+Ws+Cr}k_OKEM)ml6z*CJKhtx=7A;#!1Pr*u6zRpgr1S&Sag5}`q_ zpFYewxl1dlL|tYp=ISU%xv9V$PikQ9kzGTEIKa{|j5`c#U6r2fCTp$&hOv_Ox~MP* zCq?H+6g}ks2e&HIrw`-ZT&XK+C`(5RqpyFa$YWrFnEB5ssjOV4;Ic?lw5}=^X#wJO zzTUc8N($(dNW<=Mq$}%nYLuL)6d<@7%on#kE^O3s1YXZjG03H3z+_Ar6BF5JHR!Y| z>x(-d=pK@%?*v)k;GhPluGQP^MoYRyn>p4NNS_#RmO9TC0z<9=noB1(56J&NQb6Z4t3^y2E`w{7bnPzK0PjlWYp8+y4F)l3OVBN$wWcCP+fUy80jrj)I+Ow z6ky7=Ed45Bh=(s{$o~(~MqH=+5GiP#>nW6AeRa{E_>*)aQ zLc6skCY)d9NwVldrv-1-za=^ygi^yw)M?MG)lfyudB=1f#L`jVFigSfTH0L*nUy4z ztf39(dK35X@`OdQ*4Lw?H;PWqBn-JkMN7p{RQ7nN!6FJEzF0&4e?$x4YxQo4iX6wp zaxWVx<(iSEFQ27S?RPg<`XHx9qKZK*<fH;=5AH(3^7CC!SgmJBC1qzj^;LEdg>|BB zbZK?)92qA!{k!2^Gc87<_2K=z#fFp0>rA;ibNF3}&q3qz1#@9$sNDSv-hclH><{524*Zsd!HAPt11Ka5ZF0@u(zZ z6`5@09+H9kD;#=TIUk?QT*D`iDP8tuJQmAE%B7bFMG5VoC)Or$K@1*3gDPr7r`=}ED|MG^_g=4 zL5_)&uNuxRl@;q$t|@qmTRp6P)uNWmf9c#Ae@x}_^BacHo($7?k2l*gtE#0$rle1%r7q2e26ioNb0c%FE1?D)Xz(9 zN1s&9<(QmHxI9g3eMwn?Ov)nKWHl^jj^YAda4Vk`C_?`KMNXCaAYVeTDNhl>5aQ+s z)}oa=4>2t_z+1OHDkOWsK98hHmB4GU* zU7R~ErNT#g56E(&jA3_%y8L3QV*D7zJ^rjz*z3SAsF}oFv)9Gxp(NeTX z6%>9b{wB?exXTZHk7p)TQy5myVoA5mIy0SH;ujB^StM51FLh&<}IH;jv?s7tvO z$~OSJ#E=|Q@p2<0Mx8Hc(;EIpf`Ju~rK}|?o#>O0|9?rHe{yXA1o=2&b-mLzko?E)VfbjP?NHP)z!Wi>;I0n1E6}_*Wgp)dTU|x88{<`Y|%R z&1B~+2ppan{ODX11fOL=c2Gtm$SJo%w-m=Le?Xof|33+-ooo5@})BeV`RGX4Z!Ut1zcNfeU64!=a&#s1bXUA3_Bb};c{S@V` zYMnXyOKU#C7j_{sABjOCVIp%we3c}d$T*K~G-P0R)BGOvSu ze&1^jXR(jl%dcL|0!l@-$rF-lNuo?l!u_x;C0K;mq{Bs(VuItOYmVh!j?hn}tp&Gq zR-P^(DMI@~EyqMm(lMQB7aAFwdSnSawLnAV0@pEBZfev6`Tv>Ihfhd-<{uw}Cy*#B z<6NT{fKVd1W6qEp6|Px$Wh5UkM+GJ&!qb!2SP%8w!AKr(FqL*+5GTjEz&Qv~Y_@~T zUpyA%2u1Fb@Tm}#f*?r;i9iBJEa-5JfF&vCnBbGnmWT4F9cqMlQa7dIuL;im@ z7_F9jy$*HaYphnA{w8pNddqO99d1M(hZ?LCtS3| z-DZ$rUhSaX;u<5xF$@wes{}8lRcFFf}81lc%#O)wg#eD{ky<-jHr>`o?|o z#7-Z6R0^awxag8Nb*-VzPwWDcafBg+1AEHOtLC*7QoB(C4A zcTsRD^AqGyk6aZbT^t;LUBw-+oouH9RNOx5hnYevvb!Y{eb^k-E&#ww&ug2g%Bxm< z-sUN2VD3;>rD{Pk* zvJTT!>-f>AO5{oM#AOW6bWB}E07?Wl7Y#L6uFaOuc*`gz<~r2L%yvpt>;^!oy|C$q zi}f}H%tB`={Z+mVH{GnS$b5pJFQxXE3hPp>k zWO1(mr*80ODkkYmjWupalt<|Wv=SkZ%Stc&1RswkfOzQc_&h}@u%cOuwdzJ=rLZ%J zawU7~SFj<^2}zH2y0L<0x_mRnO*p>qF3Cpn@NV+)TJL{c{`*;+Pqia_aDM6dv#^Kzwq9F(dq zf&hC~NnTwA!#JN(f5w#zKT9r@-{^TNUCfv^*1~MI(O&Z}SQs5Mm6q`{4~!K;?aeN{j9VyV0ojk>Os)(R@Mm^i-!d1?654Q|4FKK$)$U(oa!oUoR29pAgxv<+i67T7FxU~Oc30@W z)-B*k*O$+4QU`L0C})3>XdV+Ad%Q%Pmv$~MSEhyV3R>wBUFmT7omZmZ>R1Ba^&WlZ z(_`v+>BHkvA5xb|%Fw5h*$WXe`%K#MGqh za9}K#FPrH|y_#3?>v8E(aB#f$R(W-m3Q_~p%T13nXCfsu;;1m23iqc(uL^qb;cdP;`A+Kk^`FUK>mN_ z^kKjE+S!{MSQM;%MmTknqjm9*!vI=Q7uEP@RRP4WITnjO&*@0XCE_)2Hdb-o0R6BE zso$mZmz;M1M(d=p+74Tiyet=;j^vOky|9;_pDyzIgHQ&RvS(wsvdQj@-#b@;p{qa( zSPFha18(Pw1}6m>*MNxIAh-*o%OlADuOeQjkbUH;E@>a-(Jr}tBWRqfD=g(r!YfQw zLfVFwO$AG)*-^9lmEaw5UV5lN&j-S(h1f3NOCz0Y_!guIS*A`b0^K#4?vMHzchZ91 z=}ngAEGn7c_t7GV-rZ+sVJQ7utn-ZUC#7Tq91>o1!7!;uLCW0B7v%rn1$t2DDyPy^ zEq0roZnM9YJNBm!OCwdQ_pXEabPP*?iSK0i&*ao#AL~6;43VShw2|uqcFGj4c*Hw2 zFiPB{+NF|ObI5*9@>UhHH^IHCET`OJa7sXdTc?pb9CC-GR(_)3z`}QZyj=k7MG55d zQ)3{m@UYk}RQ?bG@iCdHH~`51=VTFfo*E2uOO*^t0C&Y96G`8=*ajcVTP*yIrI`FB zN(Gspk)^^@1fGHP&sP!UHWVfh+2`{f(;W{WCY#OkA) z=t1A0a*bi`QL}Ouic?(`Lv}+vEdZAoW@BpHRN{M3mm1G(HmUw%^Gdzf!|fWat=Xo3 zuC@3uQ7Uck_U<$F9@}=65(4@EwbO@dmFZe%u`;bbs0twqGA5HR=mS723}7`T13W*_ z--1U#l-CxDq!|bz5Y-r7<$F(_l!HpUQXH*?@nAPLM=5^Wxqp13ji?%Nx$qp_KZc3Sa#8_vs2}41ld>XinDLLFa1RZH_iK`@G1TQ#n+;kVt zOou>dBmh+$XEvC~1o{7sRBxu%=puWC%ANFBT|5zR^or|z$jcIjp30eOHR|onjipUo zvbT<_X?mT_?nh%#SiI- zlfg+ODK|$oX0Zxf3o^W2?lpQ8SJRn1-nLetmS5)XsLsYv^j^$eu(R+wqDHk^nO$zf zt0CJ%8#3K~uiEGfl0g1{lLFK(AIY<_GHdC`$AtNFMsib zPvHW$1!+S)6?1+*P2jahFsD8GRLp1E6z~ho#Tt(3NAbX}kev4XQxQkq*dD|ju@~h3 zw_@d=wAqa96lN8>gwLvvStGfb201WI^YCv%T5bL=_HP zu)Tn`jx$5mrL4+-^#lKZd*1>jS5>Basw(L`A%F%eJVMeb!61foUPME5I@O(|)7|N$ ztMbCeOr`5|caiF}1@(z$SI0`a)2VpdWBf~>8;}Tr5uFg^fyn_mN zfDsiPkjHQtklb(YefB=@M^)|9X%>}*7|uDh|9}7cfA4?qUo91rr6TTMEeuZv?1OkT zMx1H7d@>*o562}Z0E1qquM7GAB@Ig_!3632d`g!^_nk?AZxA1cC_dWeXcFKX#H*o7 z8doq9yj|_nTMX^8Xave>vB?iCnIBo+8{Ea+dSz zi8}6+@yd-XTPjAj_jT~tw^|Obsow0d9S{;>KtoC*Obk9jMKb~(i}~3cY)-oQD=AqP zeckk(8=0BEAbt-^U6=XN*`_^Vx-*EI(^rN3KMnb}9stt|EJH5jq>+YW1`magp5=0S zeJNox`l%Eq(n~M9%FZ=YBvaf-y|jMXf{agV;lj#Q>qjkU5r36j^Ty;+UM`HBwD1kN zG>hOa7pY1K*m1*wD+%k_y75x$t0BE?V?YB{M^gx!G!xd#@(Vw_s}ZbF69gf)7Il|H zx`YE{mtP|KN;)p~3|1Yk8Y+EJE*w}5p)S6=9Vh}w_CSjBusgQ&*;gHxWX-g&* zR1mu&Hspi|)qj}{(OyAzI3d_fV%TXGbID&$Ud4q--E&fhbUa6tB=zP*&8yUfM1;i% zhD%Dga7zV%fQSL8`@oOoa0TT5-+ij)dy2!uNZpXFU3mq2LXK1AoG4cfQt=U#cZK+} z>pJ@A9j7%b3onDRY$==`zRM*(Y^RqBcSRjx9G$%vj zJvn`asAX;+#P(^qSfas}_pPn?r7;e&O->)eZ$88&umZ+>0KjQJL0QAy=j5>X_%_tF zb*YRL$std2hTk`M_M$R1yPSn={*P;!66wWeq;O4D7iK>T^8ZXFJR>TYh%_@JSL73N z)nYnXae6Uf?;A(A|*B7^aSa@}ync=#0pMo;@ob{_VD;!V@SV*Ck(RGlSQxY1IbX#6m z&E;{Usikv)OmGky-&kE>(!RNalzxeb!zSI@bZnT=u74~WyHaPj_V+=s92E>LK9cPe`A>bxOhC;UsNyEBH zwgg~6$p3%fUOsXG7fshHCQZJ70| znX(=i*{rN9rM2Jjg(H@Zv$`^0koX_R?@zQ==P1j=SVWZsF@?Ga#mq%#()v zKaZSTng2HYO(i{iqUE9CU05NKgI(p2ZdVCZp2nJr2m;#{$Jl?Mp7`0z+N{>|R=6rK zlkXwdl%SX_XWA{Mr=MQZO;Ar$nubs?+n=V<5T zl>`W@YS1Gbj8qX985v%CV6@>mM2Vi9(g4Y^arHHQfY zzV2iUA3o7W&K5Z_xu>RFjR|Q2sg1<1bMe3zjz**SawxdZ0T?);9aQV-(GQz|zTpxY zzd=r26m3d{)RxebO+_mrenSqQpH0s?ejQNF1|<)~-33=7EUA$U=@Q~+5U5<0GKDgl z9&v`|D=5cyx%=V9OO9MAY5Yhs0ij%62xvFxM*JZEzYUi8TP^gL4?_gjAP$^HFVynC z*~uFNNGou4l2%V8qu&^=F%S2k;JdpjMF470$p|RWjV@>hl_Dq^>lPUoash=CrvAJ} zP;8)otk|%1I|aBvzgTfGYKv2V5mbp4BdQ)GqT5(?9%|LHh&F_cgE&OB#*DUV1PRlC zp`A=aP^~5$Ia)QABBP@sY`9oDz{j;AYx^>UGFmi^0bTP1pDuPTKL@l#Wv%OJ56J)T zXwOFL2_O;W(O@!d*aMN7qZgc!EW#5-&l`GSd}#NsieL}-6$}-W6IfxN9J4;)m1!N)Y*S_k?6eJ;DU)wCph%|ns&R4SAnFG94@ zU>64#0;oO__S8T*X2y^-N96-401tywdak3oC$y%sVizV)iA9{@TIS-Z2QSli9lCn9 zboFdh^C&R!u=dbgb!$NbghU&G?4$!d(Os=OnLQob86`rA_q5UBgGh5L^+LEhWfk)O zpR^dEV+#$4iqKw$YrWx9&>bR1RGVj$^8S@%Kk_ocv?*Tk?Yd5W4&;VXW^4qPm$Pdl zz%`yXNo(-HU_il%!Gfv~aJoVH^1#ctB3C=rz?=!UwODWZs&?83odZmT!yK%^BQ1_K zAC%yvv$GMo*vR^A?Gx~n^2@|_aLP<5R~Et#>efm|5a1dN)gad}!=oRSt5e)h$1(CtlcbAwWL#Im_m|YT)~@N~scOjoOS=CcG#-WC(8qIrL&Fn=bCy8zPrmfFv4sG?Grz9Z9s;cx_%ptwje-+cU9s8qvRxNHC# z$c9Dg>fg@66T<=d|3jd=AV5~eP-HV!&61ksd`GI+=#mCm^q|ub%TJ6My+E%!R}kq; zo5>@~Q(j{J)|16OneQ?Iol7M-uEVD4YT+QT^}35zC@^fmKnlx|T3CX7Xo&=&0|=CK zcxhr^^PqLfJQdapi&YbPX7i;bAnUMRL4}E?{G9iQApbAd6bh5{WSSIN&}LGua>biwda~@BytPZV1#U+xsR6kdC=#dCA6H42T){uumj!Hsx zx~OJCOfp$6>^8k7K4?IhiRt3FP<97MD(G7p$_&CYa8+sG8>33IVaL}=8^=3`;tUo4+D8iip*S>D z*82}=%C7p&8*eY;U zRbZ?7Rh0mM!_i8KBqZk;*^9})=Z~y^i z?Z=SeYo%ULArwl;w6S8$ssvf9pwQgiAi>v+ zp)>)gQK50pa)}s`7#lUO4ziYahT=~cRCsJ5M*aFt>_kLOg{KU*r{xRG??T`Am2$YX zH;l(cX;t{O$#CPY)u} zGKK^;g~$(;;*_+Hqnjx~;IO4&y2(KJ7>Eghty9q8rA*sa34W*S5%8*UOPph$6k8ho zHfz7R6=AQ8urZs)J$M(7ku@F&*vq29tGI=bYt$9y&z)iXXsZw5!!L}c{BuyW@u1R^ zq8w5ycc4vd z;hto&S$NLp|vl zPc1}x&>Lb1EQJ4c1C6R79SAbvjaL>h^ifrz*Sg4K9igPJAyq^o+LH%Bs2n;0^gl8j ztCt5ws2etou)qjkU~ev;4)Z7L)ba@BQ~Y13~# zldv_YW2Pa(NEA9zd9BbQ)xCkqIF-GjAObJx#(Y5t9ikMXja95V`K7f*$p= zq-GZF0O7L&FBv{7G;~OVzKhEW>DFx0$PG<^I4+Q0(N0!o(je^aoNaJ0 zaAm%fb*TF7>YyAa4L+I+Lr@!MvB{GHWtxX{WDB--Fq970~BS&2ph8{r3RhRkt>fxNrdm+G5a#Y3t0PI00Ts z>BZ<$cOBgIB_X1*;8rTw<*q8kxP~Fk*!Jmf!C@h$-7 z=!d4bg;?@43!y<0(%GKRWrSvy$G<`*QTI!H4EYiwWN}Baw6~0S3MvL$vVavD(S%Ie zK+2zUH^trwRH$f*5LOKJhmuLJZDPYSv3Fc8N^q+dDlZ63M#D<9Jg z`JW>5@Sl*du5jI5NLqlK86`En7RrD|RMf*}{U)3hQIH)0Pd|2B+@d}qHW|o&3noD3Q1|_I9#?=2k`SaoSXDG))M#j1 z7#gjZKlNl9f->K-@IS!ln8@ZE5qw>3IEfGBVB)^rZ*3UF_{@fnVWPUq{pd*_+MEoQsXsH8 zqx;>CIeKEQLTd5u)JO=9VoX0H9H#qXnZ*%tq`fWlDo12fSii z`460Kw^HdObVQlD(M%8Y-4T+cFnyUsCfp53-NmF`h2A7Y0X)B~oI!u8QnS zM^1>G)Cp6W@Ua!?_N|od-7N*sEgvWhZmp_SRSO8hGZ-Fm)t02Epf(GjQoIP2{X?tw|KM+Mh(*3JfSk9x3=RrG&abj*2u@%%cG*pNGw(&|XU+zYx`J zDA262qQpx9x2+H!2?)s@Gxtat@@tHgYg&6TK2{%48(e)~@3~CcCld*Amt@*u**Nd; z*KffEX%iRw@(nqGKFCXhHihNMJwuu?4Hlz*+Ty;%{K zm`gLS%yrF_QvDD4pH)CUxwH>eeugBS>#qU#fE#0^0 zDr}M%KE}-k`P^U*#nAaGaVWAPKhV%*ItL~O&1Z< z_Y{kJt7BZfI@>LyTad*D{UAeM}f-%*bkV3~D>n<;huLH(?_LL7y09o(HaK^afp zw9blGZmw-jCMY8(vT9kokR#y+A8rhAl)|o%|I_I$W1fgORr!Do8uz7Guo7B|8k$06 zI?cPBL`QHE+7t+(YQhTfu;*F`N|RWaw2b z)5)gF$0H_YY|2Sz={wFQW)l~euWOIRyjNFbQ=BYvJvs~We-;-^UF7-t<>cMZEySNR zg{bk8!a#L?g7Fyuqi8oKQ)Hutu>`wu%!AB+$x(x2;d2O?ewknZ69fY%f%4)N-HY9V z-T9$niQagWrf!d`X(Po(M)$RrbST5v3M?VbQ#JY`lC=R_-PD26Jw>sNBolv?hj0ax zr0SIjN>&}}SI6==V@EeBLSqk+`Y=G-h}&QEdIXOJtan^EQ1EGyM3EEo%4~wfjXf6AvOnI*ddNuD4H96eSXSL%~1IKTQfWDe!Kgz|_{55> zN{QZpe^n=F@-5@jS}3T)v&LIyEIinIus3@6Kt;(Ydz8GQDoG`w3@Sw>i@%rfck=&b zJSizTWlX8y4SAYV3ivzuqJUrK@g%8q;_p>uR7vBTUh!XDcshh931ykmrmPBm{Mg*2 z(hunEz@t-HfPc3u>y#e)_ps--K>2{u2WXp=E~NvoyOhloiw%I@kN@6+e|Ld4?fCy) z`0s8!-=$oFza@cT%JEm^?G{jvQ#T1}XRsn=JSQ{UiGN$a>B0K2)gf!+^xb}}Wy$bn zv2v(5J{9b+_~7E`_>9KcAq4_#!T&NqB%>|<%DNZdd9>Jjf$^Sjs^#9+f3)sZFNrA>GK<$4dr{JIFpD-zK z+wwio;LBONeI;o|9VXGsXtt| zPu)1=*gW~3b>mot;a~Gj5$j59#!8GL4zh2HSiiKds&z|;!w5nF>u(n;GYQW(^Z#K= zesk<51tu*8nEl_SM0QPD6yKSM9a%I!6ZU==?ER5k4%V0CefGmjcVN4G_bIf#yA^*M zQ*V(%5F2ELZuUxI=bC*3QohhZOALtZdMD6s0m?5n!?K?`8WK;2lxtWiZTBUb_Wzx! zT$)%nDIkXe%>Jj8NGh^P&WP{UQCh}lvrW(=i^pfd@?S|T|J9Sr@&~ccr_OH0&Y#@2 zKM3_EkM$3NZL|LG-Kwpc^KMdrP=MM0$Cb$AksmfG^3J9}%lK*9v5JevXQOiG%7a%% zkDS@~UPC`J7gg*t@Z%YF%c95d%ao;cN4~YfiCtj!7i1i>s1=7WcMUevU7PjTy(!UM z9umKkim43U)ltP^4eJq%;J;|v|97^;H?eP0pfL(i`>*`7f`9I9Y@~_&b<6na%+eiQ zJAN8s?Wu>RMz0%f+|t=Cn>}aB3yw*l?ilvp$rt=^AvsSp0uP zi5!`1n7xbeIeg9d>F{FCJalICNY})8F)7%oDl7v#Le)j>7V&0`k^J&deVJew#1@?z zk0uy);rcb^6>{?oYB?}w9izGb|1Q!p&8apiV50!D|3xKIw7qIRkWYalr;X2nrSBw` zzI|eA1APel_|(qNV80=trZ8=*-AVktZVGj(jv2@}KBljJ<_CLQr z5MQLd={#^e_U3JyHXJ#&`-Rt^D;`-hua&)tp4jr8;qtdO^nK}^A zW50d;z;9v$@pH5{11A>VbW?Zx-oN9G@uhtyyW?}UH?tmT{ppU!*Ijp_W%Z%X3tmpIiN9BS^Si%Y zcJTV0J-@mCQ-Ahg`SI)(bK>vO-rW7SkE*F3ZMf~*&%XWrfw@ma&xxOq_yx14)yX?Of|?ak>o9R1=Kf7N^EXaD#& zkw|s=f;sWiv^Sr4diz@^KAK!}!&UdR?>O(Z2iL@BYj5WN`3Dd0exUoZW33-wJkVA6 zX?J{<_U7iU2dDnm!|VS3Gh1&yvH!8cE#2{%+MBblyYb|;#g23Dpa0eG#sB!%8|KGn zXm6hXLi~;HH#*nc{;Bt7rWJnii*w@BwKp5y{PWiyKHm4ri64LRBlo@ZuXhZ^r)h7V zo&NlD@mn{(dDrXzr|;e`eea)F##^*EEuVkiS!?gv_`9x2 z#ADj1)1LbAC!aai{mh}Q&s82jYiQ@xcvO4y{GId8I&WvkH@S`K&2--u_tM z%)9>QOLvUi^A|_g#FuGrZan#q_ikIe z>(+sR-?q+=FV)_B>tDuRyy`n0m)`rG$JMRhzW!&!@k_Ngqks5nWc>X0=Wg1vd;QEu z&*+#FU!uMFudq1~p>E+*Dy!)l$_=Vb=Z&ttem4$=r zSKYhq$|aBdI`_W@;_uhq9PfXrel)5loLf5rk49!k zzNEa4feu8vqqjz$iXDpWjJ*)OI=U?Kr-WhN8@nW8CFF<5Lo2_mBoD1i+eRK*DR(j8 z&9xG3G5OL;uMd!iR#IIQ=~g~wrP77uODloiPaaxbmIQfd^;Q;v2p3x&l~(el)kRrI z9$LMV1@xiCF}Wb}lyb4vCz(&aw7MhblZRGMVKR=9$H+QqoP23@INnDdT78YP$wRA~F*i0v`IyzicrPAaY;`W)Lw;`cE6yShtuDoxMzWU9a836U41dxEErd-|p#RS$ zT6ddNS1)>+y7q>#bYu2vdJw2j0|A$i)-pTn-bF5L1Ov8$*jyb|OSwVGEzEj~nQRJO zZc;2_!Ekr==P!N94}hZokQMVZ$1 zCpTKn=L&oMdplWrBe_tRkK^5Umf%}Xq)f0A()y+)iv@IWsSZ}wR||ur(Ykx@B*_1V z(4T(6<+kP|LA%zA?pSD991v(@0L9XEsOng( zqG0L`mN-Px2|nzZ3he=&tz>fO3`aWTh7DD8Trxr3m?_hSRvo#~GFK`7t>c2qiRimz zf|<+|`qfN6UmVO-L_=DCpkBIdh2Wl4t}vYUY8%wn3i)4RY8~XF*0===X4)y-1VN-$ z#k@>(j!IEw;$cpLHI8Z@9xkcFjJTdXjy07`p(Y3#PN)Z6Y%z;;rZlWph$Qg=1N21m zTHQ9GZ_^>QgeoN%DaiN<0!|7cy0U7ak{il})6`55#of|1W&>b!a^=1fda|im-bp%N zyhbgpZ555W2*Hvg>fqioy47k_tFq-@PD*egj+J7v_L6(H*jt(ukRxK5m zWJgQ8vv2~+#cF9#6*1H0qw44$wM2g-TtICg|Mtcv%8m{t2&9A=Qo=A;0uY=y!bD$! yy*nX?GNUXl=&+m`ty6*dTpXa{r%MN$g7H10ItBru$ z;SOt2eS9gJaH20cgc=a!X9y>n9m5k0^flpTK@@TbF$0S@GyXn10GU*0`Bn(JEF69SaWzP zgCqTMk!~m5l8$@$YozJ`8C^ErUH}kvho7GdciDog{R2)Cw+;qZ9`d0QE(Dy!TSWdr zx(*y3d^X@%Yoy0!nHRFG*ye0vp@jklh1`Dx*UBr{1C!MH-GH!-en?a0q&?ZlA7rE%5WNyd-` z3AG>~33`FAA`oi|yX7!*H-;&4+wR{u<>|(9t*nMF>$?I;9*t3}KTEmE} z$_-!&s_~&S?%5Ag7;5ZX;z$j8^l=K~8^fa{<@?n<`PG8P7Z_|ErOV3A5j9nNka2Id zJ!Q=zU~#pl?{k0H*=It1jCYA6yOZAyr*1cXGP?nl48cxw|6YBoh84eRNPh`$`eUpW zP!y>GR|cGnccv0Fz~YBx0rUh|mJ6uh;l7KpEL^}_gv2vpPl@bm{qb2I8vbx$?plrD9a*U#)HytT{`28qE0V&?RP#2dXfqG(@Ml!@Db zwLyM3`#!I6mAhfSMW~8Fn_Qn4tXlPT@JGt%Mza^iym2lhP+v)5X`r`H#mt%{eb8@c z+3>gkMP;f!t#cM;BvvQ9&=>J%{(jG9vJSveIGQdehmUGnK86uHyM3i$+r{u8n}vat zat~RH(9CUx`!zp_3XSL0&%^{v%(7Yc(LKa_Srx}Q1M~HB#3>F!^6KT)3waG6cTrJy zIK2hnXK6pv?U{vh)fI+9rY(l$c462hD-q2LXc@oZ%Pyaw>!GTy!L{9W)#)S&^|%Zz zM*Q;$zq{T1>MNrqs`IC0T&bNuFi9aLNLP!OAbc&wZU59<(`qCJ+#V_Uu&`{xvqQV% zR=}6c2MgtkjlJR@ju|$p6%4(qSWJ3QZUXH-I+}UhfnTKF-k`XCz}b}%>mAS#xs(x} zjMJ4Td!E2L2Pl>Z&enN4L-qUW;!$Dw1vWb}`Sf!KW<9U>b9>n2i36BzH+C>Tbx+J<~k`#S~k9?{jbEGODHBcJqh!BT*Vu8rQ&dGco$vM`S5Jl98Qd<{=(THT3OX4Re6@vkcc`-ZTz`Z8 zxe1TN0MzFVOp@XZdEfe*rG|SWq+UDG?CafHvr%>~%lsze9}aQftqkaIJ^SiTb$189 zl*O``0O&5!STRHR#$)=veT-@~bf1=G;Wbiq~i0NdoVI=JF}2 z=!XxPk1h&z8EIG4zdvNNCiWBSAG4Aodp0vnx%g3$QdqH+qe>lrj-`ZDyo}U7he>UA zgTD2LOwpqhF;Z^YHP4)bJAA1Jb7y6TkK{rFukwO8q!%pkJm(6hnXtPbgL~^p8CxMI zC`hUgjQcAPR`E|kxG845xN0sfPh^={B5|T6Cdv0Kx}IuI$~a27w$r>$`alk4>=@rf zCNKg6_P@7!$}LgSmKOB5pZHxO$cY%x9$=hS;07&FppbbSIAv0l~gW0KwHhBwWDvTmttwaSh>tiZbJC2guMR}c#F*$bYQp@ zv0tRVsF*T-OG@u6Xh6ZLw8w9+O7UloBN2#binKHkd5!Pa44nNXl{M+_F5DzZB)l?X3+7U@Exi-+cg7jy z-k!gqt;wDj8BwoPyFD-Ash?k~VvI8hI-cB@Nrgj{igLQv2Q(k9{n#LNF5i4H*=c|C zMTI2;vPxd8i!|yB;QJYFI3z5lXTpnn-sVGML@tLeex6!i#_4Q7E*n_Dn8AG;j%+-CyT(z3;Jx&IP{ayK&_C&q@ zc16DvE1#&ZRVQk3dU8P_h;W_wzs^geQa#1$}!9%ykYt`-fQ!Q)sbLpscC&l|kFbR2=`UBP^X z=E>9+D`k!3y>l5DO7Wr@yEXrim6#Xe+-uOx8GuX(T#DXfNn^KkAYCrLetPA`OSl?U zcgmpBrRpmr$28uAw-}64L%hjP+< zZ8eP5Up=exyT$0Di7WIsD5MS&v$suEPv@P9x=>Mae6)~;4iB??hOVJT9UFG{GQ_r-i~z|Lglr0;agAQ4lYB;;XzL(xU@ zWcfH)mADGjfe{U=WytjO>fzc*bm`Hb0`T&tXxwz3wO+78i`Ye7agMe070w}ti;F?x zRfm=wIM%^CVlc9?F0R87M%QCxV|VYU0I4X|r-9_f8e>4UQRLOMpQoDXCi&9R#F3<| tRhqz~?JCJLUkb;EpnPj98Zn@~5eMkP=A(OHJm18x-NypJ>4$1f{|1L;@-zSd literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/examples/main.c b/nco_dpi/codegen/dll/nco_model/examples/main.c new file mode 100644 index 0000000..adb20c4 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/examples/main.c @@ -0,0 +1,95 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: main.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/*************************************************************************/ +/* This automatically generated example C main file shows how to call */ +/* entry-point functions that MATLAB Coder generated. You must customize */ +/* this file for your application. Do not modify this file directly. */ +/* Instead, make a copy of this file, modify it, and integrate it into */ +/* your development environment. */ +/* */ +/* This file initializes entry-point function arguments to a default */ +/* size and value before calling the entry-point functions. It does */ +/* not store or use any values returned from the entry-point functions. */ +/* If necessary, it does pre-allocate memory for returned values. */ +/* You can use this file as a starting point for a main function that */ +/* you can deploy in your application. */ +/* */ +/* After you copy the file, and before you deploy it, you must make the */ +/* following changes: */ +/* * For variable-size function arguments, change the example sizes to */ +/* the sizes that your application requires. */ +/* * Change the example values of function arguments to the values that */ +/* your application requires. */ +/* * If the entry-point functions return values, store these values or */ +/* otherwise use them as required by your application. */ +/* */ +/*************************************************************************/ + +/* Include Files */ +#include "main.h" +#include "nco.h" +#include "rt_nonfinite.h" + +/* Function Declarations */ +static double argInit_real_T(void); + +/* Function Definitions */ +/* + * Arguments : void + * Return Type : double + */ +static double argInit_real_T(void) +{ + return 0.0; +} + +/* + * Arguments : int argc + * char **argv + * Return Type : int + */ +int main(int argc, char **argv) +{ + (void)argc; + (void)argv; + /* Initialize the application. +You do not need to do this more than one time. */ + nco_initialize(); + /* Invoke the entry-point functions. +You can call entry-point functions multiple times. */ + main_nco(); + /* Terminate the application. +You do not need to do this more than one time. */ + nco_terminate(); + return 0; +} + +/* + * Arguments : void + * Return Type : void + */ +void main_nco(void) +{ + double acc_o; + double fcw_tmp; + double my_c; + double my_s; + /* Initialize function 'nco' input arguments. */ + fcw_tmp = argInit_real_T(); + /* Call the entry-point 'nco'. */ + nco(fcw_tmp, fcw_tmp, fcw_tmp, fcw_tmp, &my_c, &my_s, &acc_o); +} + +/* + * File trailer for main.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/examples/main.h b/nco_dpi/codegen/dll/nco_model/examples/main.h new file mode 100644 index 0000000..912b561 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/examples/main.h @@ -0,0 +1,62 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: main.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/*************************************************************************/ +/* This automatically generated example C main file shows how to call */ +/* entry-point functions that MATLAB Coder generated. You must customize */ +/* this file for your application. Do not modify this file directly. */ +/* Instead, make a copy of this file, modify it, and integrate it into */ +/* your development environment. */ +/* */ +/* This file initializes entry-point function arguments to a default */ +/* size and value before calling the entry-point functions. It does */ +/* not store or use any values returned from the entry-point functions. */ +/* If necessary, it does pre-allocate memory for returned values. */ +/* You can use this file as a starting point for a main function that */ +/* you can deploy in your application. */ +/* */ +/* After you copy the file, and before you deploy it, you must make the */ +/* following changes: */ +/* * For variable-size function arguments, change the example sizes to */ +/* the sizes that your application requires. */ +/* * Change the example values of function arguments to the values that */ +/* your application requires. */ +/* * If the entry-point functions return values, store these values or */ +/* otherwise use them as required by your application. */ +/* */ +/*************************************************************************/ + +#ifndef MAIN_H +#define MAIN_H + +/* Include Files */ +#include "rtwtypes.h" +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +extern int main(int argc, char **argv); + +extern void main_nco(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* + * File trailer for main.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.c b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.c new file mode 100644 index 0000000..48702b9 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.c @@ -0,0 +1,211 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_api.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* Include Files */ +#include "_coder_nco_api.h" +#include "_coder_nco_mex.h" + +/* Variable Definitions */ +emlrtCTX emlrtRootTLSGlobal = NULL; + +emlrtContext emlrtContextGlobal = { + true, /* bFirstTime */ + false, /* bInitialized */ + 131643U, /* fVersionInfo */ + NULL, /* fErrorFunction */ + "nco", /* fFunctionName */ + NULL, /* fRTCallStack */ + false, /* bDebugMode */ + {2045744189U, 2170104910U, 2743257031U, 4284093946U}, /* fSigWrd */ + NULL /* fSigMem */ +}; + +/* Function Declarations */ +static real_T b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u, + const emlrtMsgIdentifier *parentId); + +static real_T c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src, + const emlrtMsgIdentifier *msgId); + +static void emlrtExitTimeCleanupDtorFcn(const void *r); + +static real_T emlrt_marshallIn(const emlrtStack *sp, const mxArray *nullptr, + const char_T *identifier); + +static const mxArray *emlrt_marshallOut(const real_T u); + +/* Function Definitions */ +/* + * Arguments : const emlrtStack *sp + * const mxArray *u + * const emlrtMsgIdentifier *parentId + * Return Type : real_T + */ +static real_T b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u, + const emlrtMsgIdentifier *parentId) +{ + real_T y; + y = c_emlrt_marshallIn(sp, emlrtAlias(u), parentId); + emlrtDestroyArray(&u); + return y; +} + +/* + * Arguments : const emlrtStack *sp + * const mxArray *src + * const emlrtMsgIdentifier *msgId + * Return Type : real_T + */ +static real_T c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src, + const emlrtMsgIdentifier *msgId) +{ + static const int32_T dims = 0; + real_T ret; + emlrtCheckBuiltInR2012b((emlrtConstCTX)sp, msgId, src, "double", false, 0U, + (const void *)&dims); + ret = *(real_T *)emlrtMxGetData(src); + emlrtDestroyArray(&src); + return ret; +} + +/* + * Arguments : const void *r + * Return Type : void + */ +static void emlrtExitTimeCleanupDtorFcn(const void *r) +{ + emlrtExitTimeCleanup(&emlrtContextGlobal); +} + +/* + * Arguments : const emlrtStack *sp + * const mxArray *nullptr + * const char_T *identifier + * Return Type : real_T + */ +static real_T emlrt_marshallIn(const emlrtStack *sp, const mxArray *nullptr, + const char_T *identifier) +{ + emlrtMsgIdentifier thisId; + real_T y; + thisId.fIdentifier = (const char_T *)identifier; + thisId.fParent = NULL; + thisId.bParentIsCell = false; + y = b_emlrt_marshallIn(sp, emlrtAlias(nullptr), &thisId); + emlrtDestroyArray(&nullptr); + return y; +} + +/* + * Arguments : const real_T u + * Return Type : const mxArray * + */ +static const mxArray *emlrt_marshallOut(const real_T u) +{ + const mxArray *m; + const mxArray *y; + y = NULL; + m = emlrtCreateDoubleScalar(u); + emlrtAssign(&y, m); + return y; +} + +/* + * Arguments : const mxArray * const prhs[4] + * int32_T nlhs + * const mxArray *plhs[3] + * Return Type : void + */ +void nco_api(const mxArray *const prhs[4], int32_T nlhs, const mxArray *plhs[3]) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + real_T acc; + real_T acc_o; + real_T clr; + real_T fcw; + real_T my_c; + real_T my_s; + real_T ptw; + st.tls = emlrtRootTLSGlobal; + /* Marshall function inputs */ + fcw = emlrt_marshallIn(&st, emlrtAliasP(prhs[0]), "fcw"); + ptw = emlrt_marshallIn(&st, emlrtAliasP(prhs[1]), "ptw"); + clr = emlrt_marshallIn(&st, emlrtAliasP(prhs[2]), "clr"); + acc = emlrt_marshallIn(&st, emlrtAliasP(prhs[3]), "acc"); + /* Invoke the target function */ + nco(fcw, ptw, clr, acc, &my_c, &my_s, &acc_o); + /* Marshall function outputs */ + plhs[0] = emlrt_marshallOut(my_c); + if (nlhs > 1) { + plhs[1] = emlrt_marshallOut(my_s); + } + if (nlhs > 2) { + plhs[2] = emlrt_marshallOut(acc_o); + } +} + +/* + * Arguments : void + * Return Type : void + */ +void nco_atexit(void) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + mexFunctionCreateRootTLS(); + st.tls = emlrtRootTLSGlobal; + emlrtPushHeapReferenceStackR2021a( + &st, false, NULL, (void *)&emlrtExitTimeCleanupDtorFcn, NULL, NULL, NULL); + emlrtEnterRtStackR2012b(&st); + emlrtDestroyRootTLS(&emlrtRootTLSGlobal); + nco_xil_terminate(); + nco_xil_shutdown(); + emlrtExitTimeCleanup(&emlrtContextGlobal); +} + +/* + * Arguments : void + * Return Type : void + */ +void nco_initialize(void) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + mexFunctionCreateRootTLS(); + st.tls = emlrtRootTLSGlobal; + emlrtClearAllocCountR2012b(&st, false, 0U, NULL); + emlrtEnterRtStackR2012b(&st); + emlrtFirstTimeR2012b(emlrtRootTLSGlobal); +} + +/* + * Arguments : void + * Return Type : void + */ +void nco_terminate(void) +{ + emlrtDestroyRootTLS(&emlrtRootTLSGlobal); +} + +/* + * File trailer for _coder_nco_api.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.h b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.h new file mode 100644 index 0000000..8397f60 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_api.h @@ -0,0 +1,54 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_api.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef _CODER_NCO_API_H +#define _CODER_NCO_API_H + +/* Include Files */ +#include "emlrt.h" +#include "mex.h" +#include "tmwtypes.h" +#include + +/* Variable Declarations */ +extern emlrtCTX emlrtRootTLSGlobal; +extern emlrtContext emlrtContextGlobal; + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +void nco(real_T fcw, real_T ptw, real_T clr, real_T acc, real_T *my_c, + real_T *my_s, real_T *acc_o); + +void nco_api(const mxArray *const prhs[4], int32_T nlhs, + const mxArray *plhs[3]); + +void nco_atexit(void); + +void nco_initialize(void); + +void nco_terminate(void); + +void nco_xil_shutdown(void); + +void nco_xil_terminate(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* + * File trailer for _coder_nco_api.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.c b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.c new file mode 100644 index 0000000..04a6d30 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.c @@ -0,0 +1,89 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_info.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* Include Files */ +#include "_coder_nco_info.h" +#include "emlrt.h" +#include "tmwtypes.h" + +/* Function Declarations */ +static const mxArray *c_emlrtMexFcnResolvedFunctionsI(void); + +/* Function Definitions */ +/* + * Arguments : void + * Return Type : const mxArray * + */ +static const mxArray *c_emlrtMexFcnResolvedFunctionsI(void) +{ + static const int32_T iv[2] = {0, 1}; + const mxArray *m; + const mxArray *nameCaptureInfo; + nameCaptureInfo = NULL; + m = emlrtCreateNumericArray(2, (const void *)&iv[0], mxDOUBLE_CLASS, mxREAL); + emlrtAssign(&nameCaptureInfo, m); + return nameCaptureInfo; +} + +/* + * Arguments : void + * Return Type : mxArray * + */ +mxArray *emlrtMexFcnProperties(void) +{ + mxArray *xEntryPoints; + mxArray *xInputs; + mxArray *xResult; + const char_T *propFieldName[9] = {"Version", + "ResolvedFunctions", + "Checksum", + "EntryPoints", + "CoverageInfo", + "IsPolymorphic", + "PropertyList", + "UUID", + "ClassEntryPointIsHandle"}; + const char_T *epFieldName[8] = { + "Name", "NumberOfInputs", "NumberOfOutputs", "ConstantInputs", + "FullPath", "TimeStamp", "Constructor", "Visible"}; + xEntryPoints = + emlrtCreateStructMatrix(1, 1, 8, (const char_T **)&epFieldName[0]); + xInputs = emlrtCreateLogicalMatrix(1, 4); + emlrtSetField(xEntryPoints, 0, "Name", emlrtMxCreateString("nco")); + emlrtSetField(xEntryPoints, 0, "NumberOfInputs", + emlrtMxCreateDoubleScalar(4.0)); + emlrtSetField(xEntryPoints, 0, "NumberOfOutputs", + emlrtMxCreateDoubleScalar(3.0)); + emlrtSetField(xEntryPoints, 0, "ConstantInputs", xInputs); + emlrtSetField(xEntryPoints, 0, "FullPath", + emlrtMxCreateString("C:\\Users\\Administrator\\Desktop\\gc_" + "awg\\demod_algorithm\\daq_matlab\\nco.m")); + emlrtSetField(xEntryPoints, 0, "TimeStamp", + emlrtMxCreateDoubleScalar(739716.09597222228)); + emlrtSetField(xEntryPoints, 0, "Constructor", + emlrtMxCreateLogicalScalar(false)); + emlrtSetField(xEntryPoints, 0, "Visible", emlrtMxCreateLogicalScalar(true)); + xResult = + emlrtCreateStructMatrix(1, 1, 9, (const char_T **)&propFieldName[0]); + emlrtSetField(xResult, 0, "Version", + emlrtMxCreateString("24.1.0.2537033 (R2024a)")); + emlrtSetField(xResult, 0, "ResolvedFunctions", + (mxArray *)c_emlrtMexFcnResolvedFunctionsI()); + emlrtSetField(xResult, 0, "Checksum", + emlrtMxCreateString("UZNV6GSDjNHqUjgyPa3PcG")); + emlrtSetField(xResult, 0, "EntryPoints", xEntryPoints); + return xResult; +} + +/* + * File trailer for _coder_nco_info.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.h b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.h new file mode 100644 index 0000000..8936e94 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_info.h @@ -0,0 +1,33 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_info.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef _CODER_NCO_INFO_H +#define _CODER_NCO_INFO_H + +/* Include Files */ +#include "mex.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +MEXFUNCTION_LINKAGE mxArray *emlrtMexFcnProperties(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* + * File trailer for _coder_nco_info.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.c b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.c new file mode 100644 index 0000000..1cd8d98 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.c @@ -0,0 +1,93 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_mex.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* Include Files */ +#include "_coder_nco_mex.h" +#include "_coder_nco_api.h" + +/* Function Definitions */ +/* + * Arguments : int32_T nlhs + * mxArray *plhs[] + * int32_T nrhs + * const mxArray *prhs[] + * Return Type : void + */ +void mexFunction(int32_T nlhs, mxArray *plhs[], int32_T nrhs, + const mxArray *prhs[]) +{ + mexAtExit(&nco_atexit); + /* Module initialization. */ + nco_initialize(); + /* Dispatch the entry-point. */ + unsafe_nco_mexFunction(nlhs, plhs, nrhs, prhs); + /* Module termination. */ + nco_terminate(); +} + +/* + * Arguments : void + * Return Type : emlrtCTX + */ +emlrtCTX mexFunctionCreateRootTLS(void) +{ + emlrtCreateRootTLSR2022a(&emlrtRootTLSGlobal, &emlrtContextGlobal, NULL, 1, + NULL, "GBK", true); + return emlrtRootTLSGlobal; +} + +/* + * Arguments : int32_T nlhs + * mxArray *plhs[3] + * int32_T nrhs + * const mxArray *prhs[4] + * Return Type : void + */ +void unsafe_nco_mexFunction(int32_T nlhs, mxArray *plhs[3], int32_T nrhs, + const mxArray *prhs[4]) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + const mxArray *b_prhs[4]; + const mxArray *outputs[3]; + int32_T i; + st.tls = emlrtRootTLSGlobal; + /* Check for proper number of arguments. */ + if (nrhs != 4) { + emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:WrongNumberOfInputs", 5, 12, 4, 4, + 3, "nco"); + } + if (nlhs > 3) { + emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:TooManyOutputArguments", 3, 4, 3, + "nco"); + } + /* Call the function. */ + b_prhs[0] = prhs[0]; + b_prhs[1] = prhs[1]; + b_prhs[2] = prhs[2]; + b_prhs[3] = prhs[3]; + nco_api(b_prhs, nlhs, outputs); + /* Copy over outputs to the caller. */ + if (nlhs < 1) { + i = 1; + } else { + i = nlhs; + } + emlrtReturnArrays(i, &plhs[0], &outputs[0]); +} + +/* + * File trailer for _coder_nco_mex.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.h b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.h new file mode 100644 index 0000000..3776733 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/interface/_coder_nco_mex.h @@ -0,0 +1,41 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: _coder_nco_mex.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef _CODER_NCO_MEX_H +#define _CODER_NCO_MEX_H + +/* Include Files */ +#include "emlrt.h" +#include "mex.h" +#include "tmwtypes.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +MEXFUNCTION_LINKAGE void mexFunction(int32_T nlhs, mxArray *plhs[], + int32_T nrhs, const mxArray *prhs[]); + +emlrtCTX mexFunctionCreateRootTLS(void); + +void unsafe_nco_mexFunction(int32_T nlhs, mxArray *plhs[3], int32_T nrhs, + const mxArray *prhs[4]); + +#ifdef __cplusplus +} +#endif + +#endif +/* + * File trailer for _coder_nco_mex.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/nco.c b/nco_dpi/codegen/dll/nco_model/nco.c new file mode 100644 index 0000000..20fcc5d --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco.c @@ -0,0 +1,309 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: nco.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* Include Files */ +#include "nco.h" +#include "rt_nonfinite.h" +#include "rt_nonfinite.h" +#include + +/* Function Declarations */ +static double rt_roundd_snf(double u); + +/* Function Definitions */ +/* + * Arguments : double u + * Return Type : double + */ +static double rt_roundd_snf(double u) +{ + double y; + if (fabs(u) < 4.503599627370496E+15) { + if (u >= 0.5) { + y = floor(u + 0.5); + } else if (u > -0.5) { + y = u * 0.0; + } else { + y = ceil(u - 0.5); + } + } else { + y = u; + } + return y; +} + +/* + * Arguments : double fcw + * double ptw + * double clr + * double acc + * double *my_c + * double *my_s + * double *acc_o + * Return Type : void + */ +void nco(double fcw, double ptw, double clr, double acc, double *my_c, + double *my_s, double *acc_o) +{ + static const int res_cos[96] = { + 39, 39, 39, 39, 39, 39, 39, 39, 39, + 38, 38, 38, 38, 37, 37, 37, 36, 36, + 35, 35, 35, 34, 34, 33, 33, 32, 31, + 31, 30, 30, 29, 28, 0, 79, 158, 237, + 315, 394, 472, 550, 628, 705, 782, 858, 934, + 1009, 1084, 1158, 1231, 1304, 1376, 1446, 1517, 1586, + 1654, 1721, 1787, 1852, 1916, 1979, 2041, 2101, 2161, + 2218, 262143, 262065, 261828, 261434, 260882, 260173, 259307, 258285, + 257107, 255774, 254288, 252648, 250856, 248913, 246820, 244578, 242189, + 239655, 236975, 234153, 231190, 228088, 224848, 221473, 217965, 214325, + 210556, 206660, 202640, 198498, 194236, 189857}; + static const int res_sin[96] = { + 0, 1, 2, 3, 4, 5, 6, 7, 8, + 9, 10, 11, 12, 13, 14, 15, 16, 16, + 17, 18, 19, 20, 21, 22, 22, 23, 24, + 25, 25, 26, 27, 28, 3217, 3216, 3213, 3208, + 3202, 3193, 3182, 3170, 3155, 3139, 3121, 3101, 3079, + 3055, 3029, 3002, 2972, 2941, 2908, 2874, 2837, 2799, + 2759, 2718, 2675, 2630, 2584, 2536, 2487, 2436, 2384, + 2330, 0, 6433, 12863, 19284, 25695, 32089, 38464, 44817, + 51142, 57436, 63696, 69917, 76096, 82230, 88314, 94344, 100318, + 106232, 112081, 117863, 123574, 129210, 134769, 140246, 145639, 150945, + 156159, 161279, 166302, 171225, 176045, 180759}; + double cn; + double longp; + double pha; + double pha_n; + double r; + double x; + double y; + int acc_o_tmp; + /* function + * [my_c,my_s,acc_o,pha,qua,longp,longn,segp,segn,pha_p,pha_n,cp,sp,cn,sn]=nco(fcw,ptw,clr,acc) + */ + x = acc + fcw; + if (rtIsNaN(x) || rtIsInf(x)) { + pha = rtNaN; + } else if (x == 0.0) { + pha = 0.0; + } else { + pha = fmod(x, 2.81474976710656E+14); + if (pha == 0.0) { + pha = 0.0; + } else if (x < 0.0) { + pha += 2.81474976710656E+14; + } + } + acc_o_tmp = (clr == 0.0); + *acc_o = (double)acc_o_tmp * pha; + /* res = coef(); */ + /* pha = round(acc_o/2^29) + ptw*2^3; */ + /* qua = floor(mod(pha/2^16,8)); */ + /* seg = floor(mod((pha/2^11),32)); */ + /* segp = seg + 1; */ + /* segn = 32 - seg; */ + /* pha = mod(pha,2^11); */ + /* */ + /* c2_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",... + */ + /* "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"]; + */ + /* c1_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",... + */ + /* "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"]; + */ + /* */ + pha = floor((double)acc_o_tmp * acc / 5.36870912E+8) + ptw * 8.0; + x = pha / 65536.0; + if (rtIsNaN(x) || rtIsInf(x)) { + r = rtNaN; + } else if (x == 0.0) { + r = 0.0; + } else { + r = fmod(x, 8.0); + if (r == 0.0) { + r = 0.0; + } else if (x < 0.0) { + r += 8.0; + } + } + if (rtIsNaN(pha) || rtIsInf(pha)) { + longp = rtNaN; + } else if (pha == 0.0) { + longp = 0.0; + } else { + longp = fmod(pha, 65536.0); + if (longp == 0.0) { + longp = 0.0; + } else if (pha < 0.0) { + longp += 65536.0; + } + } + /* 低16位 */ + x = longp / 2048.0; + if (rtIsNaN(x)) { + pha = rtNaN; + } else if (x == 0.0) { + pha = 0.0; + } else { + pha = fmod(x, 32.0); + if (pha == 0.0) { + pha = 0.0; + } else if (x < 0.0) { + pha += 32.0; + } + } + y = floor(pha); + x = (65535.0 - longp) / 2048.0; + if (rtIsNaN(x)) { + pha = rtNaN; + } else if (x == 0.0) { + pha = 0.0; + } else { + pha = fmod(x, 32.0); + if (pha == 0.0) { + pha = 0.0; + } else if (x < 0.0) { + pha += 32.0; + } + } + x = floor(pha); + if (rtIsNaN(longp)) { + pha = rtNaN; + pha_n = rtNaN; + } else { + if (longp == 0.0) { + pha = 0.0; + } else { + pha = fmod(longp, 2048.0); + if (pha == 0.0) { + pha = 0.0; + } else if (longp < 0.0) { + pha += 2048.0; + } + } + if (65535.0 - longp == 0.0) { + pha_n = 0.0; + } else { + pha_n = fmod(65535.0 - longp, 2048.0); + if (pha_n == 0.0) { + pha_n = 0.0; + } else if (65535.0 - longp < 0.0) { + pha_n += 2048.0; + } + } + } + /* cp = round((round((round(res_cos(segp,1)*pha_p/2^11) + + * res_cos(segp,2))*pha_p/2^10) + res_cos(segp,3))/2^3); */ + /* sp = round((round((round(res_sin(segp,1)*pha_p/2^11) + + * res_sin(segp,2))*pha_p/2^10) + res_sin(segp,3))/2^3); */ + /* cn = round((round((round(res_cos(segn,1)*pha_n/2^11) + + * res_cos(segn,2))*pha_n/2^10) + res_cos(segn,3))/2^3); */ + /* sn = round((round((round(res_sin(segn,1)*pha_n/2^11) + + * res_sin(segn,2))*pha_n/2^10) + res_sin(segn,3))/2^3); */ + longp = rt_roundd_snf( + ((double)res_cos[(int)(y + 1.0) + 63] - + rt_roundd_snf( + (rt_roundd_snf((double)res_cos[(int)(y + 1.0) - 1] * pha / 2048.0) + + (double)res_cos[(int)(y + 1.0) + 31]) * + pha / 1024.0)) / + 8.0); + cn = rt_roundd_snf( + ((double)res_cos[(int)(x + 1.0) + 63] - + rt_roundd_snf((rt_roundd_snf((double)res_cos[(int)(x + 1.0) - 1] * + pha_n / 2048.0) + + (double)res_cos[(int)(x + 1.0) + 31]) * + pha_n / 1024.0)) / + 8.0); + *my_s = rt_roundd_snf( + ((double)res_sin[(int)(y + 1.0) + 63] + + rt_roundd_snf( + ((double)res_sin[(int)(y + 1.0) + 31] - + rt_roundd_snf((double)res_sin[(int)(y + 1.0) - 1] * pha / 2048.0)) * + pha / 1024.0)) / + 8.0); + pha = rt_roundd_snf( + ((double)res_sin[(int)(x + 1.0) + 63] + + rt_roundd_snf(((double)res_sin[(int)(x + 1.0) + 31] - + rt_roundd_snf((double)res_sin[(int)(x + 1.0) - 1] * + pha_n / 2048.0)) * + pha_n / 1024.0)) / + 8.0); + switch ((int)floor(r)) { + case 0: + *my_c = longp; + break; + case 1: + *my_c = pha; + *my_s = cn; + break; + case 2: + *my_c = -*my_s; + *my_s = longp; + break; + case 3: + *my_c = -cn; + *my_s = pha; + break; + case 4: + *my_c = -longp; + *my_s = -*my_s; + break; + case 5: + *my_c = -pha; + *my_s = -cn; + break; + case 6: + *my_c = *my_s; + *my_s = -longp; + break; + case 7: + *my_c = cn; + *my_s = -pha; + break; + default: + *my_c = 0.0; + *my_s = 0.0; + break; + } + if (*my_c >= 32768.0) { + *my_c = 32767.0; + } else if (*my_c < -32768.0) { + *my_c = -32768.0; + } + if (*my_s >= 32768.0) { + *my_s = 32767.0; + } else if (*my_s < -32768.0) { + *my_s = -32768.0; + } + /* result.pha = round(acc/2^29 + ptw*2^3); */ +} + +/* + * Arguments : void + * Return Type : void + */ +void nco_initialize(void) +{ + rt_InitInfAndNaN(); +} + +/* + * Arguments : void + * Return Type : void + */ +void nco_terminate(void) +{ +} + +/* + * File trailer for nco.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/nco.def b/nco_dpi/codegen/dll/nco_model/nco.def new file mode 100644 index 0000000..8a7d51d --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco.def @@ -0,0 +1,4 @@ +EXPORTS +nco +nco_initialize +nco_terminate diff --git a/nco_dpi/codegen/dll/nco_model/nco.h b/nco_dpi/codegen/dll/nco_model/nco.h new file mode 100644 index 0000000..02912b9 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco.h @@ -0,0 +1,40 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: nco.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef NCO_H +#define NCO_H + +/* Include Files */ +#include "rtwtypes.h" +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +extern void nco(double fcw, double ptw, double clr, double acc, double *my_c, + double *my_s, double *acc_o); + +extern void nco_initialize(void); + +extern void nco_terminate(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* + * File trailer for nco.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/nco.o b/nco_dpi/codegen/dll/nco_model/nco.o new file mode 100644 index 0000000000000000000000000000000000000000..54fc004a67ea9ef180f9a28fbae0c654d73bbb2c GIT binary patch literal 9112 zcmd^DeQ;FO6+gR=0-~@9B7$EmiV|8}5d$)okpx&+rUX&Q0BtaYWTUAhfn>=j#u|$i zQeaFw)0UYcL!FMLomQ;Yk0Kp6YW?Uqg{rl*9qpFZaZ{kKjJ0dEroVIFIs4w;-Q`37 z?49|&ci-=xd%o_y=e^y#ql*^=0|C+&pzFzdQkH03*y~rBZWWE9kyIP&eLJ@KSolP! zJeoccDy!kk=UAXQw7ehvk#0ZoQdc*gqUq*P#0+;_o+o`Gw6Z_VqO2$t+XnPTvqzWa z&FLCr<}`;^Bu|7^L3=qwt~4UJ7)vKYb;a}yhsHE(#6639iYKLBe$Kdm&#^u+{1jBy zJc3K@UR+l1iS^#KGuFGYFV*}m!OEo?DCfSo7j5D24JsD4B z#;R638gqmo>ZD*#Go7O`S$gQ(jKPRi~NLlqMkM zXKcrqZ}96Ow!>)KHYA8TjOtS!=XEMFDIF*=DQT)XCgo0ZdUAGf5JWAT7Ir0S_tgH) z$q6O2K$N}1d%>H7x4@hKHk#O}Iuz52=krFNF{9oqjB85GVO)GA^knfOKjp0^-oi;I zj~6pFGI)8d>t!u#In#LaH68!J#LG_AVJ}qt+S3R-2hFG#FH&D@sYKI6U!xzUNXI-JLf7Yf&W;9qQOr70NRo_fu&8vC|dd{be|p3!o9 z;TJA<$&Hys_`lNd3+J85HYqb9l!>-FoZgBTIsE)B@Q?S4h5x!?HGF)nq2{IXtExHM z*(5-njyfMugEF#GI2i_1tWVe`LQETv^oCbEuOs*3JCcqWg zHq(yY3K!v~8>G8)Y}>Bj97zMm&gyJCuGDyzl3H)FrF~8X(o%-km;6q_*E{lT#*>48 zLG2G7oh(AWd`9}a$~{4%6x(N?3v~4r>SCcnT_PyD+}|qZhsJ92vt{*j$mA*8WJsI} zS3t&(%kiw3gg)akA1+0oF;Ni4eS+W;+!+@G7XjRE0Coe%fiGe=eiJwhToEKn0R6xy zhv>_|L%@5$>>)(=0SAF`*e7d%hk)b2^r1vuz%PN1fZ4-{x`C&G4}lrOi8cT~0R{&h z`Z@6YCk|crUx!A25iBC7f>QTaZi7hZ(!6w#q9 z?3V|qYD$1+RtM-mD+9E3eSqHD5}@qv0R8Uq0NwIRfSx!Kp#9kZZ5S1#H!cg(JF}4I znjj4}02_m}yEjOG+!dss?F-WR&w_K?-NupJW!gNqo0=o{XWV;cu6s{byZ3OF@N(ZH z_bPKw4ZbVS8PU@x&(uSCEhT&^Nek!AyDnU@a7pcyaAjmxWJY-Uw5w-Kn>qcOaK%mW zrf{qw;qq5jR!)HqUTbcFO*c{C_Oif*=L{R(hYiJRtLMu&Up=bqzTmu(LxcMv;f~EV zGl_nRH6eLhlV041jQo{zZjN20!?ePdfwQ+;P!Gj+r}>l@oUBiB?`UTYpYTiW&Q=H8%!V`q5+nQL2#}o06)|R%0M4a8@8pzyFv8~lYPxRuesgz@-fuT8yxP@x@p)pJ z@=fwQo(-be{!9|yw%g^C_uD-nyy{;k`v2gQ_wUbRmV83wKeTxDa}0mf6!CL{@V1{D zeDZ!jpA_Eq^Hra`-_Q4aynmm3EWB-ZgcK&5uM?ib^ZWCl@am7o`Og~hA0cdf;%-8(H_<-cd~ zD*u?ptNcNWSNXqLyvB8w`M53IhZ8Jb<60;@*8zW>iCgmee(Wg0KWg#YW&i)V1V4no z(b4q0sC>8te|-slMG3yA1piP8{!NSDCH@CFDLy}cDEt(QSNSCtuk!1K=REUoSf$|Rv zA2!mSygX8s#q0O{8jIKO?H-HQ?`n#4-qD(9Sc`k2!@b|Cy^i?0hDaiQdx9cO4T%Pd ztnKWih+#Y0U8b?UwKd)bfwuNUJc3`LS9T^E8gCP+_^tKL9SyBQj z*Ps2dZS#~_{G$i0LI(NRGVJ-R(^?`hL~Eo_JYb%@iyc3QS#16z;(xO@)%5K7{{y1} BCVKz? literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/nco_dpi.c b/nco_dpi/codegen/dll/nco_model/nco_dpi.c new file mode 100644 index 0000000..7b62d40 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco_dpi.c @@ -0,0 +1,52 @@ +/* + +*File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.c +*Created: 2025-04-09 02:18:36 +*Generated by MATLAB 24.1 and HDL Verifier 24.1 + +*/ + +#include "nco.h" +#include "nco_dpi.h" +#include + + + + +DPI_DLL_EXPORT void * DPI_nco_initialize(void* existhandle) +{ + + nco_initialize(); + existhandle=NULL; + return NULL; + +} + +DPI_DLL_EXPORT void * DPI_nco_reset(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o) +{ + DPI_nco_terminate(objhandle); + objhandle=NULL; + objhandle=DPI_nco_initialize(NULL); + DPI_nco(objhandle,fcw,ptw,clr,acc,my_c,my_s,acc_o); + DPI_nco_terminate(objhandle); + objhandle=NULL; + return DPI_nco_initialize(NULL); + +} + +DPI_DLL_EXPORT void DPI_nco(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o) +{ + + + nco(fcw,ptw,clr,acc,my_c,my_s,acc_o); + objhandle=NULL; + + +} + +DPI_DLL_EXPORT void DPI_nco_terminate(void* existhandle) +{ + existhandle=NULL; + nco_terminate(); + +} diff --git a/nco_dpi/codegen/dll/nco_model/nco_dpi.h b/nco_dpi/codegen/dll/nco_model/nco_dpi.h new file mode 100644 index 0000000..c0363e0 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco_dpi.h @@ -0,0 +1,34 @@ +/* + +*File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.h +*Created: 2025-04-09 02:18:36 +*Generated by MATLAB 24.1 and HDL Verifier 24.1 + +*/ + +#ifndef RTW_HEADER_nco_dpi_h_ +#define RTW_HEADER_nco_dpi_h_ + +#ifdef __cplusplus +#define DPI_LINK_DECL extern "C" +#else +#define DPI_LINK_DECL +#endif + +#ifndef DPI_DLL_EXPORT +#if defined(_MSC_VER) || defined(__MINGW64__) +#define DPI_DLL_EXPORT __declspec(dllexport) +#else +#define DPI_DLL_EXPORT +#endif +#endif + +DPI_LINK_DECL +DPI_DLL_EXPORT void * DPI_nco_initialize(void* existhandle); +DPI_LINK_DECL +DPI_DLL_EXPORT void * DPI_nco_reset(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o); +DPI_LINK_DECL +DPI_DLL_EXPORT void DPI_nco(void* objhandle,real_T fcw,real_T ptw,real_T clr,real_T acc,real_T * my_c,real_T * my_s,real_T * acc_o); +DPI_LINK_DECL +DPI_DLL_EXPORT void DPI_nco_terminate(void* existhandle); +#endif diff --git a/nco_dpi/codegen/dll/nco_model/nco_dpi.o b/nco_dpi/codegen/dll/nco_model/nco_dpi.o new file mode 100644 index 0000000000000000000000000000000000000000..be84a645ec9420000997b95026e2479a7b998d46 GIT binary patch literal 2264 zcmbuA&r1|x7{}k$vLBjSbP$S|QyAo+r4bRaYNJ!krj#2-#X9LkTkR^lW7rQ#2!dfR z9s3hJdMTDJNgdRihoGfHcnLgoC{KF`?E9U0-*ueE4)w_UJkR@ipZ9s6ecqYzxnT{| zB@#kOLR=7AGew1{?B4Q|zMB+HqEVzx@0+9s^l2N5CQ>}cI>%&;DZnPXU zen6$xq0(zmw=1SMy=r>tWz$P7nkA!ZdQ02Vyl0w#cvvkl3elzMRjZV$SS4f4EX}TC z=MSrtu3BEIywTEnyKH#|opc%J(n-PbiGiihLB7A9R;zrBzl@c$-c*H^ud;GfJiUm@ zkvO%0nlPrzsAUm#Eu*eg)LKDZYp7|SHO-?YI_Y`rpp$~*6JvhcPp%#9H&yl14_Wyr z2K)QZY3+ko(kHZT{jA=jo$BoB={$4lwAOyrnb1tz4R}X)_X)J_F#88dJh>_oGp&gu zO?&pf!UZ1%-rBg+(ZDTTsuz=c}Jzz>)!YQT)#yQc}i7Ve^gt4Mh-bb zrPi+p`HA9$&)zQab2DzOKK98Jq8@*7Qjbh=QZE|fFtY5~frlP`8PN!PC{&Lo`7zj5 z>4#0r4!j$jc{Ca9_ZohmRHL}t($>g%;KLjwcXjFHSpZ=YpkYJml(=(x3+>lAX?yzM%Q;6xz~ z_?mYq#D0uln}M)?3V9QT;&%xlWbL4UVZ8T(HYoc#T5{xn>`xeZC zSmo35d1bGY7-1PDge>}6h<&h3#XG081x6f)C6B(@3z2hWxF4&0+LIW}`LFxCu$M=5 zVuVGVuZJOgRhF{nLM$BmRRx4$Oze_5MH zYQO3~kBOemEi)3%$vXIMb8mD%^G16QB&rgs{~ctMXj=|~#qFPw_22M!ZK>$~-=xq= AVE_OC literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/nco_dpi.sv b/nco_dpi/codegen/dll/nco_model/nco_dpi.sv new file mode 100644 index 0000000..fe02359 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco_dpi.sv @@ -0,0 +1,54 @@ +// File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi.sv +// Created: 2025-04-09 02:18:36 +// Generated by MATLAB 24.1 and HDL Verifier 24.1 + +`timescale 1ns / 1ns + +import nco_dpi_pkg::*; + + + +module nco_dpi( + input bit clk, + input bit clk_enable, + input bit reset, + input real fcw, + input real ptw, + input real clr, + input real acc, + output real my_c, + output real my_s, + output real acc_o +); + + chandle objhandle=null; + real my_c_temp; + real my_s_temp; + real acc_o_temp; + + + initial begin + objhandle=DPI_nco_initialize(objhandle); + end + + final begin + DPI_nco_terminate(objhandle); + end + + always @(posedge clk or posedge reset) begin + if(reset== 1'b1) begin + objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,my_c_temp,my_s_temp,acc_o_temp); + my_c<=my_c_temp; + my_s<=my_s_temp; + acc_o<=acc_o_temp; + + end + else if(clk_enable) begin + DPI_nco(objhandle,fcw,ptw,clr,acc,my_c_temp,my_s_temp,acc_o_temp); + my_c<=my_c_temp; + my_s<=my_s_temp; + acc_o<=acc_o_temp; + + end + end +endmodule diff --git a/nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv b/nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv new file mode 100644 index 0000000..ffc4595 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv @@ -0,0 +1,17 @@ +// File: C:\Users\Administrator\Desktop\gc_awg\demod_algorithm\daq_matlab\codegen\dll\nco\nco_dpi_pkg.sv +// Created: 2025-04-09 02:18:36 +// Generated by MATLAB 24.1 and HDL Verifier 24.1 + +`timescale 1ns / 1ns +package nco_dpi_pkg; + + +// Declare imported C functions +import "DPI-C" function chandle DPI_nco_initialize(input chandle existhandle); +import "DPI-C" function chandle DPI_nco_reset(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real my_c,output real my_s,output real acc_o); +import "DPI-C" function void DPI_nco(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real my_c,output real my_s,output real acc_o); +import "DPI-C" function void DPI_nco_terminate(input chandle existhandle); + + + +endpackage : nco_dpi_pkg diff --git a/nco_dpi/codegen/dll/nco_model/nco_types.h b/nco_dpi/codegen/dll/nco_model/nco_types.h new file mode 100644 index 0000000..43fa5e9 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/nco_types.h @@ -0,0 +1,22 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: nco_types.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef NCO_TYPES_H +#define NCO_TYPES_H + +/* Include Files */ +#include "rtwtypes.h" + +#endif +/* + * File trailer for nco_types.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rtGetInf.c b/nco_dpi/codegen/dll/nco_model/rtGetInf.c new file mode 100644 index 0000000..b36ff63 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtGetInf.c @@ -0,0 +1,130 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rtGetInf.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* + * Abstract: + * MATLAB for code generation function to initialize non-finite, Inf and + * MinusInf + */ +/* Include Files */ +#include "rtGetInf.h" +#include "rt_nonfinite.h" + +/* + * Function: rtGetInf + * ================================================================== Abstract: + * Initialize rtInf needed by the generated code. + */ +real_T rtGetInf(void) +{ + real_T inf = 0.0; + uint16_T one = 1U; + enum + { + LittleEndian, + BigEndian + } machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian; + switch (machByteOrder) { + case LittleEndian: { + union { + LittleEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0x7FF00000U; + tmpVal.bitVal.words.wordL = 0x00000000U; + inf = tmpVal.fltVal; + break; + } + case BigEndian: { + union { + BigEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0x7FF00000U; + tmpVal.bitVal.words.wordL = 0x00000000U; + inf = tmpVal.fltVal; + break; + } + } + return inf; +} + +/* + * Function: rtGetInfF + * ================================================================= Abstract: + * Initialize rtInfF needed by the generated code. + */ +real32_T rtGetInfF(void) +{ + IEEESingle infF; + infF.wordL.wordLuint = 0x7F800000U; + return infF.wordL.wordLreal; +} + +/* + * Function: rtGetMinusInf + * ============================================================= Abstract: + * Initialize rtMinusInf needed by the generated code. + */ +real_T rtGetMinusInf(void) +{ + real_T minf = 0.0; + uint16_T one = 1U; + enum + { + LittleEndian, + BigEndian + } machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian; + switch (machByteOrder) { + case LittleEndian: { + union { + LittleEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0xFFF00000U; + tmpVal.bitVal.words.wordL = 0x00000000U; + minf = tmpVal.fltVal; + break; + } + case BigEndian: { + union { + BigEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0xFFF00000U; + tmpVal.bitVal.words.wordL = 0x00000000U; + minf = tmpVal.fltVal; + break; + } + } + return minf; +} + +/* + * Function: rtGetMinusInfF + * ============================================================ Abstract: + * Initialize rtMinusInfF needed by the generated code. + */ +real32_T rtGetMinusInfF(void) +{ + IEEESingle minfF; + minfF.wordL.wordLuint = 0xFF800000U; + return minfF.wordL.wordLreal; +} + +/* + * File trailer for rtGetInf.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rtGetInf.h b/nco_dpi/codegen/dll/nco_model/rtGetInf.h new file mode 100644 index 0000000..f41d835 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtGetInf.h @@ -0,0 +1,34 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rtGetInf.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef RTGETINF_H +#define RTGETINF_H + +/* Include Files */ +#include "rtwtypes.h" + +#ifdef __cplusplus +extern "C" { +#endif + +extern real_T rtGetInf(void); +extern real32_T rtGetInfF(void); +extern real_T rtGetMinusInf(void); +extern real32_T rtGetMinusInfF(void); + +#ifdef __cplusplus +} +#endif +#endif +/* + * File trailer for rtGetInf.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rtGetInf.o b/nco_dpi/codegen/dll/nco_model/rtGetInf.o new file mode 100644 index 0000000000000000000000000000000000000000..1c8562e0b6e6e7c0f1b2a63777230120d93b8675 GIT binary patch literal 1784 zcmbu9&ubG=5XWcJ+WMoKC>4bWt|wbCORR|$2@(k}iJ~5AdsFN--B8h_kUXJ^kf12W zAoL>mmlTfy59zT7|9~9y)E;8&AqOGO?9Q{>*9{aM$j-dayzhIn@6Dzr?q)-V0m=-x z340?&0cJ<{;Z-%KUFdqRtY8!S;=5uC6LQxZkSWK`wP=%!kV>`=1lx*GJbvLnmIM+ESq^- zdOVg$Ow+5PO?nQDmvdmOMU3dg_|bK|hzrQ+M^>T7ty7VAq0Gs#Pzh&vxfF4<^Yl>p z2X5NcJ|vDdO#jMD2kJR>z)_Ek!q35h1cH&k4k8{3nvQ&A2tP7}j}76zCgm9u=+F4- zanAtRS>|f&o*lA_%s-$fQ~4Oiw9apr@>#nRWX_Sd%SEdI6%PLfSueWxr2M>!Q}&Ot z?n)-FMIAF$q9pAl^s?gl6%|QmO@g&-OB<}EY89-)YN_Ou zk(F1aV`2Zss?shz1*_sbvn^-kaj{~T9Ny{wTomQhL;6jodD%(rPe>cWCD!YmGp|qN z^>ln0IetC|I`6RhE4+W4*H=#IEd|)C{gqE5SFwNU>mV2~_YO0E&(J#WS^t>^KBIT_ zo4^5mEonc*xXuT<$eeU^M@p~06WT`KnW8K!99GyPajV=hSF MVL0#8QmE_y0Cp|bI{*Lx literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/rtGetNaN.c b/nco_dpi/codegen/dll/nco_model/rtGetNaN.c new file mode 100644 index 0000000..0e37a6c --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtGetNaN.c @@ -0,0 +1,97 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rtGetNaN.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* + * Abstract: + * MATLAB for code generation function to initialize non-finite, NaN + */ +/* Include Files */ +#include "rtGetNaN.h" +#include "rt_nonfinite.h" + +/* + * Function: rtGetNaN + * ====================================================================== + * Abstract: + * Initialize rtNaN needed by the generated code. + * NaN is initialized as non-signaling. Assumes IEEE. + */ +real_T rtGetNaN(void) +{ + real_T nan = 0.0; + uint16_T one = 1U; + enum + { + LittleEndian, + BigEndian + } machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian; + switch (machByteOrder) { + case LittleEndian: { + union { + LittleEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0xFFF80000U; + tmpVal.bitVal.words.wordL = 0x00000000U; + nan = tmpVal.fltVal; + break; + } + case BigEndian: { + union { + BigEndianIEEEDouble bitVal; + real_T fltVal; + } tmpVal; + + tmpVal.bitVal.words.wordH = 0x7FFFFFFFU; + tmpVal.bitVal.words.wordL = 0xFFFFFFFFU; + nan = tmpVal.fltVal; + break; + } + } + return nan; +} + +/* + * Function: rtGetNaNF + * ===================================================================== + * Abstract: + * Initialize rtNaNF needed by the generated code. + * NaN is initialized as non-signaling. Assumes IEEE + */ +real32_T rtGetNaNF(void) +{ + IEEESingle nanF = {{0}}; + uint16_T one = 1U; + enum + { + LittleEndian, + BigEndian + } machByteOrder = (*((uint8_T *)&one) == 1U) ? LittleEndian : BigEndian; + switch (machByteOrder) { + case LittleEndian: { + nanF.wordL.wordLuint = 0xFFC00000U; + break; + } + + case BigEndian: { + nanF.wordL.wordLuint = 0x7FFFFFFFU; + break; + } + } + + return nanF.wordL.wordLreal; +} + +/* + * File trailer for rtGetNaN.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rtGetNaN.h b/nco_dpi/codegen/dll/nco_model/rtGetNaN.h new file mode 100644 index 0000000..2010578 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtGetNaN.h @@ -0,0 +1,32 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rtGetNaN.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef RTGETNAN_H +#define RTGETNAN_H + +/* Include Files */ +#include "rtwtypes.h" + +#ifdef __cplusplus +extern "C" { +#endif + +extern real_T rtGetNaN(void); +extern real32_T rtGetNaNF(void); + +#ifdef __cplusplus +} +#endif +#endif +/* + * File trailer for rtGetNaN.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rtGetNaN.o b/nco_dpi/codegen/dll/nco_model/rtGetNaN.o new file mode 100644 index 0000000000000000000000000000000000000000..18b663e800b6d41be6de34e1209dd64143ec6cb7 GIT binary patch literal 1704 zcmbtUL2DCH5T4D}Cfd}bq9{agPtt;2(wdekMWW#~Ih25bqL(7ubW1?fz~&vImmWkR zEJ7}VzohgS=pjAk;IW4u^b{yD_K-tho!PvXuCII2FYLaVZ{9caGV_uHwz(0}G?38X z8C-gj1*qtkcGfquFbi?mDl~r{kzZ)C;a-OgG$?#wgTx`MYl*Mz#9_P1E?jo;sm-Gw zhZ;}y(jE2#VCZ$&nXhx%8EIR+yB*f`Jnx{xzT@H*T-NmiXbjOf86=YIWc!#Zy4OhB zWPPl7?pHkjtK!IIBUy2#H`if(fVS6*p+OZ|F6%eh>2FMUx_wNk0P?xqQ)50~+*&X) z=9;-;ET@-N(yPmljro$jYZNR#<`*-W1>Any7XfG=N}x58S}GomwXkvbk*9~?(Chil z z=Yhk{pcl&%&Mc~tt5$oa4OB~d>(Qz|tj3aK|*^`8iRnnVG_gx(BrWXki) z^+kXSZe5idf@H|vSAtW|zb5pr6}{}gq)TXo&95sG%I`(iBo4s@oysO19AdT+cJl-Fuf$LfR;>E{|82!vEcv! literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/rt_nonfinite.c b/nco_dpi/codegen/dll/nco_model/rt_nonfinite.c new file mode 100644 index 0000000..3d7453b --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rt_nonfinite.c @@ -0,0 +1,87 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rt_nonfinite.c + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +/* + * Abstract: + * MATLAB for code generation function to initialize non-finites, + * (Inf, NaN and -Inf). + */ +/* Include Files */ +#include "rt_nonfinite.h" +#include "rtGetInf.h" +#include "rtGetNaN.h" + +real_T rtInf; +real_T rtMinusInf; +real_T rtNaN; +real32_T rtInfF; +real32_T rtMinusInfF; +real32_T rtNaNF; + +/* + * Function: rt_InitInfAndNaN ================================================== + * Abstract: + * Initialize the rtInf, rtMinusInf, and rtNaN needed by the + * generated code. NaN is initialized as non-signaling. Assumes IEEE. + */ +void rt_InitInfAndNaN(void) +{ + rtNaN = rtGetNaN(); + rtNaNF = rtGetNaNF(); + rtInf = rtGetInf(); + rtInfF = rtGetInfF(); + rtMinusInf = rtGetMinusInf(); + rtMinusInfF = rtGetMinusInfF(); +} +/* + * Function: rtIsInf ================================================== + * Abstract: + * Test if value is infinite + */ +boolean_T rtIsInf(real_T value) +{ + return ((value == rtInf || value == rtMinusInf) ? true : false); +} + +/* + * Function: rtIsInfF ================================================= + * Abstract: + * Test if single-precision value is infinite + */ +boolean_T rtIsInfF(real32_T value) +{ + return ((value == rtInfF || value == rtMinusInfF) ? true : false); +} + +/* + * Function: rtIsNaN ================================================== + * Abstract: + * Test if value is not a number + */ +boolean_T rtIsNaN(real_T value) +{ + return ((value != value) ? true : false); +} + +/* + * Function: rtIsNaNF ================================================= + * Abstract: + * Test if single-precision value is not a number + */ +boolean_T rtIsNaNF(real32_T value) +{ + return ((value != value) ? true : false); +} + +/* + * File trailer for rt_nonfinite.c + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rt_nonfinite.h b/nco_dpi/codegen/dll/nco_model/rt_nonfinite.h new file mode 100644 index 0000000..52c22e4 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rt_nonfinite.h @@ -0,0 +1,64 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rt_nonfinite.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef RT_NONFINITE_H +#define RT_NONFINITE_H + +/* Include Files */ +#include "rtwtypes.h" + +typedef struct { + struct { + uint32_T wordH; + uint32_T wordL; + } words; +} BigEndianIEEEDouble; + +typedef struct { + struct { + uint32_T wordL; + uint32_T wordH; + } words; +} LittleEndianIEEEDouble; + +typedef struct { + union { + real32_T wordLreal; + uint32_T wordLuint; + } wordL; +} IEEESingle; + +#ifdef __cplusplus +extern "C" { +#endif + +extern real_T rtInf; +extern real_T rtMinusInf; +extern real_T rtNaN; +extern real32_T rtInfF; +extern real32_T rtMinusInfF; +extern real32_T rtNaNF; + +extern void rt_InitInfAndNaN(void); + +extern boolean_T rtIsInf(real_T value); +extern boolean_T rtIsInfF(real32_T value); +extern boolean_T rtIsNaN(real_T value); +extern boolean_T rtIsNaNF(real32_T value); + +#ifdef __cplusplus +} +#endif +#endif +/* + * File trailer for rt_nonfinite.h + * + * [EOF] + */ diff --git a/nco_dpi/codegen/dll/nco_model/rt_nonfinite.o b/nco_dpi/codegen/dll/nco_model/rt_nonfinite.o new file mode 100644 index 0000000000000000000000000000000000000000..dc0528a528ade5695fa8f5bb6af438be4ddbed9d GIT binary patch literal 2880 zcmcJR%}*0S7{;fqf(qE;Cq@s|gJL2pB7Vde(}MlLAVh?NiCQa^6qTawk|2JOXiSJk zJ$UrM(Zr({OpF)3c<@g!@nWKpgTjRa2|my4yk%W?FD5=@X5RVDJ2UUjzB}b!syiJH zg_J0yPNm9nE|+mRfY;s9&+|pgpl!YDw=_S2exPD%06{EZ&^y8Q2?dw~kte;%%)5 z4z(U`I~Z^7D-6Z6dE4PF?d^N{Olp&^l?qMvsnA3$w7tG|%`-go4saIgIjiLQ*u!vV zLrwT8Je;xPiEg(_<3?D#i}}(eE6r4~4OMRRr+kHv;&r0RA9=KMCN>3BP?`fir%ITjwO$Yr{XIrF1T$ zeTzc>T}&8A=OEe-DCB1h*SSZBvYji9m4-)3BX+?WM7OInjQaFQX}sc?J^3C7r(K*j z2H51fFc+@L(hyy9neN_>WOuGNoj#lD&-Euex>Gs0WeS{7H8>N<*}^dla%~MRJq~u6 zh;-`!6I?~dg2!3QXM&h7JkOpgoQ)nwlPCw5%& U9t-a_zxiKG{O4SAX?o}X2E8PyCjbBd literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco_model/rtw_proj.tmw b/nco_dpi/codegen/dll/nco_model/rtw_proj.tmw new file mode 100644 index 0000000..a446523 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtw_proj.tmw @@ -0,0 +1 @@ +Code generation project for nco using toolchain "LCC-win64 v2.4.1 | gmake (64-bit Windows)". MATLAB root = D:\matlab2024a. diff --git a/nco_dpi/codegen/dll/nco_model/rtwtypes.h b/nco_dpi/codegen/dll/nco_model/rtwtypes.h new file mode 100644 index 0000000..5a795b9 --- /dev/null +++ b/nco_dpi/codegen/dll/nco_model/rtwtypes.h @@ -0,0 +1,166 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * File: rtwtypes.h + * + * MATLAB Coder version : 24.1 + * C/C++ source code generated on : 2025-04-09 02:18:34 + */ + +#ifndef RTWTYPES_H +#define RTWTYPES_H + +/* Include Files */ + +#ifdef __cplusplus +extern "C" { +#endif + +/*=======================================================================* + * Target hardware information +* Device type: Generic->MATLAB Host Computer +* Number of bits: char: 8 short: 16 int: 32 +* long: 32 long long: 64 + +* native word size: 64 +* Byte ordering: LittleEndian +* Signed integer division rounds to: Zero +* Shift right on a signed integer as arithmetic shift: on +*=======================================================================*/ + +/*=======================================================================* + * Fixed width word size data types: * + * int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers * + * uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers * + * real32_T, real64_T - 32 and 64 bit floating point numbers * + *=======================================================================*/ +typedef signed char int8_T; +typedef unsigned char uint8_T; +typedef short int16_T; +typedef unsigned short uint16_T; +typedef int int32_T; +typedef unsigned int uint32_T; +typedef long long int64_T; +typedef unsigned long long uint64_T; +typedef float real32_T; +typedef double real64_T; + +/*===========================================================================* + * Generic type definitions: real_T, time_T, boolean_T, int_T, uint_T, * + * ulong_T, ulonglong_T, char_T and byte_T. * + *===========================================================================*/ + +typedef double real_T; +typedef double time_T; +typedef unsigned char boolean_T; +typedef int int_T; +typedef unsigned int uint_T; +typedef unsigned long ulong_T; +typedef unsigned long long ulonglong_T; +typedef char char_T; +typedef char_T byte_T; + +/*===========================================================================* + * Complex number type definitions * + *===========================================================================*/ +#define CREAL_T + +typedef struct { + real32_T re; + real32_T im; +} creal32_T; + +typedef struct { + real64_T re; + real64_T im; +} creal64_T; + +typedef struct { + real_T re; + real_T im; +} creal_T; + +typedef struct { + int8_T re; + int8_T im; +} cint8_T; + +typedef struct { + uint8_T re; + uint8_T im; +} cuint8_T; + +typedef struct { + int16_T re; + int16_T im; +} cint16_T; + +typedef struct { + uint16_T re; + uint16_T im; +} cuint16_T; + +typedef struct { + int32_T re; + int32_T im; +} cint32_T; + +typedef struct { + uint32_T re; + uint32_T im; +} cuint32_T; + +typedef struct { + int64_T re; + int64_T im; +} cint64_T; + +typedef struct { + uint64_T re; + uint64_T im; +} cuint64_T; + +/*=======================================================================* + * Min and Max: * + * int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers * + * uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers * + *=======================================================================*/ + +#define MAX_int8_T ((int8_T)(127)) +#define MIN_int8_T ((int8_T)(-128)) +#define MAX_uint8_T ((uint8_T)(255)) +#define MIN_uint8_T ((uint8_T)(0)) +#define MAX_int16_T ((int16_T)(32767)) +#define MIN_int16_T ((int16_T)(-32768)) +#define MAX_uint16_T ((uint16_T)(65535)) +#define MIN_uint16_T ((uint16_T)(0)) +#define MAX_int32_T ((int32_T)(2147483647)) +#define MIN_int32_T ((int32_T)(-2147483647 - 1)) +#define MAX_uint32_T ((uint32_T)(0xFFFFFFFFU)) +#define MIN_uint32_T ((uint32_T)(0)) +#define MAX_int64_T ((int64_T)(9223372036854775807LL)) +#define MIN_int64_T ((int64_T)(-9223372036854775807LL - 1LL)) +#define MAX_uint64_T ((uint64_T)(0xFFFFFFFFFFFFFFFFULL)) +#define MIN_uint64_T ((uint64_T)(0ULL)) + +/* Logical type definitions */ +#if (!defined(__cplusplus)) && (!defined(__true_false_are_keywords)) && \ + (!defined(__bool_true_false_are_defined)) +#ifndef false +#define false 0U +#endif +#ifndef true +#define true 1U +#endif +#endif + +#ifdef __cplusplus +} +#endif +#endif +/* + * File trailer for rtwtypes.h + * + * [EOF] + */ diff --git a/nco_dpi/nco.m b/nco_dpi/nco.m index e442d77..ca7b0cb 100644 --- a/nco_dpi/nco.m +++ b/nco_dpi/nco.m @@ -1,81 +1,82 @@ -function result=nco(fcw,ptw,clr,acc) - - acc_o = (clr==0)*mod((acc+fcw),2^48); - - % res = coef(); - % pha = round(acc_o/2^29) + ptw*2^3; - % qua = floor(mod(pha/2^16,8)); - % seg = floor(mod((pha/2^11),32)); - % segp = seg + 1; - % segn = 32 - seg; - % pha = mod(pha,2^11); - % - %c2_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",... - % "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"]; - - - - - c0_list=[262143,262065, 261828, 261434, 260882, 260173, 259307, 258285, 257107, 255774, 254288, 252648, 250856, 248913,... - 246820, 244578, 242189, 239655, 236975, 234153, 231190, 228088, 224848, 221473, 217965, 214325, 210556, 206660, 202640, 198498, 194236, 189857]; - c1_list=[0, 79, 158, 237, 315, 394, 472, 550, 628, 705, 782, 858, 934,1009,1084,1158,1231,1304,1376,1446,1517,1586,... - 1654,1721,1787,1852,1916,1979,2041,2101,2161,2218]; - c2_list=[39,39,39,39,39,39,39,39,39,38,38,38,38,37,37,37,36,36,35,35,35,34,34,33,33,32,31,31,30,30,29,28]; - - s0_list=[0,6433,12863,19284,25695,32089,38464,44817,51142,57436,63696,69917,76096,82230,88314,94344,100318,106232,112081,117863,123574,129210,134769,... - 140246,145639,150945,156159,161279,166302,171225,176045,180759]; - s1_list=[3217,3216,3213,3208,3202,3193,3182,3170,3155,3139,3121,3101,3079,3055,3029,3002,2972,2941,2908,2874,2837,2799,2759,2718,2675,2630,2584,2536,2487,2436,2384,2330]; - s2_list=[0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,16,17,18,19,20,21,22,22,23,24,25,25,26,27,28]; - - res_cos = [c2_list',c1_list',c0_list']; - res_sin = [s2_list',s1_list',s0_list']; - - pha = round((clr==0)*acc/2^29) + ptw*2^3; - qua = floor(mod(pha/2^16,8)); - longp = mod(pha,2^16); - longn = 2^16-1 - longp; - segp = floor(mod((longp/2^11),32)) + 1; - segn = floor(mod((longn/2^11),32)) + 1; - pha_p = mod(longp,2^11); - pha_n = mod(longn,2^11); - - cp = round((round((round(res_cos(segp,1)*pha_p/2^11) + res_cos(segp,2))*pha_p/2^10) + res_cos(segp,3))/2^3); - sp = round((round((round(res_sin(segp,1)*pha_p/2^11) + res_sin(segp,2))*pha_p/2^10) + res_sin(segp,3))/2^3); - cn = round((round((round(res_cos(segn,1)*pha_n/2^11) + res_cos(segn,2))*pha_n/2^10) + res_cos(segn,3))/2^3); - sn = round((round((round(res_sin(segn,1)*pha_n/2^11) + res_sin(segn,2))*pha_n/2^10) + res_sin(segn,3))/2^3); - - switch qua - case 0 - my_c = cp; - my_s = sp; - case 1 - my_c = sn; - my_s = cn; - case 2 - my_c = -sp; - my_s = cp; - case 3 - my_c = -cn; - my_s = sn; - case 4 - my_c = -cp; - my_s = -sp; - case 5 - my_c = -sn; - my_s = -cn; - case 6 - my_c = sp; - my_s = -cp; - case 7 - my_c = cn; - my_s = -sn; - otherwise - my_c = 0; - my_s = 0; - end - - result.cos = my_c; - result.sin = my_s; - result.acc = acc_o; - %result.pha = round(acc/2^29 + ptw*2^3); -end \ No newline at end of file +function result=nco(fcw,ptw,clr,acc) + + acc_o = (clr==0)*mod((acc+fcw),2^48); + + % res = coef(); + % pha = round(acc_o/2^29) + ptw*2^3; + % qua = floor(mod(pha/2^16,8)); + % seg = floor(mod((pha/2^11),32)); + % segp = seg + 1; + % segn = 32 - seg; + % pha = mod(pha,2^11); + % + %c2_list_hex=["3ffff","3ffb1","3fec4","3fd3a","3fb12","3f84d","3f4eb","3f0ed","3ec53","3e71e","3e150","3dae8","3d3e8","3cc51","3c424","3bb62","3b20d","3a827","39daf","392a9",... + % "38716","37af8","36e50","36121","3536d","34535","3367c","32744","31790","30762","2f6bc","2e5a1"]; + + + + + c0_list=[262143,262065, 261828, 261434, 260882, 260173, 259307, 258285, 257107, 255774, 254288, 252648, 250856, 248913,... + 246820, 244578, 242189, 239655, 236975, 234153, 231190, 228088, 224848, 221473, 217965, 214325, 210556, 206660, 202640, 198498, 194236, 189857]; + c1_list=[0, 79, 158, 237, 315, 394, 472, 550, 628, 705, 782, 858, 934,1009,1084,1158,1231,1304,1376,1446,1517,1586,... + 1654,1721,1787,1852,1916,1979,2041,2101,2161,2218]; + c2_list=[39,39,39,39,39,39,39,39,39,38,38,38,38,37,37,37,36,36,35,35,35,34,34,33,33,32,31,31,30,30,29,28]; + + s0_list=[0,6433,12863,19284,25695,32089,38464,44817,51142,57436,63696,69917,76096,82230,88314,94344,100318,106232,112081,117863,123574,129210,134769,... + 140246,145639,150945,156159,161279,166302,171225,176045,180759]; + s1_list=[3217,3216,3213,3208,3202,3193,3182,3170,3155,3139,3121,3101,3079,3055,3029,3002,2972,2941,2908,2874,2837,2799,2759,2718,2675,2630,2584,2536,2487,2436,2384,2330]; + s2_list=[0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,16,17,18,19,20,21,22,22,23,24,25,25,26,27,28]; + + res_cos = [c2_list',c1_list',c0_list']; + res_sin = [s2_list',s1_list',s0_list']; + + pha = round((clr==0)*acc/2^29) + ptw*2^3; + qua = floor(mod(pha/2^16,8)); + longp = mod(pha,2^16); + longn = 2^16-1 - longp; + segp = floor(mod((longp/2^11),32)) + 1; + segn = floor(mod((longn/2^11),32)) + 1; + pha_p = mod(longp,2^11); + pha_n = mod(longn,2^11); + + cp = round( ( res_cos(segp,3) - round( ((round(res_cos(segp,1)*pha_p/2^11) + res_cos(segp,2)) *pha_p/2^10) ))/2^3); + cn = round( ( res_cos(segn,3) - round( ((round(res_cos(segn,1)*pha_n/2^11) + res_cos(segn,2)) *pha_n/2^10) ))/2^3); + + sp = round( ( res_sin(segp,3) + round( ((res_sin(segp,2) - round(res_sin(segp,1)*pha_p/2^11)) *pha_p/2^10) ))/2^3); + sn = round( ( res_sin(segn,3) + round( ((res_sin(segn,2) - round(res_sin(segn,1)*pha_n/2^11)) *pha_n/2^10) ))/2^3); + + switch qua + case 0 + my_c = cp; + my_s = sp; + case 1 + my_c = sn; + my_s = cn; + case 2 + my_c = -sp; + my_s = cp; + case 3 + my_c = -cn; + my_s = sn; + case 4 + my_c = -cp; + my_s = -sp; + case 5 + my_c = -sn; + my_s = -cn; + case 6 + my_c = sp; + my_s = -cp; + case 7 + my_c = cn; + my_s = -sn; + otherwise + my_c = 0; + my_s = 0; + end + + result.cos = my_c; + result.sin = my_s; + result.acc = acc_o; + %result.pha = round(acc/2^29 + ptw*2^3); +end diff --git a/readout_awg_rm.sv b/readout_awg_rm.sv index 7aad31a..a10dd56 100644 --- a/readout_awg_rm.sv +++ b/readout_awg_rm.sv @@ -2,89 +2,96 @@ //FILE_NAME : readout_awg_rm.sv //DEPARTEMENT : QuantumCTek-ASIC //AUTHOR : Yunzhuo Zhang -//TIME : 2024.10.21 +//TIME : 2025.4.10 //******************************************************************* //DESCRIPTION : awg reference model define //******************************************************************* //END_HEADER********************************************************* -//问题待解决:数据类型定义 -//pulsewidth信号定义在mcu_reg中 - -`ifdef READOUT_AWG_RM +`ifndef READOUT_AWG_RM `define READOUT_AWG_RM -//import uvm_pkg::*; +`include "../testbench/refm/mcu_cw_item.sv" +`include "../testbench/refm/nco_dpi/codegen/dll/nco_model/nco_dpi_pkg.sv" +`include "../testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv" + import nco_dpi_pkg::*; import hilbert_fir_dpi_pkg::*; class readout_awg_rm extends uvm_component; - virtual rm_if rm_if; //clk和sync - - uvm_blocking_get_export #(EZQ_readout_dac_item) dac_rm2scb_port; //wave输出给scoreboard - uvm_blocking_get_port #(mcu_item) mcu_get_port; //从mcu获取带时间戳的包,包含cw,time - - EZQ_readout_dac_item dac_item_pkt; - mcu_item mcu_item_pkt; - `uvm_component_utils(readout_awg_rm); + virtual rm_if rm_if; //sync - static int NUM_WAY = 8; - static int DATA_WIDTH = 16; + uvm_analysis_port #(EZQ_readout_dac_item) dac_rm2scb_port; + uvm_blocking_get_port #(mcu_cw_item) mcu_get_port; + + bit [31:0] mcu_timer ; + bit [31:0] mcu_counter ; + bit [31:0] loc_state ; + bit [31:0] glb_state ; + bit [31:0] feed_data ; + bit [31:0] wave_ctrl ; + bit [31:0] amplitude ; + bit [31:0] frequency ; + bit [31:0] phase ; + bit [31:0] command ; + bit [31:0] func_ctrl ; + bit [31:0] pump_ctrl ; + bit [31:0] mark_ctrl ; + + bit [31:0] cw_data ; + bit cw_valid ; + mcu_cw_item cw_queue[$] ; + bit [31:0] clock_cycle ; + bit [31:0] awg_clock_cycle ; + bit [15:0] env_len ; + + + + + + bit [31:0] ram_data[bit[31:0]]; + bit [31:0] wr_data ; + bit [31:0] wr_addr ; + + bit [31:0] dac_send_cnt ; + + bit [15:0]data_out_0[$]; + bit [15:0]data_out_1[$]; + bit [15:0]data_out_2[$]; + bit [15:0]data_out_3[$]; + bit [15:0]data_out_4[$]; + bit [15:0]data_out_5[$]; + bit [15:0]data_out_6[$]; + bit [15:0]data_out_7[$]; + bit [15:0]data_outb_0[$]; + bit [15:0]data_outb_1[$]; + bit [15:0]data_outb_2[$]; + bit [15:0]data_outb_3[$]; + bit [15:0]data_outb_4[$]; + bit [15:0]data_outb_5[$]; + bit [15:0]data_outb_6[$]; + bit [15:0]data_outb_7[$]; + + + extern function new(string name,uvm_component parent); extern function void build_phase(uvm_phase phase); extern virtual task run_phase(uvm_phase phase); - extern task wave_play( //根据cw获取波形数据 - logic [5:0] wave_id, - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mem_out[$], - logic [15:0] wave_len; - - logic [15:0]time_in, - logic [15:0]time_out - ); - - extern task wave_mode( //awg算法 - logic clr_valid, //sync - logic clr_en, //cw_data[6] - logic [1 :0] mod_mode, //func_ctrl[1:0] - logic [31:0] fcw, - logic [15:0] phase, //phase[31:16] - - logic [DATA_WIDTH*NUM_WAY-1:0] wave_in, - logic [DATA_WIDTH-1:0] wave_mod_out[NUM_WAY], - - logic [15:0]time_in, - logic [15:0]time_out - ); - - extern task wave_amp( - logic [15:0] amplitude, //amp[31:16] - logic [DATA_WIDTH-1:0] wave_amp_in[NUM_WAY], - logic [DATA_WIDTH-1:0] wave_amp_out[NUM_WAY], - logic [15:0]time_in, - logic [15:0]time_out - ); - - extern task wave_mix( - logic mix_en , //~func_ctrl[2] - logic [DATA_WIDTH-1:0] wave_in[NUM_WAY], - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mix_out, - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mix_outb, - logic [15:0]time_in, - logic [15:0]time_out - ); -/* - extern task pulse_generator_pump( - logic pulse_en , - logic [15:0] delay, - logic [31:0] width, - logic inv_en , - logic [15:0] pulse - ); -*/ + extern task get_mcu_cw_item(); + extern task get_spi_item(); + extern task get_config_data(); + extern task send_dac_item(); + extern task awg_exe(); + extern task if_pump_mark(); + extern task hilbert_fir( + input bit signed [15:0] hilbert_in[$], + input bit [15:0] data_size, + output bit signed [15:0] hilbert_out[$] + ); endclass function readout_awg_rm::new(string name,uvm_component parent); @@ -100,347 +107,636 @@ function void readout_awg_rm::build_phase(uvm_phase phase); endfunction -task readout_awg_rm::run_phase(uvm_phase phase);//main task -//cw - logic clk; - logic [31:0] cw_data; - logic sync; -//awg config signal - logic [31:0] mcu_timer, - logic [31:0] mcu_counter, - logic [31:0] pulse_width, +task readout_awg_rm::get_mcu_cw_item(); + mcu_cw_item mcu_cw_item; + forever begin + mcu_get_port.get(mcu_cw_item); + cw_data = mcu_cw_item.cw_data; + cw_valid = mcu_cw_item.cw_valid; + `uvm_info(get_type_name(),$sformatf("awg_mcu_cw_data = %0h,awg_mcu_cycle = %0h,awg_cw_valid = %h",cw_data,mcu_cw_item.clock_cycle,cw_valid),UVM_LOW) - logic [31:0] amplitude, - logic [31:0] frequency, - logic [31:0] phase, + if(cw_valid)begin + clock_cycle = mcu_cw_item.clock_cycle; + cw_queue.push_back(mcu_cw_item); + `uvm_info(get_type_name(),$sformatf("cw_queue_size = %0h",cw_queue.size()),UVM_MEDIUM) + end + end +endtask + +task readout_awg_rm::get_spi_item(); + forever begin + @(wr_data or wr_addr) + ram_data[wr_addr] = wr_data; + `uvm_info(get_type_name(),$sformatf("wr_addr = %0h,wr_data = %0h",wr_addr,wr_data),UVM_LOW) + end +endtask + + +task readout_awg_rm::get_config_data(); + forever begin + @(posedge `CLK); + if(ram_data.exists(`AWG_MCU_TIMER))begin + mcu_timer = ram_data[`AWG_MCU_TIMER]; + end + if(ram_data.exists(`AWG_MCU_COUNTER))begin + mcu_counter = ram_data[`AWG_MCU_COUNTER]; + end + if(ram_data.exists(`AWG_LOC_STATE))begin + loc_state = ram_data[`AWG_LOC_STATE]; + end + if(ram_data.exists(`AWG_GLB_STATE))begin + glb_state = ram_data[`AWG_GLB_STATE]; + end +/* + if(ram_data.exists(`AWG_FEED_DATA))begin + feed_data = ram_data[`AWG_FEED_DATA]; + end +*/ + if(ram_data.exists(`WAVE_CTRL))begin + wave_ctrl = ram_data[`WAVE_CTRL]; + end + if(ram_data.exists(`AMPLITUDE))begin + amplitude = ram_data[`AMPLITUDE]; + end + if(ram_data.exists(`FREQUENCY))begin + frequency = ram_data[`FREQUENCY]; + end + if(ram_data.exists(`PHASE))begin + phase = ram_data[`PHASE]; + end + if(ram_data.exists(`AWG_COMMAND))begin + command = ram_data[`AWG_COMMAND]; + end + if(ram_data.exists(`AWG_FUNC_CTRL))begin + func_ctrl = ram_data[`AWG_FUNC_CTRL]; + end + if(ram_data.exists(`PUMP_CTRL))begin + pump_ctrl = ram_data[`PUMP_CTRL]; + end + else begin + pump_ctrl = 32'h10010; + end + if(ram_data.exists(`MARK_CTRL))begin + mark_ctrl = ram_data[`MARK_CTRL]; + end + else begin + mark_ctrl = 32'h10010; + end + + end +endtask + +task readout_awg_rm::send_dac_item(); + EZQ_readout_dac_item dac_item_pkt; + forever begin + if(data_out_0.size()>`DAC_MON_CYCLE)begin + dac_item_pkt = new(); + + dac_item_pkt.data_out0 = data_out_0[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out1 = data_out_1[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out2 = data_out_2[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out3 = data_out_3[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out4 = data_out_4[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out5 = data_out_5[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out6 = data_out_6[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_out7 = data_out_7[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb0 = data_outb_0[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb1 = data_outb_1[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb2 = data_outb_2[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb3 = data_outb_3[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb4 = data_outb_4[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb5 = data_outb_5[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb6 = data_outb_6[0+: `DAC_MON_CYCLE]; + dac_item_pkt.data_outb7 = data_outb_7[0+: `DAC_MON_CYCLE]; +// dac_item_pkt.delay_cycle = awg_clock_cycle; + + dac_rm2scb_port.write(dac_item_pkt); + + `uvm_info(get_type_name(),$sformatf("dac_send_cnt = %0h",dac_send_cnt),UVM_LOW) + + `uvm_info(get_type_name(),$sformatf("data_out_0[0+: `DAC_MON_CYCLE] = %0p",data_out_0[0+: `DAC_MON_CYCLE]),UVM_LOW) +/* + `uvm_info(get_type_name(),$sformatf("data_out_1 = %0p",data_out_1),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_2 = %0p",data_out_2),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_3 = %0p",data_out_3),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_4 = %0p",data_out_4),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_5 = %0p",data_out_5),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_6 = %0p",data_out_6),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_out_7 = %0p",data_out_7),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_0 = %0p",data_outb_0),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_1 = %0p",data_outb_1),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_2 = %0p",data_outb_2),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_3 = %0p",data_outb_3),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_4 = %0p",data_outb_4),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_5 = %0p",data_outb_5),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_6 = %0p",data_outb_6),UVM_LOW + `uvm_info(get_type_name(),$sformatf("data_outb_7 = %0p",data_outb_7),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("data_outb_7 = %0p",data_outb_7),UVM_LOW) +*/ + data_out_0 = data_out_0[`DAC_MON_CYCLE : $]; + data_out_1 = data_out_1[`DAC_MON_CYCLE : $]; + data_out_2 = data_out_2[`DAC_MON_CYCLE : $]; + data_out_3 = data_out_3[`DAC_MON_CYCLE : $]; + data_out_4 = data_out_4[`DAC_MON_CYCLE : $]; + data_out_5 = data_out_5[`DAC_MON_CYCLE : $]; + data_out_6 = data_out_6[`DAC_MON_CYCLE : $]; + data_out_7 = data_out_7[`DAC_MON_CYCLE : $]; + data_outb_0 = data_outb_0[`DAC_MON_CYCLE : $]; + data_outb_1 = data_outb_1[`DAC_MON_CYCLE : $]; + data_outb_2 = data_outb_2[`DAC_MON_CYCLE : $]; + data_outb_3 = data_outb_3[`DAC_MON_CYCLE : $]; + data_outb_4 = data_outb_4[`DAC_MON_CYCLE : $]; + data_outb_5 = data_outb_5[`DAC_MON_CYCLE : $]; + data_outb_6 = data_outb_6[`DAC_MON_CYCLE : $]; + data_outb_7 = data_outb_7[`DAC_MON_CYCLE : $]; + + dac_send_cnt++; + end + @(posedge `CLK); + end + +endtask + +task readout_awg_rm::awg_exe(); +//fid + chandle objhandle = null ; + chandle OBJhandle = null ; + bit [31:0] zeros_len; - logic [31:0] loc_state, - logic [31:0] glb_state, - logic [31:0] feed_data, -//control signal - logic [31:0] command; - logic [31:0] func_ctrl; - logic [31:0] pump_ctrl; - logic [31:0] mark_ctrl; //get wave_idx & wave - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mem_out[$]; + bit [5 :0] wave_id ; + bit [24:0] env_map_addr ; + bit [31:0] env_idx ; + bit [24:0] env_addr ; + bit [24:0] env_addr_1 ; + bit [24:0] env_addr_2 ; + bit [24:0] env_addr_3 ; + + + bit [`NUM_WAY*`DATA_WIDTH-1 :0] wave_out ; + bit [31:0] wave_out_0 ; + bit [31:0] wave_out_1 ; + bit [31:0] wave_out_2 ; + bit [31:0] wave_out_3 ; + + bit [127:0] wave_out_queue[$] ; + int k ; + //wave_mod output signal - logic [DATA_WIDTH-1:0] wave_mod_out[NUM_WAY]; + bit [1 :0] mod_mode ; + bit loc_rst_n ; + bit hilbert_en ; + bit iq_mod_en ; + bit clr_en ; + bit mix_en ; + int j ; + + bit [47:0] frequency_48; + real nco_acc_out ; + real nco_acc_in ; + + bit signed[15:0] nco_cos[`NUM_WAY-1 :0] ; + bit signed[15:0] nco_sin[`NUM_WAY-1 :0] ; + bit signed[15:0] nco_cos_queue[$] ; + bit signed[15:0] nco_sin_queue[$] ; + + bit signed[15:0] hilbert_in[$]; + bit signed[15:0] hilbert_out[$]; + + bit signed[15:0] iq_mod_data_i_queue[$]; + bit signed[15:0] iq_mod_data_q_queue[$]; + + bit signed[31:0] iq_mod_data_i_temp ; + bit signed[31:0] iq_mod_data_q_temp ; + bit signed[31:0] i_mult_cos; + bit signed[31:0] i_mult_sin; + bit signed[31:0] q_mult_cos; + bit signed[31:0] q_mult_sin; + + bit signed[15:0] iq_mod_data_i[`NUM_WAY-1 :0] ; + bit signed[15:0] iq_mod_data_q[`NUM_WAY-1 :0] ; + + bit signed[15:0] wave_mod_out[$] ; + //wave_amp output siganl - logic [DATA_WIDTH-1:0] wave_amp_out[NUM_WAY]; + bit [31:0] wave_amp_out_temp; + bit [`DATA_WIDTH-1:0] wave_amp_out[`NUM_WAY-1 :0]; //wave_mix output siganl - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mix_out; - logic [DATA_WIDTH*NUM_WAY-1:0] wave_mix_outb; -//wave_out queue - logic [DATA_WIDTH*NUM_WAY-1:0] wave_out_list[$]; - logic [DATA_WIDTH*NUM_WAY-1:0] wave_outb_list[$]; + bit [`DATA_WIDTH-1:0] wave_mix_out[`NUM_WAY-1:0]; + bit [`DATA_WIDTH-1:0] wave_mix_outb[`NUM_WAY-1:0]; //Pump & Mark signal - logic pump_trig; - logic mark_trig; - logic pump_delay; - logic mark_delay; - logic [15:0] pump_width; - logic [15:0] mark_width; -//时间戳,mcu_item_pkt到来时,继承pkt中的时间 - logic [15:0] time_cw; - logic [15:0] time_play; - logic [15:0] time_mode; - logic [15:0] time_amp; - logic [15:0] time_mix; -// sync signal - sync = rm_if.sync; -//MCU packet - mcu_get_port.get(mcu_item_pkt); - time_cw = mcu_item_pkt.clock_cycle; - cw_data = mcu_item_pkt.cw_data; - pulse_width = mcu_item_pkt.pulse_width;//该信号通过MCU的packet传送 +//wait sync signal + `uvm_info(get_type_name(),"awg wait sync_in",UVM_LOW) + wait (`SYNC_IN); + `uvm_info(get_type_name(),"awg sync_in come",UVM_LOW) -//AWG reg - frequency = reg_mems::awg_regfile.get("Frequency"); - phase = reg_mems::awg_regfile.get("Phase"); - amplitude = reg_mems::awg_regfile.get("Amplitude"); - mcu_timer = reg_mems::awg_regfile.get("Timer"); - mcu_counter = reg_mems::awg_regfile.get("Counter"); +forever begin + wait(cw_valid == 1); + `uvm_info(get_type_name(),$sformatf("awg_cw_data = %h,wave_ctrl = %h,func_ctrl = %h",cw_data,wave_ctrl,func_ctrl),UVM_LOW) - loc_state = reg_mems::awg_regfile.get("LOC_State"); - glb_state = reg_mems::awg_regfile.get("GLB_State"); - feed_data = reg_mems::awg_regfile.get("FEED_Data"); +//config signals + mod_mode = func_ctrl[1:0]; + clr_en = cw_data[6]; + loc_rst_n = (mod_mode == 2'b01) | (mod_mode == 2'b11); + hilbert_en = (mod_mode == 2'b01) | (mod_mode == 2'b10); + iq_mod_en = (mod_mode == 2'b01); + mix_en = ~func_ctrl[2]; + `uvm_info(get_type_name(),$sformatf("mod_mode = %h,clr_en = %h",mod_mode,clr_en),UVM_LOW); + `uvm_info(get_type_name(),$sformatf("loc_rst_n = %h,hilbert_en = %h,iq_mod_en = %h",loc_rst_n,hilbert_en,iq_mod_en),UVM_LOW); - func_ctrl = reg_mems::awg_regfile.get("Function"); - command = reg_mems::awg_regfile.get("Command"); - pump_ctrl = reg_mems::awg_regfile.get("pump_ctrl"); - mark_ctrl = reg_mems::awg_regfile.get("maker_ctrl"); +//////////////////////////////////////// +//delay calculator +/////////////////////////////////////// +//wave_play : 3 +//hilbert : 8 +//nco : 11 +//iq_mod+mux: 2 + 1 +//amp : 1 +//mix : 1 +//awg_out to dac_if :3 + awg_clock_cycle = clock_cycle + 3; //wave_play + case(mod_mode) + 2'b00 : awg_clock_cycle = awg_clock_cycle + 2 + 3; //hilbert_in + 2'b01 : awg_clock_cycle = awg_clock_cycle + 8 + 2 + 1 + 2 + 3; //iq_mod + 2'b10 : awg_clock_cycle = awg_clock_cycle + 8 + 1 + 2 + 3; //hilbert_q + 2'b11 : awg_clock_cycle = awg_clock_cycle + 8 + 1 + 2 + 3; //nco_cos + endcase + `uvm_info(get_type_name(),$sformatf("mod_mode = %0h,awg_clock_cycle = %0h",mod_mode,awg_clock_cycle),UVM_LOW); + zeros_len = awg_clock_cycle - data_out_0.size() - dac_send_cnt * `DAC_MON_CYCLE; + `uvm_info(get_type_name(),$sformatf("data_out_0.size() = %0h",data_out_0.size()),UVM_LOW); + + for (int i = 0; i < zeros_len; i++)begin + data_out_0.push_back(16'h8000); + data_out_1.push_back(16'h8000); + data_out_2.push_back(16'h8000); + data_out_3.push_back(16'h8000); + data_out_4.push_back(16'h8000); + data_out_5.push_back(16'h8000); + data_out_6.push_back(16'h8000); + data_out_7.push_back(16'h8000); + + data_outb_0.push_back(16'h8000); + data_outb_1.push_back(16'h8000); + data_outb_2.push_back(16'h8000); + data_outb_3.push_back(16'h8000); + data_outb_4.push_back(16'h8000); + data_outb_5.push_back(16'h8000); + data_outb_6.push_back(16'h8000); + data_outb_7.push_back(16'h8000); + end + `uvm_info(get_type_name(),$sformatf("dac_send_cnt = %0h,zeros_len = %0h,data_out_0.size() = %0h",dac_send_cnt,zeros_len,data_out_0.size()),UVM_LOW); + + +///////////////////////////////////////////// //AWG functions - wave_play( - .wave_id(cw_data[5:0]), - .wave_mem_out(wave_mem_out), - .wave_len(wave_len) - .time_in(time_cw), - .time_out(time_play) - ); +///////////////////////////////////////////// -for(k=0;k32767) iq_mod_data_i[k] = 32767; - if(iq_mod_data_i[k]<-32767) iq_mod_data_i[k] = -32767; - end - - for(k=0;k32767) iq_mod_data_q[k] = 32767; - if(iq_mod_data_q[k]<-32767) iq_mod_data_q[k] = -32767; - end - - foreach (iq_mod_data_i[index]) - $display("iq_mod_data_i is :%b",iq_mod_data_i[index]); //mux case(mod_mode) 2'b00 : wave_mod_out = hilbert_in; - 2'b01 : wave_mod_out = iq_mod_data_i; - 2'b10 : wave_mod_out = hilbert_out_q; - 2'b11 : wave_mod_out = cos_list; + 2'b01 : wave_mod_out = iq_mod_data_i_queue; + 2'b10 : wave_mod_out = hilbert_out; + 2'b11 : wave_mod_out = nco_cos_queue; endcase - -task readout_awg_rm::wave_amp( - logic [15:0] amplitude, //amp[31:16] - logic [DATA_WIDTH-1:0] wave_amp_in[NUM_WAY], - logic [DATA_WIDTH-1:0] wave_amp_out[NUM_WAY], - logic [15:0]time_in, - logic [15:0]time_out -); - time_out = time_in +16'd1; - - logic [DATA_WIDTH*2-1:0]wave_amp_out_temp; - for(k=0;k32767) wave_amp_out[k] = 32767; - if(wave_amp_out[k]<-32767) wave_amp_out[k] = -32767; + `uvm_info(get_type_name(),$sformatf("wave_mod_out = %p,wave_mod_out.size()=%0h",wave_mod_out,wave_mod_out.size()),UVM_LOW); + +//wave_amp,mix + `uvm_info(get_type_name(),$sformatf("AMP = %0h",amplitude[31:16]),UVM_LOW); + for(k =0; k0 && pump_flag == 1 && mark_flag == 1)begin + mcu_cw_item = cw_queue.pop_front(); + wait_cycle = mcu_cw_item.clock_cycle; + cw_data_if = mcu_cw_item.cw_data; + + pump_flag = 1'b0; + mark_flag = 1'b0; + pump_trig = cw_data_if[8]; + mark_trig = cw_data_if[9]; + `uvm_info(get_type_name(),$sformatf("pump_trig = %0h,mark_trig = %0h",pump_trig,mark_trig),UVM_LOW); + `uvm_info(get_type_name(),$sformatf("wait_cycle = %0h,cw_data_if= 0%h",wait_cycle,cw_data_if),UVM_LOW); + + case (func_ctrl[1:0]) + 2'b00 : align_del = 4'h0; + 2'b01 : align_del = 4'ha; + 2'b10 : align_del = 4'h8; + 2'b11 : align_del = 4'h8; + endcase + + pump_delay = pump_ctrl[31:16] + align_del + 2; //compare to cw_valid + pump_width = pump_ctrl[15:0] + env_len; + `uvm_info(get_type_name(),$sformatf("pump_delay = %0h,pump_width = %0h,align_del = %0h",pump_delay,pump_width,align_del),UVM_LOW); + + mark_delay = mark_ctrl[31:16] + 2; //compare to cw_valid + mark_width = mark_ctrl[15:0]; + `uvm_info(get_type_name(),$sformatf("mark_delay = %0h,mark_width = %0h",mark_delay,mark_width),UVM_LOW); + end + + fork + if(cnt == wait_cycle)begin + repeat(pump_delay)begin + rm_if.pump_rm = func_ctrl[4]; + @(posedge `CLK); + end + repeat(pump_width)begin + if(pump_trig)begin + rm_if.pump_rm = ~func_ctrl[4]; + @(posedge `CLK); + end + else begin + rm_if.pump_rm = func_ctrl[4]; + @(posedge `CLK); + end + end + rm_if.pump_rm = 1'b0; + pump_flag = 1; + end +//mark + if(cnt == wait_cycle)begin + repeat(mark_delay)begin + rm_if.mark_rm = func_ctrl[5]; + @(posedge `CLK); + end + repeat(mark_width)begin + if(mark_trig)begin + rm_if.mark_rm = ~func_ctrl[5]; + @(posedge `CLK); + end + else begin + rm_if.mark_rm = func_ctrl[5]; + @(posedge `CLK); + end + end + rm_if.mark_rm = 1'b0; + mark_flag = 1; + end + + if(cnt == wait_cycle)begin + if(pump_delay + pump_width >= mark_delay + mark_width)begin + repeat(pump_delay + pump_width)begin + cnt++; + rm_if.cnt = cnt; + @(posedge `CLK); + end + end + else begin + repeat(mark_delay + mark_width)begin + cnt++; + rm_if.cnt = cnt; + @(posedge `CLK); + end + end + end + join + end +endtask + + +task readout_awg_rm::hilbert_fir( + input bit signed[15:0] hilbert_in[$], + input bit [15:0] data_size, + output bit signed[15:0] hilbert_out[$] +); + localparam COEFF_LEN = 33; + + bit signed [15:0] coeff [0:COEFF_LEN-1] = { + 16'd0, -16'd69, 16'd0, -16'd202, 16'd0, -16'd471, + 16'd0, -16'd950, 16'd0, -16'd1766, 16'd0, -16'd3213, + 16'd0, -16'd6337, 16'd0, -16'd20648, 16'd0, 16'd20648, + 16'd0, 16'd6337, 16'd0, 16'd3213, 16'd0, 16'd1766, + 16'd0, 16'd950, 16'd0, 16'd471, 16'd0, 16'd202, + 16'd0, 16'd69, 16'd0 + }; + bit signed [31:0] acc ; + bit signed [31:0] mul; +//conv + `uvm_info(get_type_name(),$sformatf("hilbert_in_queue = %p",hilbert_in),UVM_LOW); + `uvm_info(get_type_name(),$sformatf("coeff = %p,data_size = %0d",coeff,data_size),UVM_LOW); + + for (int i = 0; i < COEFF_LEN + data_size; i++) begin + for (int j = 0 ; j <= i; j++)begin + mul = coeff[i-j] * hilbert_in[j]; + acc = acc + mul; +// `uvm_info(get_type_name(),$sformatf("coeff[%0d-%0d] = %0d ,hilbert_in[%0d] = %0d",i,j,coeff[i-j],j,hilbert_in[j]),UVM_LOW); + +// `uvm_info(get_type_name(),$sformatf("mul = %0d, mul[31:16] = %0d,acc = %0d",mul,$signed(mul[31:16]),acc),UVM_LOW); + end + + if(acc[31] == 1)begin + if(acc[15:0] == 0)begin + hilbert_out[i] = $floor(acc/32768); + end else begin + hilbert_out[i] = $floor(acc/32768)- 1; + end + end + else begin + hilbert_out[i] = $floor(acc/32768); + end + + // hilbert_out[i] = $floor(acc/32768); + `uvm_info(get_type_name(),$sformatf("acc = %0d ,acc[31:16] = %0d,hilbert_out = %0d",acc,$floor(acc/32768),hilbert_out[i]),UVM_LOW); + acc = 32'b0; + end +`uvm_info(get_type_name(),$sformatf("hilbert_out = %p,hilbert_out.size() = %d",hilbert_out,hilbert_out.size()),UVM_LOW); + + hilbert_out = hilbert_out[16:COEFF_LEN + data_size-18]; +`uvm_info(get_type_name(),$sformatf("hilbert_out = %p,hilbert_out.size() = %d",hilbert_out,hilbert_out.size()),UVM_LOW); + + +endtask + + + +task readout_awg_rm::run_phase(uvm_phase phase); + fork + get_mcu_cw_item(); + get_spi_item(); + get_config_data(); + awg_exe(); + if_pump_mark(); + send_dac_item(); + join +endtask + + + + +`endif diff --git a/readout_daq_rm.sv b/readout_daq_rm.sv index ee1fa2c..4480df1 100644 --- a/readout_daq_rm.sv +++ b/readout_daq_rm.sv @@ -8,71 +8,125 @@ //******************************************************************* //END_HEADER********************************************************* - - `ifndef READOUT_DAQ_RM `define READOUT_DAQ_RM - -//import uvm_pkg::*; -//import +`include "../testbench/refm/mcu_cw_item.sv" +//`include "../testbench/refm/reg_rfm.sv" class readout_daq_rm extends uvm_component; `uvm_component_utils(readout_daq_rm); virtual rm_if rm_if; //sync uvm_blocking_get_port #(EZQ_readout_adc_item) adc_get_port; //get adc wave - uvm_blocking_get_port #(mcu_cw_item) mcu_get_port; //从mcu获取带时间戳的包,包含cw,time - uvm_analysis_port #(spi_item) spi_rm2scb_port; //send spi_item - spi_item spi_item_2scb_queue[$]; - extern function new(string name,uvm_component parent); - extern function void build_phase(uvm_phase phase); - extern virtual task run_phase(uvm_phase phase); + uvm_blocking_get_port #(mcu_cw_item) mcu_get_port; //get cw_data + uvm_analysis_port #(spi_item) spi_rm2scb_port; //send iq_sum, iq_state to scb +// parameter NUM_QUBIT = 1; +// parameter NUM_WAY = 1; + + bit [31:0] ram_data[bit[31:0]]; + bit [31:0] wr_data; + bit [31:0] wr_addr; + + bit [63:0] clock_cycle ; + bit [31:0] cw_data ; + bit cw_valid ; + bit [63:0] adc_wave[$]; + bit [31:0] delay_cycle; + bit [31:0] all_queue[$]; + + + bit [31:0] cw_data_cur; + bit [31:0] clock_cycle_cur; + bit cw_valid_cur; + bit [63:0] adc_wave_cur[$]; + bit [31:0] delay_cycle_cur; + bit [31:0] time_interval; + bit flag; + + + mcu_cw_item cw_queue[$]; + bit [63:0] adc_data_queue[$]; + + bit [31:0] mcu_timer; + bit [31:0] mcu_counter; + bit [31:0] loc_state; + bit [31:0] glb_state; + bit [31:0] feed_data; + bit [31:0] send_data; + bit [31:0] sram_count; + bit [31:0] push_count; + bit [31:0] command; + bit [31:0] func_ctrl; + bit [31:0] sample_depth; + bit [31:0] int_threshold; + bit [31:0] qubit_state; + bit [31:0] read_req_ctrl; + bit [31:0] demod_width [15:0]; + bit [31:0] demod_freq [15:0]; + bit [31:0] count_state_0 [15:0]; + bit [31:0] count_state_1 [15:0]; + bit [31:0] count_state_2 [15:0]; + bit [31:0] count_state_3 [15:0]; + bit [31:0] i_sum [15:0]; + bit [31:0] q_sum [15:0]; + bit [31:0] para_ab0 [15:0]; + bit [31:0] para_ab1 [15:0]; + bit [31:0] para_ab2 [15:0]; + bit [31:0] para_c0 [15:0]; + bit [31:0] para_c1 [15:0]; + bit [31:0] para_c2 [15:0]; + + + extern function new(string name,uvm_component parent); + extern function void build_phase(uvm_phase phase); + extern virtual task run_phase(uvm_phase phase); extern task get_mcu_cw_item(); - extern task get_adc_item(); - extern task daq_exe(ref bit[31:0]cw_data, ref logic[63:0]adc_wave, ref int clock_cycle, ref spi_item_2scb_queue[$]); + extern task get_adc_item(); + extern task get_spi_item(); + extern task get_config_data(); extern task send_spi_item(); - extern task daq_block( - ref logic [31:0]i, - ref logic [31:0]cw_data , - ref logic [31:0]func_ctrl , - ref logic [31:0]demod_freq , - ref logic [31:0]demod_width , - ref logic [31:0]para_ab0 , - ref logic [31:0]para_ab1 , - ref logic [31:0]para_ab2 , - ref logic [31:0]para_c0 , - ref logic [31:0]para_c1 , - ref logic [31:0]para_c2 , - ref logic [1 :0]state_data , - ref logic [31:0]iq_save_en , - ref logic [31:0]i_sum , - ref logic [31:0]q_sum , - ref logic [31:0]count_save_en , - ref logic [31:0]count_state_0 , - ref logic [31:0]count_state_1 , - ref logic [31:0]count_state_2 , - ref logic [31:0]count_state_3 + extern task daq_exe( + ref bit [31:0] mcu_timer, + ref bit [31:0] mcu_counter, + ref bit [31:0] loc_state, + ref bit [31:0] glb_state, + ref bit [31:0] feed_data, + ref bit [31:0] sram_count, + ref bit [31:0] push_count, + ref bit [31:0] qubit_state, + ref bit [31:0] i_sum [15:0], + ref bit [31:0] q_sum [15:0], + ref bit [31:0] count_state_0 [15:0], + ref bit [31:0] count_state_1 [15:0], + ref bit [31:0] count_state_2 [15:0], + ref bit [31:0] count_state_3 [15:0] + ); + extern task daq_block( + + input bit [15:0] i, + input bit demod_valid, + input bit [31:0]demod_freq , + input bit [31:0]demod_width , + input bit [31:0]para_ab0 , + input bit [31:0]para_ab1 , + input bit [31:0]para_ab2 , + input bit [31:0]para_c0 , + input bit [31:0]para_c1 , + input bit [31:0]para_c2 , + ref bit [1 :0]state_data , + ref bit [31:0]i_sum , + ref bit [31:0]q_sum , + ref bit [31:0]count_state_0 , + ref bit [31:0]count_state_1 , + ref bit [31:0]count_state_2 , + ref bit [31:0]count_state_3 ); extern task dds( - ref logic [9 :0] address, - ref logic [7 :0] dds_cos[NUM_WAY-1:0], - ref logic [7 :0] dds_sin[NUM_WAY-1:0] + input bit unsigned[9 :0] address, + output bit signed[7 :0] dds_cos, + output bit signed[7 :0] dds_sin ); - extern task data2sram( - ref logic [31:0] qubit_state , - ref logic [31:0] state0_count [15:0], - ref logic [31:0] state1_count [15:0], - ref logic [31:0] state2_count [15:0], - ref logic [31:0] state3_count [15:0], - ref logic [31:0] i_sum [15:0], - ref logic [31:0] q_sum [15:0] - ); - static int NUM_WAY = 8; - static int NUM_QUBIT = 16; - int clock_cycle ; - bit [31:0] cw_data ; - logic [63:0] adc_wave ; endclass function readout_daq_rm::new(string name,uvm_component parent); @@ -86,409 +140,325 @@ function void readout_daq_rm::build_phase(uvm_phase phase); //create port mcu_get_port = new("mcu_get_port",this); adc_get_port = new("adc_get_port",this); + spi_rm2scb_port = new("spi_rm2scb_port",this); endfunction +//task get_adc_item task readout_daq_rm::get_adc_item(); EZQ_readout_adc_item EZQ_readout_adc_item; forever begin adc_get_port.get(EZQ_readout_adc_item); - adc_wave = EZQ_readout_adc_item.adc_wave; - `uvm_info(get_type_name(),$sformatf("mcu_cw_data = %0h",EZQ_readout_adc_item.adc_wave),UVM_LOW) + adc_wave = EZQ_readout_adc_item.adc_wave; + `uvm_info(get_type_name(),$sformatf("get_adc_data = %0p",adc_wave),UVM_LOW) + for(int i = 0; i < adc_wave.size(); i++)begin + adc_data_queue.push_back(adc_wave[i]); + end + `uvm_info(get_type_name(),$sformatf("adc_data_queue.size = %0h",adc_data_queue.size()),UVM_LOW) end endtask +//task get_mcu_cw_item task readout_daq_rm::get_mcu_cw_item(); mcu_cw_item mcu_cw_item; forever begin mcu_get_port.get(mcu_cw_item); - clock_cycle = mcu_cw_item.clock_cycle; - cw_data = mcu_cw_item.cw_data; - `uvm_info(get_type_name(),$sformatf("daq_mcu_cw_data = %0h,daq_mcu_cycle = %0h",mcu_cw_item.cw_data,mcu_cw_item.clock_cycle),UVM_LOW) + clock_cycle = mcu_cw_item.clock_cycle; + cw_data = mcu_cw_item.cw_data; + cw_valid = mcu_cw_item.cw_valid; + `uvm_info(get_type_name(),$sformatf("mcu_cw_data = %0h,mcu_cw_valid = %0h,mcu_cycle = %0h",mcu_cw_item.cw_data,mcu_cw_item.cw_valid,mcu_cw_item.clock_cycle),UVM_LOW) + if(cw_valid)begin + cw_queue.push_back(mcu_cw_item); + `uvm_info(get_type_name(),$sformatf("cw_queue_size = %0h",cw_queue.size()),UVM_LOW) + end end endtask -task send_spi_item(); - spi_item spi_item; +//task get_spi_item +task readout_daq_rm::get_spi_item(); forever begin - if(spi_item_2scb_queue.size > 0) - spi_item = spi_item_2scb_queue.pop_front(); - spi_rm2scb_port.write(spi_item); - `uvm_info(get_type_name(),$sformatf("spi_item_data = %0h",spi_item.data),UVM_LOW) - end + @(wr_addr or wr_data); + `uvm_info(get_type_name(),$sformatf("wr_data=%0h, wr_addr=%h",wr_data,wr_addr),UVM_LOW) + ram_data[wr_addr] = wr_data; + end endtask +//task send_spi_item +task readout_daq_rm::send_spi_item(); + spi_item spi_item; + bit [31:0]spi_item_addr=32'h600000; + wait(int_threshold!=0) + `uvm_info(get_type_name(),$sformatf("int_threshold = %0h",int_threshold),UVM_LOW) + + forever begin + if(all_queue.size()> int_threshold)begin + spi_item = new(); + spi_item.cmd = 1 ; + spi_item.addr = spi_item_addr ; + spi_item.cfgid = 5'd0 ; + + for (int i = 0; i < int_threshold; i++) begin + spi_item.data.push_back(all_queue[i]); + end + spi_rm2scb_port.write(spi_item); + `uvm_info(get_type_name(),"daq_rm send spi_item",UVM_LOW) + spi_item.print(); + `uvm_info(get_type_name(),$sformatf("int_threshold = %0h",int_threshold),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("send_spi_item = %0p",spi_item.data),UVM_LOW) + `uvm_info(get_type_name(),$sformatf("spi_item_size = %0p",spi_item.data.size()),UVM_LOW) + + all_queue = all_queue[int_threshold:$]; + spi_item_addr = spi_item_addr + 25'h4 * int_threshold ; + end + @(posedge `CLK); + end +endtask + + task readout_daq_rm::run_phase(uvm_phase phase);//main task fork get_mcu_cw_item(); get_adc_item(); - daq_exe(cw_data,clock_cycle,adc_wave); + get_spi_item(); + get_config_data(); + daq_exe( + mcu_timer, + mcu_counter, + loc_state, + glb_state, + feed_data, + sram_count, + push_count, + qubit_state, + i_sum , + q_sum , + count_state_0 , + count_state_1 , + count_state_2 , + count_state_3 + ); send_spi_item(); + join endtask -task readout_daq_rm::daq_exe(ref bit[31:0]cw_data, ref logic[63:0]adc_wave, ref int clock_cycle); - logic sync; -//DAQ reg - logic [31:0] mcu_timer; - logic [31:0] mcu_counter; - logic [31:0] loc_state; - logic [31:0] glb_state; - logic [31:0] feed_data; - logic [31:0] send_data; - logic [31:0] sram_count; - logic [31:0] push_count; - logic [31:0] command; - logic [31:0] func_ctrl; - logic [31:0] sample_depth; - logic [31:0] int_threshold; - logic [31:0] qubit_state; - logic [31:0] read_req_ctrl; - spi_item spi_item; - logic [31:0] demod_width [15:0]; - logic [31:0] demod_freq [15:0]; - logic [31:0] count_state_0 [15:0]; - logic [31:0] count_state_1 [15:0]; - logic [31:0] count_state_2 [15:0]; - logic [31:0] count_state_3 [15:0]; - logic [31:0] i_sum [15:0]; - logic [31:0] q_sum [15:0]; - logic [31:0] para_ab0 [15:0]; - logic [31:0] para_ab1 [15:0]; - logic [31:0] para_ab2 [15:0]; - logic [31:0] para_c0 [15:0]; - logic [31:0] para_c1 [15:0]; - logic [31:0] para_c2 [15:0]; +task readout_daq_rm::daq_exe( + ref bit [31:0] mcu_timer, + ref bit [31:0] mcu_counter, + ref bit [31:0] loc_state, + ref bit [31:0] glb_state, + ref bit [31:0] feed_data, + ref bit [31:0] sram_count, + ref bit [31:0] push_count, + ref bit [31:0] qubit_state, + ref bit [31:0] i_sum [15:0], + ref bit [31:0] q_sum [15:0], + ref bit [31:0] count_state_0 [15:0], + ref bit [31:0] count_state_1 [15:0], + ref bit [31:0] count_state_2 [15:0], + ref bit [31:0] count_state_3 [15:0] +); +//DAQ signal + bit [15:0] iq_save_en; + bit [15:0] state_save_en; + bit [15:0] count_save_en; + + bit [1 :0] state_data[15:0]; + bit [15:0] demod_valid; -// sync signal + bit [15:0] i; +//wait sync signal + `uvm_info(get_type_name(),"daq wait sync_in",UVM_LOW) wait (`SYNC_IN); - `uvm_info(get_type_name(),"wait sync_in",UVM_LOW) - sync = rm_if.sync; -//MCU packet : get cw_data & cycle - //mcu_get_port.get(mcu_cw_item); - //clock_cycle = mcu_cw_item.clock_cycle; - //cw_data = mcu_cw_item.cw_data; + `uvm_info(get_type_name(),"daq sync_in come",UVM_LOW) +// sync_in = rm_if.sync_in; +forever begin + mcu_cw_item mcu_cw_item; +// @(posedge `CLK) + wait(cw_queue.size()>0 ); + mcu_cw_item = cw_queue.pop_front(); + cw_data_cur = mcu_cw_item.cw_data; + clock_cycle_cur = mcu_cw_item.clock_cycle; + cw_valid_cur = mcu_cw_item.cw_valid; + wait(adc_data_queue.size()> clock_cycle_cur + sample_depth + 4); + adc_wave_cur = adc_data_queue[clock_cycle_cur+4 : clock_cycle_cur + sample_depth + 3]; + `uvm_info(get_type_name(),$sformatf("mcu_cw_item.cw_data = %0h,mcu_cw_item.cycle = %0h,mcu_cw_item.cw_valid = %0h",mcu_cw_item.cw_data,mcu_cw_item.clock_cycle,mcu_cw_item.cw_valid),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("adc_wave_cur = %0p,adc_wave_cur.size = %0h",adc_wave_cur,adc_wave_cur.size()),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("adc_data_queue.size = %0h",adc_data_queue.size()),UVM_HIGH) -//get DAQ reg - mcu_timer = reg_mems::daq_regfile.get("timer"); - mcu_counter = reg_mems::daq_regfile.get("counter"); - loc_state = reg_mems::daq_regfile.get("loc_state"); - glb_state = reg_mems::daq_regfile.get("glb_state"); - feed_data = reg_mems::daq_regfile.get("feed_data"); - send_data = reg_mems::daq_regfile.get("send_data"); - sram_count = reg_mems::daq_regfile.get("sram_count"); - push_count = reg_mems::daq_regfile.get("push_count"); - command = reg_mems::daq_regfile.get("Command"); - func_ctrl = reg_mems::daq_regfile.get("function"); - sample_depth = reg_mems::daq_regfile.get("sample_depth"); - int_threshold = reg_mems::daq_regfile.get("int_threshold"); -// qubit_state = reg_mems::daq_regfile.get("qubit_state"); - read_req_ctrl = reg_mems::daq_regfile.get("read_req_ctrl"); - demod_freq[ 0] = reg_mems::daq_regfile.get("demod_freq_0"); - demod_freq[ 1] = reg_mems::daq_regfile.get("demod_freq_1"); - demod_freq[ 2] = reg_mems::daq_regfile.get("demod_freq_2"); - demod_freq[ 3] = reg_mems::daq_regfile.get("demod_freq_3"); - demod_freq[ 4] = reg_mems::daq_regfile.get("demod_freq_4"); - demod_freq[ 5] = reg_mems::daq_regfile.get("demod_freq_5"); - demod_freq[ 6] = reg_mems::daq_regfile.get("demod_freq_6"); - demod_freq[ 7] = reg_mems::daq_regfile.get("demod_freq_7"); - demod_freq[ 8] = reg_mems::daq_regfile.get("demod_freq_8"); - demod_freq[ 9] = reg_mems::daq_regfile.get("demod_freq_9"); - demod_freq[10] = reg_mems::daq_regfile.get("demod_freq_10"); - demod_freq[11] = reg_mems::daq_regfile.get("demod_freq_11"); - demod_freq[12] = reg_mems::daq_regfile.get("demod_freq_12"); - demod_freq[13] = reg_mems::daq_regfile.get("demod_freq_13"); - demod_freq[14] = reg_mems::daq_regfile.get("demod_freq_14"); - demod_freq[15] = reg_mems::daq_regfile.get("demod_freq_15"); - - demod_width[ 0] = reg_mems::daq_regfile.get("demod_width_0"); - demod_width[ 1] = reg_mems::daq_regfile.get("demod_width_1"); - demod_width[ 2] = reg_mems::daq_regfile.get("demod_width_2"); - demod_width[ 3] = reg_mems::daq_regfile.get("demod_width_3"); - demod_width[ 4] = reg_mems::daq_regfile.get("demod_width_4"); - demod_width[ 5] = reg_mems::daq_regfile.get("demod_width_5"); - demod_width[ 6] = reg_mems::daq_regfile.get("demod_width_6"); - demod_width[ 7] = reg_mems::daq_regfile.get("demod_width_7"); - demod_width[ 8] = reg_mems::daq_regfile.get("demod_width_8"); - demod_width[ 9] = reg_mems::daq_regfile.get("demod_width_9"); - demod_width[10] = reg_mems::daq_regfile.get("demod_width_10"); - demod_width[11] = reg_mems::daq_regfile.get("demod_width_11"); - demod_width[12] = reg_mems::daq_regfile.get("demod_width_12"); - demod_width[13] = reg_mems::daq_regfile.get("demod_width_13"); - demod_width[14] = reg_mems::daq_regfile.get("demod_width_14"); - demod_width[15] = reg_mems::daq_regfile.get("demod_width_15"); - - para_ab0[ 0] = reg_mems::daq_regfile.get("Q0_state_est_ab_0"); - para_ab0[ 1] = reg_mems::daq_regfile.get("Q0_state_est_ab_1"); - para_ab0[ 2] = reg_mems::daq_regfile.get("Q0_state_est_ab_2"); - para_ab0[ 3] = reg_mems::daq_regfile.get("Q0_state_est_ab_3"); - para_ab0[ 4] = reg_mems::daq_regfile.get("Q0_state_est_ab_4"); - para_ab0[ 5] = reg_mems::daq_regfile.get("Q0_state_est_ab_5"); - para_ab0[ 6] = reg_mems::daq_regfile.get("Q0_state_est_ab_6"); - para_ab0[ 7] = reg_mems::daq_regfile.get("Q0_state_est_ab_7"); - para_ab0[ 8] = reg_mems::daq_regfile.get("Q0_state_est_ab_8"); - para_ab0[ 9] = reg_mems::daq_regfile.get("Q0_state_est_ab_9"); - para_ab0[10] = reg_mems::daq_regfile.get("Q0_state_est_ab_10"); - para_ab0[11] = reg_mems::daq_regfile.get("Q0_state_est_ab_11"); - para_ab0[12] = reg_mems::daq_regfile.get("Q0_state_est_ab_12"); - para_ab0[13] = reg_mems::daq_regfile.get("Q0_state_est_ab_13"); - para_ab0[14] = reg_mems::daq_regfile.get("Q0_state_est_ab_14"); - para_ab0[15] = reg_mems::daq_regfile.get("Q0_state_est_ab_15"); - - para_ab1[ 0] = reg_mems::daq_regfile.get("Q1_state_est_ab_0"); - para_ab1[ 1] = reg_mems::daq_regfile.get("Q1_state_est_ab_1"); - para_ab1[ 2] = reg_mems::daq_regfile.get("Q1_state_est_ab_2"); - para_ab1[ 3] = reg_mems::daq_regfile.get("Q1_state_est_ab_3"); - para_ab1[ 4] = reg_mems::daq_regfile.get("Q1_state_est_ab_4"); - para_ab1[ 5] = reg_mems::daq_regfile.get("Q1_state_est_ab_5"); - para_ab1[ 6] = reg_mems::daq_regfile.get("Q1_state_est_ab_6"); - para_ab1[ 7] = reg_mems::daq_regfile.get("Q1_state_est_ab_7"); - para_ab1[ 8] = reg_mems::daq_regfile.get("Q1_state_est_ab_8"); - para_ab1[ 9] = reg_mems::daq_regfile.get("Q1_state_est_ab_9"); - para_ab1[10] = reg_mems::daq_regfile.get("Q1_state_est_ab_10"); - para_ab1[11] = reg_mems::daq_regfile.get("Q1_state_est_ab_11"); - para_ab1[12] = reg_mems::daq_regfile.get("Q1_state_est_ab_12"); - para_ab1[13] = reg_mems::daq_regfile.get("Q1_state_est_ab_13"); - para_ab1[14] = reg_mems::daq_regfile.get("Q1_state_est_ab_14"); - para_ab1[15] = reg_mems::daq_regfile.get("Q1_state_est_ab_15"); - - para_ab2[ 0] = reg_mems::daq_regfile.get("Q2_state_est_ab_0"); - para_ab2[ 1] = reg_mems::daq_regfile.get("Q2_state_est_ab_1"); - para_ab2[ 2] = reg_mems::daq_regfile.get("Q2_state_est_ab_2"); - para_ab2[ 3] = reg_mems::daq_regfile.get("Q2_state_est_ab_3"); - para_ab2[ 4] = reg_mems::daq_regfile.get("Q2_state_est_ab_4"); - para_ab2[ 5] = reg_mems::daq_regfile.get("Q2_state_est_ab_5"); - para_ab2[ 6] = reg_mems::daq_regfile.get("Q2_state_est_ab_6"); - para_ab2[ 7] = reg_mems::daq_regfile.get("Q2_state_est_ab_7"); - para_ab2[ 8] = reg_mems::daq_regfile.get("Q2_state_est_ab_8"); - para_ab2[ 9] = reg_mems::daq_regfile.get("Q2_state_est_ab_9"); - para_ab2[10] = reg_mems::daq_regfile.get("Q2_state_est_ab_10"); - para_ab2[11] = reg_mems::daq_regfile.get("Q2_state_est_ab_11"); - para_ab2[12] = reg_mems::daq_regfile.get("Q2_state_est_ab_12"); - para_ab2[13] = reg_mems::daq_regfile.get("Q2_state_est_ab_13"); - para_ab2[14] = reg_mems::daq_regfile.get("Q2_state_est_ab_14"); - para_ab2[15] = reg_mems::daq_regfile.get("Q2_state_est_ab_15"); - - para_c0[ 0] = reg_mems::daq_regfile.get("Q0_state_est_c_0"); - para_c0[ 1] = reg_mems::daq_regfile.get("Q0_state_est_c_1"); - para_c0[ 2] = reg_mems::daq_regfile.get("Q0_state_est_c_2"); - para_c0[ 3] = reg_mems::daq_regfile.get("Q0_state_est_c_3"); - para_c0[ 4] = reg_mems::daq_regfile.get("Q0_state_est_c_4"); - para_c0[ 5] = reg_mems::daq_regfile.get("Q0_state_est_c_5"); - para_c0[ 6] = reg_mems::daq_regfile.get("Q0_state_est_c_6"); - para_c0[ 7] = reg_mems::daq_regfile.get("Q0_state_est_c_7"); - para_c0[ 8] = reg_mems::daq_regfile.get("Q0_state_est_c_8"); - para_c0[ 9] = reg_mems::daq_regfile.get("Q0_state_est_c_9"); - para_c0[10] = reg_mems::daq_regfile.get("Q0_state_est_c_10"); - para_c0[11] = reg_mems::daq_regfile.get("Q0_state_est_c_11"); - para_c0[12] = reg_mems::daq_regfile.get("Q0_state_est_c_12"); - para_c0[13] = reg_mems::daq_regfile.get("Q0_state_est_c_13"); - para_c0[14] = reg_mems::daq_regfile.get("Q0_state_est_c_14"); - para_c0[15] = reg_mems::daq_regfile.get("Q0_state_est_c_15"); - - para_c1[ 0] = reg_mems::daq_regfile.get("Q1_state_est_c_0"); - para_c1[ 1] = reg_mems::daq_regfile.get("Q1_state_est_c_1"); - para_c1[ 2] = reg_mems::daq_regfile.get("Q1_state_est_c_2"); - para_c1[ 3] = reg_mems::daq_regfile.get("Q1_state_est_c_3"); - para_c1[ 4] = reg_mems::daq_regfile.get("Q1_state_est_c_4"); - para_c1[ 5] = reg_mems::daq_regfile.get("Q1_state_est_c_5"); - para_c1[ 6] = reg_mems::daq_regfile.get("Q1_state_est_c_6"); - para_c1[ 7] = reg_mems::daq_regfile.get("Q1_state_est_c_7"); - para_c1[ 8] = reg_mems::daq_regfile.get("Q1_state_est_c_8"); - para_c1[ 9] = reg_mems::daq_regfile.get("Q1_state_est_c_9"); - para_c1[10] = reg_mems::daq_regfile.get("Q1_state_est_c_10"); - para_c1[11] = reg_mems::daq_regfile.get("Q1_state_est_c_11"); - para_c1[12] = reg_mems::daq_regfile.get("Q1_state_est_c_12"); - para_c1[13] = reg_mems::daq_regfile.get("Q1_state_est_c_13"); - para_c1[14] = reg_mems::daq_regfile.get("Q1_state_est_c_14"); - para_c1[15] = reg_mems::daq_regfile.get("Q1_state_est_c_15"); - - para_c2[ 0] = reg_mems::daq_regfile.get("Q2_state_est_c_0"); - para_c2[ 1] = reg_mems::daq_regfile.get("Q2_state_est_c_1"); - para_c2[ 2] = reg_mems::daq_regfile.get("Q2_state_est_c_2"); - para_c2[ 3] = reg_mems::daq_regfile.get("Q2_state_est_c_3"); - para_c2[ 4] = reg_mems::daq_regfile.get("Q2_state_est_c_4"); - para_c2[ 5] = reg_mems::daq_regfile.get("Q2_state_est_c_5"); - para_c2[ 6] = reg_mems::daq_regfile.get("Q2_state_est_c_6"); - para_c2[ 7] = reg_mems::daq_regfile.get("Q2_state_est_c_7"); - para_c2[ 8] = reg_mems::daq_regfile.get("Q2_state_est_c_8"); - para_c2[ 9] = reg_mems::daq_regfile.get("Q2_state_est_c_9"); - para_c2[10] = reg_mems::daq_regfile.get("Q2_state_est_c_10"); - para_c2[11] = reg_mems::daq_regfile.get("Q2_state_est_c_11"); - para_c2[12] = reg_mems::daq_regfile.get("Q2_state_est_c_12"); - para_c2[13] = reg_mems::daq_regfile.get("Q2_state_est_c_13"); - para_c2[14] = reg_mems::daq_regfile.get("Q2_state_est_c_14"); - para_c2[15] = reg_mems::daq_regfile.get("Q2_state_est_c_15"); //////////////////////////////////////////////////////////////////// //DAQ functions //////////////////////////////////////////////////////////////////// - for(i = 0; i < NUM_QUBIT; i++)begin - fork - daq_block( - //in - .i (i ), - .cw_data (cw_data ), - .func_ctrl (func_ctrl ), - .demod_freq (demod_freq[i]), - .demod_width (demod_width[i]), - .para_ab0 (para_ab0[i]), - .para_ab1 (para_ab1[i]), - .para_ab2 (para_ab2[i]), - .para_c0 (para_c0[i]), - .para_c0 (para_c0[i]), - .para_c1 (para_c1[i]), - .para_c2 (para_c2[i]), - //out - .state_data (state_data[i]), - .iq_save_en (iq_save_valid[i]), - .i_sum (i_sum[i] ), - .q_sum (q_sum[i] ), - .count_save_en (count_save_valid[i]), - .count_state_0 (count_state_0[i]), - .count_state_1 (count_state_1[i]), - .count_state_2 (count_state_2[i]), - .count_state_3 (count_state_3[i]), - ); - join - qubit_state[i*2+: 2] = state_data[i]; + demod_valid = cw_data_cur[31:16]; + if(adc_wave_cur.size()>0)begin + for(i = 0; i < adc_wave_cur.size(); i++)begin + adc_wave_cur[i] = adc_wave_cur[i] ^ 64'h8080_8080_8080_8080; + end + + for(i = 0; i < adc_wave_cur.size(); i++)begin + all_queue.push_back(adc_wave_cur[i][31:0]); + all_queue.push_back(adc_wave_cur[i][63:32]); + `uvm_info(get_type_name(),$sformatf("the %d data:adc_wave_cur[31:0] = %0h,adc_wave_cur[63:32] = %0h",i,adc_wave_cur[i][31:0],adc_wave_cur[i][63:32]),UVM_HIGH) + if(adc_wave_cur[i][31:0] == 32'hb0f | adc_wave_cur[i][63:32] == 32'hb0f)begin + `uvm_info(get_type_name(),("error data"),UVM_HIGH) + end + end + `uvm_info(get_type_name(),("store wave done"),UVM_HIGH) + end + + for(i = 0; i < `NUM_QUBIT; i++)begin + iq_save_en[i] = demod_valid[i] ? (cw_data_cur[2:0]==3'b101 | cw_data_cur[13]):iq_save_en[i] ; + state_save_en[i] = demod_valid[i] ? (cw_data_cur[2:0]==3'b110 | cw_data_cur[14]):state_save_en[i] ; + count_save_en[i] = demod_valid[i] ? (cw_data_cur[2:0]==3'b111 | cw_data_cur[15]):count_save_en[i] ; + +// loc_fb_en[k] <= demod_valid_buf[k] ? (cw_data_buf[6] & !cw_data_buf[3]) : loc_fb_en[k]; +// glb_fb_en[k] <= demod_valid_buf[k] ? (cw_data_buf[7] & !cw_data_buf[3]) : glb_fb_en[k]; +// send_loc_vld[k] <= demod_valid_buf[k] & cw_data_buf[3] & cw_data_buf[6]; +// send_glb_vld[k] <= demod_valid_buf[k] & cw_data_buf[3] & cw_data_buf[7]; + + daq_block( + //in + i, + demod_valid[i], + demod_freq[i], + demod_width[i], + para_ab0[i], + para_ab1[i], + para_ab2[i], + para_c0[i], + para_c1[i], + para_c2[i], + //out + state_data[i] , + i_sum[i] , + q_sum[i] , + count_state_0[i], + count_state_1[i], + count_state_2[i], + count_state_3[i] + ); + qubit_state[i*2+: 2] = state_data[i]; end + + for(i = 0; i < `NUM_QUBIT; i++)begin + if(iq_save_en[i])begin + all_queue.push_back(i_sum[i]); + all_queue.push_back(q_sum[i]); + + `uvm_info(get_type_name(),$sformatf("store i_sum[%0h] = %h",i,i_sum[i]),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("store q_sum[%0h] = %h",i,q_sum[i]),UVM_HIGH) + + `uvm_info(get_type_name(),("store iq_sum done"),UVM_HIGH) + end + end + if(state_save_en)begin + all_queue.push_back(qubit_state); + `uvm_info(get_type_name(),$sformatf("store qubit_state = %h",qubit_state),UVM_HIGH) + `uvm_info(get_type_name(),("store qubit_state done"),UVM_HIGH) + end + + for(i = 0; i < `NUM_QUBIT; i++)begin + if(count_save_en[i])begin + all_queue.push_back(count_state_0[i]); + all_queue.push_back(count_state_1[i]); + all_queue.push_back(count_state_2[i]); + all_queue.push_back(count_state_3[i]); + `uvm_info(get_type_name(),("store count_state done"),UVM_HIGH) + end + end + `uvm_info(get_type_name(),$sformatf("all_queue.size() = %0h",all_queue.size()),UVM_HIGH) + - data2sram( - .qubit_state (qubit_state ), - .count_state_0 (count_state_0 ), - .count_state_1 (count_state_1 ), - .count_state_2 (count_state_2 ), - .count_state_3 (count_state_3 ), - .i_sum (i_sum ), - .q_sum (q_sum ) - ); - -//解模数据、态状态、态统计数据,通过spi_item发送给scb,目前只带数据,wave未发送 - spi_item.data = qubit_state; - spi_item_2scb_queue.push_back(spi_item); - foreach (count_state_0[i]) begin - spi_item.data = count_state_0[i]; - spi_item_2scb_queue.push_back(spi_item); - end - foreach (count_state_1[i]) begin - spi_item.data = count_state_1[i]; - spi_item_2scb_queue.push_back(spi_item); - end - foreach (count_state_2[i]) begin - spi_item.data = count_state_2[i]; - spi_item_2scb_queue.push_back(spi_item); - end - foreach (count_state_3[i]) begin - spi_item.data = count_state_3[i]; - spi_item_2scb_queue.push_back(spi_item); - end - foreach (i_sum[i]) begin - spi_item.data = i_sum[i]; - spi_item_2scb_queue.push_back(spi_item); - end - foreach (q_sum[i]) begin - spi_item.data = q_sum[i]; - spi_item_2scb_queue.push_back(spi_item); - end - +end endtask task readout_daq_rm::daq_block( - logic [31:0]i , - logic [31:0]cw_data , - logic [31:0]func_ctrl , - logic [31:0]demod_freq , - logic [31:0]demod_width , - logic [31:0]para_ab0 , - logic [31:0]para_ab1 , - logic [31:0]para_ab2 , - logic [31:0]para_c0 , - logic [31:0]para_c0 , - logic [31:0]para_c1 , - logic [31:0]para_c2 , - logic [1 :0]state_data , - logic [31:0]iq_save_en , - logic [31:0]i_sum , - logic [31:0]q_sum , - logic [31:0]count_save_en , - logic [31:0]count_state_0 , - logic [31:0]count_state_1 , - logic [31:0]count_state_2 , - logic [31:0]count_state_3 - + input bit [15:0] i , + input bit demod_valid , + input bit [31:0]demod_freq , + input bit [31:0]demod_width , + input bit [31:0]para_ab0 , + input bit [31:0]para_ab1 , + input bit [31:0]para_ab2 , + input bit [31:0]para_c0 , + input bit [31:0]para_c1 , + input bit [31:0]para_c2 , + ref bit [1 :0]state_data , + ref bit [31:0]i_sum , + ref bit [31:0]q_sum , + ref bit [31:0]count_state_0 , + ref bit [31:0]count_state_1 , + ref bit [31:0]count_state_2 , + ref bit [31:0]count_state_3 ); - //问题:1.iq_weight存放的格式,代码与设计书不吻合;iq两路的解模数据存放在两个不同的ram里,同一个地址给到两个ram,拼接成64bit数据。 - // 2.16个qubit的解模数据的读取起始地址是相同的吗? - // 3.pulse_generator的作用? - // 4. daq_reg已经有iq_sum,count_state等信息,还要往sram里写吗? - // 5. 主动数据推送是一个什么样的流程? - // 6.解模宽度demod_width和采样深度sample_width相同? - // 7.代码里sub_cnt没有定义位宽 // codeword - logic demod_valid = cw_data[16+i]; - logic iq_sum_en = cw_data[4] ; - logic count_add_en = cw_data[5] ; - logic loc_fb_en = cw_data[6] & !cw_data[3]) ; - logic glb_fb_en = cw_data[7] & !cw_data[3]) ; - logic iq_clr_en = cw_data[8] ; - logic count_clr_en = cw_data[9] ; - logic iq_save_en = cw_data[2:0]==3'b101) | cw_data[13]) ; - logic state_save_en = cw_data[2:0]==3'b110) | cw_data[14]) ; - logic count_save_en = cw_data[2:0]==3'b111) | cw_data[15]) ; + bit iq_sum_en = demod_valid ? cw_data_cur[4] : iq_sum_en ; + bit count_add_en = demod_valid ? cw_data_cur[5] : count_add_en ; + bit loc_fb_en = demod_valid ? cw_data_cur[6] & !cw_data_cur[3]: loc_fb_en ; + bit glb_fb_en = demod_valid ? cw_data_cur[7] & !cw_data_cur[3]: glb_fb_en ; + bit iq_clr_en = demod_valid ? cw_data_cur[8] : iq_clr_en ; + bit count_clr_en = demod_valid ? cw_data_cur[9] : count_clr_en ; + bit [15:0] j; + bit [15:0] k; + bit [31:0] base_addr; // mtf_gen signal - logic [7 :0] dds_cos[NUM_WAY-1 :0]; - logic [7 :0] dds_sin[NUM_WAY-1 :0]; - logic [2 :0] step_ctrl; - logic const_en; - logic [7 :0] weight_iq; - logic [24:0] read_addr; - logic [31:0] match_filter_i; - logic [31:0] match_filter_q; - logic [15:0] mtf_i_temp[NUM_WAY-1:0];; - logic [15:0] mtf_q_temp[NUM_WAY-1:0];; - logic [7 :0] mtf_i[NUM_WAY-1:0];; - logic [7 :0] mtf_q[NUM_WAY-1:0];; + bit [19:0] accumulator [`NUM_WAY-1 :0]; + bit signed[7 :0] dds_cos[`NUM_WAY-1 :0]; + bit signed[7 :0] dds_sin[`NUM_WAY-1 :0]; + bit [2 :0] step_ctrl; + bit const_en; + bit [7 :0] weight_iq; + bit [24:0] read_addr; + bit [24:0] ram_addr; + bit [31:0] mtf_queue_i[$]; + bit [31:0] mtf_queue_q[$]; + + bit [31:0] match_filter_i; + bit [31:0] match_filter_q; + bit signed[15:0] mtf_i_temp[`NUM_WAY-1:0]; + bit signed[15:0] mtf_q_temp[`NUM_WAY-1:0]; + bit signed[7 :0] i_mult; + bit signed[7 :0] q_mult; + bit [7 :0] byte_sel; + bit [9 :0] address[`NUM_WAY-1:0]; + bit signed[7 :0] mtf_i[`NUM_WAY-1:0]; + bit signed[7 :0] mtf_q[`NUM_WAY-1:0]; //demod_algorithm signal - logic [15:0] demod_i; - logic [15:0] demod_q; - logic [15:0] demod_i_sum; - logic [15:0] demod_q_sum; - logic iq_valid; + bit signed[15:0] demod_i[`NUM_WAY-1:0]; + bit signed[15:0] demod_q[`NUM_WAY-1:0]; + bit [63:0] adc_wave_one; + bit signed[31:0] demod_i_sum[`NUM_WAY-1:0]; + bit signed[31:0] demod_q_sum[`NUM_WAY-1:0]; + bit signed[31:0] demod_i_sum_final; + bit signed[31:0] demod_q_sum_final; + //iq_sum signal - logic [15:0] scale_data_i; - logic [15:0] scale_data_q; - logic [15:0] i_sum; - logic [15:0] q_sum; - //state_estimate - logic [1 :0] state_data; - logic [31:0] count_state_0; - logic [31:0] count_state_1; - logic [31:0] count_state_2; - logic [31:0] count_state_3; - - //循环次数 - for(k = 0; k < demod_width ; k++)begin + bit [15:0] scale_data_i; + bit [15:0] scale_data_q; + + //state_estimate + bit [2:0] compare_result; + base_addr = 25'h500000 + 25'h400 * i; + `uvm_info(get_type_name(),$sformatf("daq_func_ctrl = %h",func_ctrl),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("demod_freq = %h",demod_freq),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("channel %0h : base_addr = %h",i,base_addr),UVM_HIGH) + + for(int k = 0; k < demod_width ; k++)begin //mtf_gen - //dds 每个循环出8路 - logic [19:0] fcw = demod_freq[31:12]; - logic [19:0] fcw_buf = {demod_freq[31:12],3'b0}; - logic [19:0] pcw_buf [NUM_WAY-1 :0]; - logic [19:0] accumulator [NUM_WAY-1 :0]; - - for(j = 0; j < NUM_WAY; j++)begin + bit [19:0] fcw = demod_freq[31:12]; + bit [19:0] fcw_buf = {demod_freq[31:12],3'b0}; + bit [19:0] pcw_buf [`NUM_WAY-1 :0]; + + for(int j = 0; j < `NUM_WAY; j++)begin pcw_buf[j] = {demod_freq[11:0],8'b0} + fcw*(j+1); - accumulator[j] = accumulator[j] + fcw_buf ; - address[j] = {accumulator[j]+pcw_buf[j]}[19:10]; - dds(address[j],dds_cos[j][k],dds_sin[j][k]); + accumulator[j] = fcw_buf*k + pcw_buf[j]; + address[j] = accumulator[j][19:10]; + + dds(address[j],dds_cos[j],dds_sin[j]); + `uvm_info(get_type_name(),$sformatf("channel %d:address = %h; dds_cos= %h; dds_sin=%h",j,address[j],dds_cos[j],dds_sin[j]),UVM_HIGH) end - //step_ctrl,解模算法步长,用于控制每个权重采样点持续时钟周期 - //0: 1个时钟周期 - //1: 2个时钟周期 - //2: 4个时钟周期 - //3: 8个时钟周期 - //4: 16个时钟周期 step_ctrl = func_ctrl[6:4]; const_en = func_ctrl[7]; weight_iq = func_ctrl[15:8]; @@ -496,49 +466,84 @@ task readout_daq_rm::daq_block( i_mult = weight_iq; q_mult = weight_iq; end else begin - case(step_ctrl) - 3'b000 : read_addr = read_addr + 16; - 3'b001 : read_addr = read_addr + 8; - 3'b010 : read_addr = read_addr + 4; - 3'b011 : read_addr = read_addr + 2; - 3'b100 : read_addr = read_addr + 1; - defalut : read_addr = read_addr ; - - reg_mems::match_filter.get32bit({read_addr[12:6],2'b0}, match_filter_i); - reg_mems::match_filter.get32bit({read_addr[12:6],2'b0}, match_filter_q); - + ram_addr = base_addr+{read_addr[12:6],2'b0}; + match_filter_i = ram_data[ram_addr]; + match_filter_q = ram_data[ram_addr + 25'h200]; + + + //mtf_queue_i.push_back(match_filter_i); + //mtf_queue_q.push_back(match_filter_q); byte_sel = read_addr[5:4]; i_mult = match_filter_i[byte_sel*8+:8]; q_mult = match_filter_q[byte_sel*8+:8]; + case(step_ctrl) + 3'b000 : read_addr = read_addr + 16; + 3'b001 : read_addr = read_addr + 8; + 3'b010 : read_addr = read_addr + 4; + 3'b011 : read_addr = read_addr + 2; + 3'b100 : read_addr = read_addr + 1; + endcase + + `uvm_info(get_type_name(),$sformatf("step_ctrl = %h",step_ctrl),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("read_addr = %h",read_addr),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("ram_addr= %h",ram_addr),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("match_filter_i= %h",match_filter_i),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("byte_sel= %h",byte_sel),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("i_mult= %h",i_mult),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("q_mult= %h",q_mult),UVM_HIGH) end - for(j = 0; j < NUM_WAY; j++)begin - mtf_i_temp[j][k] = i_mult*dds_cos[j][k]; - mtf_q_temp[j][k] = q_mult*dds_sin[j][k];//i和q的地址相差多少 - mtf_i[j][k] = {mtf_i_temp[15][k],mtf_i_temp[14:7][k]}+mtf_i_temp[6][k]; - mtf_q[j][k] = {mtf_q_temp[15][k],mtf_q_temp[14:7][k]}+mtf_q_temp[6][k];; + for(int j = 0; j < `NUM_WAY; j++)begin + mtf_i_temp[j] = i_mult*dds_cos[j]; + mtf_q_temp[j] = q_mult*dds_sin[j]; + mtf_i[j] = {mtf_i_temp[j][14],mtf_i_temp[j][13:7]}; + mtf_q[j] = {mtf_q_temp[j][14],mtf_q_temp[j][13:7]}; + `uvm_info(get_type_name(),$sformatf("mtf_i_temp[%h] = %h",j,mtf_i_temp[j]),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("mtf_q_temp[%h] = %h",j,mtf_q_temp[j]),UVM_HIGH) + + `uvm_info(get_type_name(),$sformatf("mtf_i[%h] = %h",j,mtf_i[j]),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("mtf_q[%h] = %h",j,mtf_q[j]),UVM_HIGH) + end - + //demod_algorithm - //adc_wave = EZQ_readout_adc_item.adc_wave; - for(j = 0; j < NUM_WAY; j++)begin - demod_i[j][k] = adc_wave[j*8:j*8+7]*mtf_i[j][k]; - demod_q[j][k] = adc_wave[j*8:j*8+7]*mtf_q[j][k]; - //第一次求和,滤掉除直流之外的频率,先对demod_width长的8路数据进行求和,再对8路进行求和 - demod_i_queue[j].push_back(demod_i[j][k]); - demod_q_queue[j].push_back(demod_q[j][k]); - - demod_i_sum = demod_i_sum + demod_i[j][k]; - demod_q_sum = demod_q_sum + demod_q[j][k]; + if(adc_wave_cur.size()>0)begin + adc_wave_one = adc_wave_cur.pop_front(); + `uvm_info(get_type_name(),$sformatf("adc_wave_one = %h",adc_wave_one),UVM_HIGH) + + end else begin + adc_wave_one = 64'b0; + end + for(j = 0; j < `NUM_WAY; j++)begin + demod_i[j] = $signed(adc_wave_one[j*8+:8])*mtf_i[j]; + demod_q[j] = $signed(adc_wave_one[j*8+:8])*mtf_q[j]; + + demod_i_sum[j] = demod_i_sum[j] + demod_i[j]; + demod_q_sum[j] = demod_q_sum[j] + demod_q[j]; +// `uvm_info(get_type_name(),$sformatf("adc_wave_one = %h",adc_wave_one),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("demod_i[%h] = %h,mtf_i[%0h]=%0h",j,demod_i[j],j,mtf_i[j]),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("demod_q[%h] = %h,mtf_q[%0h]=%0h",j,demod_q[j],j,mtf_q[j]),UVM_HIGH) + + end - //求和结束,valid拉高 - //if(k == demod_width-1) iq_valid = 1; + for(j = 0; j < `NUM_WAY; j++)begin + `uvm_info(get_type_name(),$sformatf("demod_i_sum[%h]= %h",j,demod_i_sum[j]),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("demod_q_sum[%h]= %h",j,demod_q_sum[j]),UVM_HIGH) + + end end + + for(j = 0; j < `NUM_WAY; j++)begin + demod_i_sum_final = demod_i_sum_final + demod_i_sum[j]; + demod_q_sum_final = demod_q_sum_final + demod_q_sum[j]; + end + `uvm_info(get_type_name(),$sformatf("demod_i_sum_final= %h",demod_i_sum_final),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("demod_q_sum_final= %h",demod_q_sum_final),UVM_HIGH) + + //iq_sum - //第二次求和,对多次解模的结果求和 - //分动态范围 - scale_data_i = func_ctrl[3] ? demod_i_sum[31:12] : demod_i_sum[27:8]; - scale_data_q = func_ctrl[3] ? demod_q_sum[31:12] : demod_q_sum[27:8]; + scale_data_i = func_ctrl[3] ? demod_i_sum_final[31:12] : demod_i_sum_final[27:8]; + scale_data_q = func_ctrl[3] ? demod_q_sum_final[31:12] : demod_q_sum_final[27:8]; if(iq_sum_en) begin i_sum = i_sum + scale_data_i; q_sum = q_sum + scale_data_q; @@ -546,53 +551,61 @@ task readout_daq_rm::daq_block( else if(iq_clr_en)begin i_sum = 0; q_sum = 0; - end + end + `uvm_info(get_type_name(),$sformatf("iq_sum_en= %h",iq_sum_en),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("scale_data_i = %h",scale_data_i),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("i_sum = %h",i_sum),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("scale_data_q = %h",scale_data_q),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("q_sum = %h",q_sum),UVM_HIGH) + //state_estimate - para_a0 = para_ab0[15:0]; para_b0 = para_ab0[31:16]; - para_a1 = para_ab1[15:0]; para_b1 = para_ab1[31:16]; - para_a2 = para_ab2[15:0]; para_b2 = para_ab2[31:16]; - if(iq_valid)begin - compare[0] = para_a0*demod_i + para_b0*demod_q <= para_c0; - compare[1] = para_a1*demod_i + para_b1*demod_q <= para_c1; - compare[2] = para_a2*demod_i + para_b2*demod_q <= para_c2; + compare_result[0] = (para_ab0[15:0]*demod_i_sum_final + para_ab0[31:16]*demod_q_sum_final <= para_c0) ? 1 : 0; + compare_result[1] = (para_ab1[15:0]*demod_i_sum_final + para_ab1[31:16]*demod_q_sum_final <= para_c1) ? 1 : 0; + compare_result[2] = (para_ab2[15:0]*demod_i_sum_final + para_ab2[31:16]*demod_q_sum_final <= para_c2) ? 1 : 0; - if(compare[0][i] & (~compare[1][i]) + if(compare_result[0] & (~compare_result[1])) state_data = 2'b00; - else if (compare[1][i] & (~compare[2][i]) + else if (compare_result[1] & (~compare_result[2])) state_data = 2'b01; - else if (compare[2][i] & (~compare[0][i]) + else if (compare_result[2] & (~compare_result[0])) state_data = 2'b10; else - state_data = 2'b11; - end + state_data = 2'b11; + `uvm_info(get_type_name(),$sformatf("compare_result = %b ,state_data = %h",compare_result,state_data),UVM_HIGH) //state_statistics if(count_clr_en)begin - count_state_0 = 32'd0; - count_state_1 = 32'd0; - count_state_2 = 32'd0; - count_state_3 = 32'd0; + count_state_0 = 32'd0; + count_state_1 = 32'd0; + count_state_2 = 32'd0; + count_state_3 = 32'd0; end else if(count_add_en)begin count_state_0 = (state_data == 2'b00) ? count_state_0 + 1 : count_state_0; count_state_1 = (state_data == 2'b01) ? count_state_1 + 1 : count_state_1; count_state_2 = (state_data == 2'b10) ? count_state_2 + 1 : count_state_2; count_state_3 = (state_data == 2'b11) ? count_state_3 + 1 : count_state_3; - end - - end - + end + `uvm_info(get_type_name(),$sformatf("count_clr_en = %h",count_clr_en),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("count_add_en = %h",count_add_en),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("count_state_0 = %h",count_state_0),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("count_state_1 = %h",count_state_1),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("count_state_2 = %h",count_state_2),UVM_HIGH) + `uvm_info(get_type_name(),$sformatf("count_state_3 = %h",count_state_3),UVM_HIGH) + + endtask + task readout_daq_rm::dds( - logic [9 :0] address, - logic [7 :0] dds_cos[NUM_WAY-1:0], - logic [7 :0] dds_sin[NUM_WAY-1:0], + input bit unsigned[9 :0] address, + output bit signed[7 :0] dds_cos, + output bit signed[7 :0] dds_sin ); - // 系数表定义 - localparam [6:0] coefficients [0:255] = '{ + + bit [6:0]coefficients [0:255] = { 7'd0, 7'd1, 7'd2, 7'd2, 7'd3, 7'd4, 7'd5, 7'd5, 7'd6, 7'd7, 7'd8, 7'd9, 7'd9, 7'd10, 7'd11, 7'd12, 7'd12, 7'd13, 7'd14, 7'd15, 7'd16, 7'd16, 7'd17, 7'd18, 7'd19, 7'd19, 7'd20, 7'd21, 7'd22, 7'd23, 7'd23, 7'd24, 7'd25, 7'd26, 7'd26, 7'd27, 7'd28, 7'd29, 7'd29, 7'd30, 7'd31, 7'd32, 7'd32, 7'd33, 7'd34, 7'd35, @@ -613,157 +626,579 @@ task readout_daq_rm::dds( 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127, 7'd127 }; - - if (address[9:8] == 2'b00) begin - dds_sin = coefficients(address[7:0]); - end - else if(address[9:8] == 2'b01) begin - dds_sin = coefficients(511-address[7:0]); - end - else if (address[9:8] == 2'b10) begin - dds_sin = -coefficients(address[7:0]-512); - end - else if(address[9:8] == 2'b11) begin - dds_sin = -coefficients(1023-address[7:0]); - end +//dds_sin if (address[9:8] == 2'b00) begin - dds_cos = coefficients(255-address[7:0]); + dds_sin = coefficients[address[7:0]]; +// `uvm_info(get_type_name(),$sformatf("address[7:0] = %h",address[7:0]),UVM_LOW) end - else if(address[9:8] == 2'b01) begin - dds_cos = -coefficients(address[7:0]-256); - end + else if(address[9:8] == 2'b01) begin + dds_sin = coefficients[(address[7:0] == 8'b0) ? 8'hff : 8'd256-address[7:0]]; +// `uvm_info(get_type_name(),$sformatf("8'd255-address[7:0]= %h",8'd255-address[7:0]),UVM_LOW) + end else if (address[9:8] == 2'b10) begin - dds_cos = -coefficients(767-address[7:0]); + dds_sin = -coefficients[address[7:0]]; +// `uvm_info(get_type_name(),$sformatf("address[7:0] = %h",address[7:0]),UVM_LOW) + end - else if(address[9:8] == 2'b11) begin - dds_cos = -coefficients(address[7:0]-768); - end + else if(address[9:8] == 2'b11) begin + dds_sin = -coefficients[(address[7:0] == 8'b0) ? 8'hff : 8'd256-address[7:0]]; +// `uvm_info(get_type_name(),$sformatf("8'd255-address[7:0] = %h",8'd255-address[7:0]),UVM_LOW) + + end +//dds_cos + if (address[9:8] == 2'b00) begin + dds_cos = coefficients[(address[7:0] == 8'b0) ? 8'hff : 8'd256-address[7:0]]; + end + else if(address[9:8] == 2'b01) begin + dds_cos = -coefficients[address[7:0]]; + end + else if (address[9:8] == 2'b10) begin + dds_cos = -coefficients[(address[7:0] == 8'b0) ? 8'hff : 8'd256-address[7:0]]; + end + else if(address[9:8] == 2'b11) begin + dds_cos = coefficients[address[7:0]]; + end + endtask : dds -task readout_daq_rm::data2sram( - logic [31:0] qubit_state , - logic [31:0] state0_count [15:0], - logic [31:0] state0_count [15:0], - logic [31:0] state1_count [15:0], - logic [31:0] state2_count [15:0], - logic [31:0] state3_count [15:0], - logic [31:0] i_sum [15:0], - logic [31:0] q_sum [15:0], - ); -//将qubit_state、iq_sum,count_state写入寄存器 - reg_mems::daq_regfile.set("qubit_state", qubit_state); - - reg_mems::daq_regfile.set("Q0_i_sum", i_sum[0]); - reg_mems::daq_regfile.set("Q1_i_sum", i_sum[1]); - reg_mems::daq_regfile.set("Q2_i_sum", i_sum[2]); - reg_mems::daq_regfile.set("Q3_i_sum", i_sum[3]); - reg_mems::daq_regfile.set("Q4_i_sum", i_sum[4]); - reg_mems::daq_regfile.set("Q5_i_sum", i_sum[5]); - reg_mems::daq_regfile.set("Q6_i_sum", i_sum[6]); - reg_mems::daq_regfile.set("Q7_i_sum", i_sum[7]); - reg_mems::daq_regfile.set("Q8_i_sum", i_sum[8]); - reg_mems::daq_regfile.set("Q9_i_sum", i_sum[9]); - reg_mems::daq_regfile.set("Q10_i_sum", i_sum[10]); - reg_mems::daq_regfile.set("Q11_i_sum", i_sum[11]); - reg_mems::daq_regfile.set("Q12_i_sum", i_sum[12]); - reg_mems::daq_regfile.set("Q13_i_sum", i_sum[13]); - reg_mems::daq_regfile.set("Q14_i_sum", i_sum[14]); - reg_mems::daq_regfile.set("Q15_i_sum", i_sum[15]); - - reg_mems::daq_regfile.set("Q0_q_sum", q_sum[0]); - reg_mems::daq_regfile.set("Q1_q_sum", q_sum[1]); - reg_mems::daq_regfile.set("Q2_q_sum", q_sum[2]); - reg_mems::daq_regfile.set("Q3_q_sum", q_sum[3]); - reg_mems::daq_regfile.set("Q4_q_sum", q_sum[4]); - reg_mems::daq_regfile.set("Q5_q_sum", q_sum[5]); - reg_mems::daq_regfile.set("Q6_q_sum", q_sum[6]); - reg_mems::daq_regfile.set("Q7_q_sum", q_sum[7]); - reg_mems::daq_regfile.set("Q8_q_sum", q_sum[8]); - reg_mems::daq_regfile.set("Q9_q_sum", q_sum[9]); - reg_mems::daq_regfile.set("Q10_q_sum", q_sum[10]); - reg_mems::daq_regfile.set("Q11_q_sum", q_sum[11]); - reg_mems::daq_regfile.set("Q12_q_sum", q_sum[12]); - reg_mems::daq_regfile.set("Q13_q_sum", q_sum[13]); - reg_mems::daq_regfile.set("Q14_q_sum", q_sum[14]); - reg_mems::daq_regfile.set("Q15_q_sum", q_sum[15]); - - - reg_mems::daq_regfile.set("Q0_state0_statistics", count_state_0[0]); - reg_mems::daq_regfile.set("Q1_state0_statistics", count_state_0[1]); - reg_mems::daq_regfile.set("Q2_state0_statistics", count_state_0[2]); - reg_mems::daq_regfile.set("Q3_state0_statistics", count_state_0[3]); - reg_mems::daq_regfile.set("Q4_state0_statistics", count_state_0[4]); - reg_mems::daq_regfile.set("Q5_state0_statistics", count_state_0[5]); - reg_mems::daq_regfile.set("Q6_state0_statistics", count_state_0[6]); - reg_mems::daq_regfile.set("Q7_state0_statistics", count_state_0[7]); - reg_mems::daq_regfile.set("Q8_state0_statistics", count_state_0[8]); - reg_mems::daq_regfile.set("Q9_state0_statistics", count_state_0[9]); - reg_mems::daq_regfile.set("Q10_state0_statistics", count_state_0[10]); - reg_mems::daq_regfile.set("Q11_state0_statistics", count_state_0[11]); - reg_mems::daq_regfile.set("Q12_state0_statistics", count_state_0[12]); - reg_mems::daq_regfile.set("Q13_state0_statistics", count_state_0[13]); - reg_mems::daq_regfile.set("Q14_state0_statistics", count_state_0[14]); - reg_mems::daq_regfile.set("Q15_state0_statistics", count_state_0[15]); - - reg_mems::daq_regfile.set("Q0_state1_statistics", count_state_1[0]); - reg_mems::daq_regfile.set("Q1_state1_statistics", count_state_1[1]); - reg_mems::daq_regfile.set("Q2_state1_statistics", count_state_1[2]); - reg_mems::daq_regfile.set("Q3_state1_statistics", count_state_1[3]); - reg_mems::daq_regfile.set("Q4_state1_statistics", count_state_1[4]); - reg_mems::daq_regfile.set("Q5_state1_statistics", count_state_1[5]); - reg_mems::daq_regfile.set("Q6_state1_statistics", count_state_1[6]); - reg_mems::daq_regfile.set("Q7_state1_statistics", count_state_1[7]); - reg_mems::daq_regfile.set("Q8_state1_statistics", count_state_1[8]); - reg_mems::daq_regfile.set("Q9_state1_statistics", count_state_1[9]); - reg_mems::daq_regfile.set("Q10_state1_statistics", count_state_1[10]); - reg_mems::daq_regfile.set("Q11_state1_statistics", count_state_1[11]); - reg_mems::daq_regfile.set("Q12_state1_statistics", count_state_1[12]); - reg_mems::daq_regfile.set("Q13_state1_statistics", count_state_1[13]); - reg_mems::daq_regfile.set("Q14_state1_statistics", count_state_1[14]); - reg_mems::daq_regfile.set("Q15_state1_statistics", count_state_1[15]); - - reg_mems::daq_regfile.set("Q0_state2_statistics", count_state_2[0]); - reg_mems::daq_regfile.set("Q1_state2_statistics", count_state_2[1]); - reg_mems::daq_regfile.set("Q2_state2_statistics", count_state_2[2]); - reg_mems::daq_regfile.set("Q3_state2_statistics", count_state_2[3]); - reg_mems::daq_regfile.set("Q4_state2_statistics", count_state_2[4]); - reg_mems::daq_regfile.set("Q5_state2_statistics", count_state_2[5]); - reg_mems::daq_regfile.set("Q6_state2_statistics", count_state_2[6]); - reg_mems::daq_regfile.set("Q7_state2_statistics", count_state_2[7]); - reg_mems::daq_regfile.set("Q8_state2_statistics", count_state_2[8]); - reg_mems::daq_regfile.set("Q9_state2_statistics", count_state_2[9]); - reg_mems::daq_regfile.set("Q10_state2_statistics", count_state_2[10]); - reg_mems::daq_regfile.set("Q11_state2_statistics", count_state_2[11]); - reg_mems::daq_regfile.set("Q12_state2_statistics", count_state_2[12]); - reg_mems::daq_regfile.set("Q13_state2_statistics", count_state_2[13]); - reg_mems::daq_regfile.set("Q14_state2_statistics", count_state_2[14]); - reg_mems::daq_regfile.set("Q15_state2_statistics", count_state_2[15]); - - reg_mems::daq_regfile.set("Q0_state3_statistics", count_state_3[0]); - reg_mems::daq_regfile.set("Q1_state3_statistics", count_state_3[1]); - reg_mems::daq_regfile.set("Q2_state3_statistics", count_state_3[2]); - reg_mems::daq_regfile.set("Q3_state3_statistics", count_state_3[3]); - reg_mems::daq_regfile.set("Q4_state3_statistics", count_state_3[4]); - reg_mems::daq_regfile.set("Q5_state3_statistics", count_state_3[5]); - reg_mems::daq_regfile.set("Q6_state3_statistics", count_state_3[6]); - reg_mems::daq_regfile.set("Q7_state3_statistics", count_state_3[7]); - reg_mems::daq_regfile.set("Q8_state3_statistics", count_state_3[8]); - reg_mems::daq_regfile.set("Q9_state3_statistics", count_state_3[9]); - reg_mems::daq_regfile.set("Q10_state3_statistics", count_state_3[10]); - reg_mems::daq_regfile.set("Q11_state3_statistics", count_state_3[11]); - reg_mems::daq_regfile.set("Q12_state3_statistics", count_state_3[12]); - reg_mems::daq_regfile.set("Q13_state3_statistics", count_state_3[13]); - reg_mems::daq_regfile.set("Q14_state3_statistics", count_state_3[14]); - reg_mems::daq_regfile.set("Q15_state3_statistics", count_state_3[15]); - -//将wave数据、iq_sum、qubit_state、count_state存入队列,并根据需要进行推送 - endtask + + +task readout_daq_rm::get_config_data(); + forever begin + @(posedge `CLK); +///////////////////////////////////////////////////// +//daq_config_reg +///////////////////////////////////////////////////// +/* + if(ram_data.exists(`DAQ_MCU_TIMER)) begin + mcu_timer = ram_data[`DAQ_MCU_TIMER]; + end + if(ram_data.exists(`DAQ_MCU_COUNTER)) begin + mcu_counter = ram_data[`DAQ_MCU_COUNTER]; + end + if(ram_data.exists(`DAQ_LOCAL_STATE)) begin + loc_state = ram_data[`DAQ_LOCAL_STATE]; + end + if(ram_data.exists(`DAQ_GLB_STATE)) begin + glb_state = ram_data[`DAQ_GLB_STATE]; + end + if(ram_data.exists(`DAQ_FEED_DATA)) begin + feed_data = ram_data[`DAQ_FEED_DATA]; + end + + if(ram_data.exists(`DAQ_SRAM_COUNT)) begin + sram_count= ram_data[`DAQ_SRAM_COUNT]; + end + if(ram_data.exists(`DAQ_PUSH_COUNT)) begin + push_count = ram_data[`DAQ_PUSH_COUNT]; + end +*/ + + if(ram_data.exists(`DAQ_SEND_DATA)) begin + send_data = ram_data[`DAQ_SEND_DATA]; + end + + if(ram_data.exists(`DAQ_COMMAND)) begin + command = ram_data[`DAQ_COMMAND]; + end + if(ram_data.exists(`DAQ_FUNC)) begin + func_ctrl = ram_data[`DAQ_FUNC]; + end else begin + func_ctrl = 32'h8000; + end + if(ram_data.exists(`WAVE_SAMPLE_DEPTH)) begin + sample_depth = ram_data[`WAVE_SAMPLE_DEPTH]; + end else begin + sample_depth = 32'h100; + end + if(ram_data.exists(`READ_THRESHOLD)) begin + int_threshold = ram_data[`READ_THRESHOLD]; + end else begin + int_threshold = 32'h100; + end +/* + if(ram_data.exists(`QUBIT_STATE)) begin + qubit_state = ram_data[`QUBIT_STATE]; + end +*/ + if(ram_data.exists(`READ_REQ_CTRL)) begin + read_req_ctrl= ram_data[`READ_REQ_CTRL]; + end else begin + read_req_ctrl= 32'h40004; + end +///////////////////////////////////////////////////// +//demod_width[15:0] +//////////////////////////////////////////////////// + if(ram_data.exists(`DEMOD_WIDTH_Q0)) begin + demod_width[0] = ram_data[`DEMOD_WIDTH_Q0]; +// `uvm_info(get_type_name(),$sformatf("demod_width_q0=%0h",demod_width[0]),UVM_LOW) + end else begin + demod_width[0] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q1)) begin + demod_width[1] = ram_data[`DEMOD_WIDTH_Q1]; + end else begin + demod_width[1] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q2)) begin + demod_width[2] = ram_data[`DEMOD_WIDTH_Q2]; + end else begin + demod_width[2] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q3)) begin + demod_width[3] = ram_data[`DEMOD_WIDTH_Q3]; + end else begin + demod_width[3] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q4)) begin + demod_width[4] = ram_data[`DEMOD_WIDTH_Q4]; + end else begin + demod_width[4] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q5)) begin + demod_width[5] = ram_data[`DEMOD_WIDTH_Q5]; + end else begin + demod_width[5] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q6)) begin + demod_width[6] = ram_data[`DEMOD_WIDTH_Q6]; + end else begin + demod_width[6] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q7)) begin + demod_width[7] = ram_data[`DEMOD_WIDTH_Q7]; + end else begin + demod_width[7] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q8)) begin + demod_width[8] = ram_data[`DEMOD_WIDTH_Q8]; + end else begin + demod_width[8] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q9)) begin + demod_width[9] = ram_data[`DEMOD_WIDTH_Q9]; + end else begin + demod_width[9] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q10)) begin + demod_width[10] = ram_data[`DEMOD_WIDTH_Q10]; + end else begin + demod_width[10] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q11)) begin + demod_width[11] = ram_data[`DEMOD_WIDTH_Q11]; + end else begin + demod_width[11] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q12)) begin + demod_width[12] = ram_data[`DEMOD_WIDTH_Q12]; + end else begin + demod_width[12] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q13)) begin + demod_width[13] = ram_data[`DEMOD_WIDTH_Q13]; + end else begin + demod_width[13] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q14)) begin + demod_width[14] = ram_data[`DEMOD_WIDTH_Q14]; + end else begin + demod_width[14] = 32'h100; + end + if(ram_data.exists(`DEMOD_WIDTH_Q15)) begin + demod_width[15] = ram_data[`DEMOD_WIDTH_Q15]; + end else begin + demod_width[15] = 32'h100; + end +///////////////////////////////////////////////////// +//demod_freq[15:0] +//////////////////////////////////////////////////// + if(ram_data.exists(`DEMOD_FREQ_Q0)) begin + demod_freq[0] = ram_data[`DEMOD_FREQ_Q0]; +// `uvm_info(get_type_name(),$sformatf("demod_freq=%0h",demod_freq[0]),UVM_LOW) + end else begin + demod_freq[0] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q1)) begin + demod_freq[1] = ram_data[`DEMOD_FREQ_Q1]; + end else begin + demod_freq[1] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q2)) begin + demod_freq[2] = ram_data[`DEMOD_FREQ_Q2]; + end else begin + demod_freq[2] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q3)) begin + demod_freq[3] = ram_data[`DEMOD_FREQ_Q3]; + end else begin + demod_freq[3] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q4)) begin + demod_freq[4] = ram_data[`DEMOD_FREQ_Q4]; + end else begin + demod_freq[4] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q5)) begin + demod_freq[5] = ram_data[`DEMOD_FREQ_Q5]; + end else begin + demod_freq[5] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q6)) begin + demod_freq[6] = ram_data[`DEMOD_FREQ_Q6]; + end else begin + demod_freq[6] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q7)) begin + demod_freq[7] = ram_data[`DEMOD_FREQ_Q7]; + end else begin + demod_freq[7] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q8)) begin + demod_freq[8] = ram_data[`DEMOD_FREQ_Q8]; + end else begin + demod_freq[8] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q9)) begin + demod_freq[9] = ram_data[`DEMOD_FREQ_Q9]; + end else begin + demod_freq[9] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q10)) begin + demod_freq[10] = ram_data[`DEMOD_FREQ_Q10]; + end else begin + demod_freq[10] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q11)) begin + demod_freq[11] = ram_data[`DEMOD_FREQ_Q11]; + end else begin + demod_freq[11] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q12)) begin + demod_freq[12] = ram_data[`DEMOD_FREQ_Q12]; + end else begin + demod_freq[12] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q13)) begin + demod_freq[13] = ram_data[`DEMOD_FREQ_Q13]; + end else begin + demod_freq[13] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q14)) begin + demod_freq[14] = ram_data[`DEMOD_FREQ_Q14]; + end else begin + demod_freq[14] = 32'h1000_0000; + end + if(ram_data.exists(`DEMOD_FREQ_Q15)) begin + demod_freq[15] = ram_data[`DEMOD_FREQ_Q15]; + end else begin + demod_freq[15] = 32'h1000_0000; + end +///////////////////////////////////////////////////// +//para_ab0[15:0] para_c0[15:0] +//////////////////////////////////////////////////// + if(ram_data.exists(`PARA_AB0_Q0)) begin + para_ab0[0] = ram_data[`PARA_AB0_Q0]; + end + if(ram_data.exists(`PARA_AB0_Q1)) begin + para_ab0[1] = ram_data[`PARA_AB0_Q1]; + end + if(ram_data.exists(`PARA_AB0_Q2)) begin + para_ab0[2] = ram_data[`PARA_AB0_Q2]; + end + if(ram_data.exists(`PARA_AB0_Q3)) begin + para_ab0[3] = ram_data[`PARA_AB0_Q3]; + end + if(ram_data.exists(`PARA_AB0_Q4)) begin + para_ab0[4] = ram_data[`PARA_AB0_Q4]; + end + if(ram_data.exists(`PARA_AB0_Q5)) begin + para_ab0[5] = ram_data[`PARA_AB0_Q5]; + end + if(ram_data.exists(`PARA_AB0_Q6)) begin + para_ab0[6] = ram_data[`PARA_AB0_Q6]; + end + if(ram_data.exists(`PARA_AB0_Q7)) begin + para_ab0[7] = ram_data[`PARA_AB0_Q7]; + end + if(ram_data.exists(`PARA_AB0_Q8)) begin + para_ab0[8] = ram_data[`PARA_AB0_Q8]; + end + if(ram_data.exists(`PARA_AB0_Q9)) begin + para_ab0[9] = ram_data[`PARA_AB0_Q9]; + end + if(ram_data.exists(`PARA_AB0_Q10)) begin + para_ab0[10] = ram_data[`PARA_AB0_Q10]; + end + if(ram_data.exists(`PARA_AB0_Q11)) begin + para_ab0[11] = ram_data[`PARA_AB0_Q11]; + end + if(ram_data.exists(`PARA_AB0_Q12)) begin + para_ab0[12] = ram_data[`PARA_AB0_Q12]; + end + if(ram_data.exists(`PARA_AB0_Q13)) begin + para_ab0[13] = ram_data[`PARA_AB0_Q13]; + end + if(ram_data.exists(`PARA_AB0_Q14)) begin + para_ab0[14] = ram_data[`PARA_AB0_Q14]; + end + if(ram_data.exists(`PARA_AB0_Q15)) begin + para_ab0[15] = ram_data[`PARA_AB0_Q15]; + end + if(ram_data.exists(`PARA_C0_Q0)) begin + para_c0[0] = ram_data[`PARA_C0_Q0]; + end + if(ram_data.exists(`PARA_C0_Q1)) begin + para_c0[1] = ram_data[`PARA_C0_Q1]; + end + if(ram_data.exists(`PARA_C0_Q2)) begin + para_c0[2] = ram_data[`PARA_C0_Q2]; + end + if(ram_data.exists(`PARA_C0_Q3)) begin + para_c0[3] = ram_data[`PARA_C0_Q3]; + end + if(ram_data.exists(`PARA_C0_Q4)) begin + para_c0[4] = ram_data[`PARA_C0_Q4]; + end + if(ram_data.exists(`PARA_C0_Q5)) begin + para_c0[5] = ram_data[`PARA_C0_Q5]; + end + if(ram_data.exists(`PARA_C0_Q6)) begin + para_c0[6] = ram_data[`PARA_C0_Q6]; + end + if(ram_data.exists(`PARA_C0_Q7)) begin + para_c0[7] = ram_data[`PARA_C0_Q7]; + end + if(ram_data.exists(`PARA_C0_Q8)) begin + para_c0[8] = ram_data[`PARA_C0_Q8]; + end + if(ram_data.exists(`PARA_C0_Q9)) begin + para_c0[9] = ram_data[`PARA_C0_Q9]; + end + if(ram_data.exists(`PARA_C0_Q10)) begin + para_c0[10] = ram_data[`PARA_C0_Q10]; + end + if(ram_data.exists(`PARA_C0_Q11)) begin + para_c0[11] = ram_data[`PARA_C0_Q11]; + end + if(ram_data.exists(`PARA_C0_Q12)) begin + para_c0[12] = ram_data[`PARA_C0_Q12]; + end + if(ram_data.exists(`PARA_C0_Q13)) begin + para_c0[13] = ram_data[`PARA_C0_Q13]; + end + if(ram_data.exists(`PARA_C0_Q14)) begin + para_c0[14] = ram_data[`PARA_C0_Q14]; + end + if(ram_data.exists(`PARA_C0_Q15)) begin + para_c0[15] = ram_data[`PARA_C0_Q15]; + end +///////////////////////////////////////////////////// +//para_ab1[15:0] para_c1[15:0] +//////////////////////////////////////////////////// + if(ram_data.exists(`PARA_AB1_Q0)) begin + para_ab1[0] = ram_data[`PARA_AB1_Q0]; + end + if(ram_data.exists(`PARA_AB1_Q1)) begin + para_ab1[1] = ram_data[`PARA_AB1_Q1]; + end + if(ram_data.exists(`PARA_AB1_Q2)) begin + para_ab1[2] = ram_data[`PARA_AB1_Q2]; + end + if(ram_data.exists(`PARA_AB1_Q3)) begin + para_ab1[3] = ram_data[`PARA_AB1_Q3]; + end + if(ram_data.exists(`PARA_AB1_Q4)) begin + para_ab1[4] = ram_data[`PARA_AB1_Q4]; + end + if(ram_data.exists(`PARA_AB1_Q5)) begin + para_ab1[5] = ram_data[`PARA_AB1_Q5]; + end + if(ram_data.exists(`PARA_AB1_Q6)) begin + para_ab1[6] = ram_data[`PARA_AB1_Q6]; + end + if(ram_data.exists(`PARA_AB1_Q7)) begin + para_ab1[7] = ram_data[`PARA_AB1_Q7]; + end + if(ram_data.exists(`PARA_AB1_Q8)) begin + para_ab1[8] = ram_data[`PARA_AB1_Q8]; + end + if(ram_data.exists(`PARA_AB1_Q9)) begin + para_ab1[9] = ram_data[`PARA_AB1_Q9]; + end + if(ram_data.exists(`PARA_AB1_Q10)) begin + para_ab1[10] = ram_data[`PARA_AB1_Q10]; + end + if(ram_data.exists(`PARA_AB1_Q11)) begin + para_ab1[11] = ram_data[`PARA_AB1_Q11]; + end + if(ram_data.exists(`PARA_AB1_Q12)) begin + para_ab1[12] = ram_data[`PARA_AB1_Q12]; + end + if(ram_data.exists(`PARA_AB1_Q13)) begin + para_ab1[13] = ram_data[`PARA_AB1_Q13]; + end + if(ram_data.exists(`PARA_AB1_Q14)) begin + para_ab1[14] = ram_data[`PARA_AB1_Q14]; + end + if(ram_data.exists(`PARA_AB1_Q15)) begin + para_ab1[15] = ram_data[`PARA_AB1_Q15]; + end + if(ram_data.exists(`PARA_C1_Q0)) begin + para_c1[0] = ram_data[`PARA_C1_Q0]; + end + if(ram_data.exists(`PARA_C1_Q1)) begin + para_c1[1] = ram_data[`PARA_C1_Q1]; + end + if(ram_data.exists(`PARA_C1_Q2)) begin + para_c1[2] = ram_data[`PARA_C1_Q2]; + end + if(ram_data.exists(`PARA_C1_Q3)) begin + para_c1[3] = ram_data[`PARA_C1_Q3]; + end + if(ram_data.exists(`PARA_C1_Q4)) begin + para_c1[4] = ram_data[`PARA_C1_Q4]; + end + if(ram_data.exists(`PARA_C1_Q5)) begin + para_c1[5] = ram_data[`PARA_C1_Q5]; + end + if(ram_data.exists(`PARA_C1_Q6)) begin + para_c1[6] = ram_data[`PARA_C1_Q6]; + end + if(ram_data.exists(`PARA_C1_Q7)) begin + para_c1[7] = ram_data[`PARA_C1_Q7]; + end + if(ram_data.exists(`PARA_C1_Q8)) begin + para_c1[8] = ram_data[`PARA_C1_Q8]; + end + if(ram_data.exists(`PARA_C1_Q9)) begin + para_c1[9] = ram_data[`PARA_C1_Q9]; + end + if(ram_data.exists(`PARA_C1_Q10)) begin + para_c1[10] = ram_data[`PARA_C1_Q10]; + end + if(ram_data.exists(`PARA_C1_Q11)) begin + para_c1[11] = ram_data[`PARA_C1_Q11]; + end + if(ram_data.exists(`PARA_C1_Q12)) begin + para_c1[12] = ram_data[`PARA_C1_Q12]; + end + if(ram_data.exists(`PARA_C1_Q13)) begin + para_c1[13] = ram_data[`PARA_C1_Q13]; + end + if(ram_data.exists(`PARA_C1_Q14)) begin + para_c1[14] = ram_data[`PARA_C1_Q14]; + end + if(ram_data.exists(`PARA_C1_Q15)) begin + para_c1[15] = ram_data[`PARA_C1_Q15]; + end +///////////////////////////////////////////////////// +//para_ab2[15:0] para_c2[15:0] +//////////////////////////////////////////////////// + if(ram_data.exists(`PARA_AB2_Q0)) begin + para_ab2[0] = ram_data[`PARA_AB2_Q0]; + end + if(ram_data.exists(`PARA_AB2_Q1)) begin + para_ab2[1] = ram_data[`PARA_AB2_Q1]; + end + if(ram_data.exists(`PARA_AB2_Q2)) begin + para_ab2[2] = ram_data[`PARA_AB2_Q2]; + end + if(ram_data.exists(`PARA_AB2_Q3)) begin + para_ab2[3] = ram_data[`PARA_AB2_Q3]; + end + if(ram_data.exists(`PARA_AB2_Q4)) begin + para_ab2[4] = ram_data[`PARA_AB2_Q4]; + end + if(ram_data.exists(`PARA_AB2_Q5)) begin + para_ab2[5] = ram_data[`PARA_AB2_Q5]; + end + if(ram_data.exists(`PARA_AB2_Q6)) begin + para_ab2[6] = ram_data[`PARA_AB2_Q6]; + end + if(ram_data.exists(`PARA_AB2_Q7)) begin + para_ab2[7] = ram_data[`PARA_AB2_Q7]; + end + if(ram_data.exists(`PARA_AB2_Q8)) begin + para_ab2[8] = ram_data[`PARA_AB2_Q8]; + end + if(ram_data.exists(`PARA_AB2_Q9)) begin + para_ab2[9] = ram_data[`PARA_AB2_Q9]; + end + if(ram_data.exists(`PARA_AB2_Q10)) begin + para_ab2[10] = ram_data[`PARA_AB2_Q10]; + end + if(ram_data.exists(`PARA_AB2_Q11)) begin + para_ab2[11] = ram_data[`PARA_AB2_Q11]; + end + if(ram_data.exists(`PARA_AB2_Q12)) begin + para_ab2[12] = ram_data[`PARA_AB2_Q12]; + end + if(ram_data.exists(`PARA_AB2_Q13)) begin + para_ab2[13] = ram_data[`PARA_AB2_Q13]; + end + if(ram_data.exists(`PARA_AB2_Q14)) begin + para_ab2[14] = ram_data[`PARA_AB2_Q14]; + end + if(ram_data.exists(`PARA_AB2_Q15)) begin + para_ab2[15] = ram_data[`PARA_AB2_Q15]; + end + if(ram_data.exists(`PARA_C2_Q0)) begin + para_c2[0] = ram_data[`PARA_C2_Q0]; + end + if(ram_data.exists(`PARA_C2_Q1)) begin + para_c2[1] = ram_data[`PARA_C2_Q1]; + end + if(ram_data.exists(`PARA_C2_Q2)) begin + para_c2[2] = ram_data[`PARA_C2_Q2]; + end + if(ram_data.exists(`PARA_C2_Q3)) begin + para_c2[3] = ram_data[`PARA_C2_Q3]; + end + if(ram_data.exists(`PARA_C2_Q4)) begin + para_c2[4] = ram_data[`PARA_C2_Q4]; + end + if(ram_data.exists(`PARA_C2_Q5)) begin + para_c2[5] = ram_data[`PARA_C2_Q5]; + end + if(ram_data.exists(`PARA_C2_Q6)) begin + para_c2[6] = ram_data[`PARA_C2_Q6]; + end + if(ram_data.exists(`PARA_C2_Q7)) begin + para_c2[7] = ram_data[`PARA_C2_Q7]; + end + if(ram_data.exists(`PARA_C2_Q8)) begin + para_c2[8] = ram_data[`PARA_C2_Q8]; + end + if(ram_data.exists(`PARA_C2_Q9)) begin + para_c2[9] = ram_data[`PARA_C2_Q9]; + end + if(ram_data.exists(`PARA_C2_Q10)) begin + para_c2[10] = ram_data[`PARA_C2_Q10]; + end + if(ram_data.exists(`PARA_C2_Q11)) begin + para_c2[11] = ram_data[`PARA_C2_Q11]; + end + if(ram_data.exists(`PARA_C2_Q12)) begin + para_c2[12] = ram_data[`PARA_C2_Q12]; + end + if(ram_data.exists(`PARA_C2_Q13)) begin + para_c2[13] = ram_data[`PARA_C2_Q13]; + end + if(ram_data.exists(`PARA_C2_Q14)) begin + para_c2[14] = ram_data[`PARA_C2_Q14]; + end + if(ram_data.exists(`PARA_C2_Q15)) begin + para_c2[15] = ram_data[`PARA_C2_Q15]; + end + end +endtask `endif - \ No newline at end of file +

  2. Lgx`HU%G@+;-JZ^$>7yWbr+Y9}lHe4VkQB-1 zmZmfPet%F=y9k7Xdo>!UG4%>`5!gI@pd+TD#dxbBns1E@%}Jb%Cqxk-F37IS$y03rq})9$KddJ5rG zr@vTO+XW?z!pnsPa0hH%vOEtg28^;M@%pf5DaJ}wVb||A3S;)YO6*EYMG?1Bj08n` z@#&A`VvHvp=8-%qEv?((0@3)_L{z8mQyD8v1KX%5%(XgG(D4Iw#utvJ=$J=4Go3IA z!G8>}&p_@FVythmlSkgOnCn}wVx1C~kc-f>yXPY-=mNkcH6ZR>c zNAwmQ9##Q_0{>Nx(ElR;Z57^zZqR-C$JO{|b$FYkU4w7eOnA8#->l8Q?HoxXaft|t z#K;`&ceV_G=n>vlVN4odVWQA)cV#B4Vt={glfDp39orQe&LgBSXn1uC9mGhQVn!4k zh!2Y#6ZktiAn<{ggR8;yUGHvqbB)KbKa}Z}K=#NZh2jQBptCY~tSf6pCLW=I+iu`i z5jz>#T~DtyY|!B`o#In~zs^TN{kD5r|)(y7tq6ney!jdf|2 z@IHZuD)}GsBxOxU19aq}NHP^X!O7M!mk%TZErA zJM_pS>=3D};J*HoNCNr?BftQnR)2%zcN1@kc!Kg9^3H_sO*pmN&7)p__&dkHClG8& zFNfWqO*)s91tngmpAi$3fS~rP3`(?BkoEPv^7?_G1jLjtZm!=A-}lc?$G3ya%Zm@O zG3j7LBBrrS9f3HV)Ks1wLuIMeR^r^UAL6Bvp?bzzEuPWn29ZhPc=gcqg!oVA(_<9x8t(f?bG`N?GWH9* zQc}ZiG>d@FNllSGqW*n188X-X@kQ|Vmy@JvMv*XjH4B0Eut{UT!H?ctL0Oe;tu_GR zOWQ#XsR`FqpdghZl*#@!x_`NTuR+2m@hB0SxIg*Uww_E-JfCbG;uN|S8*YxtEev?@ zEW#8675YpEE;zm*L!mqBZHn#=y6>Vp$^wT)c1PP;yQ4lRE2&f3d>x92Nle+ZGwg7g zD}W_rYsv08zFuuA#dKdocXOS*WduBU!PjUygacmbZjOZ1FnuAUqkqh#MH9g3upP8V zKZPT&^p*ZVqZG6S$-Ov^H8n{yRw%_T>gDTGUO3_REcpV(j!NphwdYJqe{lZseMAa% z@cZCYjUPbLY>x?3_bz(l0UG>1yhAtF2yO&a89xqOc0FdS&>x<9>a$T(sU>I@MPDUt za<$bfVOOg)6wq(&J%2CLyXJ21^0Gg=`JU;^wajw{;~UZ7PHx?-Y{s)!WvT8q@^VS} zK*jL#a?~4+W8DoQJi#~Ns6NPSFdQIPSsBRFASFAh(698Zt(y*rC$aBlhBI}a;cRPD zvKI^dfA|wSE;?0VwINF2Y_PF@Vw{kVI#Yh#(T^MXhF z1gNYGQzLdaQ9AsJa+c<^1yE&TOZ&uGn0RV^`q$@^wZ56BupCDf@3pHcd|E8@vr|2b zL`(SGVIbZs`IbH6S3>XmlRk)O0bP@)h?Rds;+r{uGkt|%&XH*5E|tIe-ylx9aU`Cj z$v0|+&XGmB68}Iv&Q#FI-C8#&rA8CHz)i5!++3YDooHN8MT4aeyi%qu;#JDxNee<36r$$aM$EDZjZVMSuK(5C8U! z)dS)0j?f!)*lM5y?+4lSmb&KBHwSj zI4(QxVWJ{;K8_9fNIdpX%do@llJaDRh$ zOG{#c;`@M47v`gZ51s0O<^xPJ{%mbq_~|bNj$-*M$ZO;>U1f*ClvWZ|YbwR{^%_my zS1YxKXzlJ>(&zH#H$N#X`0;;n8DZhj@Ywy;u+95tIuL>BEX)Rb;FXz(BlP4XuHz#K zgh`poEc6BId-$bkmZQwSXyliL3Q`&>T%c9zUlM#ugvuU)n4j>}l5o6Ow@?J>0>))N z>RtD>tDAmu=>mDf@UZ&0dR$pwR){i_dlEqSj|Btsz}j+#fI*g?!ux+lgKzf3V&1MR z6eYD-`GWY|rzgoW5Ye-iKLgD=geES%!M|HuGz}o<@hZN;kIltVGZ-eGwo7wG&3GE= zPbpNeTcEbCu)wx*GZ4g_(3sSY;I)U;(BGbZyp65b3}uEQ+j|d#%B!rvfI2*iQe@$U zSR4X_1()R!Te9mvAKrf*r7FmR&v`1j4zJ>&RJHdih~QpQwa0}|p>I8^zi`FguJCKx zVb+k!M^^#7PSZ4rJe@t`WI4--{t$+3z8hW*Za&_fVqg2>?mBKfNPy9@#(Ts@$6m(? z76flMP})Lh-@N38bN0{GfybsGsR~`65I8h}{hr`GH5x_%tK_RMoEVt zCSZKsh!4M$7bQ_vdB~w;GmK3`CeX`*y)CrJ@h8DfC!xcDkU0yXA-sMj5(ubqP-qF`q3ElmsA;Bm%_lCin^K^jUM$2|vbJrnW7O_ANM5quy4q zG^)i|WnGQA-tXE!2BRB=#a7xrh=`JDM3DiBVOoLSivPS&bzB?{9jzrm2K^UQSM z0g}uhWx%A&aKr)mOTdiUabTQ{W;(J~Ue_J{C6g77-~m^&_Kvgxe?N+~UQ_W@Ypvaw zl)DhvgJQH_jz*#9c>xk7?$%z4LGf=c5&ooE{c$-MvPA%Z*(Xqzr~m<4xd|hSS~TaU z{o(j_2i;9bghpsZhr#;s0hkwPc_1m5#J0@V(EeqatHXb%sV?IZGz1rkhKeLXBR9&{ z!r+nVi(B~tG-|G%fAobpV`X2Y6yrF2X8P@3G0D}oLVBatDh6mHg@}!4=k{g<(0fps zy_r0347_Ge{H@x<|6(V_JAy8vD121B!8@IsiU!FXG` zCps1b2))TrA`DOe_PoyE5zg8>0{@x7FD1?JakLrn zLPK+nzc{-z!?PR<7iO3B(Jn7=cg_1lb}^O}MwD}aeRv#nsNq<8Y2jG%nKLNz2(ssYGFsMx=( zDB!%;$7AIexlE;a&Y=Ap+1`sv+|U8dnXh<|pM>ZOf7pu)MKCj2_tI=9h(SR08A-u; z={Hsrz+Z<`m&zhak)$-CsbWZ1D|tnvgv=bIy8nKCp^};7ns~|a6|jbf65<3y$G9kF zc*dG(Wlv)=XWfQ^^WUf!!9Cq)XpozDQvyI-JOc=5^c%t#a4Uu~3+;8=Dq>qrn%$vC z-kbLpfAC+gRz|>zAsH-R9F!g^Iuv;Y;4@Ez_l>*ReaHgSJ4gXIAtnJ}x-crKAp^J9>BPc%1BeyVp0f6z@S=?i~c(Y4KDL}TXv;F)7B%;|HA zo!~xtLFu>MQsK{GBoFYMXk8&5M9dk%8~1Yk7xF*keg~C^*e_ZgimqAk1Sea^U{#8K zR~-f(rhhti6w@JM&y=_|3uE=L@qU!J&m4GK^GkLlL=D{w@ID~i8f6ysjz$0Vu=xv6 ze}o1-Me(MHZx?+_?#YgkaB{bEN4@^=cP_FexTewz0*z@}7nSsPw^fMTBuV7Idrufl z`?n*76s5GKJK(W(c?tn9?9$(x586+tcRTb|NM^MPdujhaFG~vrxnb-x*^+2s^(d`2BV`8uU49C=&|bMn{4Ef2+e7ie7dTOVgS9_aGLqw2ELXW6$Q@@EU)T*i05$ zgoAIlGzZ}ML<0QVnOj>>fCZXyb7eYneE;`AFaq#92WVuLEL%W1XAEbG_kzF)9fU7{ zSA(VX1fd#qH>YjU9E4;h&$M0{(BF~w;AzyjXJNm^^MlqKJ3O`tB6Weye_)9|NcxO| zc39=O)>WuA>o$v!EdFhDbNyZp;fNYwViV5=gUyp_NS+~k4#VJKOI$IA$N+U8K+uLV z%0Wm6dwi)PF5s?ct^*WZ1tJ?2oJBz@ptHtVSgSV_U%`ST4z`A7TkJirENpb?4g+DN z9cq=KFI2jx80EJqp)Y#0e~5~vo%kukDyZ0bgA;~S1W>`QuiB>Y#ZSJo*?dorjlNQG7^=W#91H_Pnrk?!h*? z3bDa@r=C1baj%f3DDxDGd5Zfy^At>eDQw+Ts~O}gd`eRB3Gg|K8^~8|GNYPXt!{4Y zTT~2cKm1!N*vM8luig3olQ@@05*>{CL+x%v!jMUgH%TM+hyM(-k(WyXf5$YeTM9dC ztq`&!S&Lc97dFWn=1p)hv-M&%OYatP*R$-X)X=vY%>s-MNG^{DciQ>j-OXsAy~lrk z7p-i}DPF)Vi$?$j0h9GJQ*znRrFgieib>s|@inG_%%COc5F~W59e9jfN!{@+Sbv-Z z$%%LTAvx6h-jE9Bw%JY*f1A+ac6mHvSm7@}d3@wtw(OF?)^-J+l}6dSe0q-PE8oT@Z(+Co{g1L{#w1f1I(KLfM=b#S~N+ z6_Q2O%AT`DQBmao{&;nJt`I>E93PGz|7*Kk!-@vdK3{a3^o$!(cH4-o9odF|D=KlD zPG`@d{fJy}0xy-z1Md)=xiySOJWISSoj@@ENxwUA*dLz`DzndLY!1y2yeB9xU^Qo7 zk10XNQHm+pCn?IYf2-1k)gn|MF(RDn8~n#OvF9jh<^Jxm$eiPWP}wGl^wqOp`gwx- z*t&YQw%|bmPcNQ^V8>${!Q6ysYz~iTfWV+;qrIJOEc>r1d6Y@GbChDMok~a8(ZJl( zG~KrK=TD!*#v7>VeX7^qRL?4(@o`TE{5)&}yR2{S{{IcRf3Z(;#&7O9(I0={qrZJ) z^mq8XBlHFx)@$eh`5)o_{Z^%uXHxkCZ#C|f{@{xopZ>p0-$iuw+$^z zdDW-}BcK*}%U8OiT*I*^t5&;*i4!ES5z6{~swW0A7D#V;k&ZF^%77E2#c8 z>#rh;EN;-}cr}2c0b>42;>cb_{=JU7%P&!he6fgg7P@Q`cCTDLOGSZ)vDSVSedyA& zi*b6}vNp-|^tiyiP*nnn0E*yqhk}tBv?dPl)>NrXe`>e(9JBv0eE&fPoMdU}#P+h- zB%pZV81@skm46!z?*?4S$RqH^O-iq7A1y`Ps^L?s( z_AY_W&~`l|P%iQvg!__RE#`9c7vZ&p4L{NoS*6;QsN$P><&F3)9Fn;tzV~KjA^ZrZ zbA|mof2y%JE{9O~LkP%ak!+7uJ^V_4EG4hf5WBX0U-o8I}?9kZN;q$cSMkQcdB% zkoPWfPLneQCE~+}(6;)52HlLWcyV(GCo82Uf5HO>(#ZZTL|zLU<8U?HN}D`ubA2^i z7@x>TpHsC%cG&)16#|QDZe~c(sY+soaBh{nAl+R`N$lk8?DsV`7tHDA_rs$zGz5t2 z3ZH?PGbvg1@nxx%jtUuWUdj@w!%Uq6cUWP!bz|FBW81dP22Eq5F;8sUb{aOeZQD&_ zn_u4d-aE$kBc8L*n0u|cy^gx8m!QkrdaNt|vPktbAYq{Wu>h6%lZ!@xf{oY=L_^Bx zb2~Ym7cJO28WKTr{oZ5%^H#}QRy)_mtjwlZJ{04Tw~$#)u!tNR64*X~+%?JC_|t}zqsm!#dWR3uoZCv)%q45?Ck4#PleaY=rUjJ7zixI_}XO`lHNQJ9}6M0BZIsJ4>XKcATUG{&Z zZ--V*7=Erl##ljNE~>n}&N`uig~Utk!sdxb&S#XgL%jj?dllL5)VSY5m(kFr&7G0m zh?1p#&G^Wl@p|Me9}v6uNn;NdiT@B)Zm)}Tfu4_hBQ~}d=z+b7V*=bC`7oP5Exdje zxiAsYuNnqpb2JtHIJl#7P_Iz_t{FG7xK8W-c>bNQCyl40t$0G99fx_h2ZZO~QAL9h z*U|*z=K<0-#FkS~{2OXj;n@bZO&aB4gw5h@--bhcW$&w+Dux)uQo?Wz`Q%3L{U9gT z5Rk5QB>rR)shdP5vzmij39C_W#75kwdSy(?5;tpgWlG|Y9%xCZl zW}pjcnb!c=?kcVxI68c(2AtS089oV({xwH?1sr7JtS6Y9@fUSWpcFB5_-w8$E?M`I45TLaiBs3)HM6| z{xlY{M*U~_e$EP&un$%Wk3@cvw1T??HiQ1b|CY+KZ#?Z<{~IFZFD`J3cqy%4`9F)D zut6Q!nG7GH}8pKBdQ7T z2)B}-tE(#b+iuUBpABm>2%z>huDx=%y!#1o4P1b}L+w2O-|y?MVg}YpCO1r3q>N-T z?g<@J9$1e!>t&Rl9J5U1+i09gRJQ@hUhTcuM$X1tX54xRsC_ z6%ypglBS0jSTI;a#-aNAKMYv0s2Nl+3mLCEfxkJ__!NRMfew{v_>Qw6OD90a-=C`Jwz4z_VJ34~g zr$>Ns6LUQ+rs>O-9z`nJUB~yRh>%%#XV5oHTJTKk03Vfqq@50Bw#tC?4xd(7m}Yy8 z%V=IaDbBJxP)_9$ZT>B?;ArK(U9+58U8k|4MwDNleOxmB*&ri`gx{!$wmMefU;o&0 z;B&kZi$rQ|F|5{|cEFJcT#3#|!g8tM17V@q{%MBQ``hQ!V_ftnU6ruZpJSCaXOoSQ zFTC1ky@;h?_R?EA70>N%4bmIE=S!f2PuS;fTPb=Ho8a)(owma!1a{lS z^Xy7MHXS-cBGfOscw8w|PTl%Xcb@{A3TFgx>acX((f?(KbM^B0?%Dl@y;LF{<*#|l zX2SgZsIAXhgQ6TZv1Z&^8jP<0u2qI@(fkk<0BjK7o>a6$X}4#@5C^c<15Q-#v!|i*}Xh~?d#QSFT=!;Pa&c5{0oxIiT1?T z1dh$fS_?{6k?No+@8s~mg+I9oWAdNsZKk= zcDcV*J40^Q8e;VJ;vbMwz^M$;eq&Y=2Rfif)hEB!R*lC4s4b5zm$KUo<}U)(T(Zze zj+@z_;JGGusRmgKk?^ro;{4v;iF3JP#X_mELd!q17CKbLmEDu8O>>b>8*@(=3+Fv2 z@xQD4-+vuJRs$rTABCr}koY{MEi7}^=qT~I^_Gm3wRrD6w{Y;E)WcNa(h-|JCk%`s;#v8}CUjG_ zED#%h`%5CnX>C{!X7r|$A)j0<0w9EM5)d)&?=g5v`VF~Y0#=%7lTPsGc@om^kfaVy6Ry|V5s1UQ!EC4e3@7MEOZcD$M-Mx_ zN&-iZXUjuSkQPI)M{Q%{Cbd=%p;E@p8KK$iu%~ztom~|1KKNwR&6W+Q*0;UVIU!RU zXV>+L2^uL||0x{<;AU_60^H}wTiG$wZa3ZGd#Nf_0?4gQsife z!S{Vx8JUA@8RGOtE^xNe*e;dEIf*;Ibt=_KO!ypqV0gPB=^n=%xBc^GHUA-GUgh@VvH~Vg z{jrMn*{X1r_OJZnNlvcke^-oY5VGy~QPo3kD=La@&Y|l;R1Eq#$OL4>4fSLgL9X;0 zA14!(2=B0#g{xM872Mn_CpCqHvH?q2tN*5P7hMnbS?5s`8k$E(!KRQ{?rx!s%~IqM zVO;avRkk`C$w@I$m0bHB?GwpUJzcVB?8YxOueIP$8k-@EfXAiSqiVxXG5m2)@u7DC z?sK*?2^;z%uMKX8L6QllK+WTQdGucpKvNDY_4csYlQ*O3g zhp$&IPU`IB<{`mtSc9p@Y01a5)Njbl23~VM;1)Pk3aa7adV}Jpju7-BI-Av!G`dI<;5QQ3MMiB`P=J$Fb1B)U+K*DruG1@3dh3!D>BmhG)z<@}4VCS!o6T6eYsY*xsc zwCe<^&TdO`Enhb3ix=tTm#qw}@Aur*FHM_|wlB4Cmk_}`^A}7ENvYK zp1SdqhdnX1M}>63rU5=*GO06$lPWavmxWFib9MxrkXn^Aig^5-^lDpNE{U?Uw5CiD z{+<*N)lQdN(XW4|zz|HMZY*=Opl-t#1(cvPE=Obqc-3@ilAxTi`)>ThW3ox@Ez~qM zw>gkhqZnK3{Z+I1A2zE^^e3)bzbv~QLcVIT^SQP$nr$girYhluvS@b6-p3_=+NlOPf zM>qEv5NC1XxFl4!GGO#6+jBqwwXm|K$l5*;6R$fvcOLFe_fWUb%-iv98i~PTTZ$Vw z`2Dj9Hd2RJ$hgiGrJi*hHrgXHNxAE?Fm5!?svS`oq1ym;P!XyYGDKPF47ntSC$Q>< zzZqEkR#Jm?R<0JLG~>-`GFE>3j2yt@u+@CHR6Sf0oITIA;;`H?F*Fh{FCTmsNC8y` z{J34zrDQJBAya`?Z^|X{dSzJ<&JO-+3#KkbYE`R!$EGhvhJDpAIp(f<5s#_Mp?pL9 zbCs|}VEa^wQh|&j(H(}ejJSms@A4=MyD30m*JykLjVG7lzY|WT8R+>3W)v`RTl5r3 zVOHHISLT_(-4GSItj0Fqak?Mg&@m0?D^_bSmo*ULho8mxD8Gi~hJ36UideMdM#YB- zymXUsNvrq*`q29!8?0p#kwDI8gWph4$BOh7tGPihj(}*NuE+nWR@#~vkOIgA zuYNgjv~-6wMRu*+l!#x{Car4?ObirAoUSOu1N8d}hx($xTl zdDjLh^&9DlJn2vQ$DJa1*n^dkKd@5b>)?wUw(?_pF$ zmHe(Dn>s#-cBrmKW&phL^!@Z=Q$p;TtYX6yOt81POi+E~b}Fat)}pIXY*G{cUK>C7 z+#oC&5W>1>5t_qK9cy+rPWL&SFFwYHBuwIrp1V@dG1Kik2WTb1!QgQd@dvOkn?J47 zk6dc3!M?2U$N!KA1WN zsEaU_&>Os{5x^3-n-emC?auUF2UNaB%OyNBk;Okob=Els%DYLO6}-_5b;sV))C`^K zzC~|K6)?*PkDDkS6na9&&x_1wuioCT`^O)cG{-h)8<@4G7mtw${!UCiIl;caenCBP zZqey0eO$zbocOi6p&&sj zVdKPLy{zTGVMLX=m}6S^VZ*nh;hl0VPS^E4pfddetNt1Gj|;r%ImY;!WJx z=#nd^Jxnbc!Qnxz>>SFPFvdmHLNZDc1*Y#au^6JA%;z%?HJoDyHiVg0aYNB6wP@Dh zJ3w(f-u&n0QFOG)4fEu+VzPjFTbgDeiSLAB&&#f1LoXd4eVtx?3R213LqU6N*C~lU zp!)7t6*8I=Ro}9q5~kE-O$l0sHOo2H5|E=>8jdLOY5?tljy#8$FSQ*}#4u1r`)@?7 z4QY`F_RG_Nd%GGCv@Jrvpy$sLtyHK)0Gu7p4G-|h#2#8T0U06Riwn`pEDrxd+?rg= zcq$l1#2z*8%2pYTZ92FPgA`Xne}x2g>+Tl=rI0Gel?M4arUf~>j1tpYZ0^hgsMb7w z;9Dz@k(zY6HjMs})GAjxfcUvJwZo9x%Edd{WcGVbbLN|+#!WY$<@Kb;T4H($s|I^TpZ99Pnm~5E*4NuF z!Xd(r%z~+-(H`;qM@lR2n_I>+9AHzj-^-dUbYqgufype~p3$KBL6d^IKUzHCfE>vX z2_(cDwJ_)qhn(!-PEL*I;KrF5qBC9%3@Eh1m?rJ_GNtz4&Z}Iu0r{P$h{h~?St6LT zbK~VFmFbOl?>xiW%7~QCHJOnMzskwZWOUQeb(?c}L?^1pxNSS})WScL06ap<)Fn!^ z6wA`aUXL|bzTB1b(E0Y+!Q&_Uer;t^CS^+YUP_TLE{Z&24`oO6#n8huhM*e$ zbkOCsM5xV9C4W;HHuH}qA6rQEsagd~-dcb=_RtgYTaa{|Bfb}2kXb>sPUEa{n&rKw z0V(58dte#-;B#BaUaH?6SW|HPk;*P#&&Ee{F|h({Yrp-{vMs+#=UA&rU<2GfqN)N0 z_G?gDc^>s%|1R5bVc?wT4vv^1Z&^1NiU978q5tMK4V^dT?6}0a9^T4g>ApxrPgQ!| zPVXsJ%6*G|qF+>265AQcx$vziYL(9V&_s~O=w%?BwQ;Fzv{*Ngnnxxv@4UX0E98T; zoa#sMUT)x}CQ#`;G%SGY;9(=oggXPXl*e>v!{$bZ-bI=!?Vt6M>GkVwn7C!N**^3W zR&5Uja0}YX>-R(?B>DWdF}+=J2dYR5?3Dg9xIws&shbf>Y>^ojGJN+iO9ecGV;IIuhl)POS@O$KhkNENY~NYCtKnVHB#Q}$ zLa8a0#Wk)g@qiw9=8QA(9mlhDI32={3p{+GQuNMzUwgnnYGi8%o;z1Q90^rsXyPFy zC>@v`QTh_TQ@5~9`q1giz2QdGLE25uUmMLGM2^Jrk)V}^gcpcq&n2&)o z)u_}>`bEjr&6Uf*F3svgte`W1+lT%t_L*>4FFJpp6BjEHCN|4Wt{|8}M4@v-fAYQm z)+P5#YXbbd+KLPC*eutaV{N}$s~f6r>4j5@wEt3%$qk>uQ=ghW7~uW@yGLi4PPU&PC7Cjs}ESxOF?u9AKoaruCna-42 zvl8=cIt$Lhy?BioqQ@>(b1#Bu`cG^VN#t3=Q$XB6HeC*hW&3Jx>;WMPn-HA8n*!mc zcEi{&^@Y7(xG(h;VDLwpH**|^*cH3?HQ1@kaO3K={x#x=i^X_5c;K1oSInh+DA=DVzsTjL_zHb5c(jua72zTlotb0;g*&* zhX9rUVk^P^B4|F+8C?r^YoqP%x$kvW1_5-cJQWlyHjz~sedVSs%L6Ar7$Z~lOXuus z-CE18Zm?FSlTU~g;zPpZWc5#HwW#S*4vcg7DFQOa|nSyhv1%X({wczP|I>^t~RW| zDu|=opA(GhX2Ga@fn!L?Q>|FG0M2nm=83Za?_3wqHhht}j;=vQdOn1n0hB#FM^26;vLMJ#NraA3ogy|%Y-6nWuYV~GdV3`rfc((t8taYh zL$ho7GMA3SwTTtOe;B)Jo|28BDV~ne0)l>Pr@H3G46qHT)Irz7M1cZCuv-i2VXn)M z3YwIoU2VG3^=lJ5%3)T=;Oc8m?y*6hHe1oGQ0QJ}ps5w$EX@Gjfpb1_DH@mIZ$y^A!IKO8}vqAR2| zZ85(^jeN~#l>_YeQPyat42lnvuh6PCV92Kh=^*aZscGr1?F zyF-zhm!Mh!5O=;mqd<|#^qDL^hk8e{r-Vc!hl7Ml3rCtx;dI9W=(s{V#|&;U1kRo( zAN=#u%nH+Dx2ScSvkfJ>BVrRZN4IkMb8_`zsy0>QC37UX@r|9k00Gbwc$QkE*tOE< zgu9(iH=mBr#^ne*8lYSkGOUtl+XXf^kyzF0r;7}*#L{YKf7SP%vA2CXIuM+S?uNjF ziS}Y2U;eFuot1Uz+jtj{*r*7CIb+t!or0K0tS9vi2iS=eB`RL<6B^{#W_@qe(Yk^> zg#@L)gl)ufKn4j9p@s!DEym9Kx@piDG)-=hf5wsN&@UV}vj#4%09j{XyMVC}DsiKw z;=>IDTsGhF{!$~x@+xu`Kw18)Q-(ZlE^-V=zau|WE0hQlSZR8amEDQos1Vz6&`}7) zsYd)wo&o1sx|`jB4XC(pd26hN4o47?n;clzmOK-l{BH2z%gu&u=)gm`xuw6cQBiV4 zHoYV06JpQ4hOK4RgwXbW!cj}yTyV^uZqAx^>A}Xt|KJ%NBG)2Y{$P~I#3;hK>^yH z9z+W~>Cy_a{VtR1BDWUpcJLP7I1x|Q^q+W5xq4V*o5y6Q!|K+~qrcT^&i26jD7y7m zocsMeV^Hi0rvKXpOIZN4`g_8Kf|p>c79#dpIn3XNbqT}YCYX?`aHHLS>O4a8EXWEv za!I7}Yr$ko^hgEM9HyYU>Mq`qyKB~nB}TOP6Y$oJ)mNLpcgXq`q0o9TlAE$0-FL%} z_i-f|>KLL(=g~v#)oanq>6Fx7f+lLsyc1o0Be~%=Ys37P~6`A@iNtvayLLZ_FC%#)yDb9O86#K(dZFG;u(}nAb z8}r02W76aq(r7Z?4`ZMkqz$t+zVAm$dN2VS{O$$yJY3l6WzsyH%6|6*jkFV7!gVWK zK8dB88M<=1!U$F74AwbHDi`WBVCjq#b{`HAvC`tQL>@2^qbQq)vy$HTZx1<)4>RAE zJxVG#GpHYZp1-fIt{In`Y>m1cE6Dl$wk2!Q8-m4~EBGqVF-@M(Vyl9{`I`t$3FLbxkMbyi7g zC(40ss;8%^ZbD?p4l2qD3YH98JSkMzz^!$9*k4Pq!m+h1uKuZ^D^~4%&)bal#13q^*pFNf7?vwj z$BjEd47QK|>=tm477cgDG#(oUN-0mt47aO`^VBwsS*Gb#X_grI*5`mO z9l79#j^tE%aBL~X9iQ9lefN4nvNTJ)j<}UI`Rr;XlkV!uGHuR>&d7^Uw-c>H3@J6) z^q9rsuM2Tziv_!T zEJ+O-o$kQBg}5AS>%~S7Qh3ZKxCV&R-zDrPAHqD2P(`Q!KaQ`QoXDTRYcBJg_@Gp# zm-A7p_JKo0otZt%$a#*y zyXDlW{h*kmY5{H)j~mIfPo|}KizME%o5768u8c#v);+H>i=}{@%5H` zP<+?|&scI|A3|g?TEE}<+w1ekL;?f(*RA_Kq;-Y_uj8;HH(A17JuAD&dZsU?vM^aN z2-1!7%JG~hGkyke$q;m1NgzT77QdCHlbz9ImypVf*-@<6BUVJv+}20i4EjhAveXiv8D|f z9M^+fgf``*gj{87B_e_0i-)g?^47Vzu=k54J+%ujoMi97gV<8~_3L~G;d?C8bHRVC zRIoJyE4XgqRBp47$Gm2e=q?6I<;+pgO8hyMXN&;%6(=DbvJ*o9VJL&^4+JygG5_;TL*$;ci=}_%biKBs64WbKlQ=qfBF9feD)4&L#I1a5KSQ=?#Km+^4vhHViiI=Uc-dOY{6*+lFG5c6fxVXKLJ3 z_@FXt7_co`flvH*37AuB8jP*eRF%s2u9&BRTb~*X-7SWbf?4jVg3{~nd8eE3{TN5{ ziu>b-u}x?SL+tpT?eCdn^Okx9ZW$uNDu{k832Qqx0Y=LP5Ac9~$L*>^Ne{lHFD@6M zQK(HQNk)w10wGQOquBf?U*AB?@%?-GWpHmngGJ$w{IxgfepcQ1wGi3PpMO+?sI5=R z3-Tt0QWj0n=2iYohCUDR@CSsQxMCJvd9P{MRO}gDG!Ha<*Vt7nYmAJ`0zLR(%u(u^ zZiTv-hOC@hRRmIAz8C7WvWah-m7bzPcH3)d&^A?iV7}bMcqNomxnH2Jw04g%x!^Uj7+2I;}v&g#675F7ZKS({+$?$#5!-u*nP_{D-QyY36_P z#pT`i$F;Xkou1C$YdlJrU@Lui`T5WCa#25!vI_v-CQM(lPav?uJbC(wUa9Sz!*Bs< zJ$cyNafHz+inohY8gF;pSFCzazNvUluTv`kNR7d@EaHmu8r_zDYz3rHn6RGkjeXo*yrhLd;JwwZ4p^h4} zQbn|Y)3e^z8|91pmMS&2&-VG=rEv6%{m&}mk4_>FUiI-Z#!NbZ55Y9?(=8~{HAa>I6LgW1xI3CjrhK5ENO|S z*RjD09auk@{L}-Q&F_3nz~Pow4r)c2Fb|!Ppr0I6kRvZSta44KWB)tUS&4g5{A~9? z_afrru=p+M(&zb=&`&;|fo+k8omvAi=#fttw}EC_eRRrXp<2`(gA?Sf3G>PZ@?Paf zk1WxSK?xi;CXQ*ILhn-?qDEqE!=;lm8(RUq1+-<k)JY*GOzt&zFf;L?>+)&PGW7m{b)+V|+EmNYEdcUy(*l?1+@bN5SC+WXl*_Zzj z^CCChQtVRrgLqax0NaLJ#JGc0;U7e}t;qe66?m1B>PdNC>)$PyWfcwzR2n>@0fG9y z%=1K0{eX7TiNPjq`7r%JApeKWu3)$7vKuaSaK9f-ZCAbQ8db ztAi*;=#2KubyaEvU!(S47$J5{{7z7xOzLeb4j@`!wa$+&>BX(IrEyl3g6hB9qYtk@aq z$SYef5a=sP3U%{+6}i98M5;=9T;?sX^DB7oI}Bn^yja&q$5+p%R$4_s%=sKknp(H= z07x6>OdihV-^n8GV_MGr0VMyvVetMY;F7F07a+$}Yg?Ke=S0<#GcIq?Yzy_uOc$JA zo^i=t`FG~yF7_H_c8K7{3x9oLQSTC9B#rBSX0%hUc}`8D&@NLxd(H4XR^v|*`g4iI1q_7@>M;GpHsM5dcbryrG z>F<#ITO8aDv^E4qlO!GYT1&?;?8{M)fP0-t>I@YJR_TbLtOTg#3{6nU$<@p9_2#{X z5q2P-;Mq?EnMpJUP{AESC_Y@ZGctahpq*G7x+MYSBlq7NFe`9A%#b`m%n)sYf`Fx> z-Uu}Y>8c)^*+RL-3GL5qvYnxaI2X>S;pJ49YE4?WE}3kJ^%Ios-EV@y!pLTjh(nQe z#0)+9XJkLidItUX`}%gOSZ)*8LxaB@$M`yiJMW3cABCy^=SqI)Up>8a}J({lW7zuYZJixoz;oxr$iG9dGHjpm0HY zcQ`+eR_dA_7W}M{XB`K^s99=+aLF4!k zZ~H&2*8-3J)C2gBE1l_}xzxL*4YS~|DJxV*0iKeP=DQ@1CqE`u&5-!>jsdu^P-*Bk z1xTmHuOM)LdNTH}%>lXQ%Mp%8-h;BmB1QL{fkJILJ2A`#2@wD*45_(T22D{)vbR?Oi&_SY)VH z_G3M?e}!OH%9|tnCLfl{2!JAtE@s8uXv{>A*Y2{Cyd7u`yqXeiK5?#~W(ygWeY-8v zhrQ-Fje-@;6zhbu3)q!A7v0EE$bhX8cU>=8U@mv6-KWqC5KHQA8_t{bz#Onv$Zdj zA9cQezKwQC(XQC5)u+-`Ind~!>d|2{wkw*t_p0e%Q9NJdc;Z@$COh$Ok|h-uDcu19 zn<(rzM1I*K9C_elwn=01H&^)2@kQQW_SSFfc9hOdIW|OHQv4_wX||^xi`1qdlXu^$ zoY%6lK{c}uIFVy(IdGl;XFD^{p4a$6JO?xF8I+B|~ z$h+B7?B@f+2f)cHte!s|Nb+;$>I93^B19vyj_N;{tVqJIyRcJ{o2nXW*{!pEcaq}* zx7+C`nFMzkWBeR_tfvP=w{-E(4r1anb@dZ^hyjkW^m zY#Hq{9{G(kWbBQakB9v!;e7Rp$~vRblHDfHHDZpz056sh_Z|~u4@i@(iZ)JQVC@LO z3+AQA<21VpxE!*7X{`$*2fs4Ed(l=I^@8@|sq;a{nPfqQn?!e>8bTpeXYHo4>JgE3 z!APHD7$7o0-{)pRMOsqT<*F@e!TBe=QaVp5hury$gL5e(??A?TYL;?3O78%jM<%Q{ z@fFb=sFo+>Ys{f>?_R5-L-?mi$COK@Mn70n7Y5TTZCfpbTlS@GuKV7k15ScRi;!hY zN!|KqEugk@54M#V3{y)qU+2hc3Dopnf@l$Yj)=%j z<^9AMh>Z3ei`WIrFU+!iRS+cB_{(|V`x7x|%s?W0EW~2xj7;Bk6b2aH6xe!UxEKzg zpt3U)9X-Hmycn9=SV(QAOgvC3l^N}rLs$LgUOd>T~mnH zA3fyAkMv>_VptpB1MORb@hNRRGXRNDp#d3$8u-l@`XdL#Jf^d|;h3Dr@scPRO&5y? ze?`f#T4W}q?>zo>wm;JEesU zzBDRUeiI#{GxX^$A~i&GGqc*<42h}Ic@@;oG7RuVhQ@+XFk zIUkUgZ;Ig3fw{_;uF`qo5GgniWj-eC&}Sp7;(17;1T=YI6V;0+LbPS6k)@<8+^z$| z(^gV_s0=#ZXMcBDxDmJ+62Jk^)5(Hb&py1X?B6-{B?d*L%8bLo7(r-F`baYHOg^=Vz+%~ro7&-w`-X8Bypihuru9d zd8Z-hh@xyxFwC1~Z4GhKf3AWYeegQo74Hn z-<7=R{7$^TdV=%Edq7*lvV1!H`dF`6-0WD1K`P|jg3vr)xPkTIYb387Zq#=0gm<7h zYMmtx{Q{Lp8LO0k@{v=iboOdYNd0Xrxqj|SvFb4DDmNZ?zlc!vdlCNz^*O;i=lx+_ zLq}g%p^m>010w+jZczhx`&6uiQBNwbaS#j!kH=~w$VI1Syu)tP6-*q{_wJirv=4)T z{@J-7CUuZcXbFV6!4bxmJrr3R-R-dvXt#Pl2) zivIppGoJq`KPj57L#$3({KFk`P#iS}HD6w!Kr9ZcSMG@PH7LMnhxwv`-O)Vjs#LIm ze)gb?-|mP<7_NtFH4UD1`)E=T!NwA|&+-`G6aIMlE%*%3*$^FlC>V)xZAOi_bbEdh zoXClO{|#Dy76q|1xN@!Q)|LY=ZMVca1GAB}qgcon?1j`?MWL+$}m@}85 z;miC4{7~lKL)eWz7W+i&71`xwZsU`19P{u_ctP+@vMREvA}mCB2($3vz4++>C96*w zv3ZQuD~Jmi7#QdoP!XZ`_PZThDPWU?59(r3!RW`uf-{od_rdz`=Aw!xVdfG{lkFbHF6QWk#=D{o#X%sFUl(-5kJnUujDx&s|Z_v++;Gfh3kHAihQSsfmBoo9;lmw z(MKo!pn?ng6l&Gi0Q(SQ^1KRvvbhC+`(vn@rb-34#y{pHkevZH!5UvS5D?_o*hc=f z&5k{-jkTNWmxul*J9iH0t~MBj4j3pKki2x6sww5;r?8RbU z3nHfbwm(+(w1q1{l!h85jJiLKSFn5zksiKGI{Vj<>ijUNc@D5INZTb%EFgxi@}eWw z>+S$}^A~7c|He(#-7g}?{~B)WxA}Ok>MJ;`XNSFDPuU%%pW(iFfU5m?5dG*r$8}7q zH((vmpm75vlR50n)As;CN1KK`!IMJF?3~BQf{H3>g&o%qQ@o2QUo+SJIr4L7=js}w z>nvOeUZkwVbNZ;`kb#OG3lnRwqg+2tP=Ejs=+4k1B@(A-+5NaF3ek1o zI=J%BUWPaq%*hixc-gUj2{%Z1ti{v&g0DNSm^=Av_HJpx2JsTR|5Xm|Q|nOxIeF>I zf?J2%uB^Rdyvn-WELSB+boxe`9g>aQVSjrsWz+ul>`(1@7_F}tVp8ImoM2FRRxBzS ztcIOQZ^4um~+58~Kr>4TdqwCx2?t6wilu|;F^`%X9>G>vHtxc6>s>qGfyX&#f z%O8aNh6p>HN7U-|w91Gu&~2az%oY9G(A5owX-wDU7rJ*Ge8XRNXRWHT|M5)QFa@<& zF%!FfkD#iS$rJRK@QQVJfNF)hG_;KXV9%GZbyW@EYHosu`Nx>E`9y!K2ad$xXqb&a zz31Vi7{1m0PMe16Y6w)b4u0fmKTS_RIm+)ybyrEujHaUpxI1@%!s z&dy2=N=v{*?f*i~cx~D>=Y?pfB@9{q4%7D({+<(qiPYbxYf6VOy8F;BUw`bU@H> z5POUS-e=k`ejpjGA_mA~sW6mRb^3a9^Et^V!N4Mb;#-rf{Cc_su;+Ec1He`eGZ+w% zjOH|Ha;mUE$zY^!cb|_v?D=Ox+yW~H)D*X7{#Xzg_mXeOoJ@v#`j9X1bX&BrSV}!k z&m~`vd|*0OudIvaV^-VUR)tJS8M!ETfVbV`}lT+`~Q`U zB$dlF+_yi{r<$UN-bl;rSpL&5Dq2U@rS5l$LH*FEWh#Weg=L~Z8P51VPO)Pj^k9#C zHA_tR-pdW|1DTHqv(&=~AlOf&Kb9Kv1Gp>bz=L3(t>8P;`y-q8#Rr7v_&*Zg;78MsLawTc zOsOgG9bK?U3jP@lQ%sIQaic%qUi}!#4mt4u=KJGlDJT~&mnFpbn?2)|t$addJzzeb zFU0-%WYDl@Cu9K<>zTUXx*v-??NVk*dg7fDjU9notmW7F(Nl?q{x@$mtDrd*luVA4 zV8aeOAAz>!Dl`d}0^=iYZrKb2ZgtSAy#_}{LE_?fy0YB}gJ`@JVsN*Kah^%nWZ*OB z1|h{Y!`=&@X>xwq^(Xc-%zHN@3qX#W%V+69VJoX?pk9A-*gvLA7uH2z?t^FP0~7JT zBIK;yvZ0^1Uwy1Y<=al9wFQ(vAy;X_+rm3n>l}LHX`lh=*}gstOy#A8AQ#Maukv_Kr~{Q2p1@9K2i zi_HegSm%X?zDO5xHLA#{nu$a@bTA}+(N+QG5Pbpoo96%%87mcMr~fj?pQR~n&wk8z zTPD?N5%@H(Zy;3~n|$Z&67G1P7Tv|R7+;O)mNJhylrh&udb1;rLup=r`X2H(ED5MP zjx{w2^n!6<``l%R0j@5OH-Xy=Q>qnkuflJM3d?G%mKTmZ1AY(pQ*U-TLE;u5X32oS zgUf7HD=L(Kl~;-3k7X#<*RPMBxURq()~M#y=h*>*-I5K8M00t?IS*aBYqDp?pcN}b zY?6F6Fv%_Ooa0S}v`8a=w<_yQ_*f+!84HhLi!GsDtq=@<+I=M8zPk;Dt&Xu??o3&U zkST3?{}4!8$-ef|8fDrl2f;>3hm?sc#PxW}iL2;2P^PY=sVnIi-K{ApYgMMNP?^5U zDScJ>QKjnw0l2JbAhsI?CAwNWnN31}IG!{n9*B@03m7D!?@DWbBu8r`)ElKKg1GKZ z*%XNw{iPiuwL`+AbEgp!Zc=s1w>}Yr8r6i)io_De3y1Dc8ElvzCPg z=u;pkw*8v*ywa$DT;^r)o-kl1&%AIA+))RMs`Ahbtp;e~16nJd$o8#6a$3Ap|Ma~jJ%dznHmB>Vl4oM^p? z$<|!Jt%qv+RV0dSA+IFm zA&w~Swa)~Vko>52RM;}wt-ShC*lx7j(V#!Xj_>u&&9AtRhkXU&{g~#W$On{gxyAU9 zl>!kD8+#NhNL6wi*Z9a3x2Rb9?3Rjfe5VkS>mgtW z#Tfw6K7|W^EQ8H6MFLbBD%hTS!pN>`%?f3a@KG7Z&AAv7I-v~TBCeM+D1r3HdKtBq zMs2;Zn5f*599hO_Q5mDnCrjLE{J6e*fJrz5NBq0B0y?dP*frmh4A>L?howc4qebC& zLupeWuAfu3Da7$!S`|{OA}}6z+7*FgOUnY4mPI~)mPI2s!O)xvX3W*pes$$CDy*aR z+_=iZ#0Z1m2dcyfeiQd6Q#L|Ufxof!C&P9i?|{2-h1-%*lTs|pr>DSIl+?}FR3wG= zO@{F9K7UtC4ES}NKbjWkyl1Jouq(cO3hZUk5Dr)1^-111lHTe2QOOia| zmf=tv_ebOV-T2Dyfm{(Ji-4@eCNmUo$`i18(w?B~^t`-}LS0iMHy}wC8QR}&q)FH$ zn(Rm@DYOl+ML}g3vIe6Y^!-fD*(GtSEtMpHZnd!Tn*oSJ#t;T#7$uahtmmdcER@@h zM;F(z{Ig=QZJ>RWb0U0K37n zu|WarJLP1fxFFQJDp0m+ULH}vGE34CL0fE>KlF}e_|YN71DpXS0PoMHgAba{32Xp= zxT;){vC5nYIvV1~2`x3|VLPA9&p{I{EVZt9o`o~f@V($+^mF(cOs)*QLA&t>ktbIF zY3#Cu@cjj?vBjF6k0`i^wQfUUPpmfftmY-97>1vR%JF!UoW8f>U4^sBYfV!xa=!f zPJ!bk>RO1@F;T`Qkmw;n{}2}M*f>J;h1N_k?)H#>xj6{PCM%;8~J7GQJ#8#6h`Lm z{G-sIOhTeE37KgLY9qJEQ6^MgQDM(0&z1|M@uy?U2+nn3cQK?&I5lKzW)%x$9{K%J(Qs;B|Fz@ zkF4w=Nvylx2=8R?(d~!cc!2JIhF61|k9X*|-rdEA{>^*r`_Ni&pshXFcml%VT5&d~ z$%9Ir)xFVHNl$n4s*}o&Q}c0UeOaLo{Y%uF;7yf@N^;9C_UmU^ueE%qRFw2$_Z*hn zKhY`0!|modjoeqwm5<;F?Wp_NO!;lJp+X~3ugY~fN8{U|z^3i2mDD+ZBbrMzIz!Qm z!fX++(vw0}?dF{}{&*3K8EHRbFo0!KttR04ECbU9AbSA1c>0oC+M6V>M)rb z{LG#V2Dl*;ac*s~8)U5(&mgmcmuvhFHd67Q=5p)m>VPVopFYhr7}#yA5KR?A41F&p zC3JqDovp1$;}sN@NC;CZ3c2ojgSv|$(-q&i#*p4*8=pMW+jsYW9au__5$9@5%nh>F z_>tMzcJO=$?o6A%BzkN+UVrk(1jTR?Fh82$%LYIFGrQR%3TpIz0ljs4&-8we+?~^3 znK$_N9392qNAjEXR`8rkcg}nLi{3akSj*MzaL2o&Y3j}gBw#-?jTgf4gkmIV zxUgF(hQ|X{niuchpS~a5o%U~I>S^l586+tcRM6L&%`iR zXlAT!0}?v36Z8=;c@#`Zj+{*(La@OOQChA`JY2KhP{B&KDz7c?8B5O!Ww_DlvZy)@ zF5w0p40myFTdNJZ3g5k%rPgY#h6>2Fx=Q>EM192g+69f@v0ifsYH0l@_5U&Zg`*LpZ(S@zl?4 zYx^)KtRiBz1at@d+Fa&mAnQKxp?(jp%$HCh?~|#^PsvyKCT76rN1BWdpYk0*ee2r! z^!Pb^fYM8!!cI<##7X@zIqSb9XAKl4r;a+`S(jX|DGc>)r5G;R@cP%wi>rQb^nR@1 zm4#Y=g@^Px9-NMW$CtTz_7$8$Bk;j|q6!*QYq`|%e(*EdKMA;>Hhz2H;2w4K2jfig zB}Bg<^zmO0_$J_uIrIL+tK@@sr1vQ{bh!`RAAs-C`BeW*hzz#aLNRAwKWAM|Tkm$` zLZTsZjy=a`WQf$X$vJKf1H>01lf-9X27<_cS7<#TU3v6khh=^me0l$-ki7cO8Yc~i9ZAk=QN5J2S+y}kXm$=EjVZ-xx zueo1h+pdZlTeDRRbCMJR?hv6AY)QUC*YY#uFHa97B1~0C z=Cr%Tpdif5b6^uw<_NfRb_E~diEce2a+n)WOhj!Vbj;^ zg^9hn=>jf6?EMq$1f*#5+m^+%=TzDXb>*FIUJ`74M_=N{yj`P#PbQtHr^C&EB4MTX zCU*QNkrLFL(SsL;exJ4fRjYJV>Vo&3AHN#(haa!9_P~C#t&OpJqoffk2qhg*=(dVy z1}}NBAf9$R+8sh%5z5C2U}|)8eb>La86Ba&vX1kT?`&~6W1sU$p&b_qdW!6^r_57d z>nbp!HmiG%dhchbF=s#7SW9t#d}ZIejgCSnpxH>6<_A;si@taS<3Vt(+>cq;lxhAv z()_`WDAW9i>*xL9;d7#t%bTWJ>~n!VJopj+JiZ&dAY1X7l+3MhY)nZcQ@iCBdFrijRJw+@B=vphDA8V z896_;H5QsC6HO@pa4*t_G*~j0>0K!7Kt5&B4;>?&L9QM;E;6Bnk@Y~CMTbGIwIHeW z(jX9(d#|Fg_v+P6hp4Z=K_hnKxq_~*h7 zHzLv9Lar8QmdLrFvZl|tE|W&GV?fQ&n*CVl@5svR7cMDjb6?$6L|E0Z7uDB=yS5bE zQfeubPxixbgwA&SFjJqqwZY^?61bGhHH`>@dUeH$Bb{@IBt132G0RaDTS+to0{sLK zIn?|Z!5Y40h-SNg26=A;><1Q@o04bKku!LP<%hH+NQgs6I?@_D=QGy&n;YOVdHLDwoTsLoks&+7(kle$WD?8y zae(D4t>$uYJ8MmiTc=umZQ;ph35iLQo#Z9?l*k+N$r!Gyq1u5h{wWmDDH@7?;2OjK zG!!{YjRS*!myEkSt&saIRZ1F$wR){4YZ0MEe?*v{=gGh%gzQ$pKD~P?^bLO({Je}y z13XG6fEUcG04_O^2u9Yk0BU3u@RxA%WcBj;x$gey8D^qB?wq#ugkD8WvQe`HZZPT} zo!lMdk8PG5i|!VfG_GBNiOg&FkOeF6vz05G9=S4qY+u42Dc91rQPg+^qapKQ9$f(E z((fFHrYwn*K;|X(;$%;e-4tw{q)#f7(P(XgK1F!3%zG(ThtE5i(D!AUoc_)NCp%#A zKnTx27plA+oI4qYM#l-O9L*mH*lgm08-;G?SMWODH3YzM*PBI{PP}u0wUxF@0V@Wj zQ*`Tp&CNhm$?x*(+SU-UGvcWCi-?YN3qAqh5LGw9(L2Fi zC3_5|TrtsGltoZ-2T{NrMd%m9S`;<;eNm5pMnd4@7nTS&w|utoAOJoi($=VJFw%|I zidDLb2oc~ox}DSWi+(4wnJ!+38ii+H?hg*QMIJpA$dt`(6Ha{LpF1xN#YlALtrj)1 z4wR}Z_R!yuw8B6@!^4ILgV1CtK3Ves3emu8OhR1Ou%VPH>(UsB_<~ukZV6ye4gMT| z*j)pI=AARLL0gW#+_yHUJs)i}fcaCVkmF9>`QDEsNt;mBaj>nn*2PaPH4N&vh2c)l zWZ=@!CEV0sfq32crrg`x zJ0wMb=D+n&fclZ_A6^=eN(kSSPn33?l8XbBb&opW-c04c$p(_*G7Kc32#csUG;#*5 zOJBQG65Ds5S(GWua9|mS)&#;Dx`{;h>A@j%mHUT`2m@pfcTtLDo}~t4DuI)K0ELop z@?&&yZ1QS3$r{nzDKfXKVRk7uR~&@CbalmvLcyNuJ*I{h&3cO&?tT0ol-h^rbY!qV z4`B_5E~1-yFYVW#&QR%(o4^A=Zi?k|4PC@z;l&{Z0XwWuD_?!cIO4I;)UgbdYp>9a zNzTB`xSdQHm;tPqXJJOrVzRn_lwVhF@)adwj;wG?C#zq%Qpu1$GStF;SNh1Xx*~m~ z#0&tJ1KvN0BZ;YnS!tnB@gA2NCZd)pxShn?k_)6Y1-&M}uX2!)S5F-!mQ5X|P$EV9 z-Pnv!=sdOGDk?oTX&6FAyfo=ZvnZ4lmAVr6#543P$mFB23CoS5!Eergat<@!I@h^> z@S^}L;_G4ouRt1)+;l6^t+(nLKwOp5x|9bo4QlV=s(aJ{nEGz#=%Sn1%OtIK7Okq6 zCrkp%9Uhv^!77*Y0gn5)tj{0Ql_sx$NUGuDyb*I}K zN1?;IZ@IuySOJ@V5M6rs#PCI##J=mh90V5MZb_nIqol#ORbK^To{mR#J9j<*Jpi*( zl$r?xNClSxv{X;;P#a*4XexM$hY0b-SQF}idGi1vH~_TLKm&z;^FKCdVs{qHcnpt~ z{^uP!#qU9NLKToGjO`gWb8)aU^ho=fWiX^%Ifd$ZBQ_D}YbP1Lk;n`>siY;$*NB9YCwb zCWNf;jr#()=f%c<&>jYiYL=`3udA01S#OSF}dJW_={0 zvIhYU?049oF(Ek!^j#jTC-*kEojW5KdiB-@K=kJ}Ptcw(@ zq6qh94+>;@%&Cjv(0hP%O#WL4vB2O@_BFr(3u40AaCXHe(%tR(hqYJ;_lnejU`S(= zup{l47x3Cq^hk5$+`5+gEV0`S9WjalZN&AYonJ%6Ux28bT~Dg6uF#XlB6MCQ)EW9c z1=d$o$fY5F>TSXF^z(B!bP}^yE3ZP%{FBo(pV{RE=Nw})oxFz#3smGHcexN58$PMJ z+*^Azo!q0PaV&)if)-e!a!UyQ(ekOOt_?4Bg_;DeA;{whXnDmoF1 zCcg`RWWSjZa`Qo)%>w^boUVj-`IDE;$T~0j1QbcyAunh!d2>l45BJx%=t7X=8=a#< z`0K(g6&6igY(gv)tH6KFunN4W(8E$qH7y-=O_RA|RLU_k{$^w;$j^)~*^>_rP{Uu? zIWT@h*qM8TlXWT2q%g`SZ{jqTEN`Yu9cxy9)j>wDR;WRoL7h-HS?~cFo18x}2e*N} zXmINpA1G=J;b%a!@B+N!U7^qp7@&g&W|rg18do+jc_~Oewyri zQbLMK#4$KmTv=WU%_$a?gl*+{@4AVf%QIeoE5hMyv2w_NTKU__)yf*>|mf zN82S|FvfX8s@r1ngWN1Gv{MC<*3cBLTIrI2iz2!{m`8`%IbLH9yHyt=w4P6>7-ZP3TmXI23 ziJlWx9jace{qKxZ3V_^Z^Xx!wrM2;cOH~+tR2myNYlw)aMPSZ@nB1l5 zzp`rlHnruy^J)L6-AhF-l`a2Lg_yKRt(15{*%bN41XS73B^w4n+SrZWTEU0@4j86V zGg08`*%Z+4F)v!oU(kJuD-V_wsncp|C8*p4pH^Eyd?aQLC-qa`Zeb>PDr<*M2$-4~6@I`-&T#SE6{5@nYp zOgA_{rfIpZ^?ZFp6X;wQD&=>T($0RR9HcivZO3(?Kpq+I4D!&}H!9^F<8S!yd!uwv zydmv8T5eEdW(~fOdv-p7FNEXty#nh9a>sip*W~N`LK+CZ{LElV{>Fr z?!m$M3J3o^ z2v|cV#DO0D2h!LAr7R+UM4OZ$PAo#*^%DzZFqvd1Lb@3ar#U!mr-+%&iUya~Xl{b# zeI*{eAHhGy08f~N`}#E_k0n7N>A0U&8X3ZL$trm>J@+$p^}ND#!xmp2rW>}EbC|9? z$d`uc%EQbUrn?!)==s_A&ZmLvJr86J6ZZf=u8CeLUTVgkafP{m=L4TRP9>HCq#if% zRazRho6?2^<>ZOzF?1fCVd$U978u!tMT;yhYAR00p3%l;c|P)y?T4_TD_-zMSwnZ+ zsFvf%w@6s#0D8Na{>(EINhq35edY=x8Qq|3Lv&lVb(e0x(6oz!<8tCdB0Gju($PLQ zYuVBo+g~57x#v57k9OeKF7R-Qr)(}?Nottr#w~AxmFE0{2)JjNIcBjq-yzJZV!8Ko z4lo!xEiFA=UA3%(7|@KUdZZW&kc$ix{>J)Jp~zl%qB(M(Ot5^2@gQvBY35Z_`0ldw z(lln}$=nq)5%Qs9nm@J(KfehO=V3Kj7FQhCE|eq7L{%1l*dY9~YrHREH7HL@a%VE* zU;i?Gz+d);QFdvLhLr4J?Ns*s_~U7+ z5jjd@g$eqN31~Dmpa$y< zW-_{^27B2yRm8$9>?gSex}&1ue%uj^{A7$B53^B8Nz2M*9RQ4GHIl|?oypKUfB)We=p{uO^BpymQayz!ViQk1a<;%I|{#L z%%;;3VHZE-bRbgky-F1={N+^#XC*Dg$M#YGqWg#TO2q4}8f5K`FtSrQZoEXKAPrZX zwJCR(f}m>65CnBHHN2TUaPG)O059#3%fa@4yl6i6;1lH?nO7oH9Re)=?XzzSD7+Bf z4atQ0BULaa%ZR1!a$;hOkg?b!BFWHyhiEw0Ll{NVUkUMIQc`uif zkS-v@0na9JhxM|C3AI+=1Rs!I=hu%X=j4~nlsHl&E{9(t*J*@!EwTrP_b{E0=*glw z4vvKe`Ab04;ZfIoLT&BQ9iBqr*P-oy4al2|9Z=bQ=1zfj+rvG>=s(z42SF8VB7S34 zlMeDif87#abvyZ-iAt`e-deWFRlE`*?`5wpj2*X`0LeI zosLk7C>dF|_9%_aH`!c&BU?&i z63yimFY&tUB{cgk+iI|sN8Jv&o6U>Mekk$A2J$#{hM0lYB!X?&r{W#{A1X%ivEntD z)?SjoKZr{$Hl4C2h93k*$Ztb^;LNkDUf=xO{;gvkU7Yv&-7An7M2Q|w2X-#^4((FE zROuy|x;K0XRfPh=OVdwMGrZe>A0pjKx&~5t&*PqJv7Um%E-rd78}a49^7x%_T7(L- zoy!&=5ckP&GoFN>)q7Q?Lon3a6CNpc<{NR(;Epp*D$b!T)wJgRbWF_)1z}5(mp6x& zZ<(e-XQTXp;^yhW^0U`qFGv1kn(UQCTAQ|0F?@&(kmtTOL~4~((KJneS{LWcG)+F0 z;b1_MUU4c#jI86X%?w@dYdY%5sd4HFECC7$; zC8z3JG(9)8!PkdkVwwy!-*)%V)E5A*Ef>9N_q>R*Jd|MU|$@;;mV^nea=1fB3<3S@x4yF@9Xmue-W^FhF9 zp>ZrEAky!z>jI6XN~vy+dRb4oEJP8qA&AQ3(vI|JS^l$BT#9OcIS4)UM%E)?-?OTh z>#cIsZZ!bE14iY7gNO{z=g!eD=EsxH>2dEMT!ut4LMR!f3zU-4@mROy#i%(u@O4KT z_p{X1#pNGD7cuHbsDVfZLadq?QKXckz`(9Pwy#e6JLqFTWf|y*5DsxvWQoPL_rzyv zYD-6acG>QBRy1gT>`Q$ukebrl{E+fG$_9+|8;U`CxO+qrej;b$E4)W3sil zW!cG*58%zgW)zo^S3+8qp8a&}wX+0J(nK~N`; z{CDr-Yn_RIxY6q3NOf)nV0J!a9%PhxPuNVdh$G*?m+hlpgzGo;28FUJ0-H83JW|%P z&9UuUaIkig-;b5Xs9MSekqb$6706+>@JS?pQF$&y*Njv|n@Z+muFi9rM^JG858p%2gL*OPKg(u05E#!{CdsWft&BML4${?D8m_3t=WW8;Qfhi1|`{WE#BAviOnA zlfxE&e!)0U{EzV?3A-gaUGdo|z=m-?6n{1xbl&>ec=yg(=JXhwG(X}Lz&?6kWAgxi z{O_8I;A`lBE?xiKlk@%p@jLk*gUUN<+JT?pG)lujnMxH!T)&L2R4zKw7_;D4ECJgW zD!_m7*Eeiw)n^~;KrF|*Qb-V!sWa{KhYwVLn=r$wi%N5|2OK(D1LF|=h z7XKy1_Qqybu|23lMR5cPF&}J;Y?71>hi}e}m_v){19zlGVIiU6FO%u5w>PwBG?&Et z5;En{NAF5BSDiR|d=PqB^p-ok z#l&fltr7BQ;+w;@CiiwBsj*$`jYSp(?=F!^TsQb9vUEwyv6Kag(yWkVDNn@RbV?3d zfi74`9H0W_6pImiYKjCz%}{AHFjr-N9N8k!mgAWia8N+|&hDZtR~Nm<9lJG3i$XT~ymssUD)fF5I`O-#zO`lV^E<2xLmu zTy}LkFwU_3AvbgcE=zP5F}GBI%H!VIW35RKAyDJ`u4Kim0a93kYH+jVK;>>qJM}aZ zo3b$B@*2Y`n7{kgJJbTdbW}S4q2;$`Duv1u6O!wyaVFCsM_N z1`~<@pNpwKJ({ufD~Mkd$Q`WzZ>d(0mr^nK{6#2P{Pq&(E10endPhI9s6ngUuuZ-2 zI-&3Pn9#I7m7yP{WkKL;dQS?^9H17M}Ntf6Pk3odmvA)_Deb~c`WB^3DHn+aQ7 z?v<3V##Y#Kz`3*jPs#cxB&76Jx1Oros3zy)(gE`{L$FFHGMLlq&y8P&g9)v zW(cF{X+{yT7Cd~uFU@FJeKELedHpF6Sen~jzuirRl2)X~73wInin|DU8U!h@B(W$@ zP2gVycs#%$G=~Vi7Ijd6AB;#o8nIAGmH9M`>^p#pCzJ+VZ5j00h-D$W4O8o+--{tBFDFw*qofgoQ?FImj~epFbk5NzAW(%Ph43UM^wu>9y&gLg8bl!8 zz{|%BDc3Z@5?jT8&7g}X=f9mEogKHkpL!YuF;+_j6GW&XKubHmpty{MbKfJ-KjB;l z)A1N6=sSA-_zWES!&{H69K@v^#2x{K1@{~{fV=PqVfy)3-gWQ;t#kb^`rjL*%G@K( zz4xxmH@gg@=-L^6y~zp zk&X*dEN~NXNB|B5kkgqJuQO@q8=JB=}e>U{I7%w-x)AYZO)1T|O6G$Nx~EJdHNoHRKI z)0111uwb5l|KLvN_YdR@JHBK2Q&&g0uC6-zdNrJCaz-2>84e4=f{Qf-@)|yqi3iW+ z(X$G?L6sP1iHUD?5?oc9V+Q*-OjJO-QMNSYl)Qmi-nq} ztjIRp+>jPNQ@HtGH8{pStg}Dv9A16OJ4qSiw|N>7 zOjAp7g;)uI*acACZ``LH29a-;_M%RTo_cE`LlDB#fF6#&fTsYbi9HXlkuATl9%!1_ ziflOrLt64B0MjI#f}hp(cCq z!0VTPpG`0ew~teCQzhv2Xcf+GFddCG9CEZqIxtAOxocQ=pysg=FBSftx-{Pc|0VEC z$jfpOOLW<6XyCG!Hwl+r3pgiGr#F_NPM=IC0Sb=qS`!cVM|Pm~YHK5u>--YQmOwP3 zW>2ad2moEK)s&Ru?tL5_RPmAY?0{QM_4IguSeSDSH#lJ`io{%X{Dbwq>wnx(Z%nLO z7+W*%`pb>1q9>&Ki!+;%SItvdFDDn3Cr;Afmoy}oYH3|*D{|F~OXfwOoMc_VPyPHpKznn6x^oZ5Ro?jY0?~U_?0^~i6y*Q zP=aPQUgTzl)kry74V|iDv{CMyb#2gpjlLV{n03pZzRY`zoEX;G2Znt?&ky@?SM(2q zvb5_+S^A??Hkdv#N^v5MaN6LBFo8=)h|NJhjzGj_bvkR-HVCIzHw|1csTFyS8%qJ< z4Ydv$3Eo;P@iHykKRpXmF$w2Vl@BJW7b^Yb^+NS!>xD4;Ugo8#HeQ7!AN6p5`-obb zx1KS5wB3<4<9Zw#r}enV|1CwIqxzuR8&mW+!>{j4H$rSy=2mD@`0uE=TCcmNR({jg ztH@=S5b6U#Bi3-}f;N!wQ{;d1i9o^@@3SC@(Q22lR7_2M9ZTWf$O!n4?;bcgiID&D zlh>tNie7frSDBYDdIa8%g-m>ZY#-;JJ}aI*t5VevAnK(RTlo?ln}SRincSK}Q7qZ1 ziCkssYbwknzUPgcfsMw8oc*3+iNtjR*hAMq}L=?T+V`_u3{TjIRk2!bWX+`-n!`5%jcwulUA z%7%~bI8lDeXFCS%%J!yz{Mud0=Z%8lQXG0@h{Qu5m`fIlNID~rpJrsb02g5d@^q}- zjUg*^f+$&uj(JN~wq)hyOP#E{Y1GygE4x(Ty6yX5&p|8g)bK#YhLG<^vP{$`BOb0u zsMn!2`C@!>r}J6t@vd!1~JRpb06R`-(1SK`7g6U&3s(DwESkOC^)N$ghGYj-8B?%pe=*5#5;Bs8{M4*auKgz< zjX1{sPE-lOEN4G|lFNHKGks@lPv`zlRG(g7mv&B&j$w~nes1?V`@O59qpVW0s+K}Y z;Snjh@YdTgoZ*MJMJ|CM4i6#rb3mpm6n97Vqdodtd1qHtzA_(Hyx|!}7Cv_-9{FdO z$G%ARmMxVk8nMmw(yO=#n6Be|YH)Q2KiJlz<%~Ft0^opugeujwAs|FmAWa z*+p|MR6&z}u8zIE))4INcMiEW2ShHN*dq$`naR|?30DdO<-F>3xj1XBJeY8X~)%ry47YOx^5%@2=NyIsSCxKF;#Nx!sMllWWrB=C?=!}z@ zI#kLlmK-FMUuT#mAUH#^DBk@`@oeMDqT#J9&g=L=)HmVO=Eb3(QShw+&YbP*yJMfR>h;>$2gCD%LE^CFxkRStkQ9ufd2g zS}U@DN;Y-u!>hjH6cFP4Rg&VO7AhzfG!Vb>es>+8N+V4CAot#*W2rB+J)J8}C7CF1 ztruf}tAz(;fQPg_sv0)y8uJ0gyXrP{NGf zhl_^Ol$+~9AYK-v>&Yap#7Wc5WK}6GR3YJ+;~uB16)WBjeF7vNO(_tL$SVbW>pYYZQ*tRZ%<{#qMpc+EyHoqugfvzezbrBvMn0r0!@ z%1YibQL!9nAlJq^F4X)sGz5ZrdBvgX3bVk+lk=0_XS3J&HLD#US|d<@B7Jlwjt7Z( z+3zqjg8w1?HCU-V>3}aoLw`GWMlkf)66v_L)i(l7p!3ND0&Mnv?Pfk!@k+r-g5;~@ zZX+T*x{Cyd2@IUKb1ztb%M&ja$54dX>@l3mrrO8dx9OVQJy_F;CHkhBVeJ zQ{e$--L(f(cSzoerv(0gH;b_K_>aM#?Kz$~o!OIEI(D&vy}4(b^p9}i3&PTb&9PGV z_QZCbK_R*p|NY;$&%RAI0XpLZ5y_51YJNN8PYJQ1m0-oLotvG2F^ocWmo>hAgO=(dkf{w_g7MljoyL&8*l?JFCWVplcSrFRt_ z9F7Q&{P*l3WMc6|OpZ`7!(&Cj=3yCw2WN!2c%y+Nk(}J(M^r~-Ko6$vJUuvr2g4eH zyV^5C2=mTwp9za=`Pgi3hzaSrLQBo2hTBW4xEYo@kfM%0euB`U{o~8jJ6w@&J64lV z09nC(z!x1%CwI<&z4??L}t_`tq_;FSk$mE){E;3*;|&KTaBciYUyU^l6>vB(!!cN zgULKfX$z>>a6tJgmH&)rmI2M!^i6-*%RN7Uxr{rv?VcxVPqJb8iqn zsmHoujjTKyFZ?2AO+Ch-@sJndy)A_|%V!h{J7;Y(E?w?muBDk$7aN+T6whGpaL3~$en>! zCZKIzfpxQMG(+Nul}NXL8r&p^Bl?q%$@umyC>xbJNj0HQm9)8H&PbuT?VVrt&;nuh zyX~XQ`&U^FNQ&oK_jYbECJDluj-selUYE@@^so>q>>>{fg_mOptBKBQWCIQz7qI+A zkOxkG1(qNH@cyJlsA;T0X>DqiWr+ZNN_;`h9S~%1K7$qvAOZ%ChP1Pb-iF|yM#D^0 z?xk5I2WVkB89fV$mz)XyN=}CZY0V%k&>8vlD!gB@iVKnzr$L zg7jI$)7g9aF4(OcCLf zHeoX|-?Z}n`Ne{3S>@6uRSVd|$t_`;9pABtIBZaFv4Ewb*0U@hrt|@lGns~eS36=d z=EZ0!9ou9lX&rdJO<2$+BQK&G1=GA4)KeW0QZQi}i$x(9@)WNIq(hLM4&qx-*Gs*@F)gF5QE~Q%4M;CYY-a0pdONKFa@Emu6uFbKfbu=?gYjlvK1#jTz-sP za5W&;1!o!@UOH@-u?c_KK8xknsI)XJ?zOdXmaE8*LL(E|BvHs@P~a004m`^BQl(^C z-IN`;#B3_1s)j|d*4l*Rf_Wjqgcf4@yIRAu*+?cYoleWBb+g4(dQF%d_rhH89bSXL{6YWyqVG-{|A zD%IL6&do$dblo^8-dvx*2cLy)o~GBIP^DB)v!HDgH6^yYP1F=`_eC^jo3v?5V}dnV zb=Ia@YrTG2p~Mf!RbUi8zc@VoMN3zps2Qk)m`df~fEtPCt}O$97y!t+#e^3Y&;j}% zFeFq*I0|{s=fY~YazH$0*+>Z!!aUBdkAqMZ#(cQj>+#AgcikdSOG9$m1&@& zP-`U}FQqa=2Ky`P5KA!~VtFY##CS%W%o@c?v9U$7+M-#1Ipdip$6{5NfMSJ+drLvE zYSbdYjKKJb9nmauuKmwlgIi8|mxk-jV%Co65DQo-D4AmQXugWeDZya@EMtL8ar?~N zlMakS6jR=MJV=4sD8m3lQ;iXkFP?4`3aC-hVJeF2LS|Z4WXjcqJoDE(MyzbtfABsdhLEeiah7(u$;KvLOvjA8TB8J7?|wRkw5chqSWzjxW?$#nZah z8ag1HuhdmYJZUWDL6nV<&M0E&FgzU$Nr<9LB%I)XwH@AKQ6pBUT3lCZuv~LZ#r;er z^m4*q%pD@YQ3NFD9U{Yz$q3BfPmcSaAuNx(gMlHrID|0&d$Q;tN*hOW7cSV*bEHsk z12b6#)mO_#u`g?Q5tCc`mEF62_nAA0(?Iwzb8d3vC;KFvO6K{c&XSVETt%9eZ*_=W z!qo47=y1mMZp#kWEa^ZjH#Q=1bMBmUxIHlC2z;@OLu&%?P2D8tUW0ml`71DCwY`v|bJ1VdTg~GvzKRMTe4$B$YJyh(@Ith8Tdx zw~!PZFptI-#IS2#&1wc|8UrYp!}ifH5KSm!!XVOUfE*59C}|Q*YHG$kV{=er8f6_5 zWNlrP`>&RrlYJH@>6|{=?g(%SrEHylm^V|nG&1$|!YrKh24a0!OHIbvs_8Hbo4}hd zn_0LH7=VM|+YK4bM4&h4W<(^pa4T7 zU+jz+pHWFOy~gz5rESImj~{U0@66jY7o+`VWc*G{Kb4v`C}Ox@dEW14<5gx|3GJtqc>N$}_14Q4;H3=W^6Yy;;&xXM-XCYG znmWl&8_jAg_dCm|?y|)148jcEMFRzh2uLPBVXOE`GQ`IsX-j`mFE}BPc9|Y;TH&+h z#wMh8$=#mF6GKqR4eWyeKkDrnm;;^2f9T9s2nNQ9QPg3kCJ1NjE!SJ8R@X@zKn?$7 zttRA%KiaTZWP!v5nG2E5;M(j`ih?c&yTLbeaJyr`QyM-{0MZ$pRZfcPmWxrba01~T&&)D$z zM+{7ms#W06EcL2#y;{cZ>T9%~{f?Ab#20SZMSP;;CTV*as_q9_%bM6wEI&z+SAjDPOU3|k5$cvcG7gOS zY>4=p)W_!2%Ym~CD1vXrAbYqR4HmW$Jba2w(k(8sFxQ-7nMNfQ$;FPC?|<( z>Ve*cG*fV&UL-t~D}PljOAN5gFyhyL;w|6W;Q@-$g&t|6CReJ*+E~VAO&k=ZC1GqN$(uvJ7@SrYdeVG`R87MtA{l)}==g z<>&qFaqjhQ4*TqHUF2+Z<%wgHE_z zCv*agK*CN)MLCIhU}T0)2wgLxZ!W_pEU&oMwKIDn4!_O$DroAwK+3I zuDzi#n7WYOa`e1we+(Y%!54aSLX?$1orScNMr@J`mBJhpcJkU&Y4*`-O-EH!-UJWA z^9%DV9j8hn31V^nsx1HY3Q(zn$R1(RtEm7eQ9Vj?GtL$Ka@@J>c8=PFl4zVRRYgUr z`!Os+nDmZykbAI`tMFi8HH*9F9~%}FR|BC9$ek1J->e--xd%g_7$?$Q1+nT@oS zlA$^xRaTDIaTFNR(Z58xjdIAn9Y^V?m$kf;H^C|8>ip!_pHGC#3jbcWp z>xh5bVz<6m;&x39i|pXQk{xwBgcUX~F8iU8FE)_Jf2lJ>y@LtXPQe5jdx!sLkI1&g z$BNfr*N9|t{2(r_*tBXf41YWeiwLiAarj@IqrQ1|)$5y|+rM?pql@!izk7AmzvznA zOE~1%tK2QL%WS#g5Xk$%>x2*SP7?+YzAZaC3C-|se~5G|*;Ps9J&%j^v7Q2&C9ZZb z8}W6(fAaX9aK+@dU|W{Wd|;UjazrHW{h!slQ)TBg)Eg2WDfZ$UahKp8GtDtlZJJie zn)~F^$EO8h6Op$zA(fnIDh$)g4_K$49xOk54W35BcTJPMQq)->wl^_L6&o4Hg*8M{ z6suvHCar|CWJ0w!k?z6w-?Gdv_H)prFcqfBf3R^(Ol9F+{}e#NJ9h>8${-6tzTQ76 zkVpUIyuZu7k`uzek^}TD=7d1n;44CHWFTSrgblOZJ>bYp4Dm5hkwl#!VoiiwL8cnQ zACWU;#NO&d${KQG`MF|q`g65+%P4j>^>h>0w( zf`?+#Aqxx{4k7Uf_0Od)zW_N!{~n9gmg$PyYU+3<)iwi@HD`?)6H~}5S@V$}D7*}+ zpaC}^aw8xrf~aRh=>--tU2d5%=oL^}f5Tis(vYF$4)=_nZ5zYsU>;751#KlN&h0VohJItmBv8+=MKGOA~mKWfx~cI7Y4&_oq|C8$&*66lo5O4hXL? z0Vsf(w0vV^Lxv(S^`rYgqzb}}0aWGwQZLGcEazm*nVSIjH(EjAb+SCEs#Vsof3#GX zcfmIHej9s_Z&FWFct>QEm51J!YNc#C0Hx1WMrXLKHeemv0Og8oRRV^zli$k`6G6a zqivPtg8W_qp}w|+K%#B+=y0D9u1@#7otnu}GOxz!2)-u;B4Liv6Cr1ptYF%CxS6CE zsA$-`N(}5clf4V+TEx(WzioNiHCwo-SNoQam6mx4rY&K&Z+TZG?;7sLe@w#qhXHl2R-;R1_zl-mh#(Ib@m9Z-P)_;eQ5?!;~IlAZ`8_sw( zat02hJ)-0A$gB^hE<{P1L!0cN5opG7+CN^Mo}$GAbmT!0x3_0}1W&K8v>~9GzB?VE ze-U%t{M13EJL<&#_Y3`97 zjyxD#&E#j3js}_4z(q*M-nKSYRkpYFI=`NO)G)INx7_IsfhS=&gv_n#H)sn;ZqwfWAnM_AMQs>{6?lT{Z#(7IUGENJzqy zt(>%GIZ0THt+ZrFe~Y~YX(_hzw=z?r%p~s2Op=pWVJklwTlr~CI{xyj$^0V!8gRJY zN>VsUY)Yx35yM$$u3eefl!qr1Qj7SCkOlG4mQh(VqarTGmQyjL)m{Rp65snD zk@jXLUPY?0Wmb$Wv$7s$rCNS%*$J;EUnbM1i2=~@?OU>he@yoysqwxzlcA)+%S$_# z8pS{=X(;AOYg?KK7)!VFDYIvYSX|&ASxohM;8+OrsDsP3UI?71yL#G`$DE&|s?Ro0 zlE_!!t%qPA7Q_0Z0nie@9|VAmt*_2_J|;KD&;ej0Au8T=t>+NzF9?Ai6K3;k+-*Pj znf~G5j&k#}e-Rg*k5f{D>p*0eYp)`3ivt-bGGVIlHzf8#<9W=7#THe*0p z;T@gY<^k*`Kw+}6X@~AE4;VzGjmsdq(fpZBt)Pr@Ho5W9c888hxC6MzLBTEvjsQExSGyVlHmy z&Inv{6gt)DnUgd%D)kUC1F|0!x}9GQ zKYS4vQZ$nLojfb}!3$vVH^K#Y>yWF>eKzAwun~tXv0_4N;&ezY4y*|lO>9dpko=mZ zwRw-cHm!eML-W^KF$TLTO(z3{{=I$D$K0Fdf5k_$cXf1>wh9VB>4f?#M3?qno&hrj zM7q(?N%+3vaO>mF;nk;vh3)*kvtQ9%Org%o;lVFIw|gC<^Y@d!(LXusTwL`feLa2E z+?Tgj(#k>E_$%k2bnSdnP&TTy=+)S}l&(f^rhhexO@!AOYblqjk+qfeYOIzvA*WyN ze>`+Ikw+d(=c6IF%CU^aF$-M!$Gu-3pR7)rUT-!uEN)dwpkoR@a_~$d8vt90{N?R4 z9X^-TLHOJ?B(@s%nhVa~aC!s&SZc}7426~Uc@wU-H=Vl!8zZm`IJV-iKMKl}9n=_x zZ$XDo$4$q)!}SfJJWH7P+nt^Cv1A|ce>%|LL@0^F_VH1>mzsfGf{~EQ^Bu+fj$wKX zKF>7oIQ!04Iwu}8xTk`Z_Gb0~(>_t)Luu!yqEAM5o=6Jw*_J`OvO+q)c9-&bqtNy% z4nJz+#ls&cRTmOOosq{+H43&5)?_%cBS3l^NnYqYQSuTU`j)(G$x9Avoy?%se=94_ z#H13VyPZ!c{+=AAZU#}u@dbv{iCv(%e|=H>HkcBD zw-*-j6W;8}atNWBx`9g63s*(`EJ+dYV0mD23=$*7re0MbX=U*h$!oz)HzOCD^p?Q@ zr2W11_Kym*ovedufRIm zg-Ss=tVMeM)8OVE9MqqDSjM++LD@0kA$^-2A9G|+?)?W+Rp?mZJiY4ti|Q^15I7 zWe2=L_en^4zT8}KT7J^Cs;IOGOVtES|OAtv_RajNje8$a_{>PRQbi*RbgA6R>ZFV- zG$tKd_k`DBw|n5_COIsp7iBwO>%6=k=jHUp**Y>C((32#$eiVDY@M287f+_Wo58_( zK?_@FXJhN^f4m-N=W?_4T4b)@T?f6J1zF4TUm|(ID(woQ7m@KnKw7B;R;p@Xe%4yA z((C3U!mPjbj46>$M%K)ux)=C`3^LJgU6ZTEHbr48Yehy(5CryJ=|;bautKg5Ke9!# zrGp(?U6-0LD#fA4a6%HgY{Fbh3-O)S!;8guA|e~ve_T%w_5|fPpeo7X5&nZao!>vG zNsGQ{M=!TMzJuakVGtQG^RB<#EXQ3;miTyZR%{AoM>37gRo;ZX)$9CvdUCi=?S&`( z&Y7N_F|B%_p6)-`XewgT($Kh#0DZ&|Irtn>r890nhod1;{_Izi^ou=mED%CCqY*g% z-~m-ke`rAgt0v9Tgxh*%c^(;xgH~~-hYE~L=E%8qEfBs*)$84E@A8JZ9fN16@c^>x z1m;@Ny4?5^BG=63uKk`16i{~0FggyY?6OSA+nj^$sB)r)V4lhMe&C%2?Uqjagy z+Iwe0L|X7M3_^#ztf>@*AfnvuL;^_)m$apY+_zpcQLe~+Co$-~AKw;-;CBEy(D`II zE5Ok!YPgKm>YI@Xh{mT~k|WaYcaHVUf3>lS0q+g5(=NHw$!$8cXZ{1@HzDGhtRk!t zSswC)Od+!7aQy?>O*&WfcT(Huc3zk(a!pT$mOI=tu7cn`71zgj^}&en$H=mzcN1e* z`JG89fuCEh`^CwLY84H!uE8Ks13xZ&=4LwuDyVjEdY~I;R3MDU z=IO=JFXnOka{ub`7cCJpvA(QSrXJ{p9r>(!^BJ^C_+VsC13nf_u2b+$fB0e!t=W%- z{*HXLzi=H%oBN7>WW$w)lC)IW1VEm=PHO-*aOaHd$hjhS7`?5@dpZ-gaMoH=D8MM? zbd?$hvHB_&PNK3v54jc$*r(~_;NW)ddOiaZ*#XtEWza`}rsh+t$q3v`cB`nPm2Ry|10+~8 z$e;a-%e3Vd%@|_oFog*jvu}I;E#WgC41YSCj;8m|2md``{JWD|;BHK4t!dnQ4F>v5!+TE7E~!T2XGsAP2RDR*q*x+6A$dmMj}$!Z zoORCo?f%KdIT=Yf7}^t{AO$|F5>8$HOe~UyP3z|=6@qW3y9kY1HQk|C@I&o`_6~p|=eu<2t0IXWC^J`WL zC6^Bq)%%uXb79>Ed)aL}YL`;Eh0wYqd6m)uqGQY0@u)M6e*-=MlL^>(-oNKxfjEV^ zRl!GKWc#@#SM^8ZGL6Y1UrfT>eX)HBW2C90n_sn)Z>j|qtYT)b-|e)|v_xEG-AtBL zaO|L!1ObEX_%~3ltSXqUzMK!*5SRk#bf0z|G0|@wwZNU-@coutbqrM3? zLjf6!9Ls*Ed)_|HPI40~3&~pTuQS5;fU?nYM&LBTzzs&z>6iITwi7P6KNVi>%ObDd zWagP%NqK8cBnZ?-Q9~wawl;x{IywLC==3}lYFt`cseEV(8bRs?wvfX)4KuVcDDlp@ z=W&IxfAyn3P!;3E;DMT;iF7=sbPT*@_l%>^aaQ1zq32<4p4I`S4Eq(8&{Z9b>K4me z5M3T5!%5V**@}X~GT2KH)oKo5;%} z>v?AZB;p&sN?6+Xpa%0^zv$CHJwx&3xO3Y6Lrd^c)FkIPi)o$~-V=QcL5~Qs1_mpOCA?Wsg65-HWZ}kYf216( zhW6~(36?u&T{AS_-AKo*+Ysyb1%`9yuE09`@PSG!6fK7RxGS3Gp)Bn>QkMQGl?|qk zj8dFPA-NDd5hgHdvKNyJvPlvx_a)hoUzY!D(L4qJ5>5ZrooE{|XMkuMaq5->c_VKK zSfyG00h+ba=G+pR`5|Anh^1lmGuzc9}_*_{Q%;`~*ae;@6-5}dYl z60KFJnW5e&M+sTAl$JaYJrUp*cyaQ;u%(ydK!j~&#e%495Ri1Ya|He-`(#fYeM}|W z3N&d|<+vOnh5VDZu?aCgJ~Zy!={V}Da%+>S7-j=%BG2}J$Sg!G#Y4q+L3?Oh5$6RB z({#DHVn4stK-RH~naPBKe^r#+xYU36z&Hd^8zJndGYb3}n8o0#rp(`RQ$ogK<7yfg zbE&bepbhz$W?8(*;as$QJ;?2K=Mbr$x{DWzU*A6L821R|g`pP{hCvt;_kklf3IG5> zXri~HI-l6)t|2PT#*~xKIUolB4JEd#rg06`Ho|Fel_^~5nZ@|3eCZ$JXl128!2o<=|+=3O+bI3Z`Y;v?y` z1-}GxsPDlp3TdGc;v+D21C4eq2e9o@?pyi@Am|{61EDrQ<*e)aB>>5PT^^hAF8$ ze^+ZbJYYtzCCQD!!H&6iHme%u&2qUK%7vm&XI~{8%5I#o{A=lzvtsuoj6x}2zRa&w zmM_24uXNE6U+PsF5rVULmDVaNR=p^UYQ6Kz9@;1MT)UOke}Lv;0)&^^r@})6{YDMJ zT0CxRZTJr&vC5X{RH9euiB4zFf0-<&TF}w0O~_t&`Pn>dANT%9cfpO^E^-zA#$*Bq z5r;gBEY##Z4JlxEk2iR*hjYS{LiR7nCgqcLwf&`EL8Yb+q+_{~1~a>PO2$fUU4XMH zpsyEz+s9eoe=zKnbG$ONlgx=O`bgqZ1QH(t>!^l=kd~~z|B;Uh;9lyJGvXWzH!q^3 zW`>gx?sYULt9FOUj4E__^fFYTpuW6Be>qGc4Z7AWMl%IVX@cW9qcA&g^F7Z3Yy+~r z@d5nt$dz#I1c{kaa{NLD;6DpLfeNZXoK|H znk61qq#bSs1d_e*zweK&fjj*Tj`Jxw$oSh2@826&6WGNg@)LvJ2gv^^KoZ%Wb3ZZO qzyA*d%3`^o=Dzsnzwh5phtL1<=HJO;jz|CT=KlkNHG$Ox+Y11$+r}sW delta 74298 zcmYIPRaD*3(&pd}#ogVZxR>Hmthl>tacPRX9$Z_TVh1ViF2&s)io4s-z5l~~$z;z= zCdsUwHS_InC+`h5?hQ7c8u&#!JXbGktDXd2St%EwfKpq42EjvFFfTrwHnbqbRaT~( zdZNMSxo-clP9XTZ&8M+?Q~9_j_Pr?`mR#nl&x`lvmieOmPJ<%@-LmK6`f<6+uua4_ zV_)v#s~_(3z!`8edOY`f`7S%!y~PeOc01mVGIzZM2wz@{_nm@(&DBu{XP%tsz_LYQ zuZw9H4ibYk1EZ0$@84AXm9o!WAor!sOK0Obp&|)lN4U!C?MNf3o?tm5;G41ECx2kU zC^lzY=JKs)J@;=>*P=0Dus5c3n4Cvi@23TnUAeu6oo&+coU_c4#dC1`->O_uv>A1D zOTLCfLaPP^?=UIg{QBUrG)GHjOcNm`-za7MyE{dPC*+CElR2aJJn05!+3&71s2*vkh`*njt2c>BQEm5&7O zlB@%DuHmXFZd@rRM-ki!qUL(j>wtPVvxX#f__S^h-K)L$ktn=2de^6Mj**V;*FJdp z^a=TLwi&Ek(}Nd(c)kvlfpMW3f!tA?)X~&7r!s&C2|ntfb9XC$cVpvpdsO{`MVMeM zcJ{3B`7V9OcD$CheADY2+KZNZ;j-Qh(GJgy-Je{!+-vabWofh9tzz)wRMg$e!@rHu zBQ1o)R1CuoLH|3M2XsgJZL61!19Sd_`K%JDS9`7&^mek?%Kque`N&lO5EKP2em@_~ zj$A(9KP*0=c%45ij}9dM3EO^{Z|6WjS?9}N8#oC&vWkjZJ9C3fSYe#f{Bat-aH~!d z)?s2xi-WIyaOT$1+LchP%9hz{4x5tXCO^n@Eq?(L-9tFNb&O=CFv@Qot9k*Y=AY`bPeu z%_&n`M_txj54yt>55>!cy$uAz?5)*Czvz{Z&W?O51a5Yh@z+Z${3dcS*5brs6~kvm z@GlFhx1NjV^KBUUupT&c>DY4&;EYJ^X*LyTq3O5#)J6_>pH$C*tEcp5YkuA6s$#oe zC13nlI|^P)UK8huzCUT)YB(<&wR`tujl5Kq#}A2IY(G_92O0V` zllnht+-o=+uWMYp?)j&JGj0Er8V&E>Tkg1al=q$VK6>oV-rMfMvqz-gEwi=RRtDlr+KySkK(_!ct-Bf1UvTl7NbvbG}+2J8MWPnR2?=Di@BIS_M`)I(%d#8aS$t?D{ut#?0 z&|hfC@^r2}VbtHo$6q%ZztCr!tsz=hp)z@FTUzsUl|n}b{0ls@m8jvZ&g6=|uSV(K zOXu;!!TF#B&~S0Gw9^QM`}Stg$EIlkEOfZ z=5uweNIL0v7s`xAFyoUW`g5ycui3xl!A)=n&~JC#WG~7}IwbknKR@cUnjA^_%#yQM zIab!^wTbJ>+S#}X9F1qAPC#w~6P)hGCd0bgv_uHm2NX(gHL2E>puXWN#pJHbyp1;c zP=;Iml>u1yQRqMQqg&haJil%Qg#oH{kU%skUru5_0#^LOqbQ3O0$%>G+RRt4!~Qnl zBqnY1pNA+>$yY-1k3}2pDJ*wICD?WBN~H-e0TAXC~Wze4yKzI4b`@Bjhb9p6dUHT`FVC{yXVnhz@NtU6ok>U z_;*xmacQK$sHAo|%z-ik%76!`-=22{el=~%>vi;Zjj9ki=3jbG_8x+f z-=0@;{hT$j)#@ucYC5ZAMqCA$lZ~DK^rM`LsQLtdv{>{AJs`Xpgfd}X?}v7|s&LW> z|Kfkd_WhmFP@LvHpO;xpHG|H)e*l=)A%yp1$H zoSi;c}q_J6)Pc`}85D=0B*CETZxu_O$IJr+6MT3aP2+XFL`` z22RpbhB?vC+|{>Zdz07s;4FL63`0WyM1r1(<#x=5FYH8vxOvAM#iUhj_@&6$_g?X? zY}58g46|{+Rxt2JE=E^(#$1TE)TVD6eE27(=Vp>JQka^LglxnDNvW%VdCT>;aw^*V z!ZNxM4;!b=F~_jl++wn^wYqFKotBA0(UuPkm6=XcqRN)_Nv{eXTKMX6HN2I zrn;d^LAFr1ZjY!wsx12ntAa&P}JoSYS)8& z%37`GsV=uc%SvU@kDPEvJUReV%I25x_wkDWK)P}=P>!Xslwefp zkom7ynlG`a8oZYM$5}%|Vu^ZB$N5f>dQrZxs9DQ)=j8M2wvA5d-1k_fQ|SV!!aee0 z|6-A_O8Gy2UP+|RtH&OE+kKs^HPihpt$Kw}jj121Ssmg_MKYUD9gZI{8yf_w#JhN( z?XUJdxI8e7Yt`Sx0VrQVl5x@yvpGQpEvw!}MhyWiEGNG7%tg23=4yz)Z&CHOo_BX8 zss>-1j%@8LW9M-F*ys(tQISlW?=TxZN1j@lbJA0!@xE47gm#;HQs%d}n5MtBE^)qY zqBagH8uHa6H#sokSbR8M|ta|M9j!A_R(=G z(V)Zh8gS(jXjH=zA|v60^(cMYQ>r|6EoQB1n```Ccm7*pRfDwFHhGsSWtq6N;iXz{ zcNo3fVcx8%P%y-@2Jb}8SdZN5mZeb$)q|ITICAX6^PaeWz2kC0i%6R;&(XB9o6~%; zhFwRhS?vQDc%ImZse(@C=g%$`hwO~Z5>^@h(?4AF^LvrbUu{Kpu6J~=5j9#o>OV;6 zIbd4-?(c)BJdfPG4##x_jjlXl*WNKd#AI!iL-LfJ-C6ssqjoc>IOi_ZiPimQv$qHO z*qF$=E{KrrLQt;{^tYkt^0VKUu$9VHrMv8$%wxPyz(4e3e$pDnQ}OFo z@9JH2Cs8Lu+orR!+D5u7A(6n~anckNeo`z^&kZkUAH?+feQ!bY%x;suAJde}I63-O zC)^?Q^E!G;o1N~qs(dWz-2o1r2Y4O`^`K(C1=0ohEJqVhV`ArK+H4N=<9x4L+)^XR}u8{xaXrn0?eb+o0*<28t?hb^i((QS+(p8KGJ5TM4>?)+FG=sBJbxN$^eUU=By@Xv zic_DAJPUmjr8pUe%~ss)ny#)boy>rCo!ez= zC$o01n``^KKt0$20<<9aT|;m%RmKj?UknMn;OvZCTzlV*!UsE1AT3C?*O$|GZ4`aB zFxNRUp0c2T#e2LQx66_L_sMt<;59WQ1XF1|u$)c8eU5yadA%p+TkPRF-O0S*G?htj z_N3%1AKWUdcT3YP?P-zZu#uV&L5DLZ26yqokq^Tz)07rXAST>(S^&;o6X)n z3w1pc1%art)90UvpJk?i71%$A(yV4{s;EA-`V zKK)dfJ0*?rE^5~>Kzl8%{HBivLy877Yi;#mCDTJdH}_oMAGlIPK+Dzg&i;+NJdkk2 z+Fy1S#H>SJwMfZ}KmAMh147I?x>65IEc$1QC~jai`n9*uB#$?J&nfdYtTmNvZ#dfi zwOMnXmo1tl@pI`%Sd$zwX%Vc?mgfK-xur|IOqe&0tkk2*>mcy)!3i&%TR2H9V6gWW zcmtCdAuPcUdQvC}4E=!y=%JAdDnQ(AK@Y0aA{ox5NS;p+`;-wJW`hN1vm~TA%`mv5 zFd}hzU>c8`M)qzWuKoOgEqTeSngCbbs>C8YWt;4Jq?gFygQclT5~@lhOM1@{dr1+o zYc^mKZ{GIqydxr)D%p+tEkY~_s!)eTIoT(}k2azp@;R4EJrDSoR5D+|kTQ5ySSp;s zv{jhyW0g2a6}Ri;tqS{#;qvoM2SxOb)gdrbE0f-|EnDzO8&R%^Bb@4iYReF_?2gr% z2?adt%6J{fBJdXlNscq>xj`2FbH?W9kC}pry(({DMXDi6T$W#JvAtC%0eewtjH8z~ z;1R7fB+a2;Tav)gPpV=$ht^6Cv9E`i7mi(e2@NV#$)?mF>r+TA(@oJI$aXrKo>SLa z>!ghDhcN!|MF%>adWbx@c`I`P`j=*Gax>V8+9E?juKq_-WN;=7 zsh$LQ*Mi)<6<>4GL+|Wm`+ZP)RJgpcz7p5Bny?|U>#zff#M#eCd3Eve5|=*4^kRyG zclv24bV>I;zPBzxEK+AGl?s$xQrU`ZQ7R<{I)lX;EhYsHAGUZ16==ZE*-})4JFX+9 zm+t8;>MXR%esMdTXvxnUwCmEgTnWLrme(0kreL{Usxyn(ny6i}6dc>2{Pa0<*Cx&( za=8cnh(mxPi5N&rbmG9JJCkopoU-e6`zpT{J9uZ`YWZLeH{>ekC{OmVHboV_do^G? zek3MyTiUzqVJDN@%>KOCtnV$ zMbLYRWSRh1`n73_1+_*+7A|CMM(G<}#LhB?t9NzIiev#KMeNe3$(rWM=~_UJ`T;vw z4H_8CrAVzCLHR?C(j;g1NojTLs~|15yoTiIze`fYQZH9pDcMjl8YaiexY=595_0(_f9>Ah zu3#D=(B{qZ`b4W4M?Hp2Q)7So!2My~#Hjr+bMf4fOD#?%rdRjDv;LEOlMJdQxaA<` zQC&W4(oMTEuJRRj;6_9vH)4|g(J8{*UFl)j&O5KUUcT)Ev6H# zS4Z>lv>H(1ruAk5^)UXTWk zgDUQ7x$rb|8gsVSQ#_L7uQ&+;xsTCB7R8#iCuJ2<*y$H~Eg4t`Pg4&OiIHIir} z76`KZBeZYyx@;8?;kw-^WW}(N-IJsI+*p521!fAgGO5Cp)34fs>}i0k8^l=hzUjKg z!&z`%e#U(zd8rVJ&;CuLaii}SApxx8Xi0EFf0~5 zj$I$aP6BTjC__Rc5EtRRP*$Sp?~SV6dL(I(Bb}Uf``g8}?H&N4DWs8)G;=(B(03*{ zwD@=Ow7>ClOX0EPk0gm10bT4^4V)qcp*B@_k!Qx8%s->9_d!g=<07{9Sqwdh*LrJj#ml4^?;%oM-<45yYw1?ol@;5gGwBjsZ2I&Q#Fr8hNQRu#X4S-Rl`E! zT0u(n0I1_DQ9Yq(q8KO$Q%(qBhD6PMb5utR$Q_gu{ReOJWG+%fZ4-h`8U~&)PeTwH zX`mNRDr42LWwk`Tp@LT$BQs){?;5bT{lo79R~nI9U0h^qFb)jO1ECX5jCifKm%_Z* zYoa9s`-ETyMSENR-IQ3Ukvb|TnUg~sin9Ds0e<&X3?+I+-OpaHZpz+N1UQ#tr9N)q z)#iwyGDeif3ASjsUP^Y0<)W(%Xt=k!gfrVM3_0 zoEfAn`$l+|X-#b4XU|6`TS@d2%aMqXz_UWosb{^gv%vxV&at#CC!GR9y}@8hMvx3S z;2t`iM3Q*i37WWmCiMIMJwp#XJ6;2QiUIktrou_9aHE=2Xg_CKQ%7t>l0-A=Vi;Sd z^|yCYwI9@(pyD`8GOyj7F+_)+V!ItdO&c?U6Q)KgRT2?YxOl%ch_r7Q+arle!l+Po z(VGy06N|cNR#_>6EyH(#I1y!}vNQ3%*w@x4W=C~W^6@=h!k!D707+q(9D0f&S5`7i zsh+_t0{IH9x2npdga3?x3mOAm^X4g$vdja#^H&%f8m%zOr0#?s#bGz>WGHdB?K(>X zRr31Jp3#50u})aXz{-kn&1F4!sXXi%mTXsem&4ZHSD(FIzF$8tz@XXxOIWZ5oIl6a zEzYK<{cuvRn6WkPNI6UNGXaJ_ecUCqj*vA)%#cEkVr#Kyrxk5CsBO)m?gq5EQMMoBhhQ!=ohTYl5(Oj&WM~b z9hre+317VHtLBEKeH_Wp+O=Cr@@3=>v*rWRUnuFUlns)NnRWlPGr0jdNeOus|JJtQ zlv+0uv0Oc9U+JZ-kEI7h2SDykZY?)RnS#+K@UZ730D=R859)HGgoWQn`7k>;`uv9; zmtv?C%EWy^z;rpU%Yplzs#&))P<4FnZoupL%=aE z$F{7LJP*SW<#j^<{ZZstgssBGm%}ggAtz~^JHVr)0_)#)O5Bg?ApqBRO5|gjwG%dd zPx@^Z+}GowzLebp$9NO$q!3HxB`eK|x-RL=f1Gte-Fih2?y$2oLxe;Wplq zo;(@#WY23A+aybx<_S0#+Jz&Wnhj$;z4R2q^_BgDEv5K-6z+?(1;ykv<}Fl+c@=cn ztiF&jh~ep+7uOu6{1EaD@i*ZxS>owVZ6dKtKy9!=3j~URR0BCfrJJn0svj<5`Vd0<@8z4lRMk_K&_hX*Q<0#3)97={b2wng=kT2ZtHwb8eHw4A zYe-*fC$1Gv(!zq((TINcc*F)#6V=XIRApLAbLl0(uV zbsRT&RrlkUEm0ghJV%d*n728xZ%shKXLI0{nP8xPV6!`wTuGQg;4fFSPNEFg;C!1x zm^2wT3=d)Yh6V6A?2r_;HzizT+zN7!f%|gTCauz{@m2@S9WepseQXZ7U#7EE+H8OY0Ewa#L z|AFF4i1(MH)^{G3n9@O^z(yjB3U_7*oODq=_qp?-iWu^^<#eY-KV9Q~t%VL=$ z)yBKc!466$s_Te6{`*f7TEBgaUijxn+&vNLu(&-azs0Xls3>nD=Yy9+6UBJN`8jKk z-i->h4i(TDwo7uIMPFrB1yp7GF37!RKoZm&r+yK~8bI(SO-m?6tG92gB* z)!-o6SarYVNx=CUm@H~rOu=zmbL#WB7jsG5V$^=mXNcQc)Xvrqi3qm0!JMz-w!Q^; zh~H&7TCG92Cfp zM6-~wjOPsgpUN0K^aBwNsI<}ZJ9ppr3nD&uR9I3xLTGgeDxD0$Mn*J9F|Y#BrC8N~ zC4)iwJtm5xKz+-|28Cf)T3r9p)sY;oc5ra~230}e=cGz|KPXzbr2+^wmQ9T1aV`v# zSH*XcQs`BmaHfT-RM(QJWO{P(00G2sCVm7;D;8YCGNYVu)B|&-EQP)giLYn?VI9(D zE19nX`b8%ho`d$~nj9{S@xN@AUZHfrc{GnZnbYviuw$28S14TxzZHxdYg^d#?A_+; zuY+12Uy~^r%)?s1&r*-^i+t|`674`)$mZv*KOHwAYBV!NpisW>E4C-~Gc8D**-VIk)(4N~j-0Xsc*}N_Ge1 z!M?R5YLaiiY&(b|w%75rX1^P>iZaH1i8FjVI4NuRZ`&@Xe^}vU?}J$hA+FqXmYT6Q z+=DOrY7S4Q>AhA4=G(oh1%qqVtvCYR9wLZRjcV;$IVS#%y%BSl9}0_x)b&j&Qu*J1 zn;3LJD@_{=&6IW0(cNY>L&Q^g>+gsZ-XB;`WshZx9Tsc#ak=Ob0cTKAgHFNiR2v|F za4t0w=mp$Ntp);v(P+p(hF}&NPS6wBkfsk?%JPQ^ zt+(IZrhlCiDAiGT~rve)=UHKftH4LbQsJ% z+tcAVf%)oHdIP}Mhj%=rg4`QjN)u5!CVv~Ym|iIHV%G}wXGxu z)+jLHHDGN9knH=bd3ooOB1UT-UKirT^JHvcylzX6=J4p?>6{#XC{qn*VH+AAT4GiA zbERcwiY1q9Y4|5Kw3UXU+iAbH5ifqFVlI$UBB$wx-(T-k?Ybz6{>csFpVZV>2%pqe z3g65}2pXV|pHL)BafQsH#>N5H`3X))U+j(%nTF9+e8Eg~a-dhR8(j|w8_Z8{20{R5 z(KCTszytKM1O{2;+3m9%&xlgUv@5@<+*h!^qB;cG17LavN{|>>j-eXV1%6_%1CfKR z7*9of6P|4_ew%v)mWeuYrss>nb1WK40`Of6LOP+<&ca;T?(V_buuaTX&`;+4+P73s z6}-NP3QCzGV@0j_;6x?~5V&@h2^R!IVh{&DVP*sx2><%(h`FR-+x`%3hDka4n+y8c zxt4x=8R2rLD7|I0v+k@Wa_g))RJdWmWtmc3c=q{OXgJ8plxA*w{OPh1#of+Rv&I(NLlUV1{VW7a z*H{GLm)DMUUU1eSKoL0I*aVA+w90nu6gOPDk#$b{Mh}O|4)U7vJo+4Jp4yHL$9gG+ z&6XdZ3I8r)(KkoR)57NjN$(tffe@RQ{}#df7z**t7dg$sqPx3)h|QEwKQS-%}An?}w%9PJR_9Dg+;h8y>q)uXEOLkqigF zV?D}Bt_x<^MtqR^eggE#ju6y6cNv5rsg8KZ<^S&ol@HoxZ_W$I zwT74aqcMz-^g_1ZVUZ+H3wMUS(%b3N7c#UTFpp4kxU(A^<73>UM6axO0_hw!+sDW| zOX8Pd1bvffNZad9>tfjby|BQ()*Zn;ux z9yYG=jJB^oB&fJHAo@st$b~r5CRe@yjw`BarnWI!d`Mx@U^$_JnO_DUBv3g=UnM?X z6Q9q{eRRj9bgAschi!M72_OIG_=1r@nVwEdj`InH^kdH1-!-p<$~o_fdSI5&~Q6hFRp~ z*?xD2TdbrwJg81(*pOY+u9zPY!L5TU8#=pW33hernHI;P|0dK8_>mNy`!0u9~n|=4mfiu z`DQ@F(+Q^ZdO+=$Y3^Lg%Ul~ZbrWZDbnBS~cdLcpE=nid@@Q0VHgu>I5bZvAHRGej z4odAEvA$lOCKT}x5Cx$_yV#bx;@Gd8;1;iKvFEPNTT5QNl~u)S0*#DTBntF4qRW13 zknM#2$I~U%X-*iSRV65piZNF}8~HrV>f>Ezj2E@IAMi_jDA1RCtLPz`HM;oWNn*$p zOFop36CEcdZU{e;R?D728-lr3XvyGuXEaqTym%yhdq zVTh^VRX-F%okplkdi77Yxu2`S(D|T+q%IM0LQO&^UKC~1P>4UBd~uIdwqpW7@zEPb zSJ)p0}gK3 zVSu#ZC8#ao?PrDqUoJSmL2T+NjN@Dzjh}TDgn#}4-QX9ON5i4MeW%Hvb5-ZBX(wCC zPO&4?`Cv1QuSwMzKhXjQse@q-m9VNG?}5fWZ)olblA78X=ePmOO_WIjT(;NGc3vm> zieJCNkgs%v*_KVL2oD24VkW!xcgcnEv9DPcqL75}@+{@M`f$>thV+zeo6=k(RTf%g zlkR!h=-ym-pQROw6!Q}4TSIlR98#W(m+MSEDd<4FA<}yHTxlxT3~Vzp*)X3+?SaAJ zwapvLkJaAvr_HA!mUMYT%>p|l?UT7|o>1jbbn;%*#Ap!Wx#ipDzBllQ@q-@s_c)S@#ulZTJnMNtVkU+N^T9jkMT#({Y&c9xyc!)Vj5{CW$->$swjXfPE0}mBE+a1u zkTkyiA#xOfisrD|W&*be@9FH;Y8vi16TLwmE*OYg$|M@~_vWcBzAWRvA7WqjeVp%=dfjRwMIB4iUL$^2zcFCk zMgK#*CxiRrN2QN{3|d{rhl~s;as)JmrVANxFz~gZ?mv!@8R9TE z4QzSjvexqcommrw7MEZuMTXVrQ^>FQBe6cE2*O$+)3sxCBU*~lUs%RRR*#LfcFn3t z8!@NnaA5iw$lW1F+yGRH|2?;4&ZM?&f2%9xxUVdsN8dCUZXc@_axC|ZABdCo$T5x& z#k(sK@fP?uvJxH8vnH_p=oE|qqa^KsLl+aN^Mp7_Wq&9I8>znl<|N6kwK z)UR0wlKie=LYd)X892nAa6_I(hQ!arhTHr9BTO)NJ?oaI@vo0*?KDcf$I>mWLC5i5i{aLrQ+ z{usRl(+G-z2EgWm8X&9MWIE)ReedpeZi0;tHPdK&FC7LNHO4BGJo)5*aE&p{35<-n{q>M4Mr3D9Whsz z%*N{+3(FJcb2kxAIAr5f04j@|=Qa)$UX5C{U@HuZFZjDK@jJN85GE#)x_;8SL+qkd z((+D)LQzV^obGcc3+DKBECn7HCV7A9W8pN$ZDC7nLLR&3z7m^nMBlnIm7P1Z)g-1l zazKeBi*pN`2WJ|}UOh;sxZnyA71XyDlJ|}CBd;4hTV!A)Q6>-?m|HXskHaf7Br}zi5Qy!5s`uOlC0g9)2PO3IZ?QDDMTB9VR-zH6rJ{~c>{&y zziPo_OA62|fsSvq&ZSiJCwaB6iBJlNnLidH{7sawG5aO|7S!T_F%=~=dNjh8_pdJn z6`OHr#94j}z5mNG>taY%e!#r%o_0-#S_g}10-?qHsHtehN=J$J@Ab1y8|Cd=wWgxh zLp~+-B4sJ%RF1q(HL&PCJmkP_ptzS+XOGOMH1KLl>eq<}s1;_NRN%Qp`xS zwT$%TZW`;4QsmAS;APzP7cPKeO+KuY)_v*HaxB<)vz^c(5q~LY z<6}1Tvq2qLFy^YC0T~Nsn4m+<1LXxv(e+-x4B)U*Po#Uy%3({$l@$CqKPN@O!iyfpam!N6&#h_1#_^8=v7pEp=S8uZR7sqd@3k)@N=Xsch^ zv+$^2>bI;z)ws=w5K9E;(0e>Oxvz{_SWbk{UW$0r=U=%uWkMHtN_KMx;84~b(>mcgf5LacidUrsGv znE=aHZ_Ao|e5zPG`5&q~xtBy{f`~-eGE59Y0)322sCO(?#CtDl{k;jQw+f*xvK+9e zRiGkr)PJ|N#>U0uDAF=q>%pM?G;NM^9BjPkSQbC*(Bx+;`n2s8F?3ABAO&R#N(-!c zMK&{#36hU8g|j2!?*N%!oOqZ{gjFkB?B|c^t{=MghS|pE3vvF6X9~@&Zw<^Se>+302zsJRhI8W*31{dM+V> z+1nT|+F}X&(tuGt3Ghx81u7qHZ*zqy^i9|c{}o~Y9zLA&+K6{?gSXzZ$K<0X`IU17_{47e zwDn<#PgmjhYe7BmGDZuv4i`Y3o9Waldi5uZYH6kacKFHmHQKlI;LB}mQIgcFNn^UV zYzcfRQ@Rv&N-OXdKD0V%h3(?Z`-Klm8mq4`urD5)cD|)i&NGtda6_ zwG-n@iLNi>l1yzYT;kV)>zCgDw&2x0W$3buB-231fV>kU(#WU2Aen&MsbNWY@y_{Q zl7=A1-(@QatEB$|h);Sm`eSPvTo^O*Pfa!R&f&>cl(AuCQT^W-HU`Lsk*%jx;o6XB z0v@jCB9)y5MN}oGwY|DCzi~9Oq+Y!mfBj z92-TAm04t2R=6q-2DA!1^Kp3}coVrLIQ|s_8Z#-=Ll!#Efn;x2?9~C|Id99jaNM*|h zxzbYe9tk`l00C>h8c~}3B8iV`sI4i=^v-85>dZ{EdwtP*;G&2|pg<;*zb3xsI~Pr` zzAs`X8_8OD!IOfP63H!u-lan;W^$pT?1LO8@?U=mk$Ag3M-GzURzkTuELzTrV6y6e zE`l+}lA>N86HXgsln5r~P40@ep9FshEX4rgA6i9G0b_L-LVgxow;fUrlg@~)TfqUC zAcO3EIv%f{K;iwchy}!#L|RgwY_!3Yyb6jOn?fh#Ijo?C5Qw@@qu{DE?q9F#oCulo~X4IgznBWNn?4 zX;(46vxF@y^8JV)uZ24aC7%ISQCr~(hbgQL7%L5+4g7Y%8pYpjcHoW-sUjRA_T7o0 zEh>LP-1l*Tn6Ji&Kx_ZRwK40`q`^QLQM!Gc1rDlv2#B!1pk57swo{08F>Epu1Sf>1 zK46HbS$|pA2>+KMfCyjt_7^@#foG++O&)G9$mKF=_G$13wg`>ik49VdomaM+Xub&> z{EZUFS^f(_)E5yVLZ|lW{}C367$30}6$83Rr8Bf}j&B)IS=Mx8yDwLdYWD%LcEOi+ zzpK+%JUqf&;b6}>NgU`y$mIaxOn_+YlL5)-vgb#4ZGqYMe%v1VlU4v4^8OO{nQy#! zI%rt$Y!fT|kSWp^5feSo68a*t$v;8@4X1PN%fEgb6Wem4Hy2sOyl^tw@7I&JEg5f; zG>|fX;8=znB-BBn*vMJ6-40mJ^qIec6Bcl&T2M`P!)j|EFl1^xc>yX_2eoU}wKYp7 z_t$TUjgnYNjufzJ==?32ZzVJ#{h1s)!3i1tDPvEWCW80M@>^JR1v7yej<&tl)t23m z>%a;%XTRrs@(2le))L0b7!o<0UHB6J130Pz92nKx}A2g;m@PN4cRWVi}eK=<3 z#wa865BZCFL6)oBU%iEDl1#{6bfC$(-wE=s>PdC4drIlyUooA1*i2HOhs{HeFW$Y1 zqOFJ}sGeHVxme&p)(EIv2~5x){n(-`vPBC}^?bOLbQ-|~rHGP{;&eq8+^)IpXGUDG z;OA)O>x`htc(qV*;F28DTZogMLho#g^TE-&2uHzcLzSL#HUzc|W%NQoJbMMA{er-D zfI87Y0v)mwi@!x0SAq?K<#IrHn^dUKccE-r4B^SlrcO|_%6h{ox^3|yP%nGmd-X$r zscet!R4lm^?yirfuvM3Y+m+l&P@P!IO!m~rL`k9~GY}yQh-pPqs@PSRJ^ews28xil zmwwn0n<|0BD59x$wxr^OX-r4Z84l)KFQjpBo^{=!a|jTd1!_L%Ege2#dBy*dG{51K z*L!=AX7ysFMhZTHg!}bb8k&`2Jdd(bM+Eo0u-Ghr3EFTWXEB!OjJFv{sDHhR({&~% zB*$?1k(Cw#EDZX;s>kapEN2y{#~$>?*S`kEdr&(JiF=1r?~>RYi4m2;Vc^kJpBKpF zWwpFN%wZ7UIxdX^vLtbA-3@tSZ)!cu+8Qo%y(d(rU#oKs#*lkYbY0DV3 z*uOvtTI$!pDRL_P{2Z<=yw@NHkLm(g+GMJ(WO*TNH6ddOuAgMHurqhv!ES#l^nA>1 z*?3$Fy?08vlQ%3|k)%#>`>ItJqQHo4+SYs-?g=!3U-fMp08TqbUyDtzLfG>IK_+R&x=)l_V|&w-p% z%yyjL2Vo)^7#N`O10X*kk>9*pv{7O3c&SJr6g74kcbu9bvGfjD3$L@kGi9CMwA%hW zOoj3l4|KR72TPyY$&G3+T2|T}W+z_2->nz{sOa$pDxdx^#Z3{x&32FoAKAKMNJ8&+ z7%b$>Swo@f^klAXE8y_=jyp0XZZUq(+t(C_8=BH^s6>JjtO{N|K=-Xu8&K-JcE8%Y zyQdnmp=m^)={Od;BKPXlW+GHPlvc8H)@-nAae;i*M*cyrTCVEsK`RR2ko=Sz*b?Ic zd^Ec@kLr!P+)NhCTP^R{M7;jOH;82P#79L|<6k{4`a%at+45rQ7xquGdn+B2J_@Ce zPWaGB!QP%qM;A_MkozJ^b)JmW$HR>Y>^f^{)rS7@qaz~XhKS4=jg5e+rxFHjM^4Jmp**bJ8xg0^Iyxoyk!`X-rPotUZ7L-Io^@~O} zb%z!2HOYjW1v0N-ZPw-Ohq|3M@34Z8Y#$x^b7Rh0Qr?sr$FRdC2MZ5*7y%oZg(*`D z$S3NzBRQ1IJ%#&AfEcd8OZ@U`;h*-v>{NkA4VYP+ay^H+yujh|3xB&sjY2y;v!!h$HQCY(Bh$YDr%s_c zIyv3~F%cNfSD4Icsg{Tg&3a{MJbS*aF6R|h9sTFod3k>PQ^!v)YM)*asMXWIAv)d5 z(}%TBpJeTx{>Aajqj#?_%8OSg$7k;@$c3O>*;|u}Q+0or{lSMt{cfx>47PT9Z#S|K zj5rcTSp!Q+Y?|8C2m|J|>HQZhY{-+;$re&TV(alYk||QpY&tfvxZm9PA%*%manwVd-NmIFx>=kM05v zODE%T8#!#IrU#y590G8gf45vNc_NbAus70Cp@Dzo@o$&QhC^~2?t|wTWwqe26af|- zlG*SWbXR7Ba9G;F-IN1}bhIWl143OxMX9-ZSW3YPknetwkPE1$5sxb(qc=eA-zc{Q zi9!+(R@)qR1V|#5dcXq&I}6!+0`4rt1H{q~m^_zKL1cZD84CwI$5IiPB%&fo2_A>! zn4^Dek%*-v@BqPXJT}kObmMWQkO|1YRc;GFDMcYX>D{pu)&j)R6RN6Y1;`ywO2#hJ zn%Jcy$3;d{@Z7&+u7Ss8vLl2<*>x%IKTm}IAf!SVkXXtBi$sFb6;hlrM|jx@60vjz z9v(_lNCnaqh!z_J9+sxSWjR6V3U!r!j>>-zWI2|uz#|bAJx_513L=4)AQ4Md;NeLL zF_dD*6_IjI;9)5WJUmqBEyb>@g0*Y2^aMXYZvhJ%EF?uuU4wr>H1}_gs~&s#>2{?4 zat9-NIZV#9)8_PXh$kfS8qMqnV;|tP#63U$zI<_XapW*>Pza-w35@jE2?RBfo@Rdy zPJnz4BOArP#-LZSbRwsw)eJ6o+~ka2%OP*PDw9rR15$y#KCEqy)hpJUHe|<9 zfgL{18(nJ9W{*mO)yDz>!fFD8HoR{6o^uBQC`wBZ*zMywHqDq08S*BpGN}|&dV;_e z)UE^W0F_wo68`~_02etmEo6UBO*32!A#c(ulTc(oTY($e61nlsgktRv{=|t$eW9lC zusIV84lyw0&_4Brh}0J%+_Rja)bXY=Fvd*)V5G)SllJ2{O2Zg=67uG(GAR|48bgdU z2G{QLfKt4wMAmE&fQ?ikV(e#d9ldG@C*J5)F0n`zBE~%lIVwcQ12umD9#VV2)9r|+ z?GcV5VjvE>`|*oAGKDIqi{04b-a12?Y0N)ejkyd{u8y3zsVT`W|c@tP$i>OdgnqP=BPZ;B? zLf#}+CJzcq^9ylaL&|@#pIEA_Ki^ThUMP^R#~5D~@NawK4EFJJSD9)4`1+ZF#|@mOCBkfCy_G5DaO{i@-3sk90tU*a5+@ae1Sw zT1i{d7ZKK!9WkWsZe0ETJQ$Jcd4%2L9BIqiFt|KOiYV-kaGZZ!1$mI=t@P(RQaVLg zIx(az&+*FSHBuSJJ%u^amgk>j@*3H?GQ_PbIo5^$1TpS#JAjN7O)>8J?zk-&!|g(z z4~$8wgcMD zVv-4QSK@uax*AW61b*}Jjim z`Q+WabC)iLq0zHA3#p1webhOVuCa$9Zy2;U6xi?6hJvT`5`l(hD6Cp)xDxKe0b51H z7Gq^Ky&~Lz7^@=ZO@fB&!Vkx~@eP3k`91GlBROvjv>!d7L5b*rP09yK4~PTN1LNS( zV+YpOy*PiM&(Z0Ej8Qgu_kAlMB(Sjwd+p#HO4nNZ{Zj`fi`&$}$>Oe)BLH=}ngI)t zWR&5hkM00TXEQJ$7Fg|UW5MOl!gX$hX?pQSzI&1-h3$#$;hZV%Pt2GBn|C(u9YBEr zb9;X@XNn`{gv@M&!W;O0f3qim1bP(hRzewWZ|S%vo6Vkh1K&Mqk_l?1WH^k+S@pbu z?|vk)ofNzBQ9zRHLm$g~)JB}+e0&y3SX~mmH{u+&^0%?zR{pGwxU+HZ01EWx*&A_= z-FcjixU+HZ016C3*&DGwyn~IHCF}iGeV%_)Mp$0c<%rRBud`Y2CXzx>E2Z#ij@nF; zjv65XAf%OFU^8EYjvjoICcH`S4&%qnne{n6MKs45ajqVGb50Cz#{2!#`T!ExD$ri> z)p3iz90w`^s&pghEhyxW+8iriL`RHfBpnS@f>7W{XM0p%j%PX(F0p3*Vu^ZhD3pKF zQXO{AhHZw@S=i_I9} z;jYHHn^3|YISQsaYza!DXsc1=^Cr6c5+>~B)UI3|w(PIwqWng}@TR(Z5=LZXrno+A zt^Vfnm`1vEHP9VG$!RD#R>m@h(ZYWl>F&*ADXgruG|TF+wfG$u4B#=Ay3mXv_ zT-nIk$@~YqbHGFqR4=V2$JJpwhQtsuyt&r?XkgD~ga$Wl=Aqe({EuQ7KeExpIDo`M zvlj(GJ}x!3raEjb8%LEHfZ2lr5XI2-TG&vq@6|Qc4eZT(k^fN)9eoH4_Vj-dBdnU} zQgk;+8G?a<3bbT!pn|hx3f?4Te>BkBhtObe9}mr5lz=FPxQBk#_HBCI5-2wun{OP9b8(iL60h>w*)d(rUeUO^>gJvqR zIx{FLotk8N`3FBrB{78z=gqoO%9vtT_)l486N>am)%c@0gkt4qB0_(C=Se6yR@Fv^ zlW1KDB~p`8o#h9B0YU%@3bElXo~ggvu;7hZ_JsnAvF%icnx^xj@J~7|coUX=u|#An z2RGEo{p0EdZ?dv4l9)=>64)qZxC;v2NM&Cn31ue;99HKb;muNZ%WyKWz#=BJR&WuM z;8<|HLCW4}LORB+uGV5y0X1lO9d zknj|Mqqjen$LHr~=Oi4Zk*uFL1q&v$teq4WFE)e8dZs!P8DLoT3=A;Vz)A~D&A`fs z491!fW-Wf4HBZbn+A)}y?@pgzygEDe1Y`|$jF3)-b7`CRW(a>NHXyOOesLiQ8WNtJ z^Y9@>2?;5}N$3wAQk;^IVw`mI;32{J$?JjE;IIX$S!;VBkYFq!vU3S>EFp2-zFR|L zY~KR9co7`z;w2`RJd6!Iv_>LYrQBgn^<#6m_hB%do=avx5_VD!u13i&PRjUe5ef!LvxL@CO@2A=ZTRvd$mEux6yO6@E?_d zkjjT>i<3&`Ns#;sn-wR3Gu=Aqygdvzi|fhkx|~f%i}$nT79Yf5^xxc7A3J?fBY&6Q zKgb_K@x?=cRYqSX1o7oV2p<}R-EGgg+4_Olw(uM+Vz_@}^Y+YgjqYN5HgH0JBWgGB zIksno!?o>*ih6APBUH?;Mh9<}s7+l*3jd)-j@fSpjIaQ#XZcO^QC{VX>C*C_V5FXU zIGXf7IRnWODvK|}vY!}6XA;DiH(W%l-C{T^2Xc8__6Hy69z7TBY9qqVQ_*W?H3AXK5zIrBk%(~ARQtL75wViS?l0ymO#htK zlF#b&RDI7Kku~?wMpQHSkk}9aA~W~Ee_~M!L}c_q)Px^G z$7(o$h-Jq4?bSk0aVKK*`na>)Y22>6${vSMvU`7^;A$9-2xV7{GZ+nS28hw{X5d=c zYciVutb6C2J`+p?dt9Ns1Ki_^lMwGenaDXT+%wau5}>W2c4`Sy*L2ygH=3@(!T^i4 zaBM7i-4y=q?h5}Y<2=H^kR1Y3)9H%P*P02_UAGt$jR@)5{t(ypGm7F0|54RUG_bKE zLW6%hE3(jZmOl>BL?LAvREKwfrn?4l5KT;ST2wO>or9*c{Ba9SO4BCY4Q*KY<3Amr zzg1C^w-@KHPQNEXg+`dfnkQ)|TjRJ-vlA{f6L^gniT{+$`Li2hr06m@?= zo2kM>AE^mLkV8`hP8)Xh z@nyshQYU;|a`Q|`>_+oT5o{pE}+4S{zvD62C{q$+@ZhE&E4Xg9y!!IM2!PRUYEZ>iU zpWn|WBYE4!_<9;Vefs-Aol<@MM16a6nS+ymWgLG8;6wFF<;N=MB;QR?6S@7jN0n3e zb~ay@H>1U(e?5Bg?|;3UEq{j(RG&W%bP#Ji{9W*?AP5%A{&GABR5(k$x%I(d9qoGb zxcXiY%ty<+`Q{6Uhw>ZigObdf3#h+8j_1p}{$zVq)!{nsa#i(m*o@q`WpWmPnU9E=`Y>SSb>xOl;sMN&MSXd zonFJ2lbKu`tLOS%u=<|40a@QK%d$E}zoAl{^egMu@}IKbFF%YvZ7yiMhO!*o&F3l< zy%@}HM~grI<}d3@jISP5a3%7^csL6Fu2#s~JO=oxYpkiE z80T)GD@FQJ%*>xv6>*;ilTkT%KN^30c(i;!UOYyZ*s=lKEsQ^`)e|68-x3d@1M>PQ zs_(Avvc1LPPHvdbnz-tb$w_PeFi9Q++ZVe1Zt!cl!0#p#WAUzkk>C6EZwCFv@{!37 zPYjloZwb5-V+T7vd2{in^5o^~7v<5ri?i+3fAgEs&F%72xn3*f_^O|i^v#G{@gFU8~P-v7_>$*WjC!1{)d{&(=V(akv4?`#wA{8j}2`?0yR*lR-Va0Z`` zrv1ywX!uAWJ3Tu+*372$q?MRGA6=i!hNH=9C)~bG^CBu<5j?6p;YskDh13}&C9hV; ze_HQQip;_PTYdQ3#p)L+yQY8B*)n+F|2UG0+FWkx@B7o>x4mI-IbJT-7j^khar-m1 z?_J#~ai})y$8Kk)vHarZjjZyIp)*9DE!sp5FX&aP~CpiTqiwc>4BD zcraQH4&-ZjDxQT0QiD;ymmlRX6-z%`e0;xt{-h_?*Ij=kwmN{t2)cjovt)z~r%1U4 z1oM*sB)tT;eYG@eHc_?1-i)W^V*EGBDNm}u`@gLIUh`?S9Q94AtFN!OZn^wqbCD;T z)7K~8D7L@pFFr_t^+^2tL;{pQz3Q+f{hWl=X8Ei{)m92FCpYEvvRuyl(}m{4tDj5t zuOSbO8`>{bmEnAr)%Aaeqw~{Or{AyEm-^(z@tgDG=Tf2;Pq54o2mryw~BO zQp2qKSJ(VU>jfxFiCckd^MGbK8_S)NoKOum30AoIbM$DYwPE_ zR38mzv-y+t2ZPJS<3N39rN(N}{yXDBt1ktEOZg4;#b;OJxgm_CBppvikL2NvoV4_U z^)G|VXOce3ChLC>AI*O@l-=#Mr_x^CUfPgu)@#U;$`aIaH+XgOM(r0X<(Sys)EDK~ z8aF6;tfII4;_Ks+mJ83%jScC(5e2mfR2UD@MKHm}XDC7;J> z$I{by{(1F*b^jJ$l^}Q|e|x;XdcDw3<0rwiRNvmMU^joagDeT%w}ZIYGc8Y!U%YyE zqN(}n^yQfcF;)5b?r1qyLPjl95X`3Q zb9b=otgpH_AfMJGXjJq(bd>xvk@Mxz#nI~(Ip6$Hes?5I>ldUhtP{)Dw6jmyXu&JN zaW$6u$mK|GRijErgu3nY<_XY4e;&)#EwvCyTKIp(>$T7CF*spY3a8R9HDxP3)}UgZ z_-LBZ=TZ|)GP+0>Ktx-#z-77Ce-96To=b)E(PO!*F5l0F+G#1CP!)E~$=G9+s zSKAWW{9rhjhS5Bb^clRL&!*$QRg<4=8v^chle}yhe;&>5mbdaXT?9+ZUeGqnv-KAr zEo&_ia8bGERo|_>l6AD%*hqSxOBi%&Y&@?df-+?*-SciLU&~~q91T)@f4onBeMZ?C z-Psl*3VoQZSNR&2%Cc2_^~hkXiVx(c1~aKI+PYou0g(Un-RswH&R?Bg9G^RL06NDM zB@#>je{Qm@iqIr^r8S~lA3Ks38v$O0et-loJp8>Pd( zpMw7UT6^+#{JKryyxykGcOK_nKK2N1&}#>?5=P}Hu>8zwMLm(*#8vPnxK)01wanJ1 zEXOy>`#R}=dc2MZs+X@n3BIlOwtZe&%fAmUe_o#)sKxW*`1R4BoXOsBrc?`DOy1R| zYQNmaI)g#($4V7nNqx;Ym4dEcPXw5Kaj@*&Bs*<*r|CaZbcbPBuWLw(5UR%Zp;q5@)i#X$6?`rD1?o}` zMA{I1#I_m{d`Ks)D9S6bxTC<8+!&R6XEyjSatm4svFDKR>$5)~pW*TMjyx<&1rvoUw4_w$Y}4gTA-bd!Uk6;{}LR+WMEBc@%b)d30IoWc>beHuq8C;#DHZ zKZSdKqorNSMe$*=j?`a{g26;GL?>=|bthB93d5d9bR^n$62*(-@7{fH>qF3Qekd=_ zkDed9D$elx*sZyo-416zPoGxNE&cy*f4k5cq5efJ(DlF1hH{S_Pt~7QplS0rRHWs9 z+k~#Q4X>~GY?F&`Cx1P>K>u40fC-SWHCs114Vb7iiBOoF55Kb9}#2j$T@89#u@1o$kx-@cG% z1iFWv)H*IdEk~=TDJP@pwdB^@xl~%`%THBqT5zo`%z2f!27gX?rW6>M!M6Ud?!r&- z$-4+ULH55MNgaGVC~y0pR4^TQLq+PondV`|1&VG}TQ>O$RtmOU&(dPl{|nZ~o=N*j zzP9Nj+uSfO@a#@X5xdFTBZIEn%dNh(g0rF=ey2?|{_XAhNF5~}Z(RGfsiU6uH!9We zQSEubzh5tZr${OcOdZ*NW^K;g`^2>z5)k)ZGs^kVi&uXH9uv!0t9>5Tjc!lRRFg27 z?0HN1;nnv)be%5wX7)i9?p9H_a3l^x2r`?wbS)DHn=%H^VUsFx z9)IQLbvY2mI%5s^4=R65MyAw$U= z>Q@|GLzk!ztKB(A{?u3LpxRwc1?XKR?6wGPI0bLOvOWRRw*rJbmOD*tiO#lp6cC5rDvT@yV7oYzx=lM%jzV_ z_WHv=_x`d2?@$wGXSqk}Zj@D=Z5FiJVlsIM)mh3D^ZoHfd2v?0KGTNmn}g?X{7O7I zjpuLPm)?2?v)fOP9*|`rncVZ2rPK(9vl}IIOn1kx&1-MI^5}78?EU>80q}l& z?qY%S(-$va`xFV7Cv3QIg>qHOEwv-LLyRl$x_SfhrOE$k{CzD4cf_5jgnKf+ocHIS zK-p$S?F%dUd_Tjf&RmQ4ZXBn0v$}{&&0AGoxjN}d(5ucvt0axNh2%Ok@?`T{Ik==t z$k*T6Q4P7inhqe918D+Zk96Q&m07LgO7i3DR@LQs5J^|uyY%K zw3yy5%C(%mosWiNWoZ7}qwn6mz4+6cZiLdYa4;UI$^IRl~2Kk=dmh~ML-uzJh=ev_P9*G{?uj7|n<#+scA$Q)v z`;qEmD*t#AR9O}B*T+h||L?n-TirTv7OcNtA2To$JG3IQx+eIry7uOyn^IkWzO*w# zcF~lex&B!siC5e)dw8oxvh=8bqr~seQ&Z3MR zJxX1OLo>`kEf($L{WzLm&KBe4rwxUB^5-yEj+YbFiSzIJmo-ZUe^xfiUsk@@)m=od zN1X8b><`xWL-PC8!m>_@$Wv5*bk8~=CQo?z?)7WygoHdnyXC+c^402>D1Y6)%*9B) zPV-$x@|wm%`_0F}@OE;yxLI6JX4j7@8cI1TH;l6S?C|jA(W}?*&ZQkFKPW4O&^oU2 zK7!Q)%1^E1y4GaP06V-P+>_upUY)*r`@=@Guc*9x=#|H4kI+{)^o1^eb`O2+s@Nm= z%`JW5_x$0Xo?SFQh}_gpcFXckxATR)s<{6DBly*3h?<`NQ*?FxyX9ZL8n0SNPG>7q z-EQ`w{;NJYxl?Vfbq&TGrXQ`2Q6cAbtY=-WGh8=JLa}VO;Pr+-9_f7Fx$>u* z)Cm3q{CT*3?$!5KeLPmzsxGm*%bI&0?~Z@$e5YRF(U&7x_3{0}mwrP}6`eXPpXy6$ zs}iRkaE>g=8re)e5Eq1PCN|Mx0^4#8bM-+4j?mHw@IvTx(D7Hs1fkU zD#%v}UXLE7@l)~mApcrC4r~{3{<*TFP}8u3^W*0Sr)TB!mt;&DHw{B4;ypw@x+;X! z{S!wLg)|w1w-@Js$44it?R}LTyAnZFGrwTG=`!}~dJk94f5>OGi-tcNPX=&WdGvz~ zA4Dt7U1^0UD64JoemohLbqYbly58y<{fX+X&RQcSQx zv)a6d!?{ZAlox(taR_yvCxP6%)GV!qe$-Wu{uS$16}9%|Hvd&f>Lf6{gVNPUQa#tj zM`Qhnb@!2Q=aSW>EFDNHs*h(^nebq7j zsS1#;3Qm@P@8`3->-X}&v@fsvv*Z!g$UrN4x+E=7G1CPqOtUt;s;|1*?dCr}t9m1> zSJ+fXUFEU=o$pSGw@t7-@=&?beK%0rUl~!Oadp_Xc4)^nLv|)^?$aVEU3E8B7g~37 zl)BewF`9oI4@TwEZmG1^vHjDfsze59P&@&DZN5r>Cr{z;?`HaJCu;kik8kxO>mIzVlBuGwaGlOLQA1|bV9XD|M%{kAHu3&BvQ)mHepNOh{?)cB z0F>VOTt=Jc|3CKLyt!>0-5&mbJ_T;g^)0*Gv9&MmO3f=$%!Yozxxjl04@MQiik;(?V+l=V@U)_5!i;Ro{XF0X2**Ehp>_CA>q9@oq>f?dIPMD^u7 zj1V=0lDwD`#b{PMh6O!T^`5pA9uEjop!DwK=Ej7}*Dv0^KOGP5PW$l*9ZCIqsHOV= zaBrSMfO2ZB?#&172h_VA`WYKPf75eeZ+g#*kqn$T9{hYcJU{J^??&fw<2ndX47Z74e-3qwe>vI= zEC_S*ay(%o3VjukhfcQ$Oc%q;%ZpKRRB-Tc&se4jyMW|1rK7@wR_84`y86@O0}SbV z-2iIUw#o+3DS|Rz>hqkv;oqH$N5HI?zZ*pm2=X;t9gfh7FU#z21J=tCX}B zJB8q`%7G0V?i_a=w#0DDf30mSp5=SCIvIA>oYyS{0*hvQ&$NWHt?l}zKX`}ts-)e^ zAJ2iL*t~Nq{N3HhosQH4+IYYyk!zA|o8}7Q7eHg;X{^wE00ZG}@CA?r{gdKOY=_`K)mF8sg0(A*e`}T|$TDOXErWg- zJAL+0tZKccQfkr3i&az%df=z2VKWo|yNl;76!?%e4fn?o8%Ym%ujLru-T?o;K=QUT zunn(lc3f{&-q?&%o)^VbFn4R+V(`V1$ka&dpP!!h`r762`mc&H5LsjQF<|#~z9F*4 zh+uA52L`d25i)`Je-}Yfza!`c;^z*Sk%@C1vu( z?^k3uWWXkJG-;SpfAYafI6H4bDfDKeS%f7xEx^UKW9LSCd_Q(}DpJ)i|spz^zDt>icAdL3|QJFt|4PRoQd>2`F5>rEqD0 z@}%i3{naqdg)Gb!?f+n&@=k?zyiyDcGQDKXo&D_UlhM33D*>|Z6Qe(45w3(z56GcS zhk56;8;n`nyOv00SWyYdwF<%c42Ix&Z#+1??OptJe=s5z{&@KJSW7bG`bD2W614-C zMZycpS(KpO>3V>bnaf{`yK*{5##bP~aM&;*N8+&}9K73Kq*9^LFHb}?JwJn~J_eH| zDs-joZV{NMRzZ2w`!9({hP0jgZDm-wp=dUExZUIpPO8)NZ3xp4Z(toIFNkrltGL&69_GC&3 z2!$Ka`(uSQtlBMG;`Xizja)Y`rm?+*S7T&Se+U;U4NglXQt-}deNRLkq1lA1%8^r4 zWf_E35l-pk7PjLDz`ePe5diF)<#w?#@nqgi=L%yWro9hh1I-%}y0KOUfsg2rhXiU6 zfZubS3>e|FGq31aEUrTL)^-)7ZW^|3Pv_6{QINaSJ(wyb6VUo5Qc zf)YmI<-!8E1GX+%o(C2KMp=`1eb}=UW2LIF>vtQ4G5cO6cBQ4Fh+8Q}f+D^6^ha_r z#*+^7NFJ4z*6nbCX#8s;s?+zWj1{JVZB!KITAeBA_yIcO3rACQ%%h!|PMCyXe+JlR zAa@8c*0ts7y0l7opTI+v z{117OvZkW}I`U8?nF^lZWa}7Agw`Jvhk+jRPsfg8KgW$LQ6ip5JH)Lm!q1u=dgKvy zh*VZ^U;j-c0sVs!U;t68f5Gv)iMK>NLHP}NXTtX;oZ9W?QLjJzjpN@F2)3k`!|u-} zolDAs60g(GhzUwSQ2SK|CE6;;`g&e@{XkFxV#*gc*YAe!`{$?Q+rj1K#fR9KbTA?j z(^#gCK%7o$D$kCgGF@NbKBjVu4vio@JQ&W#J%f{C4B1&5co0nIe~-NOc14ARshL-? zTmA?uaci(QMRy0?chMbXfx{xZqwTESQ6H3*)G2Mg4n@QyrtH}ncDT$Hz!I{x zWcM6juQrupy04+TxlZ0P0v^2JYcw6g0k3p7M?z|tz7Wz;e`eC63E*_t4%(xi!jV_{ zN`IhH3fh9?UL41onxq*klwue4^7Sb%obY>=e1T#|C3W7~b0(!fIRE%QB858mZE&i_ z4J7)S?uHPa;G1w%A7nNd4v?#?4CHB$k{wm(SNhi0O$Wr2*mpC-nYzz#wzVnQiv|8a z{D~bGovN_f5G8On*jPU?PRK{{(-U1+?kK)&J>s#T|--E0^KUQDbfl@NAYNxGICsr-OG7rYJu}s=|!J~ZwR91$m z5xbixI(|htOY_+Rs4}soec~)kJheXk^Yh7C-^^23j-!hA+Eo=kEf)IOsh&lmC4BBM z5bu?I%O3G7q4)ihP>5&&O_RBZm4AoCH*)}I`U=6EBhk!VDu45TL7a5sNIXZAZ`2B% zBa3t;{(*R$si2X&wQf*KjV5@3n_#KAxjJn+(YTJ-sN$y#1*KVh(M%QQGb!vjuc-f z(XA<<{#v!Xa^$J^7XPW2>vn=<_jozP>sDIyEDkg1pesfQXe*YaG{_Pv92g2VS zp*QHT)j$Wx{|G;uZ&hmUO)9_>;9lx?-sxvtdW1g^w{s5JRgR&s$n_3HzTb9nTz1^U zL`Cj=92@eHc*T`kM$_|4mtt6_}REq2CHJZGyR%#8= z+TFLL&*japeo|QQD&C=*dZ3$43$flQNZA z=nK~O@JrJyN11=o$S(^Oq%>5xK&#ZhB>0pFl|2G6KjEn*;drrbp$O6ijLUq~yY6dO zH~r+&1@eaBVfAtKxU#;i5M?I!B!KWA3kK$awdD)}gDgFT_kWEB-|UCQyj@o)N@}t4 z1@XC0Pm*OIqGv6C2AXvUOQ_ynj1NRgeXr^Hg#jUd2PHYVTDL!M&tvj|-nd-+EMk;flRo;n%dotRa<; zt^#+a+VSOAq?AmH@q6$e7rlwzV^l4b=-K60HbA%_lS*-y^a$s2;Od> zw1v>VdC3ju>>sNGk4-^R6}mnlaA*SiJ;8g(lVNXaG=Kboum1Z>EwMOd#fpm1alKuf z^x$`rAE_qws+CNVdchh*XQ4kCTH@?ptu7!&q@?SEpZ@@)>pO2U50RM~B^`p8fbn%B zKKxEzltfwOA%~L9Fg6XDKrajSw$LKSp9DLdgbo8j<}8GU@cNlZ^aM&6V7n$BrK3%r z87228T7Nk`v!gf{=t<99{&047J>A@?NaEd9QNQdIX^B-tU<9BR8LXJzatX&PfFY{& zBGmb%Wd?iHB|JsMe6~PP5`a9C2oSrQ;3urpXU$C~{1|7M+O{;>x8O{TdRxKLs1{?D zbv5RCziEFTjBXSbTWL#)Hl0*=4*xpaIziVK-eSJ+DZiMD1>g*bb;z%XIjPO57>(6R zF{-MyzYZ@ilY1S3!@bG(h&#gPbLMxbKsY^eW@S@2S*x~{C`_yT21ByWGt+?wNHT+z z0h2Pr5eMX_fEl&pz&IPtbY!i(t~>fElP8Yg0T;6fkF)`Qe-vxIrsApATDvhRcOkF` z#c01AjY7}!0whY@t-Tb3;@?~%{7JL=$K_zi76AZepFmln0t9H~CX6g<(VU<5hvVBF zbT=Up8le>(2J6QMU|yi*fuvj#+cH~2`=@2D4*!*=x{OQE5L_f0Dv|_^+$dWMgGZ(> zZsiBisJVK7(ii58m3@&?jN|Z`>9>2uBv;!C>5W>e7@&<5A~vF(+nW(U??GkuX7ao- z@R~XCw`vdni=7nj2)c-(@KNyw?{sc1W?o)nrNOxz_G7gV!@&p-D*l)R<8A4l=vWMl zr(54AS`byGkVW^8E3FzZt~1*9CfZteoXy;}whwcE#_p~KONn;weR<8zU8Oy@7cVRA z@!InM(?42ak5cs`Qz52)CSVhQ(3=b;!tnGj&+7~x;jFzQ@Sh3%Qql|`N1G8ZG&I-v zi?d5JJj=0gVRl&`?eYS5*StSu7h_prL^%i8hsQyO8jhux7LKJKa>sg)58q|*K_dUL z!8d__2bfx+L0963r?7ei3k?{PSW>sVos2=~$*^Z8w#vZ(HS}d5Tqu0Q=6T5>lm~~9 z&=)0%5aK#JWfCFj$S+xhLKcK7z9+GkrbSleq%KO z{B<~WsVt%tNlFu%Du#5ml2=4Z$jm{i`)}75Dw#R1iI*H-0c&_DAx*_|BZSP+|zxA2DynhB>=?5Gk}0bzao4Aw_+%>&|bH#BDU3}*&TZ1y?Jkc z0sr-CWdy7klEL!DLFu8QLy=biKJ!F)-?-O-25f(M@NTVANi)1J(PQhXU>x?%Ga>EU zyAQ+hZErNVPTsd-6Za=GSRNpf39}lF=Mo7uKL&~SM04X9i~F?K(+H`orV1LN5Exh& z^Ya3@Pz+AUgHq;#6yk4=BeGKHr+TM<0Ns?5zVOEtUE3^1G-m!A&m3!EPM=fk1ozPk zO26%v3V#kGd4T6c>k9E8V$KNOxR>jn$p4W09aJJ>zi4$Rx@N%>oNOI~RVn&ibr^V< z{^{6JOoxa)Q{vVvjMc-&`%&UPbKq&sFWHe0HFPh)`+#t3lv&g}7X8n|<|m+k2n~9Q z;!P3XF8Y?-lN}@B5cD(UZTs}Q+KlE{Deo-mm9Z$}C# zN@+`Xz+>z36arq@rN1{Hv>#CKcIc~+%#1aD(jY`~f<7|oZ+7HtLbSLIeRh)442|Ey z>h+Ea<7cJRMk=+Duvfv$LdWiZu3}^tZY7B(5=CjfKoP07=M_dmw8r8?gX@0WSP24) z#1@_pJD?P1p@p3S@AHU1>AnJlyj2j6aK z4#4w?1o*czx3-`F3pC^A%5>)V{_lZc1mJfL(8w%Vwt#ZZ7|s;$1%VSf2wwoN221M+ zLN(}aPTQh62+2&IX}vO_za#I#)2MOJ!hVbA2dy`Dcx)3y>H?d8!4iFt^ce;1u*z|* zt59p!Z5AO}{OjoE`n?>&5jDWXCY}ohnE0qQ=0pbce|gOCpP z_)dCIzTvg)d12|?gKcybVuSTg zJ$ahqULj3U<|!2O6!&@NDVY3H*t)4!Gssu?l%(Pl;Byumk+0ZfMm4uu-Q3u>s2J3K z__tKBk*#iCyYqjON|#3x8I1Zv?QTTEkV%a&0r8-Yw*=XW3Dyp>H*s1sETYTpkbZwDZBco6$gfkN^BGTG^OW zyntC2j{ph+ChKRWv&4=lQ(1`X=@e60@-bf~vO?QPXiUbY zu|h|eNi0+*vGOU#>oVa}(I{GKF?6F6sP)|dud;n?ScL4L(3ye}N1>T#KU1T{-maMC zL;W9Y|KN>c_8b{{W-t2n#sdDksX=MFAP!AWW_%@ysNk7@Ib%14vNu7vJL-MRN^+B&Yna2 z5xL+5UMiOd-XS=1YZ#AsmUvq_fnffFes|!oKRz8)W}na49GV|^Pf%XKYRXa7&r#CK{oP}cImZK`vP}}{t7pIT^91#=b@gm* z!Gi>zUOWxKj>k5Fxe3wO93IgCfkDkidpq4&_Fq!+D3fsKD8*Jgm5#8Zfw`w?x^3&v zpFW3;H&E02RIk0Mo>e~MN3K{~L0DW1r-V-`sPe-+#wPfBVMh@9=j= z=nXoo*U$m-Kf?X{tx6})r1Cr7YTPUR&KGBpvH<%8{sEFY=a5|mK;fQm8(Nm~s!Mr5D^s4H_;(~iO>T+gkPG+YgF_Zzm9&QGajc-^~-&FV^OA|l$m z4`cX$u(F7=fqw^>m=uV!A%!c%A%_oGEQ$R8zfxKNy#B(*HrT~t8o$w2Q2lGxUquvI z+@R0#Y5+w8#Qc@Sk-duidmVR|U!oHEViD&oblE2CUb%XfiUJQ~t^F$c(4}V=?dq1|2i7p4Y-n#O@JVJ*Zeajv>3F_88w>UGBbPEi9(FM6}O2Ct~?><`&9YtT>_n< z?RrL_T;w|l_a(bp%;o4W!fOc|exxU|O0_Fd#W(ZH8}VB>By&l8@6F0W_z_O$3j23| zRAX;k4x#Xe5Rl8rJAee_?92euM(vsk<8~)6tS#T`=lzQhmn!hgU;~LVEFb;^q)eR!U8Oga-_yk^NhUycRab;cB>*HhI?O`f9c?K9P?; zr)r1nu>HF#1QylY%#ff{mBb9;+$woNy1SH;*vZ-1Z)H_7*r#xdIYa(PMReI*$`4wSk8kbTMAKflQ7~r0{HOB9nQzc z8#3jazx(*%9JM?3Af5|^Yjf6;f3&y(Z`()9*}5QDL`*WNoIL-!2lWo%V4jc)5tve8 z!X5TXy9Q43Xu8V+n5e}`zph^TNfj}NdxNuQ;`zOg#Xe_xuqdbMIV4g8;P6*o6T4$s z6NgULi2jUZ+;0r7(LWij}H;qmf+CrL8cZRrVG+)6yV@TI*EH<&@_`H=V1N06=kd9c( z4Si_oxV45&B@E-TEoLtffh{_c4(K!CMq9wuB&x8r@!+!mVD8~=vkCtQR|I+3%I|4+ zH^gQ*a>1Db49G0e*ZJC(W|pqIoQa>p?QdQvqoKO$fD1XV81&3|G=hpfVB)w3>kTm) z#y{}SJWw!!LTNPj{@)UIZ9R+fvTk(+2)ezy(J(KG=L=Kk1wvFvgEyS8qJMDAI@=XF z`fu~uAa<4;%VV8*zZMx<+B?;FThs@TMWZ>`#o*RBt9o1XGKQbIwLffV_X6>1!P;j9 zUK!*L0Y=2TusTQ=PblxPL2{DERn+&mg%kgNMb=-;Skp4{ziwBxMiv@!RT2Z?qzwPi zz4vRB1Ys3L68@L;sd<^LQrMUY|1H^Czi~@{Nfz@iw+3(0mC$A))d6u$Kc%GL+Nuv ze(i>SI3`g@oZ;=2e=N%EMje_CWl=;ePZx|Ak;FkANU*1%QP?hf-zil@F;QM)_EDn( z2x~mLK6(P=45H_!9}PZ_E#1RD27DEi^+l~N+*%b`zAX9Z@w{TybKOdhj~kZ!2=i@B zto0oBZYCpFtrb~{GX(fkRLE~0uLP= z30xtY>3`X@K%f|fRKzBb-lC~ztAfmZ^G8-BFb!n~xOFzl>pVdxMhvwyR4(EqA zYHVZbAr1M)HH(G}{>RNA@+sa}4)x!yug%Ta##Fgd`0EL-`gLNGnMi9NtMIK|8?M9W z9olk6k(r*vq}Q&yC?EP-3_u=Y*Zkz-{0i#l7_|-lg4uWfzt7i0Sv~VCqbu4}0(LqH z=ZwY)SF}5l)f&>*oe(t5^^J&n!4Pz`O7lwl}HWA6rw@YncXK z49}Y7GdPw{tOE}$;(d0wP?D*XQ+9j+idRN7lhZd;eSg>;MgW{rRl6Ew_xU*fl?o^Q z;~rwv-o#vkcH&{bU6zsZ)TbE-6S4626zrK!9g1@m;E zeNUci8pCKJhhB494>2E#A>a6&vR0}8f=@DXWR+w2<=^M+H7Wk5mTqu@Qjl&UTmT**O3CxMWb#TYpM*idlZj(+LSeS-7S_# z$W@O*e%>I(MvDP~$`tlA9AUt?tHH7->@gPas#`3>rm7HnX5gr@Vn`ViV8VgYQR`}@ zK-^vhVuc}d(!Cf(KVVJaU+o2n!pStcSnd9mC?LZv+Z3I+$puk4U~-ln_n>C_4R}Qh zZ_9&r?E4~OmTv{PUC0)!Tt}=9-a}zzS2Yfw81FN(W70)@G ztW_Xa2F1S1zoYIm&C^8X6cCSn?viL_XtZ`?RZ(ZAnN51A+N>UE=FQ+$Z=VqYayWkx z17Ot-V|hXg2KF>4B}GY_!fKtG=Jx_j@fp3nLH>$Ob8ZK&fvGYLlIbxeVX;rEtLnvH zRe~&2F%{)uzSma96)b3c9om|{$7P_+e}rx=?1$uZ(-7Y_M-*uFLg4j1G|ruU8!I$? z;{P@?iRm+AL+nOMG5ORImW)6Hc+#)^g@{K4_uE%%z*0_u{pr|X;W~9}WhD;zJtCs( z`o=hXkhIp0Ep}4ZrCjHw_29$xb`&j%=WXuq#AHp;bf0^EWuL~#HEcZD9I{XBrG9Jt zy4*t$*$j%|S9gsuG>k(Kh_Pc8$_p6++Qz((iK5Y`lS#-o}^|_ z4M|>nCWw`t6j5PJ@^oe|tV#vb*d&$K!S4j0{Jh=ofb#}%7aw8n^&x;h%v7lBN91b6Ct7#$!9OpF8O@u`|&)J*z#t5uvV8-0Kp0Q=9ZZ7y`MY9 zAGY6AxG>0Yo284zY9}|{LC{;uH`g>a<8|(x=J|@CWr1+fWA>lt~kH(G2jfEC%I8pmi$=@dUPqDQ)8i?y^;(3Gqk4Vn+$Edhh zKj#grwb(Un>8CcAy%>><6DVW~Y$VBU&4BnzMzazH>5vLExdME%l&Th^sv}d%*YuP6+<*Q7y0yGHsw% z@; z`OL_ zk?q|X*;sREwf_s~2`@TO2W8=%{sNRs#RMkW*p|YIg1|A#G4OrO$EY$sR=3b@AYQlO-rvtbw}64_><*WhITpJBeP*WI5+9~v~Yj1F{3 zM!GmwR=;3_{pf=(h6BNNMlw`Huvv6tq$Gxt>TDpfWnVI}i{M~*`!Q{lMHlo7!UnOO ziy2m%_Eh+26Eqsd;AM1ra%5G(3B1a`{=b^piu}nMdFtMi(O$fUvY*_}bMxyudk`oB z`v%&cX8v3+?#x2bhLCzapKFE^ltUo9V*By z;2Xk{@Th_(OlJ15;C#KhxxsTQg^p)v<+f;YHWW1OUiNjON^X?mmHKGf01nM6#lh$` zVeZsO)YgKL2Jc^@OUf37KS1FSC@N;Peim+K=q6rI}Ch$b%p-k3!nw>q9i%}9LZEAIlH+A=brAcrJQyjDCF=4#|ZR4@{jK8Gv5pP zZSarK%Kk@#Ye#Rl`0JrwTwh9il1w6g^OJ` z$&${#fSD2Z=&c#>_UT7)*mX&LtAP;6)QMcvkqt~3t5s;$zKZGWE(_f$KPxi$>3hNHf|i9n^R~>A!uN@+%Kj0 zNx#fxwu_lFJLlLsbT&W_$FBycX-V^6(sV8Gkfc83lOsztcb zRlLP9}0J`NpVC2X|9j+(|2rAM-BN&T(=F^c@er**$YFik@8b!L{(uV5KfuI=g2l`$ zfGGp#{kLy~f(FFmB0RBE^z`}7Prw=bc2~%4{fvWkPmL*YW8Z5mPR1?chy?-U=-9Hk z%SVsy(qGsX<-^Pw89w@fH4p=YVwZQKM1d3BqSRkWj`)X5$1z121gD?EHkKv0yPi@e zj#}s8FwRA4ekXww2Pna#?!zxW9d(+L8^vC%-}BLk+5nv>U)T^TLVfEs@;ndf_xquH z)&>XfeL_a85%4RSoMRi41rgO2K+(7~j(x=?i7lNEKA0XAOcj~m^EV%}H4U1m32`tS zh|9BTT6eSFBz9#Q5ysCEwb&5IS`Ljc%g*pJ{K3i}_3FA{+k@TlbMe0eO>-NcOgc7Z ztu1Hj8iBzO4&E%|#mvJ=nt%iHkPu_TXAM-r;r!}hz|F? zH-Pb>gEdkh>6N6s^d&%^nBC^vy#;!+d%a4hA+SIJwisMJ`zny*qDy+?a3ZBDzlJTL*XCDA(x-5F7gzZbX6V^tle9=}%A`g^3h zVoOV-k62LJ9y3*>Msma)RDrWqQB7DLefiB~FCs@9<@?EK9`(?zAxefp+Cs8rA&d*w z6Y!&mX?JE~7oKu+N2Bbzk~4O|pS)OE?W*iY)OGv3tDA+RynUlG4>o_!5r+v)R_NR|*&NohsOFzOx1%7F+FromuvX#@*)Bj}oAXuJm33Bglqh`uC&h|v`-WFnp_Mj_1mCH~j7=NJXk-wpHGu)nNN z0vxJ1qQkyFZhQeAJcDAvB=j&JzR*_xVYg(;w?*JWtz^`}p*)`gm3@Z}bic7UcMI5oqz^Mqe zV&`M>vlPw>R%q)(N9Q^sOI1mL7BWJbRg&sH{|NtE!xc9i7$cV#*4N6vYhvl-Qm`Ta9o=Rn=hnCnYdU(9$cKLOH zbz9lE%n6e`Tfn<^I|1r_f0*!>oLA_)2UGht%J$lQpoM5SVeM2NopR6!q#Un8TVazu( z1d5=UKwj7cl`hVeu286?UJY4|9Fm11kWynnz*mX&|9+%<^GY-gxzWhL{3P;6^PeCX zC(qW05E+fK-y<#Iu<7p839`rVPmgwv!j)Yb&U>S@j;*rv@+wDV# z1!L;7Za@znt+%2z_qge>vw!=k_z>~Z4fqg}`h_y>`Lj~;ic{dSZR(*}en^lD3Fw36 z@6E73Rl76XT2YT+wQ(qohR!pECdEY4*6juF21+hqxBo4l_|FiZ@H6L#*vqPL+4JeUo2O|6Z z#NduSN_Ax>F9z&J@j8D)(eX3%tS^r-5H>R9Rb{XHS@^#*q=_?cCc=q4zvZ~kP8SMD zk5yHrQLTY1L>0-qo}KtoGW>O#wun*B2VM0ZSsU`-i`O;WG+*g=TFBIwz*d+wS6Re_ zvRkr+D_(7uJ%5#U-=AexOLhk10mRU}YP>^=E-j&jlD&kd-l!pVI6U0V=4mHYRAMJB zPA4ni==1c(K_4N(u=+*k6deAu3e{|%}tf$|#;s_&xPT(_=c4d&cB4UFm zfHw^4CwX(By651nY3tA%=Wp^N^dFyjqg*AUyMs>Ac@O=`ZbN7LR#IG_3FtkrAG*eA zL5K0y)$aX1Txkh!eI0hQbtTYe&B3A2_b$tCsPd#6UfaBZY3ph`dy>2!wLOS%!ZdW4 zdBi2+Sd-)An(dj;8*BAr&b{GnsyBw#KU*_-PCB0LA1#UBCE{*75q>?DzoT5!pCbh6 z7mDCIo_b9IiQ6LYR;vFu3Iv>ffcPiQlx|pkI~S(q7c9-dOG(Uh)Uud5Oml!;@!AQT zoAG3zef0r_aGF-FP{H!-e_CYD2pre zxNjhQ_K^p>Ca(qpV~CqQdAbt9!(bPADqOrZnc`j%Nwvfclj3UEfs5r%bcO0mJVuH9 zPz70owS2Dg7$F;jTB_OAx^vQtXL%#2uh zz^`bHsnCugbC~t!i>j`Q+&qZ)5qN??25=dh(D&reb=T7#p^en;j0O2IZep@f>3L3stW(OFe0S@gv(C5*m9Rh#=zZsd4ej${uFRU%Ab) zV>1iQQ43T0gS$+mdp6AR_Zh^t)+r~xnPN^d4`qbd584w2Bmrf?d>zO0z zxGh_#7->Z@lTw^O#jDk+q2xZQ{H&DIQ0M7%Q8*PUDA~u6(n)Xah|Jj-@ z;e#I`k^60%T8yJ`Lu1Sl5n7PJIv|ZR?U1e-kF7?}@MdPw#!g+Oo61 z3nu(7_Ew!S_*+m;WNN(w@(5h*ikd^U5EFd>mVaM7P!nRYSk5UC1{TOozbnK-%!G9ZvE)vE=+q#3$XfL*{FfCZa(LF-%9`0Oi?LF#)HIewg zkxI8mOczeuN`NE|1IE6xxGAHV53Fuv4~(2ta*$Sv+$SlEZUG!;+8?zh8* z#x5*3$tnE@1NMYagwyBfd~IZ*ZypQn6N8T!@31QR9i_;Y@0(G7DQ+f(VH>Kg#B-J@^>QMEnWOW z0BrAa>f2{pvOpu9!;xSfFb@0V-L!MKWQA*;E3TnAd?h%+wa}I!v|b#mn{wYv8%8l>bj_w zbo&HADDVm>AX3u|gKGAtSI`7gyu%w-?FSISMMUk8Q8+M%e4AC&l!>v%jU*oT0hpfM zh4V3m0PTyUg-2oiA6EH-^tq75pQMjMhlT8!FV1B;f1>ji(8r|$+Lo(QAB1IT(zQg9 z549JiLaAUXRu1k~2Z$(GAs9H&oy>6S!8z=F)?fFh^n?13+}-`WT-++N3S#*~UmtLN zL7B{FF~~4U9P%}Via@RZ;L=mp0S-kus8QxI<(inTV9k<)MuuJa!AMe}xuDE`2z{Pq zV+4^^RhrldyXryo5tVx? zF)tRJcG`de*(vNa-m6uc?M~}rqQ#dXdG*mM*)z!< z=w{m$wCc_7_&&isqWR|4LT!YIjJ{r>J-RqNOUeb|^+O}i8=TV1B-zMJMm?gwp!s!y z3Y55uHFMkXto(?zj^$b+NQvdN!Qi(hNgkwMh(hjv5C!o6GKvSROhapi5avo(2qP$- zM!ZaCOOr+%M;esy*c!AyOZdRsLbfcH(tKrS@MyWR*P{KmNhTD#1c)M%56HRdpm>ar zhAH~P3>_FRsZ32_eW3KGW)$A1g`!B7+V{BG99T%1Y}V|c342k&^{^J}$hNL%Z$Pnd zP`FBoLV0MUy73#Txu?4-*l^zrh9EW|yj2e4P-wzWyG23pE8`e7A-;RM4|QHrrkSM3 zZk5Z+SeA~PMnBffC6=4-^#m)?%y~=4!%3L)2Z{}7BJ%;VA=3j*9UFhjB&wE!uOB(2*BVkorjjt*4+C)?{cUoe80CzHVhCii@j^Vb~kMO zF&Sf8>3()M_`IvlZ7TWXn$g^}K_<|<=G2%#UNEb$Y!@g;fob7NVNsRNPtnE&&Z{=P zCa4pPbX%X~7bVBu)acW>SjvLo$ZS7WUDk}>B`v2teGL1NqGkS!(B7U=Jir;sV8b)S z&7LmRnC__K!lf;U3hAB1BK^O~v63G>uynrQ?8E)MZ*f z6JKptn^Uh1O#;L2v80aCSrCvUzzi6hJR8Xw_oDawRlaVGWvtq1Gdq=W(EfItZR^51 zHnRF?D6_8tVUeBF#X7c+2XB9Ad(b)m=P};%_NqIpncjgw&n#FAWRv|DLYN++?^?<8 z=B04wl>uTACN}y3(b?ZyLHG%Xg!+HALt>PbcW}DI15aJD3hLE3=2U=|m^MXI<^FSp zm)EUG{$?@5U_c-n=}imn8PHW23yOK{W))z8gxX##vqEr7=c#&k%OW5-=%i|)Hg`4c z7t55alF?s1^DDrBChhDJ`qFW!6KBYS*k}uj-%zx8B1qkd+CTQ~^D@aQQ6U;v0@3X09M!aZNHiOHxAxD$8<{e( zW8qm~Tj&b)a_U_wjtcadk&JOMpP0senAW9jI;rk5$7|zu1-s5pBpyw9MD3Sf+zTIC zu{?7RAba&NkQi>Lzcz3e>Qd`vF6GWrop9fx5HdG@W6Tmb*q#cbdA&fN@BDE)5uvu) z9YPGeP%z7T@X-qY6}5)mLaKRP8Q zy3U~JEQd;ZGTK@}dhwMGzsRSR@t`8T$3_MmcQq803l8su$8QZ($PQ6fS82!(%@2CS zN5eUF#@n`{3jWP?^ zXl+VVn~ig7oN4I=_4zHFrSUGx?Aiz_K31DqkGu=`L&|G|!m~aY*oYrni%0ktHj$&Q zZqsZgp%(VCQx=z)d%IsXeH&De4Mj_XvM70|kJm+=`USUwM&z30S? zJ2+=MbCeR8vwGS)QTD7_)$lWaJG0Qq4&(wS%kKgs_wzf-(PUb&f;ZK%qb@)aH@l!0 z0XGF!oEgAFD*X%H8X1T0Ex1y?R~|MPJs7my6OVR5k`QlBEds`~NCdkJwS0GHh-d<9z#{wQM~SuiBGW1Y7Q625%6`O4n+L<7)iI&!5B` zwgkx-;ffW78KV*Qt2qdldfU$sYf%r6qLSbb@EC;?rJg$4Zz8NL+2NOE#XvW-rtRbq zJW&jD7$Z+gdl96(I)TIVHpS$Y`vFTcuUqX5biLNxby|#!*d14lL*2J%et}3WylLCVfw0v(aB{@|?T-%~Hs{suUKdy%^%q z%f@oPU{*{gveP$WmOGR!;d9bSL^YKBqJ^8Vx7Shl#zM%k>7U{BbC5i$%u!1OZno55 zxP+GRI8aW$@+*)TuGrcDA5B)mYoJ+vGyp|&?jZf^s!Lx%%<>u8> zqu8`FODmu0x{WH+H{^sUIOkQGQSu>Irk#T6Eh%*LpIf)EQjl4S={R)t?8sk`wL4{pyvO0((g7_o2P6Zjh(IH9 zwWnV^ZDCl}=<8oXjiu|eZC{$<9wV~?Wz~y)XSFILiVXCE+dVx*T$={2j@klV1|RR( z5#bxgGL?*bJ9u{ub!sHt$1ZM$FySAbe0UF$*j{UKJzWF|kzA{^Dz#-RpmRf}CcJwG z({b@E;esXJs+oa7P1uwzGApE5G=PC_b2Uhub#n}g)^i3t`JbUTLrGQ5(eJQSHaB4F zcgYoHRIM8lV=ls6iLf+qE$2)h6_0+crP!^uE#l^{W{gq1%`4vfCoU=ovg7GcFN87_ zNjabm4~dQfBtm$GYthd?OOwxU>NPNFE^LFtAkWXIrb=E69oV#y$n8~9vXPJNCsQh2!Ua&LOu$^r81#HR1NNT2vEDPnJg=E(nx zTc!Lf7dT8*{}JMYUKIzPO#8RU%Ap~6FI~LJgMIQWSeMB*jITChP14nnORvW z6k%{7oS&`Ru2N$`0upm@mdHHZC8j)={x?hxBBg47!+Fq@25JCE3a+45$lYL4RTVUBC zQ^SIwd&Cy`;Ev8ni9jy|ZN5rb{z{pvOU9GtkDIEALd;5rGM9vE7(J3!{&u+EU@gxKt%kU0W3Lp5g}M zAKToc8uv!Gg6eWJdk*CrP6oW1T{GD8NjBG2ZXMd44Z1PB5}gxSyvJ=!2OOUdmzM*X z%fu)X;FfPp(V8FpcWtWSf=k?A+GzhHGn4ZH>F^VEn!>w30-b#Xf5Bbx`x=&dVh!iq z3q|oSxGcS^cxOTlHMFFHeUUA4x~_FW+&RiN`~iLY*x|4>j%VzbVXUhZ9#5eR#h>I5 zqs&G8A_$)t+^Bpo3RHc8u!-k8P2f0M&TH5s0O`j|?_rWN;HjXv(vmNdOR!-C=Ir~q zM0a4fHw8LnAH_(v%PsqWmTU}q8~n4z5JXV^%l`|{9?+T1CDeiqNzlN;NLDNh)a1P#*Y8@6deI?~Rq zDMNXsEhGm?pq+vr?m*=hEK=L&ihTS2u25z41=_~sU}?UmnjzbAK-OeScEV^Zm!CZP zs_&+(D;mx?dX3B=f^ly~vo%jYLIDiMg_~3L?UvOD18O}5_*f7;#QAe3M{O)20_UXV z84JyhhAq);x_ENM%?kosn^O;&JrOmpLxNV?5#W^YHtNvKTjxfRU zdTt3QHCj07P(@O9jmXvBxa*o)v`(C@TN7k6Y1{N5_#4n`=C$z*Q|$eI5(vOtul_1n zbkwX`q)+oSlxB=HjCAht7LalKEh?E0Gk%=KQm9z1ss;Os1> zqi{yQp&9v%M0`sF;mb^C&1@L=ZVh^6iVZ6U$%sUNjRoZtpZVjbNiz2O%}~us!f~mh zrhb4!V?K)LWfNTR`8IS#_J*oVIsBmoZSs)hA>FGo%DaUBZsnooIS_xXu&&}q#N;@- z#dkgTykzkF?B3wLcQk7cuS{}SF#0Syn$X-_3Z;MVZ8;Z3wDq*KQNzhJ@wn_p7bVT{ zio&>cAiCLCWWNz1=Ec;;+jsi9z-|0B8yGi}TJo&}LM|`x@fRRCRr?giwS!(XJDi-hSezvv@?XF?7M@ zr?~OK4^r>9iu)Mo7I3?cAe@D%UmriESu<#(Ridfzk3o{xfP6BXR#@PuMBSgkXrWQn zKEizoXC8^+MMir;1#;y?7gKc!Tk3W-_-IEIyEP!}TUsTL0FvUHa2GhIstkxVpdc$G zgm}riE6el}WgBIufKnp9(mX6&0E;}>m(nnRyc<~kb5L5EBC$>C>c#4Y{X$&Yc&#Y02Db{j{EJ4vS zb;zW=?HZI9u<>tid)hj$dlV4G-5lNQ{k9|LnG;eQR_L!4ZMR=^=e?df7|uBSR1Kyf zS1NO`bg4+0nlYPg#)wY9x{t%ikD>!Bs#1w54Ry^oZc8V1f%TDC>2bKT^4z*De`+tV z&hMY-O+wAQxpH5jG69nHM@sik6AvGF75BIU5s?WwV8zyIDgVb?jIyALYS2*og&v)g z_KB1G#*!XWXRLuH0uny=;Xg{J#I<7{*_Wt|L;H<93Zk6n0MXxe&A9~50tDruA5Bu; zas-db;QOLWTFsMLcbT<|2A|K7Eo*6(E2JA4PKk7lM@7m5k>DlNCz^c=N>#KAkl0E9 zt&ar+KrLH1<8kNQTAFpYYvX(^k`=B~>g)5hNEM=v@y*?~-xs?3?b$%?f}|U3Os|c>lJSqYYxGBFN(E@3fsb zWv0{@{9Gsf zrF}^GI3QOs-Z{=pLG`g8ErVAH9stE<9A zvo^3Pz(I)Bi*f{QdVEF`e)2%JziYn zIwnx06h~6Y*Q7JQXii{7JSqGDep&c2F6 zWIW~h=YQ%4+Q+C|lTkvcp73V?{Y+j@TLHD3z-B!yoS7U=LqVky?HD6dR8+^L4Sj%B z`K!a(wAsE9N|;?8hkH|A+108U(!_5V-PHtwwkAxpaqg)KeBvyYzk;bqKvmO(q-IjB zW*{mP(t<=)AIn`aBCk#BCFiXjX8%=M?#k25|AT5zl@5Z{q#$(l?@SWgdW+1DeFCQO03ASsC4yLQETQ|8u&XC@bRB%g_ri=ZzbgD!soZ~Sh z9Me<5fZQRSC-bVI6h{Pp{DC*Y!#YC0F?lfZn<33d(n?-5JdS!L91J;>)Em;90O$;X zA7Ha6!~c6nfR?g_-j%a1w@*Jb4>5d`{HZV!8}vLoUI4t741jR!oBAkVBGT}19k`@u zr+pKMv%zBIbJpLO_+fJ(J?JHldy@OAJOcaS8mLPxZlQa5R#S#h&?qe0W)Pw03fn5} zTY%8=Wh0|Q>V353Bdo)$;f{UhpdG|1LohqU7+Gu0x?j!{dh@2-Ad-Pp#05ZMx4I?g%e~sOIxA3V`;(zs(=aA3H><_fX;>lH%l6WXkMNk)No58-` z$?YND%K7VbG_;HVrLx&Fg!%R`ns^y*l8UF)l@; zaNCo@)q!$<%oqy+J=nM+uH{+NoT|uCEtsXcA9XF#gItL^>VuLg&|3Jk)^|UlrN_N` z(NT8FDwa4;B95kI4%4DKO>^1P;i((c4J`()^WP=bOR=A4iigV#AZlUbE*n1!h7_0?awmjSc5K$n!=esLnvC|WY= zOJL5_G-y-e@j^34{0@D%0#5l|5Lz7zDmFvdX$A9dwPhuYNc8RA$z*Kr$NL$-ixC{d zz#@QKX3B*kV*2P*GKq!YZXY4Gg_gstI6#jzse$|x^$HE5|TK0z|&KH50m1u zs1VmsY;0V{rqB;eRhS3#bZf!ab-i;BW_!dAvC{ji46~jRWc1W1cWQlgmg*|H`Ef)M z7+y2r-n1>p@KTp>>7__+AdD`!IP@{A69FE_A5_(11{!iwJuLWC8XA_jh9pDPE`VA` zCn1E_@yED-P@^~A<3{{HPjfeqvXIPdu_mTo^5AIR2k5B3#oWZfSe&5}hBUz#iXyL5 zVPJqqx|9}2^Bsft3h{edWMTiTHTh6O00JT3g)=!~s+-l2BrOm7o&Fo> z{QQk(D-40~4v{T=^FRCi>c{D~*O1>|&WDpc@yibwB)HY!`E8mQwV8vIC99i4b#e>4 zOB{yi?71azvIBo-QDjy-rEcqq8>RdyABW;`ke-Dk<$==0%zEA zY{k>Xe{m!QRnLdsSg^o?Lkc%rP51sD@@;dU*3(YJ@puvJ65)8*KE@~;29 z!q!5A!G)CwwX-cPMS_RqX%@__kc#lz=lEp>+70plPX2qjkSPPHyg+?y*HbEn$!7{v z)d0x@g!dXybu@oj2g$m@cF@YYhY1>wBw--a{teS|~?U!YH=#Es1`}0Ocv4 zfA+=*NYFY9ENQL70f4ymvch7YxcBo737X;df+_*jbGKFQ-#-Gkb_3GjUfL?qHD6a>~Zl(%AJs^Ny&tlb!_{m*|hP! zX0FG}r8F(hxA*uCxmjZT;dWMRX=E%YOsa;>1HQf#a8_0iVbn*@Z=e2~H?~k# zL1I+#?{5IvuX*7K>MRS4m}YBJP|;XEZb>#~v|{xF+AF;;LQ-X&CBK_UcRmRhjK7=B zy#|xN!t~=SDu(QcEF2EmD!ih>UTH^9O@oZRjY+SqUb`AQK|87u6lvSLZGz=1ffc^G z?~v>nU+A0$c!yYu{`1ZTHpe08&V?P`NBXjPtK6e?Zt<3XlcYoU(wL-!C-Q}!L_0Vo zYGxRJFIP)FE!X7pu^?|CcgfCFI-XJ*XbecQ&-Q=t<YEHh&owUmNp$A=HXnx7ye6#1TJU@O1jcKq>AsNAZ$Q(jqH{J0Ubbvr9fvOd zirDiL2xO^Mg6iyPJyNqI3K!^ALuq+Iz@3!IFEa9yq48H3O;ZVbs-*r4;_i zBQRm?IzbJR@bo9<#>z_Qk(UD##g~pLXy@Fs6OyAUE16x9uT@7oOqFC!TdY{Pwi~6a z1|_MSD@K(j-Pecu{fRd(E-d`H}-&9F$H2 z&!cAQV(!2db`@H@NU|tZu_yBbEEmo`_wzoel=b7l`st#$)Z+DYK)bj#V8C7fY>RhW zLuRb`ufcb!{TZY?>vs^$*@dr9`OEb#;+Mn$4*a)$MO7!k zrE~K9nSVNi@iKg0(|!rYJIFV3P%pF<%}9C0Qz#4w$CN#~8;!mPq+fwykuWMWN|VZH zqz4-VKi4|mr+#EnSG82j?o(##RP8Jp@)UhkS*MUjHV#w>YpLBa3*%+TbJEl6*zPL~(1} z;%;g~0&oQ~l)PzzxmT8S89@Q^CcrN!@#FLqc|_-w4#q*a4$AYNnYYabn+Y{B^tE*z)2~_M)?scSc%W=G5-D^$E3XKg8I5H9ragYjup>HS@ zQsC_>$#`L~*wPWn2e-M0M$$9lTwzX>3}Q6vQI(A#r~=r0j5eB_eVJpqk)Nzr>=eiZ zx38kD-`uOi^yOPxh6_+MAOYQz-aRZbf1 zyOgJ>yTL9Vp$(fesG+BiF}k}EP;}t-_dGjcER=o1g^J{dpHY~#~3v?1BRt- zX2{%D(V|f^ly5WAMC}mU~boe(?B*gWy#DvEOi0IjJS$K1*;< zaNmBbU0XT}c-iQHz5wqKUy0P5U#9L~gcr2U{*HQdz7d6R9tBir*sz6rm)p8<)NfdZ zU*X#Nt1V_dzx^l*6WSbgfL6s(`k0Z&8!_7f8|uTd1$v-DQHt`l?j(~|MsO>z@BZR9 z#x=IrxPVsvILphonF+nSi*pWWecNnfqb!5t)`Gsg(uQcqmhhx*~_zQ6y{ z6(s*Z0GL2$zuc+ySXm27n==~vCaz(eUUEYYE+R?H4}++=wL|t zqOAhVA^HOFH_rhkGFB?iPXA?&KTA{Ep8c5bwoIzkBJgQm-$1G~Hu=ukCEW2oExL*d+OCV3J$lImep{X^}>MZdKNq@Ucod zG8P`g7F$BQS|J$zwEIZFeRmrQTODJ)+?lcxAyeA){vnXGl6~!^HOjPA4uXx64k;5? zi0ko`6Iao5piEs!Q&-Y4x?59H)~ZZjp)!4yQ~Ij%N0qJ%1mLo!f!J;ol;~>hWHt%? z;ds)RcpyT0EMSm?zALSNksPg&P;Zo`2;#asWm6<#^p|#s)D8)c&Yea`xJhY&pwa?K z*8&lfeYq(c2jeJL!|_Et4<{Ez2_Bvf2Pe<)`(WU)V@~mediQcyvw-~W8L zvDPOfp-yO@t?lOMC(+<5QquK1Q?7N9XDtg0(5FC7Z2L9qd8JW*xy;MpJz>C3o_XOK zxT6jhRcrlx22d-n-ic(&fc!f3oC~d^Ov=L1>TI!VA;B zGgqcFH)d|i5^((i<}{Xj_A-TBNcQ_7InjC(ldZXcTMyOtt4I_zE5%uO_J;Pzh_5K< zo+?uoHJU}J7D_9BrEzWf0a*OdHD+MARHufl1kgDW3uJMs6Zc(xH*)0{} z_)Z}t%S(@j9?19D)Q$*F(S%iZcMBeF_(USO%MCiUg=MRIokugppm> znia|-;iEE+n{zQFbV3=vMO-guPy*?X^)hNJjoNx+F;TfAIkJq=qB2ICPnNjT_~ZKS z0Vd%L9P#hg3h1;FV%L02GGI^mAC?wHjuwUA4W&(ixPDIArVz(_X;nzAiokf>X;%b} zEiDUFS{C_#SQd@k1VeKwm@!vV`_+}psIZRKbK@!t6C(_M8>kW^_)XlOOxXxY1^&j? zpA6f9yaVpS6>dvLO-ivWpPm9=QBpTwQ;`(fHyOgakC&G+D(3Oeqtn|Dr~SdZ)0)XY~Wv6hfMIjWu4v;3OOfL6-CGr9Cg)`U{SeR3Dvv@``(;?4+ zOCFKukq!~C9ae~LsHlxsbIT(I*Wl|LElKi-TZThz+#ikacjGI+2XaM_ECR9;o6JzW zDNn%WNqd5_)ARB^3Uy75+<+umWN3fAktSi2XtE=rq|i3N76p}E$Qq1p(DySnXP3mS zwp5aTxYfeSZw4R^8ABL|VU$q1vYwj)u~2S19$j3=^3RIJwt)sI3wq;eVQp=JGoaa0 z;Dl&2UImSgKfLgyqy{(fb0^OlRmH%Y0_+CQ#s&qf@062`;(}1?szBMQd3i(u%PdJp z1Z}Zh{?I#?;YWuQ4{!#U0K7k&4nAl)C$Is3;Hq*(#wv3r=xB%^C$!XIddHk!-TBX!AY^>1kr+fzSJIAt^QlL-P18PV2!5~2*{%$pP`!;C=^tG zXuev1*yPcRv?{Y<`g#G)SEo}99pUr-#bsZ?ata(TQP)DGj)^ihfkY1p`iHm>;mP8y z#5wZ#x{R-;vyoxW$oaTkV@YeJ@G$x$e)%~=8d9m$Mzvd05ot+Uj*9OpNP8O0HS*t- z3CBDWj(lH~Sx3ZmcG_7-*~l+bkMh)iqcAde=O2XzWfBsVNytn~P#d{LjxwS0iVAy1 zdA3|2l{bPQj9M^~woXTd^0HHe42Jt-=3gKnho9j0DEx*S2Q+>uJ{~v14;Ub!xk>#7 z?~;=sRp3qBcOLk|@coAfKK8pC?4b-*DcQMJdt_w~Nn+jgMtCQCk8VHo#shSJH@q6$ ze7r-y_U&ps# z=wG7V1aGQLRFYeEv0p#SdadO8PQy#(HV+f6lRNnm7Wx;YB%q+@yCl;+>m6mh-kmZ zZNtfQd2e@=w*GeEliu}AgSS4rNYcD_yIJ2I$qQ8~YY(=yU9+Ja@ogE=%PFE4(H~`0 z4{;ryVpK1729%M#&|VoeLSv(QQH{z7A1Wh!Ih7gIs;|X$VMd~DJek&i*73a!j|9ir zn2QC%1{&I~XRsG-<`C<1AV35sK0)W~P>0Ff;Ai$^Fu)C&h;wU;-5_hVcm|mjyj}+j48n2+JL_(NSQOI@I8`ND4 znXdT8HHP#i+xX;}-oCqk@4!-ej5t?gVs4PV#*fU#wu9$8aA(^5l<2YTc>T#A6BNTq z!2D=}FB|;y&+KN8D5%l<1@zYGJ=6O=a(7ODW!~W5b95AcAIWdlTfuWG-8t{|FM8wH zU@cd-!yWIArl~udu+iu~W6EE|{?4c}!0Tlay4M%xzsYLq2(&bRF@s(lbwNinogwL+ zmv^huJ8vehKS_L6$;2lk3+966gngkXam zqO@F>c(`W0p@NleRbE@(GnSqe%5bC8Wl?n+T*3`H81CZUwpJT*6~22jORd#f4HYuS z+^TxA>R7-Zk?=tPZyijTa=kRO3`*Hb@J608WCmR%iyl;e6`}{tS~oe%qdT$%0Fe7} znOMo0?a^C#%8Uxv(-|;6@?*YCrG(b%(3nib{OBEBCQneAJjo|{((2Z87uRs-1C%Dt zXA5nuZ|2`sj2UqP&#WN>6ebh^^~gcQN`I z4wS3H1=B2l0v{I~D=k7zoK4wrhH!et^wYkjCK-PWWL;W6H znJ=M2-X~L+pOUZeP0WDLk2Dz_KIJ=r`qs7c>G5;;0Hv2cg`J!fiIe(ca@K!J&Kf98 zP91f=vo5(_QyA*qN-=>1s1D+{%M3J>XXJUAT#k1uoc>?=5hM&N__ zL=`lq)^e%i{oqHke-dy%ZT$AY!9D8e55}3~ONf3!=;MDL@J+xQbLRbtSIGzONbgf@ z=yD&rKLFpO^Qr!s5E*Q-g<{UWe$Kj_w%+Z=g+xQ-9D9z>$PlS%lXKh}28b_2CW+6& z3D(*<0D*!w5g2}sfAw=Iij&#AN( z>dHIayd>E8j=sc?dAmjfpG-PYPlua-MZ!w&P3-tlA|U9cZC3Xj_1@1=W6plEv6kY0_{zR_ z8y$sEK(moB%@3yN7k%*v#)IHmxgWExDbxIUr1^s#QKtD3*V8Gd`NLzrO!J2p_|TZ# zndaw?F4O#|O!McH=C2h=hltkN_XbVXtou|>k zgGfk1n_B~*WKG)N{nfoy1ylhA5M_Z&H00@aD55sha_g?Yn@~4ckIq+rdoV{eFPAmw z;_$fO5P~lF?nb<11XWzRQ6TUeejvxdun4C(Bj?Aq#zNC%q6y_6?nU~L21~{=y$gjM z$fqp&p<|>o$kju~MJALmvK}b2=rG8&79_P^8U&(p?^QJRUcI^ranBI7L6`^gu=DYv z+c7_pugC4n{iDl2G8-Cy7B#UeS$xPH|6JJNMkKmh$khVP5;+%C*7O z1<8Oj4^&tN)nN@E9NP^a3u>&$lh>um($j7zr($^uj#wJF(xyhe3S!i-Z0Z!zH_q;XI=e6|kLoQj-X13teK#V!Mo>M@2+k zMJM5Ja|2u^FF%`|^VF0xGGu31dW8U;Okz1d4zQf1)m#p4XRWDm>r|_+Ej;-wAu(yP zle{FK5_w}j8N+ooR6DT6KZOE1MMKdKTx0m3h9YOFabWO&l5v-(6>`6&N=d`8RfbvCWcW(cJ=*#l=s01Oqxk~?n@wDB zqtNaA3SQ^Ch5$J3db0@AiFYorw$gSfV8x(xif+AsxfzHm`CWcp+ZrNvMjZ8i5z&!u z!6yKm99VNeTA9G^nhXieK@%hO4a7i6Yxs|;gQH5g9K%7q_owfVMz-aG9M6B~**+a9 zwgybG2TUMn!xjo}fN8buu!qUt6%dMCK6WRIbgD<*o2vIt7oJMi=rmK zFY3{MNCnXVw@)uKk$fl_tF9{L-SRu~9qc-Zh@5SlE-Cu<%+ zAsTp%Nr>wjHk49jT^b`1UogwnEddOw!Jh+vyK7+3ymLl2Xv@);`_=}v=cA1VFn`Jv za@?sq-}`YSX%nhC4z|_Sy7;N3hC%(dFx<(R3|tx-<$#fyEYKL>B?_QDIxsrc;34jw zOPJKT0Yi0KD=binVSH&9a=$gK`$>{t5no~ zxeY4y6;lM2UNTUzIzGfCJ$%nS5U(5GlzV%7holJ5{I?zoP(PCW!%G8F3E`XaiPDZ! za&dsN?okKao2mRa*+5cUhJgeWVG;F)M$Vvh>1&rtV*Bnhi!x;y4lLu)nm|}XH<9Q* zJvfA}a{rJKVSw!6E=rNiv($i0C2$gdpimM{evB@TOZzl|vZ=!qN~CDN8=Dadou~F&MWx3k4MWI?mnI!)7KM_c zQda_>c!r(@nS2yBVYyK>_{~{=&SBhnWWXuqE+?sgh^nzBc$9*6`j<Z@SP)A6Wo=dS0!2Vho;QZr!yso*kzmg?ypY6GkhO$AT!5Fx%8 zYeF3`Zyq272Y^-@XrOR^{>KJQ?9O5tkKwV>|GYz|_&umjr~(p&kv+*zHOc_Ar0Z2@ zN7S0@ieZRnk0JM>+3R;9=-}w%Ck?(Ysd9X)SYgBh;gDU&ezaL~use4;js!07T$qHv zej+j)Sy;JD;0mvik0<9Rz0c+XfI*Sviq;6&tdB%g_8`E4{SNyxCL{-ezRQF4q*ts6?)QGgwCskIzzvw!1{^`ximz7y)BrYetzzTPGa_Ir-@!!=n!v^w?%+!UrdLXO|no^pDdr1`ee0Q8r!G78A{~+e)nj< zeSBn|Wg~^<>};7IqU;QOjB`)-za|OlhF7R^hK$2aTtTfZ?~|hf)!+?Fs)Lw zmxA!C(2KGYp=i7pR*FG0$h{QAU&V+`MJHm>^BoaZa#>!S>V5l)0OZpfAX>! zS?5KcfFemd_kN3N?r`s1xcY3qBxYlk+F$;5M)q4Q@T-14WG?{0xW|UVwMJD-_xR19Z^9 z%yL{=V$-f#wN=Q+OI0om6yw!+NaH@)q3|3!T?io>! zd->TsY#%SuPf42GXchj-{&Y439~XK*`>yqWXuISK#yC$%bz4k+kekJYcB&xK8k)jY zD_s(BQAF1V^XM=;$E&Wna=(eA_US1Arii04_-K|2ol>o7(C1Z4#tPLv6HAdDBalZTEKx6kA;^Pg^ycnq6y zW=*Vn%Bs;Cm=VhJrUM77p-ZF1dq#1^5>kUL(Q~4zL)DA5|DAD40g&5lo*l@ov^IWl zsS3l7N@D|O4H5CQ2+Vm9le;v1oKmuXXqI%~S5}SRrndZdKJ6d1d#T8!vgKc@5R(?E zl@c!~nkCJJ0Vn*#bh=0%J73%XBn<-w97by`iW z1eKeBe3bjC{Dg_FFR0B10EUx8wIvTA7Nvdb++!`K(SPgxA8s!!2J@_DUMC5E4nNd< zv_$2*4t#i{Tvc18`=U`<#~vN4n86ZVqU@4{=>`YLG%eS)p096c0-ftZrTnf^+S#v^ zgY+h-?YJ%!$Rp#OK^_|WMy0%C{0;woZ90M%MEJGticy@&(0_Cg>am{S704M zF8MIs2i77z|7q|m6bJPuAC~cd?ORZGY>w>7Jy>|f&Q$T|uhHf%o61}p9jT4$z_NZ2 ze7gxU^$N6=uyigrtL~zTRXQWNSh5uy9@+aElH4X(vB9^M$Yp>Q$g*1zZZI>YOsO4T z(unsf^OdEcif=s?u=m6C3A2MnY6eMO;o!dq0c*&FIMAd2KpI=1ltqMpXp=I;iAAWp zeqw!P|*y78>bi=lC4%3wf`O+|5d6*f)bT9bt}yq1eBg7(sl-x%)Z-?;N=w6bQ`(TAoIDXdhR&li4E;0N0wbHS zXpzN5O~vWhGuqfJ&qqG8{SY>E#S7jjYv_&})p8v9775E7KyMe*pLu2?2}RSX&s;$y zqZ@Q>h;GZa?$YfSns!leTuyvQWXF(7I@;%EEn8Y+`|E=>_k8Dn(GL9D1s+cEl+EQU zNevU-xaCc-(wtuq0rxC3$1E1-JA_$PEcbrS0R}^-rKP8T1DX+4j}&78a*<)e z-&kKN6xj<;G)L}}36>8r9)vAC&Af^V-(8kon#Qa=nY&^pLOyg%^T!t9=Qjc3Jgg?m z;)>(ig>qz>sLBF=8-#y$jrS$22IXltDtX_{-jKY7$Cfy4GYk9Yb1Ea_b!B1dVgP$8hO>@BGY@Qz&h6bA)bA(KRH zet2ec+QYGE9*p{OCKAnUrm2J29hkBu?l7<|x+k#Sh;QqEJ=`}1Y5#%t7|QM%p@lB$ zzf)Qls@qak^M{3N$ zu3|8dN1n%j>Y^qgt&Ve(ubogj0ga{x)L@;#Oh&iVU@zOIiddM1{Uo9mtjzK*ZXF0pN0?Ba)<4n!)xSE-_fzr5<;tfZy* z*gooCbpOy^iFmzLgRI>VMs_O4jhBcNq~VIQHs$V85LB%hf}l>OhBvbZ&KEv~V zlzjW$-qqRu)%i&}5soaZvL>GZa!1WE@8xn5(gkEV;MpYZuwK?Mq1Nh~-~-a@{QB|a zocxlR5=Uyp(#BZ#Ml6}IU$jQI8VUxM`c=~7$15rIt@yvWT zZmhT5+fWJgPa;k4rImj<8?cxdl*$baf4%yu(-BG$B_r$B9;Go}SOEMUJ?Ek_CYx)2WJ_sGqPe`{C0>`kgl6AmTMd@-sM{fTvw3mZ z4<+8%Kpv;g5Hrx4M6eC}RJ_CgL&YdQR=ft&+Dr2H2XU#zrc>6$@Pohz`E95VoOyQD z>zkk3zje%`i}PN;dj%4MDAB{|z|Q5~pClU#YGQhBfcD19={V#i%?;*Ac5rpc!=91O^~mSujipM#c#i7`!<5XVFWRPXwy zz{A?PE6@o5rwPE!{SyvPuhTy{@9(m&`1(*xOp~GJ+wLBkdyq|=SwY-ee8-5J zz%arI4a*uoaLkEdmncQ_QmtfkJ_r~sG>(M?MEd=8U7)d4Db>wUFY76ng(yNc z1W|ch+L8V&%YT-NOHnO<2cd`F$a*B~dsg*wy;Y9dtp?zCz^Ghs5Ru{e+&TKi{CLti zJ?B2Yn2vECU@8!Xb`|EV0=3p7=~nZRv>5F5BJCiUy5;eW|YnQd4@HA5vaN z*?^ILLorAXcaKQIPvlH|h4&~WwUn|w@Mb}N&2sM(cZbXdE^0Fv+>9I^-yD0q;l#$I zOOyWwcc<1IB)@)SIT+r3>Z-6Z$!!|QCLyMrN1&Tfl4+xadc2N6LD(IktTZ4%SZc`?1m(RZF=bav`a%0y)eU zK8fTnD$ix;nvsfVQ^|bH)p;)S2nz21A)J)rg`<3q-h^YT3#&{b^B$dI(k*G|2j%#b z*TU0-GqP2G%Lm)s`yZKu+?&n42NObe6x>I0OZT)T;YyGjoz6@Q(kW2topdZxHjwm6 z(c3cfw6Z;wvWaLOH#ILzw+67kDVrm8)6v$W>x4^6x$0tU2@~JUwFgso7<>`7%mO~C z2mhe>zC1$%0)*SV;201C1CqP1^6%i`i3p7`s`yJh~;=!3JGE|b*6p( z@PTT76J}U-QE6`WfI~-XV7!5SXAy493=7fG;=iQW-q_44wg*+HD2^Z@=7VjKO_H+V z@Xfgqb7(Pr;EvQNEF?7iWiq|>_J;P1=8||{LZ&?W=v|5CsuM?#4?-_%T-i6_apNlm z|An(gmqXx#`Gc@43b)J-lm`bB%Iru@i_^h>-Pp+w&SYqR+gjwWsmM&>Ima-sGniY~P<%zhPPRT(l&;<*L15}`#VliS*O_6}887hqi=BkW; zBU=R8ay%0Q4hm@B*i#rJ6tku<6D5=^qIio4B_Xce}(|NuEBC9A`Qi39*7S zaN?~84mHmGWGWyR>j>b1;|#tr&Zy`;6V<)M6eh{)u|Td83^WG^i30(AJxq%j(*S@j zCjE+_i)wo+)kDIhCdw1@Vglxr6opE!7J0 zQYr?YzX&CZ-(KQ;1=E#6@90MsHE6XPwy76hC-nUu6PnhiGW4UgEGT|d{lqjZ>)nZD z0IU?3HT0}`!KJPyWc0(`&c?HUgaY4tGhvI%y^<2vc*}z%pU0OT$nQCfaXvH)7eKzC z$H*I{lQ9d|dZBlVKN_32rcdURl&4|EnY>%d3}G}q%_t()f``xdr5O#YF9vrluRjF> zOLN=nx4WrO(u&l$LLFsRaTh^PgCGT#Bo^hV3H*xyj|Uip<`ALRq7Ev5b2_n zQA7Ti&N&(d1gdbP5T3+@-ns^%*JEcwg9yYMc=?zi<(fuVVyn1+8FcaF{I}Div*UL6 zQ%{2+#%ifxf(SJPXlch66qm7Z?t29KC!FhGIvxWBeMhe!pMgVvcayqkOoIdYQI{}CS^z1oa=2St|>Sjd0=ZAiKg`H6en-HmBLgP@kX^{79r!i(w zoo{}XxlE%8X1AKdBu{(+of$9F7$>govB z)m2AduZB}i&WIxL(Es=76u~74r71@TH8`8pO3OE1jhH|IvgoWYUX_dDj z-NrVgdp#k4-PQVvb@s=d!>dnuCn;n6HcumhX=*915Gw%?y8x>Djr+92Ao9)9Uerm^ zQ*SL~2ts%o(8KW;@D$)QvFE`xvgH@n15FcKku9fSNK3v1V48$e@UwcKZeeLuyEGFh zO}yHce=)ZFOTPR|G?z%J8M->WGzV>cU78&cc>VH!vk7M5_Hin1ssz0rt-{$2rlYZj zLyp!+2L?$ucMad08%Ei7uNB4P5r}CgHMc0p|qj^u{vO z>67UsK*8}{YvSSl$PTn#ZEb{donIo^5{O3B>`9da0iesZnv!zdy^n)~Dn62)9dN6u zo*oZ>3v;gF1}98Kk(jHFf3UuH{f`^!jfqtYV{7JJf4PxW^n_G@ab`2}s(C8w<>aFB z#7P?bl7{3`Ev+kUMXq{r$-D@ZldKCEYT$_3Lv-0%MpCU<(uz{Eq2WDKV$ynMvC(@V z)NClMyFzq~mD!4q8z4T$R%I(f8q%^aNrX&)I1O7dGF6O>@7BzsWW4HDoHVxL z(RU*qvu@ebmw9iI6T>?Dz_2gq`C&iqivD3xmUbN}OMjHg2Gd7IDNdvjP8&QCCUEHp zu{p@c5s28VPG`;92I2JTrhyA4wIa`PV<{lKq1Hho!CQ+ZUZ#cnr)Oa*CgEJF^1(#) zLZ!dFUZ}ooy%1*K%e*wz#;cIzqaJR5A5m-b)-$G$wmY(BT#rNJv>q4vzoqDNR3CJE zV~QSU`1O71Mu^SI+zL$!{~Z-q>vh-E%5U0w6}jvZLVX};#2OA=&;}BIiu`Xr5lGnL zeHJ7!TI~{+im9ouV=3Gl83F(C-2*2l5%OPt^15_O(aWy-D)aJ1kHFipkcp3f?c@B@ zXT`H;RjL{SM7^|PD_^2xQ;_K*lUq|LiX}TWk*iF7O@*1n_q>rau+jLCv)@xJk+@C( zTdBDjW~dxuJmg;)lQEdio{^n>wwICOP!z8z&mf+UR!hUqTB*|W5u?2z&wBG)6lZ}x z-agYyi7VegpR{X8j5i<|xifNq%K=B%s_qRJc>4V5V(m;o`CLe>t_VH1>mx_ZRIT%RgBi;ot zJz*Mae;R&gOPseGL9oP_JJ=dI|6?)G7Lg%M+3?XFC(2LxY{#Ho+1`|YU%N~ByiqV* zibIbKk$C6>bIC#xNoVBo(~L|P;3AAbo{qJlGrmaM#dsgrd#joP|m zWtS>kw|yV%IcTMw8Xm~l5c2&SnCFU-@6qhHM9_T_%B{VDTO zr`AtgdA{tRcST$USYJ6EG$EyPAM-_v5CF3&k$0W}5$Dz!de8>$BI^8T!D|1IMYET? z9%g9GknEr;V+wZdFDCg-LPpY%pPCiQwf_X95y#lyi7FwOD=Fm z>eK7%(#{FeG3=4c&+T4kzjt+XlvPSr)lw)aJR&6*-g-NRGyL$j$R#ku;UUC+4#;$c z;_k?Pv`2p{@9c`oSLVZtH$210!spJ!BmWHZ*cZv(vZYc*BeuC-dKDJ|({-Fr4X*Cs z2itnIoDqjn0348iP^G#y1cayxq^aXTSuP8xec-`fNW$BjN8$QnSX!F^rlsf6EA4`X z{=7X3=lEoK@?ukum%XgU5^*mWPY?FQ09_i~4}jR^^PA=_VQVdHU*%vd^~sPZ(8*M< zX>=E69>lBI-kE)dn;NE|X7x1~*=w?qy}lG9yJ*gZDrnMw)v>qN8iKw3&LP+4fXJm2 zdqjagGnv{q;YwkkoL9Y0mk6IHrBvds%z}#9 zC~F*}+S&k;qVyj8HlM7~7j0@Euc(At+kQKb1ZPMV#k+qgo^4!N zG`y9?c^yB9`X-#(yg2kT3O+WR+SHfy!Fsg8>}Y_M(I7AAOza^7(+b$%GS5Tqw&5xP z%F1OO(9%+KT{e7C#hPWgBpquu>tq1tH5d^_YeiOn$)=8dc-2>&0z#a>N>W_ZLIuTw z2I4o~@2=xhX@rR%ywDmfY0)=U1nv$DPymA6gn% zMNL9~%Ckqq?uoO!g8^|i3yGz)8?nl%kc*R;7cG}@lc@kC*=Q>DlzkJ-jTBH4JP36x z;_Hzulxf#U`$w&rX~n$e0@+ps{6kYFlzJ`xaM5s@a&uh>#LI$oJ(tSY62 zDkMB}+~btBV#V8`Pk_XuDFwn2c_kuGsi0$jV@-h7fHbg&jFbnKZVD`ajlyxdDvBqg z*uBkF+lu3nv`-bCYh%qg*i5%=+e6Q|nhh=A&P>DOmH2C)%{_)_KgXv4kB!DI4~`~c zw=yu0C&FFWVRE2cnZ3KI>w4C78QO#~weh%(@z26Px_^bArJpP`327(A7=Q3CTJfit+E69z0RdpOD8 z2p-tKV=xD$s)nATRHs`5Be{@2;uob6iLX&CiAeM~U*J_3ByK4_81~lz5^1m? zgb81Eg(C3-=|1i83@&48dRQ7dd!wb3F``u597E0b1=*FC68cCpwCTexHA=0G&;GEQ zu*A$+AQ~$Mq+MBr01^g=+~%PgZa$e=fH#ygZx&U6R$3bR>Pj;bXv+@(8OOkXBpiu& z5bmC+?!_fbb!kAH`2<`V)T)@Rx@DodB|5q5Q@OBIRf;IAv>>dA;su99CdE9u07BL8 z97k0#T(J;nWTG=bHrFhJVkVWQl&YH`0DhNVS;;#lDwg96eLZ1y_8X0-!EYXnMvq>s+T@gOlT`yFOR@IR!#1}n8E9q?sn=x^uF2!b!hU`dQ}1uj1C(u(K>^Uc0*o6-96tO z-S+Xx-z8|s2xgmdNSLaBePzQ^?5gIv^sb_V!x7<;|DHXBOe~&=$q_1Mc&rH6JS=1I z;EXUAZ#0l3l9OBfi0X(8=)ts|rw3>7U|1t?S9?YXVcz-eGhuNpADhh$F(ExyXsOxM zaC>PLH^Wi~Qq~@Y%j{0Q2`sT-ru6dS< zNi3mRrPL1YnTuP`b{|1K2})n)E*^N7`<9D9d%>j=_!k+%Cy`|NF24-$?Ox6%5H@k> z41BWrB=d@fCbLncq7HgrL?nODF@Ky2(xruC={B;JA*tk z_Kiw;$M_q6{`=l29Taa!E02~NR6yerxiiqp1hma7ux@sZW=I^d66y9&gPR0#M1S%z z8Q;DIWusCjsV4NPk~UY&87VZkz4OZ+S|H4Rw|$g(|0=5iN%1`E-p(z?Btdx7Q53bx z>#~`K9u^{nUF2b*@Nx`cHPLyEY`~%80+zoB^1!Koz!C%i-k-DxHH|eWtxc`6ED@kj zi7%+R1A^?$XV8KHM8Lq&kal*_+YlVoXqbu0y)=vD04+=>qh}%Uk~6_y$?0$)tr>&` zIz#_qFLDjgzfQ;$J~~76TEG_Aws~?0$IW<(KwGSCqK!3RZs1ssY+ryIko5rh`nSfz zX0$MW1)8nRU?hQB?)NUwkN2<6PtGni_MoyRp8#*}5y5CkD1$OUamgx~a=oMzW62O& zegtvAwVVk$L0nI7|8Wz;U@4c%VLS_*HAPax&@v9RQO1LSuYsEea0kBoY$mi5Gqkg^ zLX)EVkJKz-$xXrIKi-yHAjJ^RjD#$}fqF%MK@Ve-A2V}s8^9x7J7w z_R+~8SzTLx&!w6^54qhF#bObx1ft|Y(>9)ue236R<Xei%m z#TBEJpLLE;uFh28@rxEY3Ll@g#$C8L7AB^kif#x$e@m_p9>t&tVo-ckxh!^n4MO7= z)I+ijrXbYSbuZ5Q#}^mfoxm7Gw&KKx%a4%@t_I|~;7o(VONZ?;HsLSZXR+KGm6nFZ zy|y;aauxYeXk;RrBnp`f3Vb5Mfk(Mss+3Hto3aC!m`$Zr)vyTGTAPquFfSyS&_Yaq zS8I4S8!0BboVAjU(Z8`NIK4f83fJu#uikEGP=qksVSz?30r)*dMIowQ9Yy_WAghd2N`+w)KOyD z)L{xGlGRw{;$JlvVKjB82xwVd3ZNxf#O&dc&3YxPe(*8S=q0NOA7wp%poLv%W5T1jejMaMhz81rCNK%xtYj_t{Vr%o9pxU;IpvJ)Aafi zs+8(!7PM`mro?u)iJIc=zKF(blQwN>Ot2=a&e~LKt=CU0l=uO;3XH<%7l)_6Xz2h#rP$Ti&wPgT*0{~gKnDD{^IzayehGYxkYa{wTF(nKAElBz#SU-`xC~8VD zK2r5%c<{)Px@8SLaFblDf{1{ZjPmN!9T#u5Z{+vmsM zm02KCF~E5t7s+(2$}MnbIiuWsm9k_NsOtpom)540mH@8_S-}{8{F8p?Eb}F$sKsCW zcJ7sd7J<{C>G<~8u-yAOm>kgE5HM8oSrE~U&l*}FvB>D-8f#Hqwb%c0Ed&=zz|kyl zI7TFC4rBr6fV1H#DVkxFWEH6ce7|)24 zS)*7fHnwP1TQn(%~x?bB{(dAWh{^>Zl9TZ(t&Y^V#-^O2Pse+Wf)*+sxcz+#nX*K z0W~T*Ohs{B$V|(MOu3qHydUa=#$-u}8Bn?)N<0O56-B*&73YyuAP-b@xcBCYVV*vp zeEJ;qQ@i|ZcFvE}mR0mp6HAj7jULBK$cM^Z35p!Yr9hLo?nJ{s)edLDuR_9BT9Ncj zHl%^+V~xvh=d9ho>UK{5kX9Do@r4?zcv{z5LkEQOmAVRvCyk{%h_VsV8AS{ohNpue z2~l*3gcH1fw!>R2YQzdvi|a}amTRu5xSy$nUQYOnxkCgvihu;YLuB|d8G-rx$#MTP zgynH}Ffb$+hY;p}PZk|SY2#?_!Ua2ejuZ-RU?!`e`fAxI_GJw(VscBrvU|7hK63|g z8VDa|&P|T|WS@jn$vnT*SyGait4P!Gtq!qEnED-m9nQGkZQ0?PB^`+6#zrJ=&YhDE zw+E&ifiISEXiWgVshi~7Yf#WM#$9`$Gqs1i=r=f^C*QawO#mr@LP@^C@hoR1ua=XW z$7JROfr-$xoQz5Nrr-<|^U#mKq zWlEcWfJDoT1;3qi`-?DxRYnH6UO<5Zqk@SCBE-sp?n!Zz)J0`Db;gQOV)}zSpCGkI zfI_aD3*d&0D-3`aTLhB_-sX7`(@a(joy)dbUl-o(GShY{QU)v~b7w4JKwU>xc@_C> z6VyV4Zv}iJo=eN~E!PjTycpih8rVXV6dFr^HG`(u2b(sfsJOx1{hs*qQbXh#B^|Vr z)~f+Lj2yXWrraf^=umQzq>=_7(Wn%|5ChQo7LtMk=F!-K7w9WXPd7I{9wBL-3 z--+p`Qqu-S3;}!}gW&fo&->kMyvnRAp}iHce}_(chv0wUfd!Stcfm7YqPl0l8-wS; z2;+eRuOH;B-g?;ryp%y)o_$Y9-0lj(`{PViQzzMJqgjpRerFlgU6%NrL71VtXrKTQ z0mN;rysNtWi)r1`JM;jK4EReV$b0N|hT$^1=QPAaJ zH~3}_Zg&iLO2Y>VKstldDwZ+^5I+Sa_Ylo3Jkw~KE0D)OsQIMe%iwm8wgz;GwD|xs zO2L~C2D=9T>0UtN4||;0Uxg0&O|4)Af9so|Wen^;%g_A1aXG(rEHL%fidRd4`W>7` zo8kl_GT@>G6K!1!8sM`5V2cQ8^JtBnA;zTzYM>y);1rCwF8SIgL4U9Q%UfB$SQ zB}&2rb-!-|w{h1UiSaG^t6H zDn$+F_!>*W_8r0$_41_Le;3d+nK@_n z{18=CH1*S7mcfq4RK=}^1~*>X2ruBxy7VZb{3!G*SEEz&J2A)wxa50qeK`Ylv@Xs1 zy11y^#rWu!`JJH((tQ!S+hIrvIsTFcn4bQaqd8nM6r~=FR{=sjHmSBO3BOKEO!2vN zV^giA6WQ6=1hzSMbK^&5e=iK(B)A0;)G)cig_93m0#zj1f)DNutnFPp!>{j3H{adL z$^gr?Y?-Z61m>3eTd1%TZZ)tIwq6)|&8Ogzo8Uoseqo-a<5Wo`K`hQ+mF2%)0V-7x*&|GP zH5C9Qsz+&V#<_xDjysp#&QY6C5{=WPs;EeHKZZpJlisloau0TL6<%#c9Ur6Ox`0@= zISB<#WK{>`am9?ff5IMq`FX$JJ=#Aevyrw^GE_&T%F6LNjsinE`j<$zQ4YDc<0u{V zvX*!9COD;BouB-Ad~tT(&VC<7tHf#H@pNpF5e-nTW?biFI(a{zIE09y(m0aug|`Hc zUQRQEB^u&D3n^v}Ztw$QWWx(Z#xeR20=(VYpfrEeNft zqYFVQj4>fYRCcB6#Hc=OiHeG{hR?e|3hacQC=)DVQK*@9_WZ5!tr*Sn(R{8j(znAH>BKn^rA`;g4rw5#cp1 z4*#oj)HlzrdVTYA`?rpHbaCG6cdw567hTbM35OhemAi#@nJrfw0(n1po$w*vX~F=) zw`E5sp&8!o50P#qyDF)?=W($<)>A;U#MKUFBfbt;e;&URu9(~wY|FBl4=j^Gj)>&F z|Fe2`s_dMGdPBk^#a?_P?h@Q%ra4BcP17n_bDv!L__QEwBJ$QIq>?jDg<)Fx0qgYB zgXL$h!P98?u4%GYiaHC#_9kYjVk6_Yu!cyAVl_RSZsVuzfp8`mD=dM6s8Dt^I*ZU_0^5~zO_jlP>azgl5a)7?YoDfJGd_|~@ z3?wX{uwk~l2OOD+AwDK5lBg3ztch?d$W%l4BXWj}*js%_83c-bI0{-e>IA`^5R!IQ zLEKGzkBG}|7~zD5WkbJ>VC4Kum^eh-5WB**eaV=LMW2MwZ}GBAj@^0VE^}F_Gm}@K8)TWPu^WAtWB5{<+lU7a*tT-(#`b zGF@?7O&!mq+Gb#~=B!a;VhVXBYd-P=g_l7UG~fnAZUjU{5cO;*y}&}I%Plhoy#h*W zf0!#s8Zxxp;hxd6ZDTkc%!ADF7>gKJxg8wP$Y~aP4B5<(!N;a}(hHMk^@1PL?NCwaOZnf0hdKF4)H2Z)5NAP3mb1?}&`D^3eNIt&~j% zp!B)Q=nS{j2CPFHpj?ryO2CkoJZF_a$|>0D1(bRL^)6kg98g!=Y6y(2hG0D!f_i-u zgbrY*4%@xX{$=~_KQ?v?c8JE$^!2UBlg&f616di*9*TW6PtihevI!I&soj=lJC6Eb3DE+fmQ# zckx}*SP#*qGFFA(`tLAOqHA_LM;F~=!x_&;&cK1RM|2z>nf1Zcg(yjLXpgG(Z(UTmOdUmi~JFrsPE&DNCC}f#tLchTkn!!r-rUp=XtGF(swY+*K z=f9mEogKHkpL!Z=7^@W*e?AW_h*n2Z7sP|ajAHlBqm2kQ+j+DqV6;(LF~swA>1(%#I(t4KAr%!;vPR@TF;RLid|JK@#j z%VhdAF#tNgeM^>*f9ZZCHQpCzGL$rUd1>cTqZmjf4aHn(ZA%jYW9fE2W%djaiwpcC zi>Y1@91CF{b#S@X3xN}LS5KSrnDcW~_1Wf068Q?e^$_gCVpxAP09wNLg8-1R_0<{A z$K=KsIsj}WM8&(V^&Eoz1tHL5!fbwxyX^-*(?9&%QEq-Vf8wI^aY{;X9f<65?NtPB zaUcUlCQKFnrewhwlXYbxoi!ZN%qTp^W(+7RyrWawJb>Kjs?a&fhmE z?KZgQNci)2Pp17fbCRY;r5++?K=y+|xAUvvhcDtnibit3lV=4#cmXW_Mz{cP9dfm~ z&t|*{HsY`)R!nG3oDQkQfi=ORiEYURl3%m5Ht&(wruDCDX#QF&#$Z>a>12S=zqe2N zn0wQ_fB0zju8xktn*ucxn?`|{RGS~(~if8`vMuANT`%0{&oy&8L$ z($(nA^sh#-iSRmOE#-1GvbM5bjn&d7&=FS#jQ#SbWGt#4xUM517ItWzr1~>!{?GZ2%o!##8$&zbHVu=PH(^;OD*}C zp|H|EZ^G5~rgL{-V+57~$5#CHM?smggBru|E$Hy+xapX8xV|BjX9*L3yR(x%mh1yw ze+T-T2qkgYK0a#qQZtZCFcMOEzN47mF-(ua=b7dmXW!XM=fqq{+cIcZR!Ha9?ovK)6xx2p;YV$}c=!XQ>Oz93GxGSUM#1*MnhZyF z1W0cq$qSt)N?xKv-;$RtdC6g|lNq#ne`Up)m{ekPxAO_b-;<-%%^(U}u_}Qp)y1u6 zyN~wJ=yux22CA-@J1Oo8#<(paL5>@jyJ(#Q>WKG&CEZ=rP%Nohkyk-CINu)cVSCrb zo1K>n;Ub?$WR;;a@TU@>RaqyGNUOF9?ySFeFU~)i{XZ_VgPldIgh<>|RO4E%e|=!M z51y>(no>AzxE5kLCIw~bQk;-sR2~B%b0QFA8oYU>Dr>UQbU1W8m|`^P;Epo`EDI3e z#wgJ(D3m5GlIv7aD_B@kJCGltr^Ur1ouSZ+i9U&NjnxX%xepRA*9Fst$j<-mN5Q+{12kVnQle}g@^J!m;0hB8QL_P2+Cr6D@Zkrl4O$ZucI#xpA zaR4Ws)8pO5`=98@Cg2r@z=aC;TPWf8Je?nZtkRk?>#ba%E^%ys}^ zRLGM?uKP4zG|Cw$6onRCZh>1qV;Tt)XgoR=dg;fqFYKk{Ux0SJe{1JvH|oXDRD}2@ zxo@>{S!b)&o0}1z28g!+^s%T_^E|ptZos@Bf#-u~*p71*Cb`QMVB!}hnqHG6jotM|+ zyqvx`TSsO?TK(J|nX{aYty6RC;>omkGdMUeXkqK@Y;2vKf7j#eTyC~ri_G=A>!5eD zAZuCvOC&E?rCmYvA~HS*NGp}VN>vTa&sytMdfj|PnDw`wF(uN;$eMXn_X59=K_>dG zYjV}trYLM>t;mQ8g228j-RL(FR>;-iN47||bg*Nq>rxX&r8x8$PDnzRO_)n*A->ak zc(E8yL}VkIf9vVNo}e5DR3$k)!hdk5^ZN%iY0($$=;gM@cTn6b3?c(&-u0K8<+zK< z5+4uFicO*HNT#v5%A2sadYxZSPY(B~z3`;pIn%Qtil?Tb6ZKGUtQfdIA=5*rdwimu>mgogo9#i!_5d&u z%6t!qAEC(jP}YfZYZRNZZ-C@F-d8w|=jAl6<1pS>TZ(Vlc%Y{`j#v<~E053A8Ms43 ziHbZfNMm9r$8h>=h! z@_#}PPf~5yvHXj%dhrc$G8)PSz;JqPs+9h{7xlM=m%zt3~CPZA5RfIJn%R`=!DMZ#Bu74oAN#~0GPHOwy&I@xz zuIb6pa)*1yRS?{#;`$h`J{S@H7+IF|Zer{zzcUFX@N>&`zc@Kjt)d~;H5deH;KyZf zN3OmY-X{5Sy;%_3me5L^QIQ)==Tx9XAB@atz{jG=bqc--e_za@HT$v9-;uBO7p@~|b6?SqY`D@;l9no)0LYWq zX$`;z?wpYwIalNkqqjAAPiMjw&RS~<1sJ8Au2SP5R$s-!NmLf-A=iQd`!t;#9Nf-b z&u2g)JD^&&4EhMr)O>0+8G*Y9GZ$F~`Llme`(Nc8iDWiB55VY2~F?rJlki9e|K^V+>HsXHH~|(!9bsB zc+bh%CDmyBEGabkhWrK&_@f05{8LG#Hh-Y=K8 zV;1jNs`GL~CvL5=VwgYKFOg9cfK}^te$7gu@tCitTz@_;Y!Ud)i>zS>H|!-#6&s*PA^F09*NFS~6=?NTbY5L$O6 zuTnZdbZi+r9(AU1f4~P|G65UU`}h1S5T`J=D)+YV|c~uX^Z$DD!s-I>q=ZsZ^BIr%`FNShvlh)X?DOf9tCTEKh(=HT&K6QK}QA zd|8U+6_K{n*>L(ac~37k{P)9K58Y$&3s7AC-@V~abw>Ce zP&Qi52%IJuxWQ;T{W71)cESbsr^2g!S>)B5%si7TDQ~Tb1cBNpYRDwb)+Vq~C+EK% zot~#cjY~@_l@CopBS_uA7IHYJVTLvaCEhvrJgzXde}41_s$!fNJWvxfk&efdj)AxA zo^cd9&I+6|^gPVX(>kD(VZWjhx~hXw-C}tQqRWG1I7$3a368z~#iiL*2#&Fq#2Znr z#fRM^HQu`*TzNhQZ8}omDKXj+F8CgjdrVScN1fLS6kr&8#!6efaCnQjo z?28(PfAdOFK)@DqOn@sgO}GKsCwymY6M1=LJ?|`lM0~?n2}>Iv)L`E07k&DtXDGfL zcTU@XXbC=wn&cd3G0oG$d*UqbusuZW1eDyO(8G|9U=s5>o3ao~?o>&FEmzWLZ!j}b zh-~nHx@?IrMmA5T{UYrgH9AP!m%^m3JADPXE(tk4MDe};W1s^71%wPcGKUNk*z^5*feL_c@yyEDRC2Es@aYmyI%4BeS#sVRP zf8;d^kvDrPeX?RNe4mP0si;Kr?K?BKn6P~1(RG_{kpiXEIXeAv^C&DmAD-8lK>)h#$ifFM$ zWPVV)P_qlyz+h#ugf|OH(0nwDEZkU)f0U!u(4HMT!E)!UYlh~#8|j#J8)E&wz;N!| z6;!wS@RW0USHb~B-a z;l#ejbZpe2X*rTVj7C-%>FA3}>sr4gVf?ata-KP1MN-XR0>J1Dn!5XNGL|WNmnvlq z3v#Kv2@dk-7v@d9Y6KgBZM7wMu9&Avlv{}l=)k3O2}AjTutL*E;ZH_v>_kUEQ=R8oQsyP2f4lO93s_I zckx2;>)VGN;~s&$F!W-=FbHGfK5*nl0RSKfP4sqD=M&r9HAJP^m~!$t2jl>tp~QC8 zG_IlAMmP@a%i4M;$G00w8>(+DWUyo-hvC*;gld?dZL;FmxS^*z`{AuTjQd<4dBpwX`70JdGq zeM=t!1RdmXAk^lEyrijg^Lx3a1HHfM$e&bW+RLClwY0Ta%xmlme})V#8P-#&(dDs4 zD>ScVQ|-2N61}g>S}UfQy8OH!f-hyrFeR1e?`jQ)2h8ZTB)Ks-*fICcW>v$ySuR&Y zxlr`!?5l)B*^M)ne=WUoR_vaHQ7Glhm-&^-^5u8>l`b0MOT9`XLU0zZ(pqK3suzV( zt#^LeL;IwjYqzo*f6zQkfbdfLRCs8h->4y2i^pxP4gWzTR@oArO7to{(dq2@FO%g| z3p%>B3E2xTKbwc`V{ zqjJC6lMo*zUNthZ9uj+K7c "$lockfile" rstat=$? @@ -46,12 +46,12 @@ if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilb exit $rstat fi else - "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" - if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then - rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" + "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" + if [ -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then + rm -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" fi fi - elif [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then - rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" + elif [ -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then + rm -f "/data/work/yzzhang/hdl/readout_chip/up/EZQ_readout/testbench/refm/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" fi fi diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_3hnd6b.xml.gz b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_3hnd6b.xml.gz new file mode 100644 index 0000000000000000000000000000000000000000..a2237b3e2e4bd8a7417454c17ef043149d7edb65 GIT binary patch literal 113617 zcmZsCWmFtIu=d;H6t@D!S)fRZySuyV;_mKSd~tVox8f}B6n9-H?(Y8e-uw5 z&cvV0oMb{8iTL0D2AI=M8y7%J4B7MBJF?_@^i?)E{A8E6!F?(^4q~RudzMm4dQkt3 zlTJp(&BIPsokzX6*$4ENAjgu3^lN-PVRblXhw)7a6MzLPgaO<8;ocx%yW#NB{c+N5 z*L>j)^lKMjsMTy~8Jn2M?ef1lyQ%GN*SFjJI64umeaKvczWk%LiI?tE(b0j~(2MS8 z8Q;NyzBsFIXY<~1X0FFe?56Mg=EqCW*->K)UpD4TQG8YaD?!jpMq2FYrCI^{70eZ`&;gB3;T+|hKlFsN{{=C5GEj~>1}Qa zJ@-6i2|aA*+`esvB<5W9;p7DRZr#0zk7R$QF2gH>TIp+F=l_Sb$BnWB5W#q2XO%^d*TB2ZsVe2QM|a$ z&yos-VTr_2;FWK<+g`o8+q;1mGb4mCwlb7UE)oJ&AMb|jE}b1Z#EX`H;Zepb`+BmX zQGA=Y-xYhoPdh|0lrlRbS5DK2$T4}clxgvp9J+iWsFIe*lwj*gfc{1E1;>SCkr!tb_|vAV-uDVk)y!7Ytk%ahO23Z!|o4y zw`cAgfGG39$ls%_#RwO|XSJ9D;;2#0RH8?UCjS7KPeeceAV!blX{l`2St-WEgbz`V zMXb!Bs>1o;2G2*A_^G;Dd3mGm)CnS=o zA&J*}!%2{>&5h%Lq0)+#std;&H-ln+g|{j%gym^iO#fc8uKn;b?dRm_^yiWlkDn4# zn$p>No)(Z@AR4CW%` zJXf%mLz2OQJ(74t$XP#Gy5=dE9Dy1ijdnWD*HntzX~e!(>@3qJWGI<(=Qw;v!HUTFpYoYh@Dh#ht)VjikW z6m`X8_A8!GikGE)=l=bBb1%q>zub4RZnN*WX|umou8v`g+YR`M z7RT-&9yO?WIBzNWIOWNuMN&sd)=j|8ni!jbNt~>F^rHmQZmCVx6P1$^*VV=jf6g(I zOJpmYS_!s@>^$X}m}WIB_~y5Ol6WJiF=hLp!t@VC86Uak4N)vgK2h>TT8;wMQ`qd) z+6#}DoojnPuBwe%gx?wOaru}w*xzQT-4i&xR0OJ;Ia^>j&_E;8VD^Y;OB`O|!q8Kl z8iys14d?Ub;RSP9yIWnQh@7{Tm}r)l%K;58b}lZ>2KVC+QQAc`_5$K#v9m`nhh%ce z+4 zJpGsghVnu-V|^CNc2k8r$}f6Uped!Oraw`Z$=pu$V+lMml#5iX1+x2UEliv*kN-22 z+HtVK0!@v27<%Q~a|zj}OOK3g!jlE5YnWQa`AV$8-3x+<`jqHhh0ABLAKwaj)~H3f z7n|cxzZm3}*~L^;hE1F~wXK+g6+n~Ik?alti=d_0>5ep^gq`cZ4=96L9}+A=o~)`L zXlpP1zc+7f1%GZ{c%VD+ravW@H21c1Cam^mrnhtEm2TdNIED&TSy4U_^6lGP!d zJZ;6UiMdK!jYk`oxF+49HFY;+afsk#XP%cD`oenSmDxr%U_vrt_!?i;>)FF$_d09F zqO9)u7~jB(7hCtc|DYx*JOZ;YIZ;Y{{6{*ql8#b8GFuhCG>%aR0RbKm0V5e8P@Bch zU~DdBm9^L3{Km&drlGWHOg~^FJ=t*NIP+&64_BYR=t-IlOv7)0%golC|NfXTJ8qh$wT(T z+3t2O9mUbeC{?t`Iw!1H%gUM6mh?iIcOUV2D4xD_zs)Mf7(Nftq2v#TA*xj2Q9MQ6 zF;mldouq4viOD4>y&LrT9oDe@m(yTI`T zr_tqo#o8TM#T%PI1^mo8&kU(S@J&yTsK7rZtl$UU%qYIPfy4{-HXqPvo=M>F{O}G zk>n_zA9%ZUy#HdPi}d6Z*if#BLlVdh>F((2(+8L9yRKNZqH{xHUjc(I$5)dARhln5 z3*K%Ft|g^YIPFG^+cUQSTMvUUpEQQXBO|(V^WT5};S8fWgS>{^g-xk7d{QY=XFayp!b_NZIH z`y#jBG&cNNs$^FD5y#4MkMHr{&8l+`O;}n=#O?M$648PtrnNepE&~0G5g(z#osrO) zS+%s+5;^~VrYFkY`B1@xSE7e+%(=edBc$BISkW1x{vc)Z)$@WhlXup)Dr zY{cJ_p5R&N77|0g?$^zX`iBdBX&`FDpdG9V;eMcMTfy+;CwYVKAeloT9pxO?i51Kj zKU0+;hBb)q-~XY=ZxAn8Ln*^-BR({5;T4;@t9*Qwz#x&b`+gdzj+J;}Z~a$A=;l6m z)bKR8H)2C`mQ%3!LK;ni1)Rn|GBTTf`$O^h*USPrf$#*0QOc35#4Js;n%YT`2P@>E zjd#Gb&imeQr}tOUi-y@k-|Y%f*v|Xb^J5*5@!|mjR6@GC%3%cgCGVh@x4DV60sUHe-NVv zghk=9hFA7EWXr%OR_@6q~AgKFu(0Gpfg z3f>Ar{-WbA;n+-STLZJ$(|Eiol$sP~T)(rVZdAeedE8l`61G^qh@`Emn;Bn{Ohc*i zo}?JqZ&AL4=aa>1MlzE30b7>ImEvt-A zamtv8Dp2(=I%%aa6iimO0&4}|y@8wKfE`*djF?QXmq|H%pC)~%zkrsN)2z-b{ycH! zioW|5TIozP&5-r_Le=Pz49vr0jOtAZEs>id4LGO7su71;&fQchbX4nu1vEMTN^&!Y zXB!^if5f);-w*>)+S-N)vpcu*3S0X#6%3edSL@ zIloykCuh7G;;foqH~nmE^c@@|7kpyqNfnmP9EVIpd8I96>29S20ptcbLcU%`ITF{6 zgZ$);3+l>0OuJP!PS0ZAP0jPjbx`qad&U1muHfOg*(#iKG458kf9b-AI=u030z&zF zW11R}$t`-LmrA6hc|OP}%Hrz(VysqD(`8*)2`^c{I33Ze z;!A{=DjpUr*6PvP5`Umj;|;}`Fm(~>f79<`*cjI^>-gSz4xPdMx;JzHM7aVRYFX4g zYHQ)}46;(|_<_H|+-h8M*vhYzem@VtNBo5rN#+(N&Q1D;(<>Pe}@ZQ3p$onJ1{NU{Btzh@FPZ`x4|{s{_nn z3)DVMf1NYsH4dS-?dz45$l7k6_amD%^Qx=0T!U^eH~;&cI=?9qs8bPi)&q`_m55ET zuh(i)da8x+W@7xWE`ONA9iWRn&Y}LAWTGW*@b78^`Oe0f$66t;o%na*kZ}r)t0T|G z&XrTB{)5MGW~T|W25ABhA@`!!cjw>0|h#d?>1o!sKl|%@2z6fE`nK zM5NDny@E}SxoSmYQPY!MreDPUrGQaLF#w(c_w;k*Q8W02dVZUE!=hIVx`M~@4n^c% zvmwg^gX@s}q_jOr7GmSqwC&q4R=c&F26HAAe{hK?QkRV;D4K+lNJ94D1g*Pdq|uS& zY-XEF90_rFM1_nVb{w%i3CqNl z7|KfAJY&>az!kisM>{uxu1ZaX^ff z$%PBeRv`6C!m;kJzA%-F{whBKDWO6vG@^UAEv%1D$Rb=sFyG%%^k{Xey zNgvu0#OH%$>P|AalrjehCEIp#^X0ll2awy&`K1r|3RaytyS21SYE}O_v{uGWA)jCo zPm^y9W$*vYQWXQ|)c+}ndO|i80}+Qmi%JWi5fYgPRsGdi5*7qAK`V`-NK8pIch1kTnHCR@TQWm3*P`4?M zO!S+~b1P5KpJw^&Ii*)6On)%5`^~khCAd z*=R%0jMk3I07Bb;TZ}^Y0VbNpY7|@2L42m0N`fvjIT&|gCi?u%_YMSA>x;c3&Qt3n&MlI z1;c1Qn-#kOM;Hzsh(*aKn+PG4<={gbp67U(HDY3lL!GBG%zLRdIz7ZOSFI?aZyi-g zv{k(GJ)`H+6DT3_Vi-|z`@+Rc;BN(ci!dx%jwo{n?4Q!f4bGY1JT()kSqH|N45a#; zhz0f$hp{l#2cJ!=zLSvKZYWi{>y|{Rq`%8#>1TlDWm_5kn-=p8E!M`cxAzFL4Cf?i z@1|aA><^D$QgQ{!#w`El37$ZGvl1>F4_qce&HV^wmH&jKHKnoFO4I1mB!G>qtJX|23wN>WA zB>yi6;VU<5>$y(^(4b4HToiUSf4&eHv!NTZv!rh!-)JJ%QR=WcaNpJO*DsE0t?SO+ z<06!FHIex9e7k)^p4IPuyXJhGGSRZt{NIb3OZf#`6`jg#t|$m+I?UcL*PB3t_r1OA z)0ND~vD6|TDZ|dEEnHW$o9Nu^&bRk4&i=*GrJF~jo@L_2T!z$sen2p8aL19tfGT#| zTkvX2fi2z1k;=(mYacBt-5OYBH=mza*xiX=b}0tt^bxuYv#|jNdKjW)P}c{w(6wbx zKB#WC0nss>6gXaU?*_$|`NZCM7;N^iKrogES z308`@|(fQZ6=f1o;s{K_8pK95E zC&Wk|SX*?h)-;v(;=N7|_UyD(J5`aYT{d`2&_643Y&`hyqNn{XMww z>fHuP3jAXB-F1&I@)C&CYG5eXxI^_kMh1wyR)@VUjnM;_eYu{qqYIq+uLAqo)Ar!_ z@U8%uJJ)JoodXh{YLXzaw4W1F&dj5XTn!V{=;t` zBKu`D@X_(i8wnv?MW3*w{tqtljaGa7K26MALzA$WI#<5IJuQWr3;Wf?62%jKXQAhB zxI{L=5aYjs*hWp;>WK{PYj#kmTku?j*2F&z)wfv?O<;z=l(NRsgEUMefe@Axssfh$c?)F>3PRcu>XdG=*Nr;01eVgnJn zJlfY#c`iyzI&0>-puGEPF}9+FW-(|V63doa9(f|VfO26Y)(oO&42I-Y?Fm_x1{$HX z_{jOTGu}fHb?}G&jYhHj2xPNWEX~N5!fw83D|~5p2#fQB^q}_VR4GT7FL&F~%FRLT zBv8@r?V#bS!x6U7`u+A^gC=&SfMA$BwgcsOXMr(1^Tby~CoW?rE@gF@d}VAjbwXn7 z*T4ACBX2?2*zYrSqXmD$f|4X&e8g`t#wPBeXyov4tlYYoGOc7CaRixzCn_NQYt%k8 zy%xL($1)R^bQkZMnLdX;f=H@#0_()bjkWntP=4SE2`AvDydeEc7z3(Tks<#_X7rob zA!fQ(RNbGI>KbRt6`w1eqo_Fwk)a+!1~Bd>*ema#HXD^ zh1Tab4tCh2J`*LmqBMao+|wZ&w$ge9(=C>?(??yc-O*SDVh6j}ZUn~={7u1~PQlJs zN3BYx-qer^PX_zv_Uf5p`5YHEJ%Wy$0>%jw6q5IS#M<~xh!cPr02bZ2u;*N2s+}IV z{)MM)a>4dDP@HxVp^;&6fwr^IPU?Y0ZP%NwIY09j(eN|YJ3|^4 zugKrNbooLTG;u6T;Irz=dRC}QpG;p+-*{!S(3J5?U&R{E&GsHYb|jt-tj5wF<`N?B zBBSea&qLoW$)Y7Kmpgu`%-3Zt$7v&Yk0@2mHy-ZDK^XcbOT@&k_LbM|FA<-v zlq2ID_jw&-R&qgl{yxH__)q&->@DxIU2P&0n_a$sS8roCSwgx*@_&6jo{onbIQe2t ze@n5%s!+K|edW9Q{<^nD zdUJNA*UdAfw|9MZWeT|;ByhNl^2xgCeoq?iWqrTCURb$_>^85mX0WhwA~@>R6Fhwj zZDAK}+-1dFA;;E!KR-Q-IOIxEP_}I;_?Y_zJli>-1>Lw zpT90Vjaj5;iC|Yc^0z83$K3Z4UA5h94&=k9k=sDC%C<0P=Ny@=EGN2oCVW_D*l#R917 zNU(dTOG``oMGx%y9Xp&g{CCpilP*J0T@JA0{b*3zV5G1%b-SaLp>Be$?5$y}h4BV{ z0T}Ta{{Ynaot%j;c%fhqJvH@~+%0ktbMb^T)yr-VTChyn;r!>}^m^fDJ!uh|giOIY z0_W|4AXD__viOi6W-kKVPo5CuN5znF7gHl_`nY<15;w{ROM_`;1SXa#=MlFcEsWQ) zvK^Q46nugo_h%2>_Z<8_NHp`gVV+ZnHc^MR4s+ic$27fYv9q`Au;C)N!uV!XQdJI&4G^6$0Ol-WU|*qLmp^N0 zu~k*QA`9Z#>)s8;iFNQ+)Vrd17W|g4$JO=r9XdvND$>RnY`kew4%W;uy_YE>>Bx3u zq(=PpfU~ODIOOmOC8e*!(Dl7EHvCVy1qx#v6d|cX5+eV&z7NVKQR96 zo@mdh*yN$z_BKk`43$u$V37*M+|&U*Z%+)aL`HrnD>347>LGr#t(AQx632beMP$8h zVXOGF^?tV3Fe@M=F8H4bkBK_boGH`PhxhyGS>|<_8~oBwys3|7UzZ~W;HS<}RQ<+O z84ZFC3&zPQgRY6n%mfDBYB)}f(JZ#NhZe#2V6OQuj@^UB+so%E^UfZzVXE=fZ+Lg& z!rN-1Y>d9qHA*kD!yXE{n|z5GYa9nf2FAa#RG_4NTNF8c$??YqrIC^5Ay^!VMsy9?;x03UQ`7k%6UvT%=! zTAV>#-0H*U*LNGIrC{NIP68Y-6Tt_yF_c*99)F@N^OOx#qXblDnMk3M9PMpN#EFH( z85sNoJ-R

    iGJ&yK(~t;AlMbygMNfT|0-K^U3Da_yPaTyZsP!ZULYG{LBt7?7t3weax|AHoh^v7w1inMw z&X)xByL_|Li5kF0WWT(wW)o~ZDjg<=eac2jx&%R9_ALf>S+*UCp{IZ%6S-5sD)F?m z;rqM-{>o^J18{|Zbl@i!bZ~Q{mHpv#?d!o{jCUJ&O;(=DhpX2zoAofwExn-&K~nfQ zy2-|RsOs4Bom9D-|Kokz>^=o7S%!ePIFOvlY5Gy?axUf}=)m=#?Ivrqhg_jdgH{Q# zfI}@(PKZr|#7#b;fRFpT>PFKXGXlS$LB^g2DQRORK5uArIIEM{R&5& zrfY9+_S~0+vw<&0f(uqeQ7iRYOn` zCbrojJkbr|0txGqDJq0u_y^bLyt5vkvx4U@m59+mq9_=LJWru==MfH!Nh9{^Qo?p&^wd!u-GY#Ohmt@uC2ZZsr|y zY`llel0ZEBr`PQTuZ`)e);j=Gq+G0z(&yTNdq?a)cS2cF1`rXp^Nf}?0w~rd0l#cN ze93I`HusAFqvlMi+;q;{ikI!CZU`t*8l&drM(WoA(aK(|MPuU56<7EHyXVtCgDM0Q zj-P_h=7iu6#8hLuz_cpv@TU<5AvBvfW5dDA|LEzuAD9Jn&%w<)N5L%ANm*roV)x5B zR6Zf9xS4WBT5|(caEUd#+oQy*<7}UhPF9V?Z2w-*`=^fL_4DC&a5C2ZK+xR|9VUUI zD<>=iawrvvO{{t`zsGDt?=qr}`n!YGA*)29%6P%4Vo;_WdhA>O?)E`T=^V**RvPq@ z0Y3DC#ZG4F7+9xNXK}qhoYefmq{u!Hp?0BH3`%azbF^=l<#~_=G^P_eZq%rH+Se}8 zR{D+7)m!2^{7mEx&M)FiYoEE_;5zr9`;Msby4CIrxT`A`C8WG+zhwXD+<6xC&wXNa zQuIY7M5bTAZq!57>H~1BV7CVp3&^!{S=4*J_7EC3g~*T@Ya-Bd;l#5ZZc~VzMi_*Q@8KLlM%CZ8RM01C2>iG~hLJ$!nro$z>27 zp?5Td%5LB&k*Fi~OgD2w=oUh_3^ETS0-ztji^YqRhxw&&$L44hl9C?>TgrZP*H{&J?-%b#89q7|K8JM4?Z=nkdmIZ&+hdKowjBzKyep$ zy^gi6n;Ql_)63}>9t@`+>%#a~Le3$UD^!WvG5U>)Lh+9!!{`^n98_4V2tj2r?Uh;b zh`$euC_%|LBn7SzqJr5V7c^SN0(0n3fXm>h3G{r!p@!N1;P1ER^O@s)^VoVG#*}4T zSFLnR2$_y3umJLWs%aUuj8Hv-tZBPsWJklTZ=GDxBauec=s`Ci!P)KAjJ*=k*qL@(*{xFn-& zdw%{K7j#9!yo)L;0ASmw4@@fX%TI5jRPI@z4yB zm!eM)L7TaXE9pma!b-}koLz65K&{}b5tb_Mxrv8npj&@LiHek|W?Ue>g>(Qc|$ zz>G(wC{e>*-)WNxdLUle$h)8V33~pv(kLMhG%){Wk^&LUZqPhXJAuEMa?5sz<$j#2 zj7$Zzd>ijcO?_HS12K1JTQY>#e3_`Xd~@B8Apw_UP-NJjMi%t@gC5sTzI+hj&SYV9 zJ5;}C@fUJo#R5W!xPAPeuHo3PI{Hvtk3u&0xX`B5y+e3EDvQHaI1P;QC(5a7>*l-s z()R%5P3Nv<(LxDttmD2O951h@6WT9u0IU?)T;7(~^RqFFTc$Kwff1?3f${nutm77G zyvTP7UX>p{)HccLC=}FntEgF{x7q{4S}J@Auc&!(RXsGJWa|;{W=B z=g&~vu6NXU78#j(up4o@hJAl-7YWnd!%5TiSJGl>=S(747(5x-pt+d~6Lnsm#k1jP zu|Uyry}!BK#@o?C^3K$yKkReXY{#WgQP|J~tvO%h{MhS85vi>)YK=N~vg^N?{AtkP zmn@y&I5C*_G&ZJ3k!M4XU>DB&!^(u+^7WVxG&<0<7#9_J!IFMoC5s(dy{Y$_Ho;@2 z@2_S1wnRE)l-I<2H{OCP%CaFaw!Y?QM`ih9*HuoKU&>u8)o!(yr=PjSkl%0Rgb;P1<+sq|Lf~13E6`(I-GM3 zm{_^}V|cO|RUM1s+t1{YBA1yMp+(}`saA<9q$HGyVxkO*B`I*J+|$>KBPrkuH>}D`CXmAj8p&aUSVRX^u*cg%g7R1Q7OUG8qA_v~F9Tmuu9W`G zC##s_rc0~tS*(4lu=s5-CAq>_`wz`O@k&Fp$@%qqPwQO?K5vd(=j&U$TdnP#sDX7W zT_2!)%h$E;*Eb`N*IJBqkq=y!R+=Is(cV#}Q!gwi5@Ob#LcYuWuTZ0~{BFz9Yo zrq=_&X&I)H?huPIPVn>hzuL!?A9oa4$PK&Scjs1Tn>xCoN_&_mo>$A;*9y40yLe8g z;zK+JS7y{YK78IoQiI=0t9W;7Blnt*Jr`mY>dW9s=p9P7p-lUqH=H^Ol%qT(wdTHw z8}rSo(9UhSlWMX>Y^D6ypc?@ny+=0^($P4M?d2xbVUac1uHBzrlw&GY*RSW;#gxui z3>>KvjbVu$R8$@KmMaBMl5?tsGYj(K%PNm#WLzSLBsoFE_6CVs70GYr$U`jsiJc{M zqvUcV^md7Lv;-3wMt$YcmU8m%#cP-H9fMUaD~JPy5QC?3>V8J}-`=S#-i4$@QvX!n zvpnp1r7l5x75T;sCE$evkXf8;hwRR)G-#(}JV)gx%b?!>4I7u7A2F7sPkBQ&ZUR-* zj#On`wv4y1J?ctmfW)ib6(4_iq7uK0fWpczJ>mp}ENDx`ly6!6PII4Y7ZAA%}WBOi&UAxl>c5A z4r;r9qmrPV+4LDARQJZy8e*b^W+TiT=6wAGCAyQ#^DrOk#22zZGIe z;;j*$uyJ0P$kZadCs-ivzVNXWf|`H%;{s5#1lI^MluwMU<@#zF@PochFrwBkSPNQpx_QyDVRi2UVZM^GZGuL z%rn! zO!%@+k8}QSF?TNto9cv+r-%DGN^q#64SZ;}KQk*vXu1*n=OMvNfE!fF?IpEh7qyYww_#!>dUskoPqkEi$d*&rLB=Jt5H@)Hk_79-5^s?m8uSe87 z*Evib#-4Ll0mP3pE}`|w^7r+|3JTLYP9~<3`3ee{be`@-z#e&V&Mmen#6gb1q*~Y1qr7MId9*LtO&%uP95Ax z{W&N<5l2-MN>j*`QHbN~MM}8ICW~U3K%%&$<`#Co-$%%kb2i;YiizUujgLtZJ>TcX{|n$8MM--y@AvOxarYZRJCgia^#-xb0@hcjcH8mOo1|Pq;o-0>Onr2d*sB(V0BBV%nG*M0~tnP!nxrLLvQ58qp0Yd|h`{XOdX`)H2#$yDH~ zgL~|hTP5QWU*1jb)goW!^GE{o+1`;@$J#b2%zrTCvCXOx9FgPj{oEcWZ)fM$Zr|v( zi#pSydRBm*C8^_*p`S~oeJ?1YGGj4LG*bxSXA8Ixrd z5TC93qozC1@2UvRdexaLf?4h1((@V(510BIvv1DT>7mtr?6u5qlnwLLR=O=`X@#I; z>09)BmJ(3JY`4{F3H++Y><@PBmU$wm3ISAxtvm>fpFw|=l98`#-ELog)LvY2l9ExV zdkhOzX>gUDnEi2ca-8CY1r6+sI>KusO)t?k|81W>+HSUghe02diugp-f9x*5)!_xP7m|kZWbW>xo)-y;tz&vfN^~dCa>Rr+?{l z^aRSAP00z6RsSR7=y_>;rh6au&Bpu)$SV1P^P+yISYJ9VI6nq{r**~#6}*Q`D$I6| zoH@OH`B8e5E7BVzc?>*sOn47zR=usoZvIy;UwR0%^gKTeIUEfXhoMS{N1fsZ{Ti6onYvb$^abcP`*(kT=1c1Itg7?gS(_!K{EA zY=qC{iZvU&{bW%i!uK(7Pmj6%< zl&Vslhg^VplsAFy!)RK4qGZe>a}2JE;{eGg=j+lRcGNA6%CA#dtEFS{qYRSo+2WVl zZ7#R2Na@&P8f{w5pg8z+C5{t)w5- zJG0YhNZRG{V?1b8G1-7svE%ie@+nF0h1 zgS`FAbFzSh+}y$s2f;aRKX2DtAJD@Zc7>2fAdazG%qZB7*9!Bm1S=KZ-UI4EU>j-G zQc23YEXyJRa>8;8amu?Y%OdK+cp!sJUM}Do{0}^8EZ3gKNkWNN{Z`6>BWFmfd^E^q zF3LTsg|OZd5|ue5V<-Oy%-JJu<@Wyr=6C)7gS9fx8$g-o|6rY@AV?we^?zY4c66&M zd2}1~Jv)b_`2Q>2UIgO93uH1)tC7I;2F#@IC>12!o_zHypj`4 z4-og=EtC8eWX3KX-139cURe;9)YA$VACn zNxx8V(36KknC^qbmeyz0%iTAz`i!j$4`X&CUS8=cYI{qcdJ2z5fxn-yWcg6$QE!+= z^uMU)Ij33FN;LEklsWGQ(PjOz^7Lns{ycNGxlZT<>7qccW+sqTyaQ`D@V5iJBBIS^?xW*3D%mdFh=g|{q%a8m9dX8k4iDp zdW-kEuc~rER;QbxN95e2E&9WRGUgSWr)b^d{|%pmQkE*$)+!h7hKVASVUjdnCF-qz zspBzeBQ5it6!S_Gx*IIBwGO)n0TysOrT3?so^Z)i_&h6g^Gz2oQIl}Ed^sLF24ddd zjNX{)u$$fNb~Clw93M0H(YmmT+~cl>ufF<91oQXeM$?k$j?;(ZBNI!Y5q{w}3g)y!-fq5NfQRMjAK92# z$Y)(0gAD!z0%SEKRM&~j{MUc~_;RaSuY=qen=d54_Gh4J3Adclel2@0$NRoKbNE?Y zW6?!`uWJ0FV;}y}5M^)v#XPU;UM&#_a!R~tp+|-7Mbw0_lN+KE{uQItnPMrp)3UTM z96D|@ugb9+I$klan#^S8q)fob=W-JFQJwnDc}II+l4Id;JbE8Gn3}Dfm@#oHY)SYd zh0C6LO>sZO8O%D(WG;>kApZ>qqw9wd7+!#qGWyl{(=-;Mu$G78d{ixQ41n9x54>qm z^?ZV{v++~dRq-^2;@b23J_Art`fRRK8z3N-!6wev#kEEmz#Yg*O^m3vVqrgwD)Q&N z6NVf9TJ0bu_0k#ESoB^sil8xvPyVx`&pq4I@Yj6>Rj~|qd8FAyIn`<b1btK;1atyBL5<%h?`L`|u-TELM2XxYylL>dP25utFwUaH4 za1TXuvN8^3FxItwM{Z4$id3&{f@jvNOPA&o3Te9FIrZD0pHs_uvg~4#g9-7 z&)HKf(629)Yr6#w@TX?bAnDx)u=OQ>@Xp3uk}Ul-aXGn3fpx&BIhMxmFGiY`i_ePo zTx{``Q8V{eBlW4I8f%$rQMNoC-e8132{x|5^Us^2U^TBzhi zNeNDa_|D33m}rBaG)GDed(ei$F&X`mD$4w?Anbn=C0^`8$DODAQFwzHBelUIGQCRM z;nQso80&QzsDi_SFrTRrI<&XUiB+}}S$bhb5W{dar={B^!mdl?RmN0E3rWI*p%