From ad82dfc61bb4ad63417c653c754fc165e778cc07 Mon Sep 17 00:00:00 2001 From: zhangyz Date: Fri, 1 Nov 2024 17:37:09 +0800 Subject: [PATCH] first --- .../codegen/dll/hilbert_fir/.gitignore | 22 + .../codegen/dll/hilbert_fir/Porting_DPIC.mk | 14 + hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb | Bin 0 -> 11790 bytes .../codegen/dll/hilbert_fir/_clang-format | 27 + .../dll/hilbert_fir/codedescriptor.dmr | Bin 0 -> 537600 bytes hilbert_dpi/codegen/dll/hilbert_fir/com.log | 385 + .../codegen/dll/hilbert_fir/csrc/Makefile | 116 + .../dll/hilbert_fir/csrc/Makefile.hsopt | 47 + .../dll/hilbert_fir/csrc/_vcs_pli_stub_.c | 964 + .../csrc/archive.0/_64535_archive_1.a.info | 12 + .../codegen/dll/hilbert_fir/csrc/cgincr.sdb | Bin 0 -> 24592 bytes .../codegen/dll/hilbert_fir/csrc/cginfo.json | 422 + .../dll/hilbert_fir/csrc/cgproc.64535.json | 3152 ++ .../codegen/dll/hilbert_fir/csrc/checksum | Bin 0 -> 279 bytes .../codegen/dll/hilbert_fir/csrc/cwidincr.db | Bin 0 -> 298 bytes .../codegen/dll/hilbert_fir/csrc/filelist | 39 + .../codegen/dll/hilbert_fir/csrc/filelist.cu | 33 + .../codegen/dll/hilbert_fir/csrc/filelist.dpi | 4 + .../dll/hilbert_fir/csrc/filelist.hsopt | 13 + .../csrc/filelist.hsopt.llvm2_0.objs | 1 + .../dll/hilbert_fir/csrc/filelist.hsopt.objs | 7 + .../codegen/dll/hilbert_fir/csrc/filelist.pli | 4 + .../dll/hilbert_fir/csrc/import_dpic.h | 146 + .../dll/hilbert_fir/csrc/product_timestamp | 0 .../codegen/dll/hilbert_fir/csrc/rmapats.c | 59 + .../codegen/dll/hilbert_fir/csrc/rmapats.h | 2519 ++ .../codegen/dll/hilbert_fir/csrc/rmapats.m | 0 .../codegen/dll/hilbert_fir/csrc/rmar.c | 13 + .../codegen/dll/hilbert_fir/csrc/rmar.h | 18 + .../codegen/dll/hilbert_fir/csrc/rmar0.h | 13 + .../codegen/dll/hilbert_fir/csrc/uvm_dpi.d | 14 + .../dll/hilbert_fir/csrc/uvm_verdi_dpi.d | 8 + .../codegen/dll/hilbert_fir/examples/main.c | 91 + .../codegen/dll/hilbert_fir/examples/main.h | 59 + hilbert_dpi/codegen/dll/hilbert_fir/files.f | 4 + .../codegen/dll/hilbert_fir/hilbert_fir.c | 58 + .../codegen/dll/hilbert_fir/hilbert_fir.def | 8 + .../codegen/dll/hilbert_fir/hilbert_fir.h | 37 + .../codegen/dll/hilbert_fir/hilbert_fir_dpi.c | 52 + .../codegen/dll/hilbert_fir/hilbert_fir_dpi.h | 34 + .../dll/hilbert_fir/hilbert_fir_dpi.sv | 47 + .../dll/hilbert_fir/hilbert_fir_dpi_pkg.sv | 16 + .../dll/hilbert_fir/hilbert_fir_rtw.mk | 354 + .../dll/hilbert_fir/hilbert_fir_types.h | 19 + .../interface/_coder_hilbert_fir_api.c | 151 + .../interface/_coder_hilbert_fir_api.h | 48 + .../interface/_coder_hilbert_fir_mex.c | 67 + .../interface/_coder_hilbert_fir_mex.h | 38 + hilbert_dpi/codegen/dll/hilbert_fir/loop.txt | 35738 ++++++++++++++++ .../codegen/dll/hilbert_fir/loop_detect.tcl | 23 + hilbert_dpi/codegen/dll/hilbert_fir/makefile | 36 + .../codegen/dll/hilbert_fir/novas_dump.log | 345 + .../codegen/dll/hilbert_fir/rtwtypes.h | 166 + hilbert_dpi/codegen/dll/hilbert_fir/sim.log | 58 + hilbert_dpi/codegen/dll/hilbert_fir/simv | Bin 0 -> 969424 bytes .../hilbert_fir/simv.daidir/.daidir_complete | 0 .../dll/hilbert_fir/simv.daidir/.normal_done | 0 .../hilbert_fir/simv.daidir/.vcs.timestamp | 937 + .../hilbert_fir/simv.daidir/DPIFuncTaskList | 78 + .../dll/hilbert_fir/simv.daidir/binmap.sdb | Bin 0 -> 513721 bytes .../dll/hilbert_fir/simv.daidir/build_db | 4 + .../dll/hilbert_fir/simv.daidir/cgname.json | 74 + .../hilbert_fir/simv.daidir/constraint.sdb | Bin 0 -> 8651 bytes .../dll/hilbert_fir/simv.daidir/covg_defs | 0 .../simv.daidir/debug_dump/.version | 4 + .../debug_dump/AllModulesSkeletons.sdb | Bin 0 -> 1430 bytes .../simv.daidir/debug_dump/HsimSigOptDb.sdb | Bin 0 -> 888 bytes .../simv.daidir/debug_dump/dumpcheck.db | 0 .../simv.daidir/debug_dump/dve_debug.db.gz | Bin 0 -> 81807 bytes .../debug_dump/fsearch/.create_fsearch_db | 9 + .../debug_dump/fsearch/check_fsearch_db | 57 + .../debug_dump/fsearch/fsearch.stat | 0 .../debug_dump/fsearch/idents_sbyVvx.xml.gz | Bin 0 -> 113618 bytes .../debug_dump/fsearch/idents_tapi.xml.gz | Bin 0 -> 222 bytes .../simv.daidir/debug_dump/src_files_verilog | 165 + .../simv.daidir/debug_dump/topmodules | 2 + .../simv.daidir/debug_dump/vir.sdb | Bin 0 -> 3474164 bytes .../dll/hilbert_fir/simv.daidir/eblklvl.db | Bin 0 -> 24 bytes .../dll/hilbert_fir/simv.daidir/elabmoddb.sdb | Bin 0 -> 13539 bytes .../simv.daidir/external_functions | 78 + .../simv.daidir/hslevel_callgraph.sdb | Bin 0 -> 410 bytes .../hilbert_fir/simv.daidir/hslevel_level.sdb | Bin 0 -> 347 bytes .../simv.daidir/hslevel_rtime_level.sdb | Bin 0 -> 248 bytes .../hilbert_fir/simv.daidir/hsscan_cfg.dat | 0 .../dll/hilbert_fir/simv.daidir/nsparam.dat | Bin 0 -> 8 bytes .../dll/hilbert_fir/simv.daidir/pcc.sdb | Bin 0 -> 788 bytes .../dll/hilbert_fir/simv.daidir/pcxpxmr.dat | Bin 0 -> 20 bytes .../dll/hilbert_fir/simv.daidir/prof.sdb | Bin 0 -> 136381 bytes .../dll/hilbert_fir/simv.daidir/rmapats.dat | Bin 0 -> 748 bytes .../hilbert_fir/simv.daidir/saifNetInfo.db | 1 + .../dll/hilbert_fir/simv.daidir/simv.kdb | 16 + .../simv.daidir/stitch_nsparam.dat | Bin 0 -> 4 bytes .../dll/hilbert_fir/simv.daidir/tt.sdb | Bin 0 -> 610736 bytes .../dll/hilbert_fir/simv.daidir/vc_hdrs.c | 1540 + .../dll/hilbert_fir/simv.daidir/vcs_rebuild | 4 + .../vcselab_master_hsim_elabout.db | 691 + .../simv.daidir/vcselab_misc_hil_stmts.db | Bin 0 -> 44 bytes .../simv.daidir/vcselab_misc_hsdef.db | Bin 0 -> 44 bytes .../simv.daidir/vcselab_misc_hsim_elab.db | 1217 + .../simv.daidir/vcselab_misc_hsim_fegate.db | Bin 0 -> 1352 bytes .../simv.daidir/vcselab_misc_hsim_lvl.db | Bin 0 -> 140 bytes .../simv.daidir/vcselab_misc_hsim_merge.db | Bin 0 -> 1553 bytes .../simv.daidir/vcselab_misc_hsim_name.db | Bin 0 -> 262 bytes .../simv.daidir/vcselab_misc_hsim_uds.db | 4 + .../simv.daidir/vcselab_misc_midd.db | Bin 0 -> 247 bytes .../simv.daidir/vcselab_misc_mnmn.db | Bin 0 -> 245 bytes .../simv.daidir/vcselab_misc_partition.db | Bin 0 -> 1268 bytes .../simv.daidir/vcselab_misc_partitionDbg.db | Bin 0 -> 892 bytes .../simv.daidir/vcselab_misc_vcselabref.db | Bin 0 -> 1 bytes .../simv.daidir/vcselab_misc_vpdnodenums | Bin 0 -> 80 bytes hilbert_dpi/codegen/dll/hilbert_fir/tb.sv | 97 + hilbert_dpi/codegen/dll/hilbert_fir/ucli.key | 0 hilbert_dpi/codegen/dll/hilbert_fir/vc_hdrs.h | 207 + hilbert_dpi/fun_tb.m | 4 + hilbert_dpi/hilbert_fir.m | 10 + nco_dpi/codegen/dll/nco/.gitignore | 22 + nco_dpi/codegen/dll/nco/Porting_DPIC.mk | 14 + nco_dpi/codegen/dll/nco/TB.fsdb | Bin 0 -> 79720 bytes nco_dpi/codegen/dll/nco/com.log | 384 + nco_dpi/codegen/dll/nco/csrc/Makefile | 116 + nco_dpi/codegen/dll/nco/csrc/Makefile.hsopt | 47 + .../codegen/dll/nco/csrc/_prev_cginfo.json | 532 + nco_dpi/codegen/dll/nco/csrc/_vcs_pli_stub_.c | 964 + .../csrc/archive.16/_37171_archive_1.a.info | 2 + .../csrc/archive.16/_prev_archive_1.a.info | 10 + nco_dpi/codegen/dll/nco/csrc/cgincr.sdb | Bin 0 -> 24677 bytes nco_dpi/codegen/dll/nco/csrc/cginfo.json | 531 + .../codegen/dll/nco/csrc/cgproc.37171.json | 3025 ++ nco_dpi/codegen/dll/nco/csrc/checksum | Bin 0 -> 262 bytes nco_dpi/codegen/dll/nco/csrc/cwidincr.db | Bin 0 -> 298 bytes nco_dpi/codegen/dll/nco/csrc/filelist | 39 + nco_dpi/codegen/dll/nco/csrc/filelist.cu | 50 + nco_dpi/codegen/dll/nco/csrc/filelist.dpi | 4 + nco_dpi/codegen/dll/nco/csrc/filelist.hsopt | 13 + .../dll/nco/csrc/filelist.hsopt.llvm2_0.objs | 1 + .../codegen/dll/nco/csrc/filelist.hsopt.objs | 7 + nco_dpi/codegen/dll/nco/csrc/filelist.pli | 4 + nco_dpi/codegen/dll/nco/csrc/import_dpic.h | 146 + nco_dpi/codegen/dll/nco/csrc/pre.cgincr.sdb | Bin 0 -> 24677 bytes .../codegen/dll/nco/csrc/product_timestamp | 0 nco_dpi/codegen/dll/nco/csrc/rmapats.c | 59 + nco_dpi/codegen/dll/nco/csrc/rmapats.h | 2519 ++ nco_dpi/codegen/dll/nco/csrc/rmapats.m | 0 nco_dpi/codegen/dll/nco/csrc/rmar.c | 13 + nco_dpi/codegen/dll/nco/csrc/rmar.h | 18 + nco_dpi/codegen/dll/nco/csrc/rmar0.h | 13 + nco_dpi/codegen/dll/nco/csrc/uvm_dpi.d | 9 + nco_dpi/codegen/dll/nco/csrc/uvm_verdi_dpi.d | 8 + nco_dpi/codegen/dll/nco/files.f | 3 + nco_dpi/codegen/dll/nco/html/report.mldatx | Bin 0 -> 30487 bytes nco_dpi/codegen/dll/nco/loop.txt | 35738 ++++++++++++++++ nco_dpi/codegen/dll/nco/loop_detect.tcl | 23 + nco_dpi/codegen/dll/nco/makefile | 36 + nco_dpi/codegen/dll/nco/nco.def | 8 + nco_dpi/codegen/dll/nco/nco_dpi.sv | 54 + nco_dpi/codegen/dll/nco/nco_dpi_pkg.sv | 16 + nco_dpi/codegen/dll/nco/nco_rtw.mk | 366 + nco_dpi/codegen/dll/nco/novas.conf | 372 + nco_dpi/codegen/dll/nco/novas.rc | 1259 + nco_dpi/codegen/dll/nco/novas_dump.log | 346 + nco_dpi/codegen/dll/nco/sim.log | 41 + nco_dpi/codegen/dll/nco/simv | Bin 0 -> 953720 bytes .../dll/nco/simv.daidir/.daidir_complete | 0 .../codegen/dll/nco/simv.daidir/.normal_done | 0 .../dll/nco/simv.daidir/.vcs.timestamp | 937 + .../dll/nco/simv.daidir/DPIFuncTaskList | 78 + .../codegen/dll/nco/simv.daidir/binmap.sdb | Bin 0 -> 587605 bytes nco_dpi/codegen/dll/nco/simv.daidir/build_db | 4 + .../codegen/dll/nco/simv.daidir/cgname.json | 74 + .../dll/nco/simv.daidir/constraint.sdb | Bin 0 -> 8651 bytes nco_dpi/codegen/dll/nco/simv.daidir/covg_defs | 0 .../dll/nco/simv.daidir/debug_dump/.version | 4 + .../debug_dump/AllModulesSkeletons.sdb | Bin 0 -> 1443 bytes .../simv.daidir/debug_dump/HsimSigOptDb.sdb | Bin 0 -> 931 bytes .../nco/simv.daidir/debug_dump/dumpcheck.db | 0 .../simv.daidir/debug_dump/dve_debug.db.gz | Bin 0 -> 81797 bytes .../debug_dump/fsearch/.create_fsearch_db | 9 + .../debug_dump/fsearch/check_fsearch_db | 57 + .../debug_dump/fsearch/fsearch.stat | 0 .../debug_dump/fsearch/idents_tapi.xml.gz | Bin 0 -> 217 bytes .../debug_dump/fsearch/idents_zCQ8p0.xml.gz | Bin 0 -> 113629 bytes .../simv.daidir/debug_dump/src_files_verilog | 165 + .../dll/nco/simv.daidir/debug_dump/topmodules | 2 + .../dll/nco/simv.daidir/debug_dump/vir.sdb | Bin 0 -> 3536305 bytes .../codegen/dll/nco/simv.daidir/eblklvl.db | Bin 0 -> 24 bytes .../codegen/dll/nco/simv.daidir/elabmoddb.sdb | Bin 0 -> 13610 bytes .../dll/nco/simv.daidir/external_functions | 78 + .../dll/nco/simv.daidir/hslevel_callgraph.sdb | Bin 0 -> 410 bytes .../dll/nco/simv.daidir/hslevel_level.sdb | Bin 0 -> 347 bytes .../nco/simv.daidir/hslevel_rtime_level.sdb | Bin 0 -> 248 bytes .../dll/nco/simv.daidir/hsscan_cfg.dat | 0 .../codegen/dll/nco/simv.daidir/nsparam.dat | Bin 0 -> 8 bytes nco_dpi/codegen/dll/nco/simv.daidir/pcc.sdb | Bin 0 -> 754 bytes .../codegen/dll/nco/simv.daidir/pcxpxmr.dat | Bin 0 -> 20 bytes nco_dpi/codegen/dll/nco/simv.daidir/prof.sdb | Bin 0 -> 92122 bytes .../codegen/dll/nco/simv.daidir/rmapats.dat | Bin 0 -> 748 bytes .../dll/nco/simv.daidir/saifNetInfo.db | 1 + nco_dpi/codegen/dll/nco/simv.daidir/simv.kdb | 16 + .../dll/nco/simv.daidir/stitch_nsparam.dat | Bin 0 -> 4 bytes nco_dpi/codegen/dll/nco/simv.daidir/tt.sdb | Bin 0 -> 638215 bytes nco_dpi/codegen/dll/nco/simv.daidir/vc_hdrs.c | 1540 + .../codegen/dll/nco/simv.daidir/vcs_rebuild | 4 + .../vcselab_master_hsim_elabout.db | 691 + .../nco/simv.daidir/vcselab_misc_hil_stmts.db | Bin 0 -> 44 bytes .../dll/nco/simv.daidir/vcselab_misc_hsdef.db | Bin 0 -> 44 bytes .../nco/simv.daidir/vcselab_misc_hsim_elab.db | 1217 + .../simv.daidir/vcselab_misc_hsim_fegate.db | Bin 0 -> 1456 bytes .../nco/simv.daidir/vcselab_misc_hsim_lvl.db | Bin 0 -> 140 bytes .../simv.daidir/vcselab_misc_hsim_merge.db | Bin 0 -> 1726 bytes .../nco/simv.daidir/vcselab_misc_hsim_name.db | Bin 0 -> 255 bytes .../nco/simv.daidir/vcselab_misc_hsim_uds.db | 68 + .../dll/nco/simv.daidir/vcselab_misc_midd.db | Bin 0 -> 234 bytes .../dll/nco/simv.daidir/vcselab_misc_mnmn.db | Bin 0 -> 228 bytes .../nco/simv.daidir/vcselab_misc_partition.db | Bin 0 -> 1600 bytes .../simv.daidir/vcselab_misc_partitionDbg.db | Bin 0 -> 887 bytes .../dll/nco/simv.daidir/vcselab_misc_tCEYNb | Bin 0 -> 180 bytes .../simv.daidir/vcselab_misc_vcselabref.db | Bin 0 -> 1 bytes .../nco/simv.daidir/vcselab_misc_vpdnodenums | Bin 0 -> 108 bytes nco_dpi/codegen/dll/nco/tb.sv | 76 + nco_dpi/codegen/dll/nco/ucli.key | 0 nco_dpi/codegen/dll/nco/vc_hdrs.h | 207 + .../codegen/dll/nco/verdiLog/.11367cryo1.conf | 286 + .../codegen/dll/nco/verdiLog/.17502cryo1.conf | 287 + .../codegen/dll/nco/verdiLog/.28626cryo1.conf | 287 + .../codegen/dll/nco/verdiLog/.31344cryo1.conf | 287 + .../codegen/dll/nco/verdiLog/.35366cryo1.conf | 240 + .../codegen/dll/nco/verdiLog/.35859cryo1.conf | 481 + .../dll/nco/verdiLog/.diagnose.oneSearch | 0 .../codegen/dll/nco/verdiLog/ToNetlist.log | 0 nco_dpi/codegen/dll/nco/verdiLog/compiler.log | 291 + nco_dpi/codegen/dll/nco/verdiLog/exe.log | 0 nco_dpi/codegen/dll/nco/verdiLog/novas.log | 10 + nco_dpi/codegen/dll/nco/verdiLog/novas.rc | 1259 + .../dll/nco/verdiLog/novas_autosave.ses | 81 + .../nco/verdiLog/novas_autosave.ses.config | 55 + .../dll/nco/verdiLog/novas_autosave.ses.png | Bin 0 -> 113515 bytes .../nco/verdiLog/novas_autosave.ses.wave.0 | 69 + .../novas_ones_cryo1_11367.log.result | 0 .../novas_ones_cryo1_17502.log.result | 0 .../novas_ones_cryo1_28626.log.result | 0 .../novas_ones_cryo1_31344.log.result | 0 .../novas_ones_cryo1_35366.log.result | 0 .../novas_ones_cryo1_35859.log.result | 0 nco_dpi/codegen/dll/nco/verdiLog/pes.bat | 3 + nco_dpi/codegen/dll/nco/verdiLog/turbo.log | 3 + nco_dpi/codegen/dll/nco/verdiLog/verdi.cmd | 38 + .../codegen/dll/nco/verdiLog/verdi.cmd.bak | 126 + .../dll/nco/verdiLog/verdi_perf_err.log | 0 nco_dpi/nco.m | 81 + 249 files changed, 106624 insertions(+) create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/.gitignore create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/Porting_DPIC.mk create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/_clang-format create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/codedescriptor.dmr create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/com.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile.hsopt create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/_vcs_pli_stub_.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.0/_64535_archive_1.a.info create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgincr.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/cginfo.json create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgproc.64535.json create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/checksum create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/cwidincr.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.dpi create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.llvm2_0.objs create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.objs create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.pli create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/import_dpic.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/product_timestamp create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.m create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar0.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_dpi.d create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_verdi_dpi.d create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/examples/main.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/examples/main.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/files.f create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.def create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_rtw.mk create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_types.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.c create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/loop.txt create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/loop_detect.tcl create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/makefile create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/rtwtypes.h create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/sim.log create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/simv create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.daidir_complete create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.normal_done create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.vcs.timestamp create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/DPIFuncTaskList create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/binmap.sdb create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/build_db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/constraint.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/covg_defs create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/AllModulesSkeletons.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/HsimSigOptDb.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/dumpcheck.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/dve_debug.db.gz create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/check_fsearch_db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_sbyVvx.xml.gz create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_tapi.xml.gz create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/topmodules create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/vir.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/eblklvl.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/elabmoddb.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/external_functions create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_callgraph.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_level.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_rtime_level.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hsscan_cfg.dat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/nsparam.dat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcc.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcxpxmr.dat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/prof.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/rmapats.dat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/saifNetInfo.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/simv.kdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/stitch_nsparam.dat create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/tt.sdb create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vc_hdrs.c create mode 100755 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcs_rebuild create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_master_hsim_elabout.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hil_stmts.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsdef.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_fegate.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_lvl.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_merge.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_name.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_uds.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_midd.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_mnmn.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partition.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partitionDbg.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_vcselabref.db create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_vpdnodenums create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/tb.sv create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/ucli.key create mode 100644 hilbert_dpi/codegen/dll/hilbert_fir/vc_hdrs.h create mode 100644 hilbert_dpi/fun_tb.m create mode 100644 hilbert_dpi/hilbert_fir.m create mode 100644 nco_dpi/codegen/dll/nco/.gitignore create mode 100644 nco_dpi/codegen/dll/nco/Porting_DPIC.mk create mode 100644 nco_dpi/codegen/dll/nco/TB.fsdb create mode 100644 nco_dpi/codegen/dll/nco/com.log create mode 100644 nco_dpi/codegen/dll/nco/csrc/Makefile create mode 100644 nco_dpi/codegen/dll/nco/csrc/Makefile.hsopt create mode 100644 nco_dpi/codegen/dll/nco/csrc/_prev_cginfo.json create mode 100644 nco_dpi/codegen/dll/nco/csrc/_vcs_pli_stub_.c create mode 100644 nco_dpi/codegen/dll/nco/csrc/archive.16/_37171_archive_1.a.info create mode 100644 nco_dpi/codegen/dll/nco/csrc/archive.16/_prev_archive_1.a.info create mode 100644 nco_dpi/codegen/dll/nco/csrc/cgincr.sdb create mode 100644 nco_dpi/codegen/dll/nco/csrc/cginfo.json create mode 100644 nco_dpi/codegen/dll/nco/csrc/cgproc.37171.json create mode 100644 nco_dpi/codegen/dll/nco/csrc/checksum create mode 100644 nco_dpi/codegen/dll/nco/csrc/cwidincr.db create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.cu create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.dpi create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.hsopt create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.llvm2_0.objs create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.objs create mode 100644 nco_dpi/codegen/dll/nco/csrc/filelist.pli create mode 100644 nco_dpi/codegen/dll/nco/csrc/import_dpic.h create mode 100644 nco_dpi/codegen/dll/nco/csrc/pre.cgincr.sdb create mode 100644 nco_dpi/codegen/dll/nco/csrc/product_timestamp create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmapats.c create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmapats.h create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmapats.m create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmar.c create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmar.h create mode 100644 nco_dpi/codegen/dll/nco/csrc/rmar0.h create mode 100644 nco_dpi/codegen/dll/nco/csrc/uvm_dpi.d create mode 100644 nco_dpi/codegen/dll/nco/csrc/uvm_verdi_dpi.d create mode 100644 nco_dpi/codegen/dll/nco/files.f create mode 100644 nco_dpi/codegen/dll/nco/html/report.mldatx create mode 100644 nco_dpi/codegen/dll/nco/loop.txt create mode 100644 nco_dpi/codegen/dll/nco/loop_detect.tcl create mode 100755 nco_dpi/codegen/dll/nco/makefile create mode 100644 nco_dpi/codegen/dll/nco/nco.def create mode 100644 nco_dpi/codegen/dll/nco/nco_dpi.sv create mode 100644 nco_dpi/codegen/dll/nco/nco_dpi_pkg.sv create mode 100644 nco_dpi/codegen/dll/nco/nco_rtw.mk create mode 100644 nco_dpi/codegen/dll/nco/novas.conf create mode 100644 nco_dpi/codegen/dll/nco/novas.rc create mode 100644 nco_dpi/codegen/dll/nco/novas_dump.log create mode 100644 nco_dpi/codegen/dll/nco/sim.log create mode 100755 nco_dpi/codegen/dll/nco/simv create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/.daidir_complete create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/.normal_done create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/.vcs.timestamp create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/DPIFuncTaskList create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/binmap.sdb create mode 100755 nco_dpi/codegen/dll/nco/simv.daidir/build_db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/cgname.json create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/constraint.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/covg_defs create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/.version create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/AllModulesSkeletons.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/HsimSigOptDb.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/dumpcheck.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/dve_debug.db.gz create mode 100755 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/fsearch/.create_fsearch_db create mode 100755 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/fsearch/check_fsearch_db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/fsearch/fsearch.stat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/fsearch/idents_tapi.xml.gz create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/fsearch/idents_zCQ8p0.xml.gz create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/src_files_verilog create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/topmodules create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/debug_dump/vir.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/eblklvl.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/elabmoddb.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/external_functions create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/hslevel_callgraph.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/hslevel_level.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/hslevel_rtime_level.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/hsscan_cfg.dat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/nsparam.dat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/pcc.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/pcxpxmr.dat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/prof.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/rmapats.dat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/saifNetInfo.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/simv.kdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/stitch_nsparam.dat create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/tt.sdb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vc_hdrs.c create mode 100755 nco_dpi/codegen/dll/nco/simv.daidir/vcs_rebuild create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_master_hsim_elabout.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hil_stmts.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsdef.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_elab.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_fegate.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_lvl.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_merge.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_name.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_hsim_uds.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_midd.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_mnmn.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_partition.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_partitionDbg.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_tCEYNb create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_vcselabref.db create mode 100644 nco_dpi/codegen/dll/nco/simv.daidir/vcselab_misc_vpdnodenums create mode 100644 nco_dpi/codegen/dll/nco/tb.sv create mode 100644 nco_dpi/codegen/dll/nco/ucli.key create mode 100644 nco_dpi/codegen/dll/nco/vc_hdrs.h create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.11367cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.17502cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.28626cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.31344cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.35366cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.35859cryo1.conf create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/.diagnose.oneSearch create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/ToNetlist.log create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/compiler.log create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/exe.log create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas.log create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas.rc create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses.config create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses.png create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses.wave.0 create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_11367.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_17502.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_28626.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_31344.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_35366.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/novas_ones_cryo1_35859.log.result create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/pes.bat create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/turbo.log create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/verdi.cmd create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/verdi.cmd.bak create mode 100644 nco_dpi/codegen/dll/nco/verdiLog/verdi_perf_err.log create mode 100644 nco_dpi/nco.m diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/.gitignore b/hilbert_dpi/codegen/dll/hilbert_fir/.gitignore new file mode 100644 index 0000000..9c66351 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/.gitignore @@ -0,0 +1,22 @@ +*.asv +*.m~ +*.mex* +*.o +*.obj +*.dll +*.so +*.dylib +*.a +*.lib +*.exe +*.map +*.rsp +*.tmw +*.mat +sil/ +interface/_coder_*_info.* +coderassumptions/ +target/ +build/ +debug/ +*.slxc diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/Porting_DPIC.mk b/hilbert_dpi/codegen/dll/hilbert_fir/Porting_DPIC.mk new file mode 100644 index 0000000..e489e82 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/Porting_DPIC.mk @@ -0,0 +1,14 @@ +SRC=$(wildcard *.c) +OBJ=$(SRC:.c=.o) + +SHARE_LIB_NAME=DPI_Component.so + +all: $(SRC) $(SHARE_LIB_NAME) + @echo "### Successfully generated all binary outputs." + +$(SHARE_LIB_NAME): $(OBJ) + gcc -shared -lm $(OBJ) -o $@ + +.c.o: + gcc -c -fPIC -Wall -pedantic -Wno-long-long -fwrapv -O0 $< -o $@ + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb b/hilbert_dpi/codegen/dll/hilbert_fir/TB.fsdb new file mode 100644 index 0000000000000000000000000000000000000000..7f2113e7aa447b1987f83c175a25beb40d7d1a03 GIT binary patch literal 11790 zcmeHNcUV)&+Mm!uF9L!B5(NcB2mvHugESB&#T3ALgd`#>k_3XH3(`SU5LXdgb=_60 zt1FGucm@-|`k5Yqysz@*ZDq%n(`T#m=7}P{vLlY)=ND-nHN+TY0Q6!GZ zm*QMKajtH&W_r$YA>v$JT-~*Yv?!~iM3JHhQCK)1#|d8>6A>Z}hbDm(4vsC15Qanx zaZD#y7lNm=i}6ZIbwn4$0=nvJQCA@KhRfe-eBy|Hx|OrJZA z?A^v8{z&d$<%nR)^uLmQ=KDZ0YQyp<-#8IE8Zec^3@StE36Q4; z;}V7C-~+P%5I*-sb%c_EQWLFUEQ}%WUyQ)t`{(?q4I;uQbYeX{kYNtELK+Yr8529C z=jKdsadvSc5IkI*y|3_(dEF9q&h>;6uYB@nL*E z;xf~fh;DyI)-#qHLtqSnF$BgC_`i=ppfE}hjxf+eETS{P6+Ofn)@XEf!%<__iiJ_Q zRiY^L;A_UGldnH9oqeAlQXhYD4)lGUKrh(B7#%>VNaut;p! zfsrV(H~|QY&1BKo+{MGIVDZ@gzKc;`mqDX&5w1U-HUeTc6=5;iBRa>K&kTZ2EQdq# z8v!ndM5iGP+DKh=1O_5xCXYcSu}9R54jL*CzVN`&zA}#i2NR7!g>R6;9f3H9#)fYd z;^pfL6OR89WdmR{UEp>ASvZWRm{XjE{w#z-gYVOy!QqlV4{{!d1`D8nYVhZYy7V(S zf!(kgocGcOV|(}$TyJ#5M%@Z{IyWkO7Apcz@5$lpf6Wj9WH>I zbl_s50q!(nf&Cnyq6sN2hdDq^8xlG|K4`Z*cHgT9L1G@yBtsVeI;(Zsc|v#GT)uVaX;fSZR$6h+g*r$I%lblXMLR?eCzaJa_0Lw z3RX(Bllq$ddI&5pvRPMg-JVL6`Wd`TUS4Feu6EM+nxoO#bN76xc-)Z|Uxeyx$`I2(ycb`8NiEp%5)uw}*# z&q;^vE49p1j3wh3H4f%mC7z~jl8KDk3HG&nJaxj_ECb4@`?kJw(Q0o~38+cCplQa} z*5wfNZV^=TR!&>h%3hYPU0?I`f=bYn>GkEymSwFGJ3VWiwQPHLaN>d)RZ|`%RtG0r zNQ`%fPKc~=3eGmYV0ylF-J_)060^W3k&jZolvuy6RgE{kZi*q5f~OdGpFLK5AOG z8OLpD==A!zEbpT>(q<92^$jcQjZAe@6|*TZt10z>OlMQI=%dwM8Fruw(X`QVuq|fY zJ$2#8(Y3b|c4fW#R({Oxc4<$LTz*r&`N@OV_vON==XSmb>Oax!-=E_6;st(Be?w0O zEuL2jnevA{y}Ubjyo(lGYUY-{SkFyZ6tlel>75gb94BE$!R~bX;s<>@(@bA9!(!Lp zPWb7H(^c<1rQEK)&_4SGGvbstlbCSlbkFiT2TINjJUTaM%T3rQycQPBNyvWOkCx0! z@crd=^X}5#=?R>HD{}9gg!reTD@gb3Yu=phy>EjC81F>i_R+f?6OML=IiNbt;RAZP zr49*C-?+8-wKWtARE>!nKT{X^CVxI?-Rl{IZp>SC3;hm57qSMbc zlW65h)Pp2?_av%BiOzWmcD=;lgA5qRG`Gvtm@?f4nF?N}eOjg=lIg#ZVXS3Z2W0Aq zOs`p{>MheLmDPIQu-rbswmQv7?}yF$#44YFDsn^>X;~E|&en%)OAfUqv27_)1wIQ3 z$X^tYmK0Dn3VeJ8$+KN;bc&}~6x(2bAP1 z+E~9m1g5YI(^%#-mPs|saw==wVb(+;Yy4BzBvY1AE^7jhWqOBYHIrq0j%5+UGV5Yl z>opo?HJZ~6GmorJf3`Yl_v*B}t5Zr=XT0x7%tS0 zo|t5wTJB<={GE9^{QKEqo_51LrN}%(mYTQ7fyw zf=sQ>z86@yG@|fQ%9%@-E~&oE#-{gHcy7vjxWD__%j+-m`a54X-&_!^GkKXQ^Sh$k z`NzpOaK^5cmg5V#X-6BimhQFRk#;C|`a$<)4Oiw_MC4d`=YKJBKxDs~smYnPuoH`Qie%eDo_woN~#ZN^P;JaI_liweELcmBH5XKpMR zi@%*goLGj{yP=uYx^-G-iuczCCwzTKeaS&nmBxuliXnhgqii=k>j&2>`-(=b{WsK? z-_UFA$ez@ZxuPRW^LLVM&lK(cMr&2WEObPC9uVrLhX@*cf_@tTV@%N6X_OKiP|gjg zl-8F8)K^5n#iYJ6ZblioD#U0!Ukk1uyZP!*b|mHor`-uoITxJKrTAaJ)|7mzDg9Mb z(*CBjUz$>WYRaIR7g}S*h8bdWKe0)z*wRis?x=WTn0S1Ts1MS)}XHn z-2EW$lUp%0@G$E%vI6-=FU;l}d$XyY+3u|lf+xCF3y1p;o zw7B&0ZPB!PO4`9=$Gg{9@dfe|FOl~ma{tn*!+Hs)C_kP=a=Whf(2s1QHEo#c(%1i0 z%oK9L?KegTjUQj1)%tx$>Q7tC0|kXoopqN?9ssQu$ZogcaJ;`^S0LZAOxAwg{niw! zj`mExgXt2grYZiutAi7HZ?WFg%WQ`iJl$f>?!4n?gCFqj7Ta=n@0z;wey#vdJ#fCQ zd7!P{>)FNjxa%8Umwvd|+`j($Pu<_KBf}YWI>t9A@2Rt$6ynOszv4dWDPdB())BXq zJ@ug;(fL<**7N7-#BMpdAw6tEcKhPGDJxoNE1n3)ztdhAn|m*I|KS;YFHz?-k<7y} zHY4}sljV=w;^(cLY5ms7`kmH+;`DQIHSKZbd2!YE;wsOd`O(;$){W#kyiX7RTV;dP&cUWb^uN;EaEZgHb+>#$E^tnR6b)jJ=igYfq z_fNcCF#b}}>@Qh+XT7|<;w63gi$y+@dkgWsMc!TEWf=DYqb#qS6wmx=?uRE_^GY3W zc_rlRuj1^M`AZ}we$l$8!YNC$pL((_8??^0Q5jDJj8^W0=!rCGi*(k_Ot+2zvwz*p za(jM#@xE(bzkh4@QwQDp`eA&(=k|9O6Ll_b4t0JuuE#BL;evapG|r#v~0V$y>~+* z_Hw780cXJ+aHRzt%elPj?Eb!;3!djE)O$aZmtOP6?~K>u4-n+}310Qy-aCJ(QAiN| zcc=3&&r2W7ynf3h|JF$Hqgil5T1t2iR~&ErA$-V>}bZ8u@6#dxh}RKYAmf3~sw!%ga=_0E_%`cz8=3DC_0nr|D*D?hq@x-WpL zp-oZ*HDIBr4S*N0LUmX&8Zw}Co6R7-9nu!l2I=*X#(=MeZd=WROyy0>d{iL}Ou-96 zq#^kAqNp|a4I4ME4v7rIuNFk$qu1f1gdqY^j1(aS`5|mNesy?6s4z;32*lxtE1oYB z2*ZStctJ$Ow28oIRd^IWI(+RqXF*7~AUp~4V!KVnS; z09uGJG9)xY2%?11LMh-!Nz1 zO$C;yf>EglGYc^Vx+;iNxK`|1htZZGD>bo-97r4-gP(5D{ey8hI6AQ9=4#$&bIjlizQ2r2=Po@YntYJFh#w`5s z;OdSixZqtqXAx$?E4YdYVh7cNVL@nU0~(Nu#hhwfys*;8uuOLLv02x=M&haS&Kk6; zGsiw2#820Z*9!NTww17hezK{-W)r=ix#&4o+;YBlhVE=ml;u(V!~GROqCN5KH>Uz$ z9^J7e=HS~S#owJibFbq2xyv@3Crg`36xr5(0(UFK3Cj0_Gk{Sk4#ihr^z8dZJI{HjF#qNPJ|qXM6pLTf~-pp%}vYDLnZ^p?@@k0p)#! zQGF<{ zk9pDb_*Z7LR{d*F$n3b>y#1ZQy~+N?$1|Us=dFrQvTIa1EjQh%Z>OvbEtd=<`u@-Z tO(h@YxxlE*D^tiq=Nr(*fRzA)5de&yDlk?B78IamCjhfCs1*J@{|!2fFiije literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/_clang-format b/hilbert_dpi/codegen/dll/hilbert_fir/_clang-format new file mode 100644 index 0000000..55a16f7 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/_clang-format @@ -0,0 +1,27 @@ +BasedOnStyle: LLVM +Language: Cpp +IndentWidth: 2 +ColumnLimit: 80 +AllowShortBlocksOnASingleLine: false +AllowShortCaseLabelsOnASingleLine: false +AllowShortFunctionsOnASingleLine: None +AllowShortIfStatementsOnASingleLine: false +AllowShortLoopsOnASingleLine: false +MaxEmptyLinesToKeep: 1 +NamespaceIndentation: None +CommentPragmas: '^(Return Type|Arguments)\s*' +DeriveLineEnding : true +BreakBeforeBraces: Custom +BraceWrapping: + AfterClass: false + AfterControlStatement: false + AfterEnum: true + AfterFunction: true + AfterNamespace: false + AfterStruct: false + AfterUnion: false + AfterExternBlock: false + BeforeCatch: false + BeforeElse: false + SplitEmptyFunction: true + SplitEmptyRecord: true diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/codedescriptor.dmr b/hilbert_dpi/codegen/dll/hilbert_fir/codedescriptor.dmr new file mode 100644 index 0000000000000000000000000000000000000000..ccd9b5522a0688cd7ceccd6d0638d3ec4670693e GIT binary patch literal 537600 zcmeEv2VfP)ng7f#LJ}YwFxUbcj4;?%Y;4mZHnt@YAcGL7fU$$Ikc2Fwh9oe!W>s*% z#EH|ZbBS{oUvf?^PQ9FS>G7prdb!j~yOc|uo?QO^|Gu3!+Wy|`dvAsGG(yaK+MTw) znVql9_kGj2XJ_YN`;x=G{oO5tOIEXKjB|GFk|m6>BF2m|e5QWP7JQi||H7x?`X2SQ zh+WorGb&=-{0pTg&A*s`Fn?qI!u*N(1M|PkubW>oKWBd0{FwQ)`2q7O^GWjw^KtVr z^R?zH%$JxCoA;T+=H2E=bI|NHZ#CP^o6Q@|z2+Wsr@76nHaD3Y%+=;)W~I5zJl|Ys z&NpY9GtKEHH~!D~qw%cqOXH`;4~_2_-!Q&xeBOA*__*=3@qXi9jJF$aH6AlwW4z3G zk#WB2+2E(rwmmq&3zqq}5g((rc_8NHnfxb*7K2`Z*4$&p0yt70&6YOv#r%g=UFR} z&b6*UdZu+b(plCDq@~s+NT*wsNKNY^q}*DL$9T?KhV*aN1xWvDEk*h#s{-lot@DvS zYb`?hYwKL3zp@r0{e`sv>Hk>eNPlXbgY-w%*+_qA%|rTq>nx<-vF0NEmNgscH>@*} ze$_ey>6ffoNWWmsK>As$1nD!@bfllOXd-^hqAB=c3kmzs-AF!oCzAKyf#kinBYEm1 zl6Rdz^3TVSynPVK69Y*8u^-9fN0Gd_7s;D?ki4-Q$?Ln2y!Hr^SKo@{6`e?4)`8@u zhmpLv9m&J3NFJnj;l7)ZoVp3g@Bt*&jY#gf0m+^Fk(|URv*Q?EHh|G(eS45}*CV+V zgUUKErmPJ^%33g%>?RB)yAdPFuE#*KW{eo7KK)rCkdqtSTY5+oNA{!0n?#f0@j0{QGYNahltXUs%0lYj(_{6_1{GJNIc%Nc(4 zk48WvFd-1Qg%>YqZ|9t^W|y%wY!$l#|JSgU_U8uHgl}u{_c~nNh#V`~diLR@ zk31`pb0gcpiVT~;bMt=~e)W$=KqFAF2+ZRprE~0`t*j19NWJ}E(Eq@gZ!n50_Uz%O<`1$Kc8GPccD4m8O9N}PMc%!v zmTktjdbS%YO)Wlm;ZD4t?AplO3v)BNS;MN(u^P4nX%(wxJMHh83ol_8qs$UKY8AVl zZ9}PD_}^gHCLePN9(b2sJKZPwUzBJ@D+I-N;Yip;)<0k`RH>01R`V`QI?PseJ1r|&nb8HM-rtzH$QWdQGYtGWb$*77qT-58oA_{)KUox8fVkuaHm%0IYXkItiFX#?*Ykz9K(u+dZ z#j+AUqiBiRl4`|218$jKTw#@N;;fVPur@5>C)fZxisihM4dSnZSlo}{Td(W>!ALX? zV(k)dZ1Ay5F|lmQVO=<_xMJfbZtZuPl!XNC*k#ZDnz5?9R~uQe7j$|gT1BtN>l$9s zlGLjnezy_jF2-1Uo2g{h&89s+hH8WJ!<*NcAO7ppyj=~F8h^8*Gej=rov=4I{hW{` zC62+BX*CoDmH0=RRc`(t!>|6)2xtVR5CW!IRzm#0X?}y5zcqi15B;MN&E;|>&tM-j zhhr%yGUt?5Gokb?d`R-MJqFH*RO)?y&jwQw1uB3 zuY0?`2TGVhwgL*76;RZ)*y@=TNIO|K6gBDZ!fp#cTkKLT&?NQRs-7wM*I=#5KqN|f7)vnb8c9_S!x(p?dWUoA3V9FWniGUwX5{>deYi3(*x%XHvGnR0OXSa0m+$WHwX1Yrl-JTU zgFT(+MqW76DCVW+eJ`A4aK@Gl979c;yU@2yOIv%}+WRkSYaeLs@9Z1w?Z2!EJ!ora z??8{yot8sg?Yrz=ZeC_*UFKw6M*brGEnUl2uD(L{+^*HrafLoQQ0#5#I@T`h>ORrZ z-`{f5Q(FLrt1j^MtFJZY#{3#G#J%;hl-rgg}`kLr*ssYKpPRdwxyE!}{uE9ED%R~A{dbV;|o zH+HdM)fFo@96ETMy3u}OuBBRkUzT~Hv2 zuGA}r1oH_oL|!MLFLgbn(^4fHDlfIglHrw6WA5&<5KCme?mK1PhY5-$VTDv?gk;y{ z2sxb(A5o`M>BiDus}!1+*j8a=JVTB)>}v%mhzkAx&xQW~=W&Uj&aV;B2uuARLz!3WXXPNozBzX$mO^tv?AO{3y8AZ(GCWKWJVj;c%v(0On`8)Hc z=C{nxn;$jbYyOk@M)Ohl-rs5VnQi9v@V37;hX!>~jX=R7Fk^NRH+kuzGru!r%s3O@ zOF4ZnopA=fm)PIWol$0AUwGzwA1azL%f3$Er_Y!vuRkzr#teD=f%9gR%I~A)GfL$5 z;YFpzl)n^Z2WL!|mj_E{6v^)c=gycWzYoouY2rKQ^QXP^hsN165WE6?qd(4>!R<`+ zeWq>y$KJt=@A9wX8t-{t)#4t+&G!S8831*LdJ9F&Y8TL0~2Fk|1&63|9m^t=shWs{KD!&bv$Zvzi zW8bFBZv#d0+t4)o8xebR?3+=7UX{`{(*MndJFNM8MC1Ay-u`!(?>E0_zR7$!;%+@) z9ya^U&E_tX!W!uxjetfVhyZc!g6p463_TYDz?shXbI%apZ2_Rn`96Kt*!Kr!&2+w> zXA1_LBmZc5>Dcw*MJ1vs5&(+FE)SMYANxLVZqeBHp?TB9cY4jwHl6S17*q|nUw@nb z_eAvzKA*m#5zq(}C;~$M?`OuB_`6v@yEW0r6f*oZb0EW)HS^&`nMG5IM?nHD?eLRP zWE1{Yk>tb5m3w736?s0any}`h1lX|hQIcQ`+5WuQ#T6%;`A~PR0Q!a9u>PKtZ`hIr z3o`%n&MdBI#{5bn^M^gC3K;XfV5F1(! zBK`aW5V_(@q~!BRyWC-NbtaKamOalamSrQ_%c{bc`Ot#6UpH|1rSQSv3L$hJcX&H#6fYemjhb>A1ld zKSKInb53!^UHkd4%MYIR>>Zo@YWUMrU?bYW-(_3si{NEVsnBJP%q?WGO!=31C9!C6 zKT}0c!(JgZQ@JQPE}ekywbX{ly5a2Niv57C$}h5JYF!Pa^SvMi#nnvBL_K6~!m1aB zU|n?F#!k$a=-^j?Zu|V=ikcceQtF3J)-KK|k!Ozxz{2k>$^=t*F#?BT^vk<6;r$Pj zK754}mn*C+&7>uEd^K^lL%LXJ;&g{}Iq0mD8*E7FT_Ne8ayP(FMAQEm%bm`u5hySO zwESOS1F9cKBM<`tP5)znrL$@T3JifV^A-pv_G3|- z;C>lao=oWo_Tj>^%y)rT`cu~=$O@c>(rXZ8{wSe3mgZn?xo~O^oV*$ZM|uz{ydY%O z6l8iBzaSj_aA7&6NKFTJ28HTN?E^WbhQKjo$HZNlUJEreccCI|beL@~j73`9c-HapC~Jy?uSNT{1MRn|M+9BThs_l6a+N=pC}`t`=JpSe+0z- z|9hG7GyXaDUiQ!9--Ea|KqMdI=@Dsa1hY^f8mU!eiDs9Tm&@zkBgYj zuMsF51cdzm_ssYf{}}uG3He+@iy*fU;Jd;pMRsw@;C5~1P7i*pSmwIKj%z~{` zG)n3^oucjeF-6-}b_-5|@6V_Vk@+t1!lf?S$_gAAI=yHs^GAUkN3zVf0x<#n z$`ILV_^gq4E4?6Oo-?vw)WeM5jGoze)53j#v^e~cO5=O1Q|u~&^tql%4)o&NJKD6TkKmnION zUz!u5`f9c+qj>A&yuvHnsSCJH6{;exQzA+(j3wkQUK&d|La>C3xlX?>_kxjG&~=JN zJ<|Azx`BQF^D45MKcq!+hBO^ylyij_vbgid+gN%Pi2$2QM1dV={%HPRaWT@_Gy(;P zfad=Ta8UIlX#^Az(DYvsD4k6sP=E-O8Q+Kh|6`1#f8$3JQvP3_mHf7jtx=TUDCg?j zvzqHam-hQ}@q_H;E&Q2hFdAjoix{-s-+53^6%qaDHb8qmiWvnIe>JnHerPuz9l0}jo*D5jHy z)CD+08xEPwx7G`m`b?4)I1QzrLo$C9yn6pXq2)Xw z0hZ3H5hySOg#7;sW_*{wkG*2TJlxRYON%S^?BT5;%oy>ZKLDy5b1B(CB+rT08kN0gDOfA`6Mci5$?QKdLdI6Yu%Noini`D zQE0h|pXMjli<|EHTzGxK}qSIj?{KR2H?pPAqfuDhoZ&Mq+SB#=U=c-fO)w zoVsA^u0&O^b(e{f|KbU@mnjOi?v}$hu-!SX@q&_Buyq%Xda&^mZ0TtG>v6z6DM(ht zoJUf=Cry$wtl^Nk^KSA&raq6{l{gKi-}`r$iGn-MJhI<^^Bc_kt@&eo=pT)MMxYQ7 zm}#^Ab08iJZeTNQ=6?>@0Q$q`{_Q_j+VMY4^LxzZ{l8ZT53Zj~JhKS=WbyH2z9|Io5kLZq$CwC8`!mi7l(^j@tehgUw! zEYi9QN6A>@C(@EUNn-6b{BN)Y+m$f|TlqZRD0AV0tvl~#FL3IDt-BIc!PZ?S3iLRF z?a;C-V$LRlCo?EjUQpC$Q@V(Kr*Agt{r{=7+UsZ42zU|D{J$3u{g*~yDj}fh|5O@n z{j3@RF9K5jC+Xk#1{?O?9k0JqJO689N^H?K|5BQy9NV&$*r;%nD0=!NwxMOKvy}f; zEdM2Nl()tUTzvW8+hF>QZFj>_pvRN{*JcTm%KpFXE#=*wD@@)7)nH0DH{-yh`Ty}+ z<8(V3fr*EJ=Km+&nCKp91jY*iP5;LWY2A)SVB#SlPsot!&zmEGKpsY!0pQQ+gqZ9|LKDaQSG9ya~v_6An#1w(ynJNl7MrEeb5M;9t1T1e|m7&t!e}&0s@-; zPlQp>eb5M;9t4E^-^h$F@)xkiy!2sc`jy2Mch&KsnqX+U&1@N~W4(63x-J;BcOiOT zH~#m)hkqGc3CX>lRkQ0@GyYM`|4jLJdnK`E*vi+kJt$m*o%^s2>r55;J0NBHOyz`3 z=k7XO(EFcn%jhF@jzdGHdNSRw_dc*&M$c5#=^`QP7dDr$-Ec3c#pqPwb3g9y0EqjU zP)fKTYzanar#((yg@qWou)4kZsGWMW7L{`|?(Vc(Xb9;i)wLOS8$-(j^h-C!JUzlI z|2zH)Dw=>g?{I|8IF)LgM&*1{DHWwhh=%)8K<5UxlF|FWnEjT)`~NfMyUbUbcbjeI zb>z&u{ zC|{Vmv*NR58)hEe^`fVaeBpaf?x}xUNBM%(o%J94)QpWws@Hw62V%<}oEJMSs|*DB{iQG4wzzuN`@Y-1xO{Hv&d(ot z_Y+r-)Gm4QyW5&y|AiG_y0Uyu>dq6(AN}0FeY$$|(IczAQ@!ye4=gU9ow{@3o3AOG zwrNMjJAU?|=gzw6+Qy>tGi8~5_aFR8<&J-N#mXN)`_a)oYYvp3k-GDyuYCQ;oX=lZ z|E`Dk+_L3ApWSn1d0FbtQ{VsfnP+``=gtS;b>uxytULbb!tzd&%qn^lxj{o>@L4b!XGx?!WJQ2e&jo(tYtG zEgPFR94IeM-MPHul{>!JRsFSxdjIuPKj?VFOLvr)r0%@y^KaVL)>!qu$9J{uJ$m>hYvmY^q=cW-oN_Pg-`wY18=;toTu(I zt@zdKCrh_%d#L%vj~CB<=bbx<|6k1E|5s`b8}H=bHMj8J8LQ39`Ge*!_#4ev8VAg` z8;i|_#_LlP>yKiy3s$ zzfhFYMgJO6LKpqZLor?SuME@aqJL2+qKp3ZU>aTYF9jxD^sfTOS>~ms{sq8(|IN#p z`4>b0`i1!;!~p%8`33XS=10sAn(sE>Za!|l0bIc&<^$%id8c^{Z+?e)v$@}FFn5?+ z%uVJx6xKf)fvJJO^dc~he2Ms2BtFg)ALojXh2mp@_$U`2=ZKH9#m9W{F;9G)B|heg zk2&IFw)i+xe4HUZ%EZSk@i9|;APgvVsZ@NFh>v3NFkG#n@TIJ<<@e3%5|6>$+g|=Fw)zhDd>mxU>WoW-S~D8pDoZFv|tCf zmmR~ER^(U)*|G)Sjv(#8-?h*#9JWiXw)F}5s;ki}`}sxjd^Ix_#CDQccVplVW5~J@ z+@TA{I4-fH9#AkpdH1+I`~$e(iy?LHL+D?BRY`?aR>z0uXQOi>p|}nmsJFEWO_(wD zP$Xs2r|j{Lf0*o_sR|+Y2b-2!fK271H30Q&A0B}sM!3~2;qt#>zMMh&fB9*C`*rgg z0gZr-z?$<*Z50)-T>g)D_kX7J*ma+Ieg6Y*UT}Q>Ps{K0Cu<6Zz_|Fqt9ydwJPK0tL+sM|V#1;5P|B3%M%&#&;{{E^4 zUn8IqCKa!g<%vW2vGm*m^uEtO|W2lFZh4bI}>Z^ZyHlIV>N0K{ImINe&2sxn?^t*F!2x&>c8{F$6~?%BmVbq5d>WSXaqC@1%<#|vyyu?2ItQ* z7jdZ$Nb&z4U`8|h0DIRYd34)BaJak*OlOT%!-to9?dw{hAEpy=`|XW_c5Du`;(I6S zg9fD6zS;=GGSZ8ZA5<0Y(zd|>{%yr3LJ$5LOzD^hT$=}LZG~`Bb?^5wpZ?8+q?*ls zb0M7#-tfiOVrce|Jg`4$dBDA8kWXn~pLal_wEOwv|J@Ig6!-7nV-fa$-24mn|0l`2 zue+%c&)2h)H|Bhoqk!Tkb>y}{?oTLR6 zxrzkI^fkR8*J+^A-6V!r@c)|rXS?$0avFijjezF=C-?a2-fIMMLBQt!`E+L7hUEX{ zQqv?YD}oL7)PU8jv?}>|KIQMp?@?28i9gEVA`3``5%}z!%mASCHilg-(}|S&HurN z{?Q0%1PTX%QUqDy9FD#Rib@gfM1Do6lGInB|6j$}Dx*^Ev4;n@f_0l?&B;RB-vm8> z9~81}(CoK^iIdzh`68+D$LVUgIad}gUFAu#ak5=MdnQ@9%_)PXO!>9|-X2>EPkQe< zK-_H`Jdrak8L&9Vh|8MmF&r)ID9oPPJwxKpU&)4>wqe-ItTH}Y7MEimObz=0H16^l zDB9a9#X*lQe<;@>kFGk&0Vi&}-QMf!gb!aQzW0Z0o21)NX~(d&!A-d*M)b&I@$c){c)1)`IohWNqT7x^rRus=)DgfYTw)pLmjXSl{~t z0I$h{B~+`oJ)f+iT9c=rg_PX3pYfCYe;vq&JCu%dy#>U=39%!uHzRi^hAwz#3x?C} zXyFgiBWq~F(3Ae2!co+N`8|wM^xfY>vj5qRX_uY-kDILzjupusA{JC;`yZ8>T9chx zqPs~1)jldK_fat$zeuSC7GQW-w{i6}k2uvyj=AAPgI)hSvW~VD2X3@N} zQ&*3=ug;!{tBa6V#?7?-e+>3(W^6|C(@FK;FV%i^j-i3D{V?`u=s^2Svu;z;QtNtceedc+!spc*9d3?CLaRR%3%4k zaN2ZAZT%nF|NT*0|4qJ;)IHV+#6h6AtO$lbr3Y3X4LZ%9;d3p$t&c7 zEp=dG$-HGKr#+5p?Bz2!Mj(ygy86MMQk2kTFkxSrg~d{^j-Gt7rNQcxWJ=u@J;Zd`OII`oX`1?^(1AKh%Zor_Cz0h!NZoGW&SoqZww)mEfBRLI|4B{$l>CgAT_!~%a zD5`BCG2H-Y`ajWDWZfT)!1y6x(|_&%Gk$>UmNWvV8G(TR4|@+Y-j3uQr@3P)%?ntm9Zdhd)?-5>r6y z|5cV8okt^3s0e8Jzfeb3KbJ-zGXg^Yzl`y>g9+Xmo_~1yKCoRB;AMDEE=pSeF|5RT zW#c0oUeE3MWWU0jNP-2EJn(Mtzr8jeEV)cSw_M3acJIYNkmbv%yK)-{3K~t0p)>^9 zc-C&k=7_t76Zr+-TX~YOSK~p*kZK8zKd50<@T`>sA`eKD;z%8ahobfeL!Zh(OSE6q z5qiH1W$E0DD%5Z*M2`UsvKUN}J40PsHKqCF|vOY%<-+3&5kAVoEb z5UlBZg*E>lzT)Yt8iA>S0MUQb_$D)7X z%Q1*>m~`S!KPF^x1)RL|x zil5&PE`nMV(e$%vNAUl!|Cuw{?SF>0>`(0pyFH2+^9#7;kkMj$H$wEjOU*mNO{KtUit z?>~p}4}Ldg@jynOZE|nqb}$%4jp+uPLIP6{JA#1b&Z)G-HD=?Ao4HQ*!hAB2ncGW} zl@tyJq*j)piTpbl(afeexhva}?HDU+y$>Pi)!S^e*;coZoVhH#1$N&6*(7)SAOHNy z#`iZ^$KCmyPQmJvm_C~S&tlEfMKl5hgMdx{u?dXd=Vg2-+xGU}9XDbThM%4BP);G9 zGZW1C!QC>~sfqp)wi~+5$wKs{9!vC>bY1b723bDHaL`;K?R;j&L)PK<;%ozoqZDZ(UE*nC%Sd(ZCqNAVb%)HfE8J>mJ!bb8bd4;u* zjO;baUjSrb&S%_vAU9;YvAz?oglaa9@3m0BxNBfT`9;)QdlG0$sz^`LXYYkc`#Pe0 z!V)f81tQMz5b=9^Fi+c2Lp0?Txf?)NZ_AjAc!qR!iTh;xw-q^QKcD*Rr_=K3C`Mhk zo$n+p6$*0iy|$~G`t|Hr?~@H-1TfuE|dHd&jD+>U724UUJnn8PWKMrui3F5UO{nrFu}x;2TAqtsQD=`LY1}9n*6OT$ zwSsl9(R_|iu>K^+A`?F?`Eo9V2ZH#CY);_{tA*X4PwEub!s(<>;0?+SVg!iC z%kA6*HNGhR4z60gQ=P|?e1@9?th3*UAjja%(*j=3$)o9i++|GX*9a660!07y`M-rU zqWW1h0_q6Z`ah$e;rIFKr9@6+gB^p@IF(Zj&PG-X9my7GnAT)z=G?(JKW zocm5lG~~@m)(37gez9}yaBL3eCnCnQE0?H-Hb}HV)?n0zYFlvvgl5u^!NGWD3nUQy zGjfY26+C8uW>7Y!{82Tr2l6?WqFPCER%IRyP5MSB}dG<&?r66zJNk~AFlg+HL8rsnYyvb{7=x8o@H$(Om+Eqe4 znZix4!Mo)#c z!dhXRiX9ceA8|#*c4>v)vJ<}BLW4;>nd;d<>h-ZlbLG&~+8Vv+FnCmonQ-5NxIF3> zc=hyPhn^z4w?g+#5fdCfRrRo*Otr9=(yg zjSj^JXk~lxO+*(uWba5t*Bf0XI%a_M!%=O8Y%|*M1SgdHCE^E>)G&aU0DbsJI{}1I z8@z~4;%^=QC*BIDb87?&9RWfA7c#z(&n@(4RenBOuQ%G-0UAym&V8M6X}B7fFqt_V z>U)wDz8ci44OA;=`4~K@gLb`%a)+P37t9|;*`qzJYe7?qOQn;8C^}GEB-cSXMI@gp z@Dz0F#(;h07|J1trF%vEAdS9_AQ z%pJ9@3dqpvOtL1O@YEMcNxbrPwsp{1u`;e)7bsH~+8jV+i6&gV0n~|n0;1>%Q9O5P z!Y`@}?D|*V0)C0?`bR3_&m4+XLGkRwDYs<7Ms!o^J=QA6ixE*T($~aZp8HJ7+}|W; zl-wnCYlosnu^wE@VPHwx5Pu#`{|j(Q*N>zTP)0!S|0zSIGin414gsP6+s^oQc3$w| zj2EZkQ^)r63G*2hvViJgUg>IJugR5zOILd~oZINy&XiT!eKqm9U*dJO+*HH7aq+U1 zdEEP_+1BBee3Fx!zAOmDl8{?zKVUna8F=& zA7oznsnQdo{1i1stv6ec_gIP=tJLoG(p4!TX?s&ZmluZ4ZuGk!JC~id4lr0o)Bgfm z^Yo)=1Y#o~_Wy2R#totJ|J5lQ-`G~HRaghfl6SzCVdz9cI^6pZRwH3=Nvk&P466EF zsn^HekgIM$y{&9C1*()*N_RmE7(pG00v`sNWMi`1Ml~#6e2py`cH3OcAfnHcT`@_N z{%5qX;|S zJGw!qR>02pe$C~Ca%f3XtI5sfNSRyM7#|0ROH{Vsj=n{j`DlKNcqfrp((7#~N7{qF zG^JE%y6lsRjgY4QY72$Vr4cAh1O)x>XS|=4d!La1SXgt8^0>P5Caiq~t)NX?1W8N9$YEy^Y+n&M%kCzB%5)q+OwY(3zT1xoK~p z8yo~_+IrX#SbKZDj)G4p=zocMA2WYr{=oc_`7!e;^KtVPxS)SD0vdsFL!j)u8H|^d za9&nYdS%gbWeZ$?n$MNZb^VFEXSn{v-C5p0OI&{oHwxIMxy^s5JyxfQA8*@&AUZ2?(U2#=>ArIsxbe?fUD9kl(U z!`qQPB2J(K=j&+wf1xir`uQ{h@ev^T-(a^hqX9{O{PJ0qu<7G)^HI>pwboi=BzD|f zuRKYsu80NIU`sqbSWksT^fK5NsQMektCPJozcO*AXGl^qcBD*!S~T^cUJ5Ej_8e4> z{E6N61lae#q%XCfG8{WHoOcXrFd<1NPN&$DriA>Z$p&+s@+n{H^|80)cRbVeJ;|{o zk6^M0>14-|x_2VTzvPg8&26PY@4r6(Gpkih7t#n!dIa?QKk0{1KY&IcYXt25KjS=x z-wV@K!v9cVRasTWNK0;eR&IaHaQn!0%I5%jxjVI*+1v8V=c%=tB*&-Dy~ndT0Vm(m z4^Do$wcHqq?f>l^8;Wb!49k`_n~NtKW8&hov)_%Xi~U1>M>eXRB*#+jMLHb1)ceKb z>t!ivTjaF@cWT%6Kk3#yeNQ7$=m^;KpFhs<8xsG6KTu(9v^E;UIaih2OPE2sO^6XeeKrIrxC~%0YU%2&iL2a;;_2-2P&-n)_!AnV_do;)*m`q z#l2ejZ4#q&0PA5Lu?%IUm&7vLu3`XJ$nwECB`DWc*gJCN5OVE7LL9^LL2wMjyNx!- z9XYS;o|)%S8>zUp76$Josd%(x@9g|aZ*E6Em!&hw@iJcxc1CO9eMGyav?K1EI6!<7 z#jp`pQPKul%l~0(p1!6Lm?{Vm{Woy*AO8iCE0msESnsSn4*Jq;H5pVHcRdQWQi}(Tf(a0?^cgg zmU71lAZ=5a4>_!T25u{@7~(_R7>;e@l|A1cF6XURKJP1umf1UVpDW}Kn2qi`8jmFA zmDc}dSm^X^jX*&op!xrT9$x)$8iBM3(EHDM6XQ*MXWESVrV0Wg@<-)KNJn<$kFvPZ zQIM;5^ZX>`zi-}7M-^dp2dg2u{+XL)0oCw?v=|H z>2$6Ei~+^*pgnd<>7*@+{YkMudLmC_G$z+?sXVUz?%1f>DXaous0h|7tFJbuIp5rsxw2v|K$qH+cwa9oV_L*D372DCd z5#>{jmM3)dd#P03B9o!?mK@TVV27&XxOUwT`u6nw- zMqm;nVAFs0Bx6tVt0%)_oT|H{xZ>C`oZ2Cx{^{9zPfqF{gpkA^Xy%_M| zOs$3Q`qKMvnr~+2_skFDL;q+5Gy)S0fraOmK}TP<$c_#^i|?4$h6RDhU$Obz;!^wG z^09lJ>>w5vasN!?xy9Uf|ETN!jFRVU`p?T5enb8LjAa$p9BYnoDz+@*#HFE?R<1xq zeO0~v)78NKHCI}kuJ$EtwEa#Ty3fC~`HiZF{adcIF>_y& zq>e$Fh8RGNcL90g#qN!Lo=7+)oGiT9#kMu`b>Jasx5CRWEP-6yfNK;Jr-ALq{T=Xt zS3P5$N;P43y$aUSUW~$Mt=|%W@{kN4zodv~E_O)z0_9cOuE7)U1t(b(!dH0vL(w;A z_krSp`_a?%KkbUAZ)yYz908*L+W)V>2U$O!Mj!$KoB!vpgZ&SG9e*?;lkc+mxs-*^ z{?o9Um!W{c|nrHoZGLmE5mR)3(wG1+bkZ_{k z*U9{z(TD~mZE20=-+ztHS%s1A#CS*BjWuCMwg)-HTjH0(WQ+TNc0a7V2e4D#V!xTr z+!rOI`;fV8RI5RadL#NF_pzfa+vJ--8fxzzM^rmxg-+*U`p?;{19qgz_Fs?In{T$y z13PK2WA67%zC#kvZOG9J%*F1xpWa0EllK0FKXs5sMU_#tRj9&~(z|~Wc8G*A?a~io z%#YbJdgk^jZL*NhMDzdoL{rzL5tv*E==XnejhF7NMj)RE(EHE%T87_C*#%KG3vm>d zf?tMcLXNG&vA3YMg3UXDjg}MmBsWMhM`(fAAuN@aA^PY_MZ*}Cn%Kv46+6?lGP=#; z0D{irxUV#>j8+YPuN{wl1YEwfLyhL9379Jsfyt(3_R(B{%BIyMW%PR6&RF=`kYv%J zVDC%*zkT+2(4L%ES|fX9@;Hj({mIA2Tf#vkv!$_L%8Zh?(oM&f$i8KgOZ5I<)QYFC zYXqhO0-FDy3Ztx_Q6mtJ0KNYPpUe1M<0N}sc%IXFRZ1TGOJKO|qiFZUWw^;mss{{S zH`ugZNFMSpQeBf%6tUQ@&;moPmZ7B_TRIa`SrW`T!i}1i37E;lVmS7YMtitXmsKMq zWvYdJB6ry+$`eoYGYM$r?nfhbD{DPofWh4(&HtaywN1CJ5jfolX#W3nkAQApBXIf< zu=#&zn2kk9E=t#rlu25rH9f_Nj~2z{#NEaY;Rk>|${6263mi|CTLD$Xn*Zdf%YOZ3ztBfmKc7Y*8Uexo?`1|UoH^>E^QqoRNeR7H2^dG)aV54B z107dI#`MxkNE})oB`>JD48^ULeJ*#VG2U||$uh=~AsQhw4B{UJE^?#+DPe32y$e}k zwN;!N^5LWLWd%1>-F76wer(j7fK85!Wg}t@lcb;;Kgv7gnQLXA$?uS7ZZXLrrx?rw z_2X<{=X1MRX>wPKn(%G|0D3%#RgATVz}8V1$$R! zl^LV4548$AfR-3JRj2dl^6c~ZVA)7BPP%WmMbJhtOUVVEq7YBrU~cKy2?{bzs#-eW zBHD%6Um`q=HYwS|jlPAVJ*WU4zQdYpN3poU|-C2a^%u_ zlG7)=UqN9ld@4BkH2u%=Kl+Qg!S`j1fmhJ`G0;PGc0}~Ulg5hs@@U% z8AJQ5SzwaMSbgYZeC4(XqD0b#H&hNW!m7sVGT3ZXE$qv=GP{{tNP?lQWJ7mYGXX7~ zcQ?E-KD06tbT}-kF6AU(Qq-R#wg?y;q?L^QXYTN3Y9k4N<+jakdpn2rP$*`ZjJ2fs z|EapJ>F3r65CTO1P4i!w`3v*Q_|QKZ0gb>ULE!8&OBr8YMn4DK$9|nX!@2H0?rUx_ zt}hb#W#Ap5|G$ax|6n(<=KMW)if`_;&H!^qe!Ii5y#*vc+K;F~WDP_fynW!Hg(1iQ z{B}3SFd7#;c>227*K%j_RN6^`(Zc~DE3GnsU1Sv*r<&t((vA~=h%`#zx}2hI?lCG# zY=|kDTW!NQ z8QF;3Kqx8@MRRsE`tJPX@*&R;3(u|j|NO0Zx+aalH~|0my==^kqY@`V7s|C}FT z_+1)RC$9xtNtQoD-ErAUhli&y^Az&D&*t0JO}HgL?Jv*1nJar4-M1uI%t|&o$2t>0 z+9&wkl|7KuZ3j2DW@em6QLda_Q|nb0y?|5AJJLGB#kP$o$a%YvW{cS=)4u@ z>|_6l1-tDQ$mfA}BgBab)hIQH=V-RM`QRD8PXDNP;bqBQNH}+U-NrTlAGPM`>l%Tn zfPhW^*@qZ@-<{!k&99}{fQMtpyp}6uKl79E&7fhesr57Z93pRd^i!x8|8BiCJJqLG z$F^Pd);X0~6G=gwv7y~`3LQe{^>`_U%o~g)C+{?aRuNSsTGoqGXFKhh+R~h~)PQ`w zpmCBeM%P_ytAI)4B}1H$*|bmy%hFLjb_a41lw6i*09zWaMGKZ8WCm=0qsOM@>B_6rV|q!(IS{QR%80@3PBwX`57y>Y?36nCF6rFSgqo%h$`e|x-|`PNi?BKN73 zsx>=^w1L-e1n(YQl8~XX7h77vCySmn6!|B8S-F;m&G_KD>hS;mk#LWF`0A1Veb=jo zKrJ}B?zdI18j@>h#0sfbjqzSJ#QSfsFEHZ?B%dU1V6y(CB9H8|=0YS`VXer*osGx^ z*%*jT)wVIk4oyx9=FkgbLn=3QzeUyh*mv`xfK%&xlIplBFj;M2cZl>4Fgo0(9_0Bj zzEez*D3aI&GEeLvuOCTp=TbKk-IFMR zDhgYFe$D?+@ijv~{uD>Rq5o{Ign~Z}0#a#J8Y30?QnSeIqh&bd`-)gT?_uP~UmN@H zq={-%bTdnxkH5N-WXnG(` z+`C|+B21V31O##Xk680l?B6KY?B7Y-HcGOsNe*#7*grWb>2;W>jK76HFQ>;g-=7i^9?B}v z52^W7nCy7gUMe+C0wLj{dh6^Ix-ZWnMy3|Qw&5(X2ACi2Mp_Ho{3?9z$KN|}eLo|v zc{BWg8*y(-q>-8h*8T1AwOPktxK3+l zcf?tgw3(?Me?zS{_OslTmTD~}sm+pScczPy7v`fDR9cdsDfw>78ii|t@c9kq-Um8I zURCXi`K9kBc}sSsTo2S*^13>xm62*!?;fgJ)Isuh6o;>p*JHFZMHT2hMHwLn3_1g} z#f~G=3S4>vyp6X1312+)RgJ*ZKtRaX`NMP3}+Dq%ppkrpssZE zf;jEly>N*Qm-P_K)WU;gTR;0{K8bC-XUiA)9oc9tz|i2IacV^_JdVHnVi43rwM7qt ztcm?RNw{Sz`2u#A9iKqx4y0u5j>D_UV~Cgu6vsfd#7?{tCqb|2c*r1+a|7xjYEHZh z#k2^Eq!9jE`Sk^w{-<5?^i7RGfg>R3e<$Oe{N@6G)YJ8NLK881mbEa&K;4^#Ursb_ z4PWUs1C^V$KT~`r2le#(0wS)QG}m@G0n$-K=`frqNK#*rOSx8?!FHb-;BXY0{-3Tz zLAR?Bmf3v9~8hFhc*fB#|ystgFfX zacgD2$yEf6+hUU9s2@8?Xo7;?$XV987`nCAT4OY}4MEf+d-d3Y=TMEN6R%G8zex>S z_%kFqXwe*lcQ-=p;ed?X*8tj{Mz?4cTK5lCEiCza5qYQG(>nl?{sVJs#15Y_X!qsz13 z=1RJv>rR49!3U_rIxofMjqH!RqaZ1gX7k+p2f1k@RM|YaOHqrki|*|->G-AT|72a^ zbiXwMNg$y4|0Gb=b!r4A2Lgise-krm*qhj^CRU#XS3i8dbv~F;ia#)%1vA?K|1D7dsP;bzSwJ$B4sJo^7378W)?y6N zervxmoHyN~U+AIJ0Z2qUi~%GOO^9czVI!iX64h4N?{XhX5puyV7pe9jiQ(1ze-mrP z)4kCMj4uM3{~uqxb!!@diG+aQ|6k4cf3a7yMxzdr1s}SAe2`JOW4ZwdlfbeLME#8{n&0h4=&R zymXRxx;(p3wi}bY*RGGw2lwmtWo_g)NcnC<*j;}f&Hqn~6;JmEAl2Uj%@OGPhNZY~dC=m+*h4Etl>z{Itj`ZFAjL zHtlFb)Dg{%i_*I=3+SlRW8m^B?j6YmR9c*9FO9gPYdLJsEt*eqPlR?xNLmxdYhs>x zBZyJ`{^!^Hzjw{ke`y4!76LZ?H*RG3T^#o0Mkfg1a%;J9>aMstIEV5_6G7FJwAJcj z|1VcUsMb!B#E_P8Qm;iX{w8b$2MCVKoLM|@Sl!_>I*HS z>HoN`1-c=Pzyw4<(EtC%8Gjk$fBqFjY=yPL7@ZSWm}`Xmc??SuDJMxG+W}*TUVB-R zK?+DEz6$@<1UvQmxRI+yK)tObwF33nI5=!C{Lz7*^W8_%eVf5?XH)AMC4d8|-d|*|Bx#ZFU$K(-~Gz0*}xT=Da$4>jZ+O*20 z^&|&Bs$W8{;2-XEqv7XtH2t4|OS0~gMqu0!Ao_2xYZ?D6lJ#+#O?l^)S{H$!R9cnB z@TRx~#jT)qA0$uPqZHU!a0RLnI8kfoQ5oi{j@)dQ;;u|zh+JU zHUIBj%k*CwfvJUn=KrVGcm79D&{?orbCS?ndKMgWOlFQ1Vs^BKR*B$-fuZ-UC^aGbYd1p0w` z1IEG6kV3L3v!0idEaGn$iArgR{hjj;vT8IE_4;^8u7j)IR+57%hehriX#Rg(7d+jV zMqq*=p!xp^HXyn?8i8>{K=A*sWyY24wd|$i*l^mWQj)>B)(S9-bF4YWNNk&9VhQ^o z9SkD)nRJ8{GJt9Xl5{ojvfLTM=>8?i0y<~}Er_IgS3ap++& z>qr9V#KwUT(Nx1isn*8N$Q69mmXaKf&M}dedP5E}(Gd;F;dU@307vK6`u|C@sOgSs z1QI|%^ZyBes;krpOcDg>{pUFR6TjyLyKEc)D*oGW+I!~`B}L#g4wp5BxC3eB;91&L`lRO!B*c6R?)BemAd|F zJ5*E)Mv4Ma6N^cKsVQ6(9r<$@|0#TwjMEZSEz`-MIREnsDG@Bl&qVPa&$?PUG)(yL z1Z(4Sl9>p>mXaL!@TZM_$^4T*^Z&s$PhZgpOdSMl`p>Un{2IP)>O6HGo>V9qM>kn3 zQ!G;a*q(7$Z=r_NEK)YHIZii=)DNbt6|7P{*e%lB^+z&f^)AhwpI%F*jcVycNH=2F zNJSeJ`fUqZ6354Pf~5;Gahm?;VI|O2XapuL0)qZqj9YA;^ANlnM2$|~jqjvEp^r%f zbRQ7AnyI>EM3v^};N zUGz{C<(WdNwj+pwIFmO@xuP08A#SaFem({#&T}L=Xq~`w4`^_^{XckSmZZT>9(RD% zD2h$zh4tefjTb3eX#b9=cLzpopM8(cD9f~~7hQ`ukBm-9lbi;%C(aX#u2<84XU)^! zGy+o(0loh>^~PL3u|_~5K<~f7KF8SSjJxEu$@@8ciM2WU5 z81PLfc2GZ=pJhghKpO=7(N+yxZDj3&8TW{hp>{oJXaYe ztkxvu6nA^ku3YY1ju(*j|DU{TobI_sAb$vG{y%?M>Y6kHlMMk||8LyJ@Ov#gkWKH6 z^~Du;-DRBWj?2I~+Ht|YsXBcG%kf3IGH<~;lVshx!86k)a2Jba+-R?$8!BkwTz({S z2SM`T191GNI0xiTPs(7bzYbSugP1l4`fVrsaQAR3)n#uUblRLeIpa`H3bj>*8d~w4 z)X7`%zZbo#M!)C;@HQx~$!W*g&yc;@iq_|QH?wBnMwIMprV@0x4m~l&uMi@2RNKwSMFB*pcXF@AX@yR2?6VON zM;^5USF+m@^D|h2gzN#}PHzXbE&I?9VV#~Xc({+hg!g1haZ2{iu>-Zz!=(Ra7lssW1P(Sv6`Y0KxqXQ@HV3bKErSx}FZ)D+Phhr6KmAZ5b<@@75&-O25p zU(vIgRuKxTLfe;O4BCOHJcKF=2P(A%B@Wwtr%`E(QJPAUYh^cDaxC&|p$ejzvdv!A z?HV+Mg3%?lA#QM_Y~=%G~WIHMxue*j zW5|2$g@Kl6nv70K2czi8Os^mYN84W<;>pcKrY6 zWAe747`Tua=t)PGXsOI{&5))VCvFCWqUZu}L6 zqM%%z$l-7k>GFC#0fgh_*7J)pSIvj6jNea>9bxQfK%q>nf;-s;nwwxFW88QK&&{Y=v$Q z#D5ahNZCqii7*eHHB8khKAW2PMY*bzvS~F5B@;AvjnbRz~R_zV7<+c3qupy@umQVWVRp} zI92!UOttXm<;n(TY9UEBkjz6U>Nah(NfvG^Ho3@9Ey`R3QN-3-n=mXjR*f-wAU=0a zgFw46!r6#+SN%w(QVr-P1emyW^NVvG!tB~ja$q-OS6u90MeXNFjHCAl zqejIWSV2k@{@g)XLF@k&7CfCrBT%piX#T%ohgLtBMj#^sHvey2#EgshyEA4E&tN{C z5(pluipzOsVzkxt!kKEBdIEvu0EzD=KLoPhB;KBE6;*`_S;sb%8GNwLx;iz=kGAVk z7W}r?zHtdG=o%nJ)gfd;1KSTP$sMre-*0st(jNirK%&ULK2?1g1FmjJ|EvRa{)oz?xnJ=p9xaxF*`gizzEs0i; zU#(ENs)k&O;;`bi#aHC2)yq#mlGO5f?Hi;|NwmjACJXp2wtqu6{3(TpM^J|+xd5`2 zCtqgriScXxqRMLh-#ESRx*3hYghW8d|I3-N+%yR)ou>a2a#7Zu(g=(b0!04}Hk$Zl?}et>*K3)UBcDdN^-ewvbiS_%*t&crd{~xD?RyU&&n1BfA_kRKo zitds|V4M&T{QujSage=@JvL5_#%w0#7rfG{0mEBqRT?8@@fqG;Fh+x}lPjta>OLz5hR@7B&5_8UY^yn*a9! zqW{zgOd$m5{pZH{48IHgk8Kn|b-UJDYmCm1t8bTFXOnFU++*AS$mlhWO{?IIRh>}c z)yc2Q2g^nryxKD)$MLqsz0M1hUIwxK&T)mc#8Y&7YsIf%Vs%YXqhe0yh2UUt;*ZD*kiZu>iA+ z)J@i{Em-dMrRZ0Xvh_nFMA5s2`eq&C6RH`dW>Yi2I(MQH|9O%iIIs+^v}z^e7CTml zq`GQMkLrL3Rj68>nsJi~)9e{HS%Vx+$?5nx1x^3suX(zJMxbyIu=oGiEM{;vYxt9Ev(8$}ZS2u*oxy$mVk-{w&-0SW&O>pBcNF>&$F^~i?RQso)qvr~n`#K{TJ zn2H%pUek0wX)A`l3o4*Syi7D1D3Xh_n5O=nCTK4fkHt*@c)l8{zdjEd(e3*{(CTm2do3eNbGH&MldM5$M&h3z=DWl z?7JZm(B=g(F>)_Zx+;@wfS;7r-A1bptruBE#!zepRwII+d3PAsDWCBdUY2jpRi+59 zHwnoB+P_PzovEQ4T@ZK2F598&v&|gWDF=4Qy&Yba`=DeuO`T^=VgMx%-(cJBrHkno z0eb&A{~*Kfh3Qb>F9TDFf5vd^FlBta(hojThoBOv|p| zO%)c;;RMKKx*ZI(*wOC`VF!bG$q3|x%{@@5b}-g zBkc$KLg{h*O;<^SC_Gr*UP9}Yy@aSXarj#HE%6<>$_)AFMG|ra^HpAEj zfs%HliF55|+wi{^1|V|xT-6Jh0LoMoug%9WWNIY|n1fuq^Sr`msspI?r*ZD~#`~A0 z>E#%}>p_O%WNIVo3hElQ{x7EmPZ!n*OmYM?|3AqGPL0w zqqxc1WDGBg%P7iyZB_1mm7=9U{CfGWT$#xDZK|=9Bm1pJ089rToXW!ZLPcm=sR%3a zmzhPdm5lGq6-?EZ)F7;5RS+llL-V}@d+Gb_<5f3f7hXD0h6hga_F+4oodQ-60;F3f zbC&3XRjI6+cL?~Q_-Ja^Z(hddAgiNpb!uc`oBw=aS0a1 z3!G0L;H^`$k#-QskN8{@loYwz!e$GIEJ>QL?6KL(*aF`;9BT~)BFEX>zux>5tv#CX#L;R z8gKoy8UZ%~LjU(N#$U!Ca$ncqPYVJ<&>HEm_JY%%W6d${t&A&Zk(Fl$xIBs!Mcg&T zh!P@}x=&AZ4SY|o!d1HdB`H=pK_MJ(nQG_&>%lWifr?HEC3h>rjWm5HB1JFvQ|tuR zhmgAqx&3}ZQi~_Ws%VX&Kj&uDN4)$%NUd&1xZP-(LcoU~t3>tzyVzblBL%e!3U!+P zpO*JrH>wes5D3`x-&n-(J6m+fC?m@y z+tz*LdP)ijFPT#H=%FPzAFc7`e2}z!^dw30mkF&PVS>i*ptTQ^q0Xu^Mk?|>8EDYM z3^>)D!P5_abp5d;!d!h}orvDRS8P=W92%HuKg#3Si@dLc+v~-{_8x?Z===s+5 zDQ4Smc#(xAQapVvGcaSlP1|0tob|;1vIe+<=y1mc`kn&PEDs6>lpH~~OA%;I6 z5m-{*X4?+mpSxI?YafyzT8I>OjuP z9lkI30nD`zNerOm9fH`0?P>UTAjBChWCKK&KVC~`ZT}m&xYD9XS)qr%HwHs-TwF_yrRfqH% zYX{OzRxQ#it?fuRSlf`UwQ7*AvNj{V!m2`gnRPAFLF*a}=7+6K_@+|fwa`R1nG3E5~*ojgp^y$QNwc< z35tKS$XVpC)>5Q@vMP}N-Z~%Yv(_S{zqZar`YUT8(qC8$kp7QVj`XM2IY@tG5vlp1 zH4o|ct+SAR$C`_jy}A?0CoL1{SFJOUe#x4J^b6Juq@T4)kUnEgM^Emsrs4a?EROWU z783TMyODhGP9*QY1Ic@DNAlE3B=0(bIjaQChF_KI7OybQz6UW!p?FK$Qja4V7rTaes$Gm=v`AsME?nKaPso*R(d ziNR(kG0N;XhL;UsbXngXB;EB$ZpEOo4vZ;l!;rETj3v7XL&q;co(ZFrRh_Px67`qx{#GZdCl64pxwu<6*Uq++xyd_93B>a~W@QVrSg#_~1bCAp> zK+l+oWF`SQ9XPTgqYNn{F!hf{KqD~a5YX@clpAvWz#4%u1nB)Y*ivRxA+b5Bv0JGx zBj;KNAUv+M)*7R=Bnrk03{54-%jjt|6rCg+8$mfe$cuFV z+ds4!)Q1Tp3`5CpVT1Bv@y^4huZ`2QF!m%^1r74{`F4(tr3_y z2WsC1QjLHg0m1)Y&-nkc>){HSho4frj^VScgJ27*tSVzT3)d0B7E+||IxvRa zwtHp&*fD6tDh_y9M0KZ;_%-uG`C=F2JWmp=BX$DIteY?_6s&PHcCbc=;|v$(9AQH6 z?Q3Ff??ww)!Fu`4`5KZa!8F)r5(6T|GER0|#)y>G&Yvk>@vytkpCCNZ$XBr+X zCBxK2v!3FdQOC9r`v04ypm#L>@DF03kJ;R56;c{*6747ok7GD0CX|>4>cJu6*UVdU zpAPX`O>$cJG4&6t=KphEKy?Kgfk}*jP5=2K#ust26x2Tg0inbkJ!rM0SeyIy$ER)T ztbwWP z)@JZc#69h@J^ko}k{%*X)6y3KC(S6e&$l~OzMegY6!JVMRvM%AgNil)ME}cB1!|SOp`$GMo4RJbM zjN%lhYvr)buQ&(o=>5NtcUoW42uxuF1pR*lgJ=6a1+PJ&mqzbO zg4gheXs&}?+GlUMY>Z)dpP9y|Z-;k|2cMC?4@q(v{+5H3SkiZY&LGbgrB!fqG9>kf zZKe6kYW@Gjd+~LTGy>y?fS~^$W&ERz0yMaO_!A&J_Nm@`;uD^ew#_abJ4iOKTaXHo zMOy88MOt-3(YW>U?%WAW+%}UWBtgOfo8FC98-}Xe>NZB_#~-T9w7U^{2&v`X0-00l zCEUEiYGqsBe6`1qPwPC?nmEG!zJe+4uS2nwf|B(;6Gu(Uslt9*Mg_NY6PYn z0!04}b`#^zA)#nRdHP9t0WGo)gETF-mK(#}aY<8p1u2<>ZDguP+NssWdvhfzYV9OR zE{F{HmC3SK!R3GWE~`Do=8a^bUx>>ZsoFWjt+(*mJW1uZU1s3rlM(@<|2qEPq#QckX^lY62-x~RL;=O`dBMu~ zZ@?VhW!+^AABfAnUk^pmPMr544ksoCq?6qaMnr~o@^5t1z+9paZXa&n{=N9CHMJR~ z>i?7fc6ooUQbGRvl!OFF23*z$Y`FP)mqhG^RIr@CI$S=v2=g((vl9USkf^xK!koEh| z?q%%WWwxJ*I6z8@>+LYzA^ELE*|%{U)}KNABeCBdeZc<|K3~L_cUl`#lIPow#huA? zhH@1=DrjeBhRMhL^i*ucY>TM-C$C`lO9v5EnbZRG3w4@}p zzqnUe1iP$)0!==>D6`8(NT}3W$2^GA_iroe6EzFZqMc}w`bDQ9aXP*U zEjisU9CAx|e-NyG`JZNS5c5aVA@qA=KJj|0_0Z<<`qqH@cY z2s7$Kru>wz9sguL)m{ayx+zjS&1T`VEq%cJd|W0EbhTQ7GnV?(hq*O4_9RgViHP!E zr7lI8q_S!?)B#!IQb{39^RUJqXUC&W_&P?lFSJ=iA*l3#{iey|59L+rT~zrh^z?-5 zDgD|q2SI04gKse56-6n7x&5;wm_zlgi+U>6cg|nF(q@*IA;_*A6QY!>VOWzSwdo#f zEqX1c)x8^IC1%MHP!JkbX+9i8aDd%{IYDoum<=?;$Ue0P|2yom(KL-p5ltaI^>KWc zIR$Mdp5hktxF0LDSQVUOH_5P>=9>RK-HJLk+x!&y?fOxdYr2CvtviS9Ueel3QLt!+ zr7KIciq`EW{G;clXN;y)l)DjqrT2|iRjQo=t`R=eT2v3IT!US*Te+PE)Y^x(ob|dZ zL;Z9t42{*THp=PxvKhGUj;zh$_qL;bnlFS5jowMS{=UePt!SAj4r$YA1jw^ClkKcC ziiCU%o>y?nQT=bkeM!}t&_7!7GL5q2=!usmj)(Xo=X8{4u1iu-iu&PxBm8|6`hRZR z$c!6zVm>WVv4g z=JU-pIP>>nbE$crc{a}boncNh{-5zDoc8+*)cFV1Np4Q-}UlK)@9j1@f@ zbIU#o9Sq5jGIq0&jww2#6KyD}heB5|@#87(#;hTUj->E@$X!Bfb{r!kqEtscUyZFp zAn8#(A|z>@NMC&1Zc%6DFjg)7~8Evz+-W`{VYJkIp4_{I_HEp|wt|=bbip zklr+%#@xR*L%KKr`z7jk>tplZhNF2CIsh5lmsaeP0Sca}=i>eEhlxEQ>^^^)Xx=i+ zebS-!L4zvxS!uUdXcUg%?s}}(>^-@zv6iYaX@M!l<>@T5GK}Y5Y6_;Sm55fk0+Nbs3FqwmT{k z?%~=!JUqVRi+w8=FTU^!zMOJy>Qy1_ptH3C_W!Z-gh2Z@zVMx!`Vjl~L;8XG%Wt{DUcaBtCK>+ktIK#9&(7j$oV<-KNNzt>kgC5N$LY-S zGySVP&+_piS}Y68@@*ysm@!YL$zqf);{0rxrqS!U4ZjDBUim|ZEwQ{PVC8RiZeK=o z8O*?fwDV%k$%}9G|>8{_N#wJlYu@jwau#QM1wL zV@)G+nxE#`@`fxyd>yAGdGLCD93k_GglxVO6(+Bmo=*wZ(Q>{>v=~7De@Lsl;YqlEml@qH>TqS+SnwI(&8e{50>eaD7yaEK8>Al9b1?2-p|uFY4&-l z$z;%5NRb*3X9!aCYhQ$$))^?2Hq}^hsk0wk2}}1j-RK+O*~C55>v@-dwas+ z=jcTHqM{*w(ElH53%41Aop1f@Xt;ZJV%Z%VZmc~$868jd&rXNqvE>&WN8lG{`@_A@ zUY(6#@XH_LUro->jxE2}#HZgM?4Ru)@9taHvqAyh%i-ah_(h$urGrl2Y;Ja6j1Ko8RFl!mO8C&usl)x@fy)n= zDu*Y#!^6+^hLfR%7LRcM=ZinAOyy6`C#UC=uAeaZ#`}k>FtU>I39E$&TE_AGVi)FL2V9mo0GWa^Z~Hhz8>Uq;j0-SMu4a7^aLuaA=1 zY#zTt=xq79PSL8r-;3j$!#K)QNSNj4Y?`XSJ~*|Z%o1Gt(KJOyIY-ctm2w!LRtG+UxgXtEE>FA~Q>*8|!c53+{$L!VLb;46&Wc6p0xLAO- zFS6{71*T5N&x<(UtDAGHhCOB*BQ%VnUYTIDL}<|eAKqSUV;$Rjb#nF<3(729!s{Qy z@{C8H;xCq;unL_H&xS|)2o@}h+3K8~z#ET_PfyM!mgVeI#Bwrh_VrA(GPl#8wi5fP zR*E;t4Qi3&34H8(GhqLHrfhuG- z1g50O>yxRp6)12}R-?((a9rBLp+d2UlC+?|Ch0O;7UPJr-br$8{Q#3;|M~_Mg!a%! zs|(Ekel)$Ztz|(PrSpy`iG^a6zD3Cwm0Q6|3nEJCh3cWKH%_i6QGRJg8BIbl50A6O zL6R4!!^WgDz+XVFbEb7MYR;j=7TjD?f}0`&ho%G!SeH7(uA^%B&2qq02s+RP&xj+aM$v-4+qOG;B)>|(o3WR!=C>^iae4%VH0QBt>5 zVfA@Rxpa~zmzQy+{MKL(i?U)1>86F)EHtAF)Dx)O)Go?wDMnEB4y(e7dKM#~Ubu$s zE4FAewoiDwlc;!8M&LywpLNPK_P=(DlHX|Z*CHmtXwvU-!f z%gea5x6rXD4PO`8e7Wedo~$D*8>Cc|q@h^Q{~sZb-Q@8aqpN;6Uc@()VOeOzf@S_@ z1uPQ|(-lHP8>)z-jiOIj|3J{S#6Y{I;pzU_=wxqX3!53y;rLsRcVC>H9G{%qN*YYS z-IL?V==j{eTF0fd{OsiLaJX~0??UU*@e3f2QnzKX*5c#QWHdY+eVgsmEHfv^`{NfU zw)jfBTn^rLUkr~wwSAsN*n#NUSM87YZHTZvKcj`OMw1sKY}G;%okk$wJQ^M2e8IAs zP22hL=)@>Q*#va^WBwJX`D{0v&rvMds7QKr{xu7hETWC*wc#_E$1g``lXHaW(ElH~ zV+yo&Ymb;QUmG35mL-Ubz9B05O7(kNHYOGh2oM!6aQqmFh^8piH!WLd{>vjlMX!sV zAS}d&{{N^gT{IZxd31|nZrPpVPWE~q(1*hIoyC{r*gM%!0XiUbyfA|fMHvObT`QU< zM>c2E$;AcLm&=_v2!e%6TaQ`ShefeOLJYDN7Vf~9tgRFpz<|Jd;e>3e7~;qv$`{KU z^;HL|^j43(TXd$WHja=G4R4ZW`E@ium@kW~NhS_eO0vDqvbi7iXScE!OK8Kw2O#MG zkIvG%Ib)kI%NH-AeD*n2w98#JwCKgF(t;&8{PChj92T6SEKNrIRhG{v4=Fq%W7Rmu zplt0ycFk>n?cRvuOH=b2q-u0Pf~a;UC0iy9@Po&|&5K$Ran+}a1@%by;U4>{5%m;O zsqi#fT!nzdN?5vl^7SF$u=F-5{;G4U^NBBS&IIk5PKpChyMQ<5gtK--=g0o zCy@w6L=JIxsR4=tLeT^j3Y?B38_jraYPqU^&x<|dM8#)JVzpm-_oV@uCy*miBWyQc zK-08dy@KgFn89OTLG^gF7efBoN`;hpHc-J$A)6?u@**SuporFxcKVOShqMm*|6}D^ zFJjI%Ocv)_5s?3xN#e)ru*ZHGA-PX+kV1*0bd(Jem}|UjosAn#eJZCV0nJ-di0+#h zH!{$R1AgPUCC;C3aqfMvoX^SiPO>^yiZG=I`(TOlOZ@T&L^oOS%|B57#m*L+^?Aa& zrQ#jqQbN1=!LLm7(_otVn31451yB1L`hZaL5DG+;uuD{6quygyZ9G-fOtL91sVdA5 zUwCPkO8hQ}R94^*o&by&>I%zNmc7&`T_m!1rah8{O40Mz2arwlpVUQv#CKTQ8HC@2 zVUU77Ahf(NCwRuy(<(v#e|%X?HN9Y&&fnS!lB`ao&bs0%>TE9ZW)%YtBuZVC1te{Z zEVVEEG>A0RQ5ZY{Brig7XBxYECwUhO#&I0aisP4P?~>MDkt;w|?5@(PCmdv!f=Rc_ zaBWiF>O=(4>YhW1Wfg$|A=pDGq5nUjQU2p%b8u^=Gk_9l7sKJ|s8|lyG*GT^*k$cM z*r%LIp7zQ+PKp^W`?4BDPA?$o$yDl-I#qNsi=c+pEKXL1hpR(FD++@rK(&Viwo9LR zb47i!5hR+6Jk_2NNT;eM=A1}7B+)khKIN3nN?hGcV6ik%Un{3c9n{4`=>JcYmk-TP z+mk9s3vS|HOhww zbc(JZ0&lEca;xjs5nLNHpJ@}Ct6OBk)TuKl3s=F4Zj-6M(_tT1gC7urtL&k{4l66z zG@hVThc=Y-@|14MsgE`cMRoI5C2>VT8wAt~aZ)r{iq>Y)AX@ItUvSUXU{sWF#0|g7 zru{+VDDDKk*9it~1Uhx#%=RF8H++|1R>C5h!6Ub_vA)g=BUKs${r|~ZXonNydt|SU zNZ_69h*cX|RCaLVBe?`Y!!>eMKn$J%v===a?~Nqpn^;(@O1oIs-K%6i%VVAn(a`hC z?!8-8*1}!7qB+Tq=r0|t+#nvSLm4~+0$#XDc+2j-@_Rj9Wfvo5&OlIbyB`L6uJHB= zhq*yHUmpN1x(faODWZe%8QVW0ZPNWd zHxoQn2>45Okc7w@74-k7Rq9lA;>ZB_FnS%&afd@E3PuU2VGOOm>ECGLnw)CCSrX5R zhg809lG-eXp7ym%^&{gnqt%gY5-e3<3<&s!7Njh5<1SP-Qy)X|V(u|U>MS1h>=awW zVp%!JVcagxMqL%ZYGSLM zxPCg%UPp6v7T%7vS`iXoXlWa3-y&-`2^>AUT?oR4 z%+#Q-)S6HR9|M7n1-EfPB5e_AVUB3!BDqvR8e(g%v8DpOq_YK~3VG$O8wi!|N`yV)MRlCTc5^pgHZqU;|G^rhU=GLPrC zvyEtA$*a>Qr;#1eLz$2WO4J&NA#B#Yu4RS~r-{H?DGs~nD1 zp<$M6A81yUVGu%P#AOo8Am@Fcq`m1+)xSf8?jU z!lEvVc(6)@%-Is7`b%kSGIs+~^T9ZSQ)8)uf#lj8jfG^eL3hc8p9;v}JJmx-c$=6O zhH+1L!y*`Y^{5$hv(W$VM7c0Ha5hK0V+>!wb06s<+=khQn;VY9!(}t|0&_VqBRiP~ z|!=qn6k^RuF*l{MFX;cz@^Bzt zt)*^A@A~PMhA{XTfETbOxTZ*t%T(fNmAKe0D#0wRXvnP}bVz$#j;k2oUcb)fg@U^x z*4Oy0_+iM>q$s5}idFU9NDExv%IdS56+aBQ8(32Z-jFA8V+O5U2Va}uZ|iqLOvzu# z_f}=VMond~N|fXmgwHw{rURFOK)XwCp#MLoLw8bf7oxJ;R=udMQdWg(dux{%*vFgu zZAGB6$O_-iaSmn753baW_r&oPxParnjsz=TZzVPfSMlNS@-oMh35!_W0kx97cb(T{ zKr1GdMOOGWw(D10%u(WSK=8e4Y;!x{QV3(ShB&ZML1gwX$= z>+!U=4q46*Guy)nXcs^mkG8+O(jZ8?-^wCSp$I{NmH`9L99oY~y>&@aN#fq{K=iN6 zlVGa`xk81TnehLvT<7T@a%IHj%gI9zT4QSiNIsEYzg$0V^m3}Ah859EWM9&n+v zdTWSYp}7xeKXgF*I7{W*NHZGkyPIc4flHo2v4{Tu0=N0&+b(|5#C!j(*>V2<{JDOT zNJMo#jI=cjbqy!IEnt+m?c%7UcT#xW6U^yIkS!?m{}-we74_u({ALE@dEc;iaaNN!$J;>n z5P28ph1WUWqSX+s#p0%WyVR5usN|(3IoLPcaN7NOl+c9(aKi;HWKuS+Uw z5Q@am z>}tl(cP^ORW;hwLDBjf*l3(?b7Ilgx|QKQeQgHUlCLSd-}<0@t*FmWoFM zrM|XmMdL?u^i8;M;E_v$)6!7Z=-aFH3g?4o=*(qS8Sy#<#Psgw=%@J1;k2h)_;(J4}^e->0pgm3KRi#edn zHUz?47hExfZ|p?9nM;pF^#U(IXE$=h>`EocKXmwIK!stqI^H`yo9tJIKNlA52I8&1 zkbW2X|I1)SH)+tL=Q>U3>q@lsSrn8Y?dtX>?B{7+o6GgVWh^I4Z_ zXMO!TOt7~SvQ^l{4YKUooSWF%NZ9%QD{Zau3fJmq7V2QpRtS`2gtGQcn6hq)nTIEz zr*RJb|1R}SpC;_~vM$92; z6+||PFckZW-z|o3qhwA|sk#m~+IzkQDw{3M4KF4>0sa3K;xiq0jf4eMKw*f0ju%6; z7fjk6-f(Po5bK#;#f}w_Q%HHBA-xLLB$3B3F0xGS1CIAgvWt{fJfnNSa=*f81Tr* zR)$QtY|tj>?CoejwRuPF;*FZhy5il0GXM^&q}qn|6ARwv16Zw26(VZxpkY#)XaY&= zHQwegG$I4Schw$U&0*(R67>I9A^RwUuyz|xYQkwt|4h-wlcy}Of)oz7wP=))$%Q^< zvI1j3us5Wyw2DL@^{IwX5Coq$>)1{PdZNa#kmZ|XrY-h5PKAwQc|2k*2AgZF9@;3==Y#y%6j;{I^zC2? z{r@%TRJ5!qwys1~iGeEfuam>sf`DzUKc;wVaIFy;IAF?m1AFxeKB>SyI|H)%b_W7K zFJiConb;zRRWuMk@M0OYhMdTJmjb9(_V;*U*UsUJt|$sOI)vLTSy$|>K6TKC!|F#W z>;$KQ=Z2i_R6LWvow4_d#op8p`u}U=T)aEAO*i~xG>cZ-X&x_Pw6H8lhT*fQ+*&Qom%XP7zvZN{&v|8oc;BPOqv6w9`mnpvy3R#GP|28i#cEL|{WD4h-%d zKBr?ZqT)P7$VLNH;u(=zNy27lWX$FLbdleRyOU_PL|<#8V@~u&v>Irb1v8-RW1n3h z4}hl^t8D;V$`Ic4Y>r6|^#9j8)&JR8H_55?(=d)@Y^5UJ>g3MGEd!gI-PV`*>B`sm zCYoY0f_^%=m6^5dw}E2)apjKOUO$#cB3Aq*HQWGZV6{hcz6W?v74^84C4v2+!u`pfiY(qqSi7 z&AXc51zviA{{M!60Soxji5(3|fGZH_88?X~erw=nwH!h@{~KNR z2snxrTOHscqm56 zaD%!dQOc5wPNO6bi8K{69IyucAe!U&+n4mjO)KVD0}~+aasM-BS23p3<4&B>xplvg zX0v=j7s#|25Vgv|QZc{b+HKdAlZ5{NCS}M!xsI=2m&L$m+SerShVPQXA=cjDRK6C5 z4+#9KkXoU=3gvD6)`@ajG!#ePUpXu%Z&~V?4>$1hWdhcOHxO8@+KRKdveP$!jP?|L z0{MP%FHRFpZlGamByA@V9%7V-UiM0fjeC!{KA@OqlpEX61n{f1gR_#J8qR=_@WQK| zY<8(MSyGt(6YD42-UG13S6Sy8y58cH=|K9o60wcc4{Mapc69UWO!;<4Ot*$ ziVyWVrJ^L~ja=VV_&Ihn!`ie%FJMHEN0CvBVfqS7uf z9P~T@2`_d*t4I00yHO*Ujh2W9`u|(D5X4C>A5Q5>YlLC#u{#|`GG#)BmTY-;*)odbh!i!T3%)SZV6nNz z3xnBGD$62n#I=gp1P;#Yu@u|RJMa3_-Eq+W-}-!OgdqZ$gA>h-Ijf2e{ydXz@xgO; zRO(iAcL!y4728bEN`ax(Nx;Ia8#@{RP}T!nKi&aN`qyTp6DGL7AuyzB?G`{(M2zyN zRo|>kdB7p7q?x{7ZE@clb4Ei!0tO7cu*c+#<4bJ8MUyr#&#!N)$G$kVF4}8zM`@ z=tlDY6!ez?LA`gUU6&9;tgTFW*<~&oga!oNJHNtc5|hUi4X>b>6Gp4QR{$JdlY3Ky z_X>eiER|@B{wT>|uYhzkk>nJ|2H6b<4YGj|Ls0va-CUD6_GDxIu&vsTKZlHJ2lW59 zOI0`D{_)Bd?e=jVjFT%WlF7m7r{)tni8zn6IvQ8%>8H-&$8fD&o@9m6AbOEPl5kWU zE$52_H|Q3d$r%3Fvrwojp*=C(q{cnC$&>0d}*K7~d|9{syK3{ktQ6<7PA>HECFzeNI zL3aZuD&ylzRZl2xi_b1!$;so0Op} zJdKnEo`)E$ya68}LE&Blg_g)SixJ*#WFCaI-%!}OYL`8gt5&`~~@VRu5 z`#5$9ZRmy{QH8v8W;ccuqfDjf+O9)uBT`MT zg#lqefW62NvcuV7oL(-jfVTW%gL>u~zeWh1I(y06vuv5-IgnNGq5r>^?*D#RS?O;I z3EQN7+&+rZxM-&WukZ(*P1N`*xmcVfmse;pK`VN)xKhOE4$f@yxlLKO4F6WVq0%a) zpvt;Z+5iK42OPY}D!#40;VQ|*dwlmRVFJh6MyH^tS0i7QExa_#n6wV z9wwjw9WX9tSkS}G zHPMxFT+ckolgk8WqDCu_&p?->MdPSP?bAsgy0P zUwN(o{}RWRp*m4n*2dW!PX;P6YiM5ipS>74mb>#J&U@Yuw%Z*xYsQ6uc5AZF2c5cR9vX7Em7G_^x`?B&Q}5n+Qjo? z;{_8Z|KCGYWYMumu9qlGy$NZ|nBT<}x~Xx&cNQN-DdLa3R!j0%M`DMT$8(&$yp0Lc zBpasFt1Lgrvup8AH!fc)%j%W7a5J5XI2nGmpr1I>)xI0>Ke34HmOC z{HeiXs@@0v|3gCa*S?}}dWU$labPtn&Z3({ zjM?IQJ|6eOHV<&J&yS+7(}Y}^;CO_>W^PpMo}LB(z#+OYKrisPVw6v>%$Lrg|9`ll z2F=x8v{VmQoMzX^i_j@G5`|(h%b}%4C(ezkOZ_0D?N+>qX6QOr^ly+uWgyCCZe}m_ zE#+}^eKU{Iz93@IR`9iDes<3`NSM4~Q7o@-?5{vH7J5O%>HffJ9$&^7DS}pvS=o?A z0Rh|bvWC+q?(G@#I$auAfhIkhewS#vL0Ny}jPHJe)d-bVj(* z0tbS%J6h2HKg#2OniCo!y5c=h6~3x|O;es-s`xhTf6Cni0v@+{SgH-% zaG|CJ5I^MSxH^jHR2^U-1A^{F<4uxyRRxZ_)d@;4NUYq$c@nYf^cQq<%w3*ZD$4tV zz(KdT7=}sp{MbVP1?1JzX~!Pl;O=nVfTdZ!revpreQQ8-HYHj7sPY*&K4JE_Me z?2Z?=bLgV+wt`n7)z4=c)1l~goMMeX6Qf_lpuwx!lk|rK{r}@=sWy%u-$=?Ig3W&a&^?heLfMVQxFPb|AsRW!1Dm6@+AH1vPv@^z|= zwlHS<5xdXhm$(7W;Ye#51JLu3WK#54?@!S>q|0kbsWuku(eh^cD*btda z>Df=>>hD?c6s+Dx&n{{lxSZTXczdGrZB8olt}9?B2uKw`dyy(Rm7GkqqLKP=!`OUn zbch$%#1vQY0=4=9no-e+CYqi6%Ay_`d#~_g36_s{RtK)byhy&RLB5yq)Xy0Z%e{Q8 z^F3k+{r^*K!~fY(Q}J+)Oy&%8uB*6iKukZBWDOT1ttS}?;(JGmvlt>6wX56UMPD1lI@`2=;MdUOadr-+%}Qmyei~ zoyN&8E|R?`m=sRCfn(~<@NO~YM*9e=hJX-BwdY5*MMjh%g5}w zPtYcHjhl`$YQi5;T#Q;Fy=zaQN^9W)^jJTyYy~*jCcHKhN9g~bRdJ!%k$!@;tajG_isb6GMi5JWuz>Q9iW9cW0Vm_D}&)v)@5)F2;LL%Wn)mm z)9(`bJAGp7@i_*m==L^$0N8qn4IIVSS$?Yo!XFei9da9?z;K-QCYhs^&Hp|)1zz5K z9_6zF<({l?1qA*7bJEzmT~gvWEt<`!sI*^9BRq@bzALNd>2Xhd;Mbvdz-J@9qw`q; z0aFUG-pG_HqanK9%o45Fh@6$L1cNamvL0yQJ_!NoKe4v#S>~Q=Ig~`TjfT|DWgTaC`g$sWa8A;g!eYqOnnE?l|aT z_zE`k56F4ZKY95?)%xWp8wismJ3Z!RCn(EoxgpgJU`I-bsn)P*@aj zR#d~*=zei#EZag+cv(H41s|h78}NrMplaO@3XRR6jxE8D2Tp*ftLtlh*7-)Z}~N!#ZnF}bQ3i6|1Tl9lkJTg(4YB! z7NwV{Xt#!P8xUQ58k7bsFO)W#+P%g&OlG4k-wA+S#JTiBBgrikhFMuxtm(@jFd%s$ zFz$+}FG0XCcF-zdWaeC=ASN%SfhQT4o=_{C`x>YPAx%XadRFnME+FhY2j zT9NZ^5a1AjTmmK+>W4))gKw8o4$(@PoLan;tPMO%0Q^#89|t+G{8p77P- zGaNOE7Q9+s)EWoWtXo4^YTvQfcveFnY!iNx0;RBsY z+}jp1S%k!OW;}$jVAZ|Am}Pb>ri+RDYK2cT3b0}kc6uh z2T5LFn2($d2*RLcD#mA5-o3ymdtX_O+KMtyL0BTr z0%)AmMRt(o#ycVnjWSl+14JvQo@leNcS`Cx$bT8?P^lr^~gf#|6ki;&1%q4L2R&*XkJ|%FRrp#_tQN#wsH{%6h5nOzUb%#*uX}% zf*WjJ)LC)0xIW5YAJ3=XigNzJG@WG4owstK^Or&g`nN~*NW~rYXVsV1y>K1TzC~v}B1FAd`AC>}AZ&b4 zS=FEZo`niMm+=Kusl>`Qb4fg^TwmLN19kz@#;Z!G)|g>~mca@yAUT_xDJlC2N5URm zjCL>Nm6eS91fYX~@rZZevEsKWG@$$83QG@>fjne8k zm8e~nn2XhOK`;sz`s~N9YI+y737W0ChF`3kKvlbK)`z8Qe$>y z0>^bFsO%;bB-TIy2~!W^*?1A(m`?)v+!z#cg{!N_RaE3El+-o6H2v3*r5I?m1CA5C zN-d6^ZT_pe$gAUm{{PLb?T36W(RlBmRi$cCkYK!HOI8gC#_o5VQaGPbh%YOado^g# zrH)YQ`PRi0Ed&=?yUZcJ2c7sffmcp$Z9mamjOQ1-aJF*Zx#f(h#>9q_m>;p1V9`Wj zfP|U!#Zqaz=<86}OXDU$1KGyP?rgNTB`q6sX}7Z6wMc*#2OZtDe5+@B%JuYdjDC>( zES|=A{UFD6;kTGBWt5Ox)ehQ+YLWca*1ImqLeXiz#bx4 zr8+i}XYTk$f?*Su(33ZGp0P=~7P13jZb$UbVT7|r(xoZgd=m3|; zAQC-P68itQ#n_+ir+{BpK-{g(=8DNS4b}u0tU}$@NY^*;0;h6dHU@|v2Y-lXrKMEc zSz&~&*4=u-wqhu#3Asw!vz*CB0D_*9)Dv?#Ku=Z-bD^{FJ3q|b0$6UmMVn@WP zkWV?ymVM_PC1vUtUfb^^mw0HB06?$-){9`5cfR!N^&4L;gZ}>=bNUyjj3K*3Su{g; z|Fg6S!A4I~FhjtCr67g7yoUNiXD0GKF0bjpPE=)1gLUU|nlmcITu7x{-NCo3l|q+M zCyC2k7h$VZ?S_#LIkWO(ohS%9BQES<`c)aaqnBOO6Ix>pXrMG~(Eq3JIx@J_x8 znt1q2JVvOHGmWj!az7Z?shtN)IVN+G)v;16UOzdr^Ie<48ad3_G97`(CSi34RPfu( zfT90?uc$sy?${V7gUdQ~1M*w~bY`sIAR0HZLv4+N6jMNylr}WKvdFqeyO^>Q9luHF z#0dvNvJ;KXQ-_S!OjIxTVFL*P^;J6LJvHKHC;RRiEwCd&8)%^?7B*PdO6l@<>vQv= zb~fdM)7_q5dKT#a-|xjb@qJY6y*Ul>K~uNF@J2qhJ)wIEWq9HQ$Erj$E?8P6`TWTw zx}^Tqj#*Hk+}cT{xG8IZL7$+nqDl4gXcFMQj?owDM0;2LgC&w&s9rGjxCJ)RR7@gR zy=2IBADly~0E%XP9~(Ur4U1wflp@IT=V(lz|Nj8^5sKIG_3Jp7#lUAezn}x^B~Eh7 zho(_SiqZuRvO3%YNVc|=+dvMs2{$hZhG}#>$EQ*rcMab81i%8XE1ZfXE?}%S?U}Y| zk_~3VZhOMANyOTK10MUbYQcHT_l+_4VHRw1FgV_s@-Qal&F4bNM8j+tE201Yu)HVT z|1_GchD){pTTnlT5q&Sqn^L%FWNx0((+^Uy1LbDVI8qZGft9@9HDKx$EK!f50R?gm z47}Jbu>n)Ky?RuplYzWK+zF7~#IXGmyQnv2wsK-Kms0N*1v7w$7iLh+m(wQo&4$)h zS6ThDt70*q>V%vuF_^R!6Js^>{~w9oU-=6!SZVgER%%J*21Qh>wH2yelsGao_GIj* z-C=nYCP6xg6F%uhoK#1%5j`|WXRHa(=L0 zc<6gTT{yi?e2LX!+Q2=)7xqNs3;qA6Tkv^M&U%V2G8*(S5$^9_hMih6-eI^3Fc!x> za#+zlptsZ1N%>^-JwqIxOiN0Ol%RA|EKm`?XQ;yib)vraD$C#82LXBLfGm~Bl5{Cv z#70%g7uwMOf40eVNf$qe`5^KV-R#@qJloAPyhWK_`r5%J*tDp|ZS}sGk)!bHA=m*0hK}Al5#LM1pr?C=xr=+`k%J2N zdm`-*9;);H-FY0P_s9G_aB+sX(g5dIo|+{VKQ0%B)&2EKn1Jf zLz(G^J51C=y3UKZ5VOZpJVSN9Nc8!kzfSN6E-r2NDxSV6mORn1EHs2PJ@8fad~G~B zJjYba8%pEl^K_VOzEGy}4sa4)2t%Q6vH}cw-sVBo1A4MNQhm#Ld zX?rM~ll!L1GRk|}m9?H}+!;_+xls!J|CdObFQTa!hPs>G+;%kfKq1V7gw4vER9jFm z2iyLx4u}l~D*bVB^CF4oGimO~^sRUzr&8fN;N@O|ZT5l!jZv6)8{yGpD^pU?M)9CA z-mnvIB`CY%&(y*JNmzJ}fgZgIv?V?tyjZ4UC+7L1ONrR7WGo$A)Mgj1;wbyo9FW|f zei#`-Js;^wBFRRZrxLmQR_PfA6WNpQ$p3c;+ z$qixR+hdsK4^00Tau^Xykcebbvaa%8H}wBsPuoxC>dUco136}IGQPcjoz0UeUsLUf zIX1D0t3W|s@kuZ88r=Tv-NN7|Q{jH~yDf+|Hop69-uq?&senkjZvj{>*CV##cnV~a zosRbGHVRm*>-!76ab4-a?X!VhrPWH~CmUF4Q|$)cUM-Tx=sqW_K>z>U^DQ$HWObIns@Fn23y;YYDkR#Z z0~Dnt)ma6N_g8ut*IKlsV7mkau28t7MaLA=l z&AV=_5^R>7Q3PzNHfG%V<(|t){dtO}XIK@XiI%zcYDv3~+{pB7KjN#jlWbVrrqi!3 z<7F(`r7SVxlMT9v8*q>iwcVM8{{Q>FC7Q|bs{(y7K)j3>!?X{NikAs)AETph$)3Ys z!I@*cU~{Ap;YcepDnw{n63gEf*uc=|MHZJ_luzI&b@a*^wk-@Vm>GkK>5MH13o-hN zr95 zS|bZ^3YJGtN{^H?Ee~>R2?P%|$YPr<5;nGzL@b_OsmE3cK+t153%4D%M}Q=x9vA&G zDsPA26bC&3M#JgiSsLS(TWQpN$^=Y|@*7p9^5;3WrM-au|3}o4sh&cWiy+UZP=lY` zjsj!83*nJ&dLGXt$}i(ZXmFe=jS4y_naA&xF!bd-e4vzzFW9;yfjjP1d2x)|qEH}P9n^d7QdFrWn!K(-GOSXeP+8zF&-OG@Fm z2L1ofY%≈!UCuTE;d*0>jvjd{N#L4**UVUnDpg7Yt{!yc)T69lg5N#U843Z^o?7Y|QE7ccqRAFa+GN=rbbhXn%@wV@c$!CS!|LE7 zygrN9hboT8o6N8+L&;L#F7vxHHevcXJCRvH|Nl#vl>HGKG8MTI3G=tnTpjn;28S#vqO|Hv{zw&(Dr%1D*If~wi zdM*pCM<`F&(jX)$&s%Uxi6Yu~-1~^f+A8%i%OF{s%4LGqV|b{Cc?{oBR;uw-Z`)Mv zC{XVeMb9grx2s`+u23K-xu`qj5&F@ee%)DLT`Gqi*pK1smK`5_k`jR(UY1l=J#+^cjEJ?^^pETz4+1>~(SCvfmvUhgi zm8TinC|_A*g>REtx^5x2t!MVN3M_nMtH474|2q!R#I0S&VRZ`Pz}uaVumj>a{siJD zpQk$bEaZ8ml?kqvpZP&ko=`v@-ykr+%{-2DeF9^N6RgmOT|Qy3tKN&J^C%Y~4o$yT z*2yOnJ3DE!l@patjMD8?uzQw9yUOH(EHVi19StXk!<|x;)}oH9@nW`<_r42&hP4#0ODLE@cga?B|LEoJzCRVx>cciu z=|7q|-mEu<)6Bq}DZH2802@^}^bnWPw)oT|7OkKIpM( z!8S`m8DF8a7yu0OK!O?RV9VoP*fL2VhnX&sd4$5&Nkcizp#T3X2sC!S657E$i$d^+ zBRdIZFI$Q+=u&EPZYAU5+75OqpT0?4&);Vd__Knu^sMITT$+qJDv zf4(+4R4t-1i73ZzIF00oW~^({y5XWN-d9kr?l<`j&UIwL){Y`HB@QkDa#sil{r}%8 z`e$1=vDGZw=qi3>&84jZ^D%2!8vrdZFXO4j4+fPPfJQC{TsQQPohMbMM8WGza3#kB zmS%$q+ItbQPd+-0rf*P=5pwxS`494mJ3jwhT^!}YtNAXTZaYGOKg$oY9A`g@In?tX z%R&kNnAETQ_w#Coz4PhW)<>qgRF-i`8q0>3b*!?V=Fx32jZmLy|0wVH?9&~!4Eq0n zfa6E<@jr!+x#w-ViX`)Biq*vw^RFc7Y`txZb|wWJ)Tz^lgA_Rs+p?0kK1P5U&*}Ce1!iH55DD6vWX9*+y1kCplr5qvO-_iH6u9 z7?VLP{Osg>Vqeb+USDb3i+*4BFuKi_{Y0K+89KAP#>4bq$E6JyDa}5wt+k=3dv^}A z2xq@p9+yEH5ua$@OJEu4o#A-j1>2YVyOR?`rER!AgCX zS@cKg0+XXF3%`Ir70bhMI~G5DP+eSE$by80&n)ZMucO-)SJRvnZ481~O&ObsbA;aY zJyp=uq{d3?hFFv6o5qf8oYc2>hL@LlOf9yS$OI6~Xm+*ZDF>+9i!u}r6a$8d_n4LJ ztbJ;@&IGK^RvLllhm0pTdWP$#fmn9NR`q$UQZm+vs;r{HYS-L;YYiI8VoFG#8gxx0 zN>U@ou}a1lzVJ<7&}Dzq2-&1l#R=q%*6-_A{_!ZmF$|&a`4u8&0J>*_0MJ$lhgLx# zur*%yAx`3uOWOdON!cM??Ff5SYgF21m9L#*W0oToyo0o ziGbXc%N8b;cZI=9Yr_wTWii+RL=+Pg->Xt;Qz(6*QWn=+k#-9>#-OyQ(L3Nw00#u$ zi)QI#2lYV*Q{yV*9H7d(x(dQ($8Zn$tCC&q0)vGP0y7rxO#(L;+EYW=fH2sQ_(rG) z{r@I4sl8J#kHk>6Lcwp*0YMnK)b|`G^XUiBLIG)&{|%1xrHUGR84&Od2`~N0#7Om8 zXegF=vGkCZPQEI)+{Iamc(~Xd1P<$QIU+oZquEJ1zf~OVHVUp*hhv?W*&OE`x?!pt zgw^CT>U`6@RqEX=k7OqF|GPQa9(ligK-az(B2=%sD_8PxT}uq8^Pvb; zSrO-~M%MpY(Z)I#U)>{4B7g&WJZ2Tny_F?goCN*VE8m z!XI|I)^ z7c+y`QQ;C$pGwnMzXVub8T4y30p~3HoC6h~Fjx*~FIkvs8wdT97Cgd4bQFfny$8JE*tfU{!REf<|hfNNZLSz(AEi2M<*w1pWVBwVS3^^w}*P zMTpklSqv3cI^EF`*`HH- zuQp@RH77^*&pWMyhPAS_lz zg04CP2Ou{QuKv@k{g^XtyE$!R0=lhA{8bpbp{sLu782lqFj&O^8`gSc+$jq97ijTS z$+$&^U_DG3>fg6hhc#%O*zefT!LnnIx}pEyXZ;o)X0SAxir7A_C3`0c!sr$935CP% z5{hmZa)DwMCuRiS8EVx6kkgc+mN3{|3rdIsno77z4SL%lZ!wdNV#d5zT{UQ>8N8`U zvo$nN0T~crFJ!W-oCkF~ixt^`QS>f*80pi9r`TBNqjgL$@yM z0!Fs1)h}?|8|QszO1P3mhmP4YpT>Q`*mOZZpvlL57BA%6elW~|w+A)v#DYB1PGfY0 z7dBgshucYmyTKg;+<>5aF(Y)5VU)rFEh{gsyLcp5(R~2@|Gp|p6PG3e17yzZ|5iU5OuX3N(61-7QeG?xx?iLj zQ71bbp+Nt?ANuaS+7x9^(6cUVR|BYLfXuqRIKJ733+TTrR3WeG$p0w8A`Y!lm>I%-twObK-oC>#Tj=Iz!~?FDWMcmGn&}ns)uf7ie$&lLJ_!uw@EgR35-C`Jx8z%;s80MWxT%l@EdWS zeK@<$Kbyhl7owWNDUvypf+N0iqDRMMM+&1&Q-6IiAV`BMq=E6VflK5~6Eh)%3El>o z#qVPL6MO~r?udEg7Z`P~FBmvYgR3}`$~?}uabAdNrBW)LzE%-dg8`p>gnKj5H4VM^ HmM{HZ)&e_5 literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/com.log b/hilbert_dpi/codegen/dll/hilbert_fir/com.log new file mode 100644 index 0000000..0b3306d --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/com.log @@ -0,0 +1,385 @@ +Command: vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all \ +-debug_region+cell+encrypt -f files.f -l com.log -fsdb +define+FSDB + Chronologic VCS (TM) + Version O-2018.09-SP2_Full64 -- Mon Oct 28 23:57:53 2024 + Copyright (c) 1991-2018 by Synopsys Inc. + ALL RIGHTS RESERVED + +This program is proprietary and confidential information of Synopsys Inc. +and may be used and disclosed only as authorized in a license agreement +controlling such use and disclosure. + +Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv' +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'. +Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv' +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'. +Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv' +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh'. +Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'. +Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv' +Parsing design file './tb.sv' +Parsing design file './hilbert_fir_dpi_pkg.sv' +Parsing design file './hilbert_fir_dpi.sv' +Top Level Modules: + uvm_custom_install_recording + uvm_custom_install_verdi_recording + tb +No TimeScale specified +Starting vcs inline pass... +9 modules and 0 UDP read. +recompiling package vcs_paramclassrepository +recompiling package _vcs_DPI_package +recompiling package uvm_pkg +recompiling package _vcs_msglog +recompiling module uvm_custom_install_recording +recompiling module uvm_custom_install_verdi_recording +recompiling module tb +recompiling package hilbert_fir_dpi_pkg +recompiling module hilbert_fir_dpi +All of 9 modules done +make[1]: Entering directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \ + +rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so +g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \ +-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc +g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \ +-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp +if [ -x ../simv ]; then chmod -x ../simv; fi +g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ \ +-Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib \ +-L/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib uvm_dpi.o uvm_verdi_dpi.o objs/amcQw_d.o \ +_64535_archive_1.so SIM_l.o rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o \ +rmar_llvm_0_0.o -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs \ +-lvcsnew -lsimprofile -luclinative /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o \ +-Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o \ +_vcs_pli_stub_.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o \ +/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm \ +-lpthread -ldl +../simv up to date +make[1]: Leaving directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \ + +CPU time: 6.767 seconds to compile + .302 seconds to elab + .861 seconds to link diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile new file mode 100644 index 0000000..7e3d037 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile @@ -0,0 +1,116 @@ +# Makefile generated by VCS to build your model +# This file may be modified; VCS will not overwrite it unless -Mupdate is used + +# define default verilog source directory +VSRC=.. + +# Override TARGET_ARCH +TARGET_ARCH= + +# Choose name of executable +PRODUCTBASE=$(VSRC)/simv + +PRODUCT=$(PRODUCTBASE) + +# Product timestamp file. If product is newer than this one, +# we will also re-link the product. +PRODUCT_TIMESTAMP=product_timestamp + +# Path to runtime library +DEPLIBS= +VCSUCLI=-lvcsucli +RUNTIME=-lvcsnew -lsimprofile -luclinative /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o $(DEPLIBS) + +VCS_SAVE_RESTORE_OBJ=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o + +# Select your favorite compiler + +# Linux: +VCS_CC=gcc + +# Internal CC for gen_c flow: +CC_CG=gcc +# User overrode default CC: +VCS_CC=gcc +# Loader +LD=g++ + +# Strip Flags for target product +STRIPFLAGS= + +PRE_LDFLAGS= # Loader Flags +LDFLAGS= -rdynamic -Wl,-rpath=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib -L/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib +# Picarchive Flags +PICLDFLAGS=-Wl,-rpath-link=./ -Wl,-rpath='$$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$$ORIGIN'/simv.daidir//scsim.db.dir + +# C run time startup +CRT0= +# C run time startup +CRTN= +# Machine specific libraries +SYSLIBS=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm -lpthread -ldl + +# Default defines +SHELL=/bin/sh + +VCSTMPSPECARG= +VCSTMPSPECENV= +# NOTE: if you have little space in $TMPDIR, but plenty in /foo, +#and you are using gcc, uncomment the next line +#VCSTMPSPECENV=SNPS_VCS_TMPDIR=/foo + +TMPSPECARG=$(VCSTMPSPECARG) +TMPSPECENV=$(VCSTMPSPECENV) +CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG) + +# C flags for compilation +CFLAGS=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include + +CFLAGS_O0=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O0 -fno-strict-aliasing + +CFLAGS_CG=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O -fno-strict-aliasing + +LD_PARTIAL_LOADER=ld +# Partial linking +LD_PARTIAL=$(LD_PARTIAL_LOADER) -r -o +ASFLAGS= +LIBS=-lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs +# Note: if make gives you errors about include, either get gmake, or +# replace the following line with the contents of the file filelist, +# EACH TIME IT CHANGES +# included file defines OBJS, and is automatically generated by vcs +include filelist + +OBJS=$(VLOG_OBJS) $(SYSC_OBJS) $(VHDL_OBJS) + +product : $(PRODUCT_TIMESTAMP) + @echo $(PRODUCT) up to date + +objects : $(OBJS) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) + +clean : + rm -f $(VCS_OBJS) $(CU_OBJS) + +clobber : clean + rm -f $(PRODUCT) $(PRODUCT_TIMESTAMP) + +picclean : + rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so + @rm -f $(PRODUCT).daidir/_[0-9]*_archive_*.so 2>/dev/null + +product_clean_order : + @$(MAKE) -f Makefile --no-print-directory picclean + @$(MAKE) -f Makefile --no-print-directory product_order + +product_order : $(PRODUCT) + +$(PRODUCT_TIMESTAMP) : product_clean_order + -if [ -x $(PRODUCT) ]; then chmod -x $(PRODUCT); fi + $(LD) $(CRT0) -o $(PRODUCT) $(PRE_LDFLAGS) $(STRIPFLAGS) $(PCLDFLAGS) $(PICLDFLAGS) $(LDFLAGS) $(OBJS) $(LIBS) $(RUNTIME) -Wl,-whole-archive $(VCSUCLI) -Wl,-no-whole-archive $(LINK_TB) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(VCS_SAVE_RESTORE_OBJ) $(SYSLIBS) $(CRTN) + @rm -f csrc[0-9]*.o + @touch $(PRODUCT_TIMESTAMP) + @-if [ -d ./objs ]; then find ./objs -type d -empty -delete; fi + +$(PRODUCT) : $(LD_VERSION_CHECK) $(OBJS) $(DOTLIBS) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(CMODLIB) /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsnew.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsimprofile.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libuclinative.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsucli.so $(VCS_SAVE_RESTORE_OBJ) + @touch $(PRODUCT) + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile.hsopt b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile.hsopt new file mode 100644 index 0000000..a4f13dc --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/Makefile.hsopt @@ -0,0 +1,47 @@ +# Makefile generated by VCS to build rmapats.so for your model +VSRC=.. + +# Override TARGET_ARCH +TARGET_ARCH= + +# Select your favorite compiler + +# Linux: +VCS_CC=gcc + +# Internal CC for gen_c flow: +CC_CG=gcc + +# User overrode default CC: +VCS_CC=gcc +# Loader +LD=g++ +# Loader Flags +LDFLAGS= + +# Default defines +SHELL=/bin/sh + +VCSTMPSPECARG= +VCSTMPSPECENV= +# NOTE: if you have little space in $TMPDIR, but plenty in /foo, +#and you are using gcc, uncomment the next line +#VCSTMPSPECENV=SNPS_VCS_TMPDIR=/foo + +TMPSPECARG=$(VCSTMPSPECARG) +TMPSPECENV=$(VCSTMPSPECENV) +CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG) + +# C flags for compilation +CFLAGS=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fasynchronous-unwind-tables + +CFLAGS_CG=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O -fno-strict-aliasing + +ASFLAGS= +LIBS= + +include filelist.hsopt + + +rmapats.so: $(HSOPT_OBJS) + @$(VCS_CC) $(LDFLAGS) $(LIBS) -shared -o ./../simv.daidir/rmapats.so $(HSOPT_OBJS) diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/_vcs_pli_stub_.c b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/_vcs_pli_stub_.c new file mode 100644 index 0000000..e4d8eaa --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/_vcs_pli_stub_.c @@ -0,0 +1,964 @@ +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +extern void* VCS_dlsymLookup(const char *); +extern void vcsMsgReportNoSource1(const char *, const char*); + +/* PLI routine: $fsdbDumpvars:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvars +#define __VCS_PLI_STUB_novas_call_fsdbDumpvars +extern void novas_call_fsdbDumpvars(int data, int reason); +#pragma weak novas_call_fsdbDumpvars +void novas_call_fsdbDumpvars(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvars"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvars"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvars"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvars)(int data, int reason) = novas_call_fsdbDumpvars; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvars */ + +/* PLI routine: $fsdbDumpvars:misc */ +#ifndef __VCS_PLI_STUB_novas_misc +#define __VCS_PLI_STUB_novas_misc +extern void novas_misc(int data, int reason, int iparam ); +#pragma weak novas_misc +void novas_misc(int data, int reason, int iparam ) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason, int iparam ) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) dlsym(RTLD_NEXT, "novas_misc"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) VCS_dlsymLookup("novas_misc"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason, iparam ); + } +} +void (*__vcs_pli_dummy_reference_novas_misc)(int data, int reason, int iparam ) = novas_misc; +#endif /* __VCS_PLI_STUB_novas_misc */ + +/* PLI routine: $fsdbDumpvarsByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile +extern void novas_call_fsdbDumpvarsByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpvarsByFile +void novas_call_fsdbDumpvarsByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvarsByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvarsByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvarsByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvarsByFile)(int data, int reason) = novas_call_fsdbDumpvarsByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile */ + +/* PLI routine: $fsdbAddRuntimeSignal:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal +#define __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal +extern void novas_call_fsdbAddRuntimeSignal(int data, int reason); +#pragma weak novas_call_fsdbAddRuntimeSignal +void novas_call_fsdbAddRuntimeSignal(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbAddRuntimeSignal"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbAddRuntimeSignal"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbAddRuntimeSignal"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbAddRuntimeSignal)(int data, int reason) = novas_call_fsdbAddRuntimeSignal; +#endif /* __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal */ + +/* PLI routine: $sps_create_transaction_stream:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_create_transaction_stream +#define __VCS_PLI_STUB_novas_call_sps_create_transaction_stream +extern void novas_call_sps_create_transaction_stream(int data, int reason); +#pragma weak novas_call_sps_create_transaction_stream +void novas_call_sps_create_transaction_stream(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_create_transaction_stream"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_create_transaction_stream"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_create_transaction_stream"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_create_transaction_stream)(int data, int reason) = novas_call_sps_create_transaction_stream; +#endif /* __VCS_PLI_STUB_novas_call_sps_create_transaction_stream */ + +/* PLI routine: $sps_begin_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_begin_transaction +#define __VCS_PLI_STUB_novas_call_sps_begin_transaction +extern void novas_call_sps_begin_transaction(int data, int reason); +#pragma weak novas_call_sps_begin_transaction +void novas_call_sps_begin_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_begin_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_begin_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_begin_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_begin_transaction)(int data, int reason) = novas_call_sps_begin_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_begin_transaction */ + +/* PLI routine: $sps_end_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_end_transaction +#define __VCS_PLI_STUB_novas_call_sps_end_transaction +extern void novas_call_sps_end_transaction(int data, int reason); +#pragma weak novas_call_sps_end_transaction +void novas_call_sps_end_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_end_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_end_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_end_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_end_transaction)(int data, int reason) = novas_call_sps_end_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_end_transaction */ + +/* PLI routine: $sps_free_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_free_transaction +#define __VCS_PLI_STUB_novas_call_sps_free_transaction +extern void novas_call_sps_free_transaction(int data, int reason); +#pragma weak novas_call_sps_free_transaction +void novas_call_sps_free_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_free_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_free_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_free_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_free_transaction)(int data, int reason) = novas_call_sps_free_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_free_transaction */ + +/* PLI routine: $sps_add_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_add_attribute +#define __VCS_PLI_STUB_novas_call_sps_add_attribute +extern void novas_call_sps_add_attribute(int data, int reason); +#pragma weak novas_call_sps_add_attribute +void novas_call_sps_add_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_add_attribute)(int data, int reason) = novas_call_sps_add_attribute; +#endif /* __VCS_PLI_STUB_novas_call_sps_add_attribute */ + +/* PLI routine: $sps_update_label:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_update_label +#define __VCS_PLI_STUB_novas_call_sps_update_label +extern void novas_call_sps_update_label(int data, int reason); +#pragma weak novas_call_sps_update_label +void novas_call_sps_update_label(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_update_label"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_update_label"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_update_label"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_update_label)(int data, int reason) = novas_call_sps_update_label; +#endif /* __VCS_PLI_STUB_novas_call_sps_update_label */ + +/* PLI routine: $sps_add_relation:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_add_relation +#define __VCS_PLI_STUB_novas_call_sps_add_relation +extern void novas_call_sps_add_relation(int data, int reason); +#pragma weak novas_call_sps_add_relation +void novas_call_sps_add_relation(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_relation"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_relation"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_relation"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_add_relation)(int data, int reason) = novas_call_sps_add_relation; +#endif /* __VCS_PLI_STUB_novas_call_sps_add_relation */ + +/* PLI routine: $fsdbWhatif:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbWhatif +#define __VCS_PLI_STUB_novas_call_fsdbWhatif +extern void novas_call_fsdbWhatif(int data, int reason); +#pragma weak novas_call_fsdbWhatif +void novas_call_fsdbWhatif(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbWhatif"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbWhatif"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbWhatif"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbWhatif)(int data, int reason) = novas_call_fsdbWhatif; +#endif /* __VCS_PLI_STUB_novas_call_fsdbWhatif */ + +/* PLI routine: $paa_init:call */ +#ifndef __VCS_PLI_STUB_novas_call_paa_init +#define __VCS_PLI_STUB_novas_call_paa_init +extern void novas_call_paa_init(int data, int reason); +#pragma weak novas_call_paa_init +void novas_call_paa_init(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_init"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_init"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_init"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_paa_init)(int data, int reason) = novas_call_paa_init; +#endif /* __VCS_PLI_STUB_novas_call_paa_init */ + +/* PLI routine: $paa_sync:call */ +#ifndef __VCS_PLI_STUB_novas_call_paa_sync +#define __VCS_PLI_STUB_novas_call_paa_sync +extern void novas_call_paa_sync(int data, int reason); +#pragma weak novas_call_paa_sync +void novas_call_paa_sync(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_sync"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_sync"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_sync"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_paa_sync)(int data, int reason) = novas_call_paa_sync; +#endif /* __VCS_PLI_STUB_novas_call_paa_sync */ + +/* PLI routine: $fsdbDumpClassMethod:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod +extern void novas_call_fsdbDumpClassMethod(int data, int reason); +#pragma weak novas_call_fsdbDumpClassMethod +void novas_call_fsdbDumpClassMethod(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassMethod"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassMethod"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassMethod"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassMethod)(int data, int reason) = novas_call_fsdbDumpClassMethod; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod */ + +/* PLI routine: $fsdbSuppressClassMethod:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod +#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod +extern void novas_call_fsdbSuppressClassMethod(int data, int reason); +#pragma weak novas_call_fsdbSuppressClassMethod +void novas_call_fsdbSuppressClassMethod(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassMethod"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassMethod"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassMethod"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassMethod)(int data, int reason) = novas_call_fsdbSuppressClassMethod; +#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod */ + +/* PLI routine: $fsdbSuppressClassProp:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp +#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp +extern void novas_call_fsdbSuppressClassProp(int data, int reason); +#pragma weak novas_call_fsdbSuppressClassProp +void novas_call_fsdbSuppressClassProp(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassProp"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassProp"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassProp"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassProp)(int data, int reason) = novas_call_fsdbSuppressClassProp; +#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp */ + +/* PLI routine: $fsdbDumpMDAByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile +extern void novas_call_fsdbDumpMDAByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpMDAByFile +void novas_call_fsdbDumpMDAByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpMDAByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpMDAByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpMDAByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpMDAByFile)(int data, int reason) = novas_call_fsdbDumpMDAByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile */ + +/* PLI routine: $fsdbTrans_create_stream_begin:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin +#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin +extern void novas_call_fsdbEvent_create_stream_begin(int data, int reason); +#pragma weak novas_call_fsdbEvent_create_stream_begin +void novas_call_fsdbEvent_create_stream_begin(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_begin"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_begin"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_begin"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_begin)(int data, int reason) = novas_call_fsdbEvent_create_stream_begin; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin */ + +/* PLI routine: $fsdbTrans_define_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute +extern void novas_call_fsdbEvent_add_stream_attribute(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_stream_attribute +void novas_call_fsdbEvent_add_stream_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_stream_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_stream_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_stream_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_stream_attribute)(int data, int reason) = novas_call_fsdbEvent_add_stream_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute */ + +/* PLI routine: $fsdbTrans_create_stream_end:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end +#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end +extern void novas_call_fsdbEvent_create_stream_end(int data, int reason); +#pragma weak novas_call_fsdbEvent_create_stream_end +void novas_call_fsdbEvent_create_stream_end(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_end"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_end"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_end"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_end)(int data, int reason) = novas_call_fsdbEvent_create_stream_end; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end */ + +/* PLI routine: $fsdbTrans_begin:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_begin +#define __VCS_PLI_STUB_novas_call_fsdbEvent_begin +extern void novas_call_fsdbEvent_begin(int data, int reason); +#pragma weak novas_call_fsdbEvent_begin +void novas_call_fsdbEvent_begin(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_begin"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_begin"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_begin"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_begin)(int data, int reason) = novas_call_fsdbEvent_begin; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_begin */ + +/* PLI routine: $fsdbTrans_set_label:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_set_label +#define __VCS_PLI_STUB_novas_call_fsdbEvent_set_label +extern void novas_call_fsdbEvent_set_label(int data, int reason); +#pragma weak novas_call_fsdbEvent_set_label +void novas_call_fsdbEvent_set_label(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_set_label"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_set_label"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_set_label"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_set_label)(int data, int reason) = novas_call_fsdbEvent_set_label; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_set_label */ + +/* PLI routine: $fsdbTrans_add_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute +extern void novas_call_fsdbEvent_add_attribute(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_attribute +void novas_call_fsdbEvent_add_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_attribute)(int data, int reason) = novas_call_fsdbEvent_add_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute */ + +/* PLI routine: $fsdbTrans_add_tag:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag +extern void novas_call_fsdbEvent_add_tag(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_tag +void novas_call_fsdbEvent_add_tag(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_tag"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_tag"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_tag"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_tag)(int data, int reason) = novas_call_fsdbEvent_add_tag; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag */ + +/* PLI routine: $fsdbTrans_end:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_end +#define __VCS_PLI_STUB_novas_call_fsdbEvent_end +extern void novas_call_fsdbEvent_end(int data, int reason); +#pragma weak novas_call_fsdbEvent_end +void novas_call_fsdbEvent_end(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_end"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_end"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_end"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_end)(int data, int reason) = novas_call_fsdbEvent_end; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_end */ + +/* PLI routine: $fsdbTrans_add_relation:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation +extern void novas_call_fsdbEvent_add_relation(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_relation +void novas_call_fsdbEvent_add_relation(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_relation"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_relation"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_relation"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_relation)(int data, int reason) = novas_call_fsdbEvent_add_relation; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation */ + +/* PLI routine: $fsdbTrans_get_error_code:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code +#define __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code +extern void novas_call_fsdbEvent_get_error_code(int data, int reason); +#pragma weak novas_call_fsdbEvent_get_error_code +void novas_call_fsdbEvent_get_error_code(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_get_error_code"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_get_error_code"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_get_error_code"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_get_error_code)(int data, int reason) = novas_call_fsdbEvent_get_error_code; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code */ + +/* PLI routine: $fsdbTrans_add_stream_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute +#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute +extern void novas_call_fsdbTrans_add_stream_attribute(int data, int reason); +#pragma weak novas_call_fsdbTrans_add_stream_attribute +void novas_call_fsdbTrans_add_stream_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_stream_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_stream_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_stream_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_stream_attribute)(int data, int reason) = novas_call_fsdbTrans_add_stream_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute */ + +/* PLI routine: $fsdbTrans_add_scope_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute +#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute +extern void novas_call_fsdbTrans_add_scope_attribute(int data, int reason); +#pragma weak novas_call_fsdbTrans_add_scope_attribute +void novas_call_fsdbTrans_add_scope_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_scope_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_scope_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_scope_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_scope_attribute)(int data, int reason) = novas_call_fsdbTrans_add_scope_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute */ + +/* PLI routine: $sps_interactive:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_interactive +#define __VCS_PLI_STUB_novas_call_sps_interactive +extern void novas_call_sps_interactive(int data, int reason); +#pragma weak novas_call_sps_interactive +void novas_call_sps_interactive(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_interactive"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_interactive"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_interactive"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_interactive)(int data, int reason) = novas_call_sps_interactive; +#endif /* __VCS_PLI_STUB_novas_call_sps_interactive */ + +/* PLI routine: $sps_test:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_test +#define __VCS_PLI_STUB_novas_call_sps_test +extern void novas_call_sps_test(int data, int reason); +#pragma weak novas_call_sps_test +void novas_call_sps_test(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_test"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_test"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_test"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_test)(int data, int reason) = novas_call_sps_test; +#endif /* __VCS_PLI_STUB_novas_call_sps_test */ + +/* PLI routine: $fsdbDumpClassObject:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObject +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObject +extern void novas_call_fsdbDumpClassObject(int data, int reason); +#pragma weak novas_call_fsdbDumpClassObject +void novas_call_fsdbDumpClassObject(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObject"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObject"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObject"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObject)(int data, int reason) = novas_call_fsdbDumpClassObject; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObject */ + +/* PLI routine: $fsdbDumpClassObjectByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile +extern void novas_call_fsdbDumpClassObjectByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpClassObjectByFile +void novas_call_fsdbDumpClassObjectByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObjectByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObjectByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObjectByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObjectByFile)(int data, int reason) = novas_call_fsdbDumpClassObjectByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile */ + +/* PLI routine: $ridbDump:call */ +#ifndef __VCS_PLI_STUB_novas_call_ridbDump +#define __VCS_PLI_STUB_novas_call_ridbDump +extern void novas_call_ridbDump(int data, int reason); +#pragma weak novas_call_ridbDump +void novas_call_ridbDump(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_ridbDump"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_ridbDump"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_ridbDump"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_ridbDump)(int data, int reason) = novas_call_ridbDump; +#endif /* __VCS_PLI_STUB_novas_call_ridbDump */ + +/* PLI routine: $sps_flush_file:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_flush_file +#define __VCS_PLI_STUB_novas_call_sps_flush_file +extern void novas_call_sps_flush_file(int data, int reason); +#pragma weak novas_call_sps_flush_file +void novas_call_sps_flush_file(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_flush_file"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_flush_file"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_flush_file"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_flush_file)(int data, int reason) = novas_call_sps_flush_file; +#endif /* __VCS_PLI_STUB_novas_call_sps_flush_file */ + +/* PLI routine: $fsdbDumpSingle:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpSingle +#define __VCS_PLI_STUB_novas_call_fsdbDumpSingle +extern void novas_call_fsdbDumpSingle(int data, int reason); +#pragma weak novas_call_fsdbDumpSingle +void novas_call_fsdbDumpSingle(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpSingle"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpSingle"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpSingle"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpSingle)(int data, int reason) = novas_call_fsdbDumpSingle; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpSingle */ + +/* PLI routine: $fsdbDumpIO:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpIO +#define __VCS_PLI_STUB_novas_call_fsdbDumpIO +extern void novas_call_fsdbDumpIO(int data, int reason); +#pragma weak novas_call_fsdbDumpIO +void novas_call_fsdbDumpIO(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpIO"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpIO"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpIO"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpIO)(int data, int reason) = novas_call_fsdbDumpIO; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpIO */ + +#ifdef __cplusplus +} +#endif diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.0/_64535_archive_1.a.info b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.0/_64535_archive_1.a.info new file mode 100644 index 0000000..a749c89 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/archive.0/_64535_archive_1.a.info @@ -0,0 +1,12 @@ +hEeZs_d.o +uM9F1_d.o +eQdaR_d.o +reYIK_d.o +zr7M1_d.o +zQBzV_d.o +nhiwz_d.o +EbjT3_d.o +g7hgQ_d.o +RFT57_d.o +fhaHS_d.o +amcQwB.o diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgincr.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cgincr.sdb new file mode 100644 index 0000000000000000000000000000000000000000..f2fecab6d3d72b245d076f42e9de00c56ecf3e04 GIT binary patch literal 24592 zcmZ6y1B_@*)GRv28QW)U+qP}nwr$(CZQHhO+cWPw_q}`b{<~H>)m2%&(%IQb?@na{ z03!|o{GZT+|9_{N9sfuAUlYxT|G?qDfeiovWcN>sN=XQx&&)~5Oo;}7`Y!tsZF{Uc<`j-wxqb2C4UMql`wnCO^8Jjr=0oX8sZ>I%e}t}QH{X}uQd$R+gCJ>- zde$Gv5qON$i482}q;sI^yXb|79>K6#_YqeQ(%Xgzv{b<_QvAIU_+uYAMKyF#M!TNP3%?U^6dD33p`=nGe=TfXm2LV&i#x-=5_aU7i9&@>X zT=`DQ@3*(?cA+>q^trh7imSX}QalOmKNAamwxqJuHy2eCFo*Ts$=L#LM@10@%rPyeO1M@39gCqhmh0ef-meV}l3VIXh#cBE)|Anwd!dX%07}tN$=b5&)v^ zK!D!b7#z_Wau4yJ=Gm%L?>%NX$!v0&ke5K|_D}ncA-MjBT99JN$J5ttVscE>#AeVX z)OjZ?e290*vBtFQR%&x;|7u1TMXdhezk$U?vr0I2mb{e$OS`%sPP-^=6!leBIUGXb z|7%qN*H+etym`!2eq!7a|J&QJ7?I{czfvr-l<7o$c{&cnwA6d}pb=qCl-SkA5h_sa zk59F(Y{bwfks&PvN3o|5%fPUssZ2%|J6Z#J9E^TR=)I8}5P128aRB}NnlktdmduY zUQXZ45Flx3K__d2mz1F`d(n{S7n7yvrgmFW)Xl$QmOB0iJH`yU#{{bc>!o9aE7LL# zAL#2Dn?s8YCwLSX{n2d)Wtqo-^jkxr(1G}ek~W!Al9IYFjetfnn7INZDc4h)lPxj9 zKzshv4i%NCL0*a}Ljtj!V6YHjWd3bDIPEj0JtSIwV;vt;R1s4vk~=z?COLAAk?T>S zao_yI+Sjzh0)qwk;K5r$v+*H%6WTmW)HzJ3y)@Uo(_YZimRTH4N!2dF{c%G%N`;}Ou3|&f z{T8GF3L*vfpTUEqhhJ)OLG0SA>|5;jIubNs+TcUuzoT6;$tVKRt1{b)`vFM!+*t%05l$9YLkDwQYm%a26lRE9O6wN%fR@HF<;KzRH))_)L^ zV~@2kqD)D5_D)jIq&|MX+CDbMS#dJxcm1ac^ZUoP=I$t;x2vHPttruZhvLd%PiA zrC>liKz?lKlX3a;6@5cFdfg}p8RRvdI`o3{S4$i_+ihOkJn`=UM#jP^u@ydJa{nxh zk4x5N`4pg)@EVBxkzxQwZVSwVXA0Zhytue{qC^t&Zd`@eKF6yuv$sR1H|{KLA?l9r zje8Zuz1lFxid(V@_0v7;E~t{g)9^s`^5gHdh?}js!lkJa)ZdlPoGseRp}09FW|H+N zSO(5O;@57Yc)k4705I}Gmx5SC6m`So|Eq}c$x|lpsfk1=wlgPkQf=ZttgiXexmx3u zsFdBkEs-xXQ0aT=G}o`pEF$uh#vix$F&{94M%ML#v7J-znUX^oSLxssUxh9H*# zwZDB{IrL$jAn;diUfu&X)?y(ISRBI&N;QOB+iXAXyY#Sx2>BfEvt4z zk~6le%`>?YAAXGSaKsK3jx-So($kE9eOgzE!g`BL2#M|e6Ig#*OGt^Ragiui@fcIA z%J$aax1=_X+3T(SXH*7Ch%LkV9*zZzup?Lk899%}3gkjKg;AJ)-Nm^xwL{dkSS%Bx z6?N>^FI6Hm|B#6&Ja{+oAS2G-5}5P57OzYsbQ2>y6L~a=+v3Ah-XGaV&UxTI#Lc zZ)XEj$bwy+?0K~%1q^VD$LYWaH-V0XfRkrAIZCasv6qqun^~8aOvUvuJ=NVHstU=3 za)qJSPMxF^Ce4^73)loT3eQ7{$G@?#9ZjB&CGFRGiN?H~LKWvo(D6@b~^UfK1norHHm>n8o zP#>D^3=9^%h^$b>%gYD{k@7tXrYUkRX+Vo{a79KpKL<+|mMLI4 zJ4`|bfXYDBfsT*we8)lB? z%SbH^J86k9(;O0FQQCn0L(tTz9qgk#BndQgpaOIASgd2ULz{2vh^HV@={Q7&>J~(K z5#+`Gm&a(k6d~9kTD}Z<=^*Q)-swCG+_zO=6f(?SUerqvhYb%y(Q#yhX0xY7F+rNx zQ?F;TgMWCYQDjKpA&Yz{)?md=RQzdB;EwLU6GmBb5<71@Cv&(m!}2uZBYqOsDd}2p z;?cZ_R+fE=K4+itvyU>nYR((J6k;Dm-p#6=NN9p%#icke_8c!B9?yLhn7F$H=Zb96 zNjwDQey8h?C1+e<$Ru<7lBLFBI+EySFBHzL35mnThm>)BvK42A`0YwYcA$u|k4;EGBq>f#dM*vjBG=Zm~G;p}^u+W!s4DFu! zBtp&1;cH=%V@(DHj4OL;RzHw!bQ3JOR>wV)Pj2nQh52gw2_HwJ^%nQex*Byn+tYzu zW~e-VzzFo@uTjK(j*VpsXt*w7n>w+G@X^rlSJTa(qBmUi@O1@82IzMA&f8n3(dCjj z4{BvSq?<)SiWirG)E%AznF%hZ$kSSLQO8y&jB4`*_y$(52AawxmnC@o8n6y4Lj%2r z)r<+q!f4cN3n{RHPKMchQ&i{tv1|bu?J1J&iIE1I9;+ z-6anMiOujSmt|MxeLu-1t=I_Ggh+i6jx%Vp%91EH!f#q0`NS%@o%i-J{K<-L93~Uf z!F(T!H#n3NOJZjK*QDPrYbOx3bj0P>pbTF|a>kdFK3Kco$*mbABip!Z$1eyMB`|44#tLUu)n8(zg=6HIx!(&3Arb&va2@SD$wZPAu%6!Z%b~XBi|EI z?In^q`?N_yFlvf|@=P>i2|WM~ukzV~Oc)ygB@6+_FgPXoxm2>~JzEu6ySl!L?N{w= z!S)Pbb4;|XL&ZDPqUv$`plCdOxG#m|LJzf}A_~qpH*Q%Vb+d_v{X2&!FVmNm^9VLc z7-R~z;z}0)$j2KM)z^}{=o9HU)p{-5O$5@01=WXy;<~l?wjY>1Kii5I477Ce@|9i$ zA$BBw@{-qc$T?l`?T3WWAX#s_sSK@x)4chyWqHb9Nu^?WUuY-e?p5%x~b-1A}fGBhMtionN8v>4h^kW@oy?<=?4kTf* z{f#8ShvK4|w(}`w4@8C>g`PUIxzZL5jo{e_##$wq^#hWd3zjKM-yY zB`=*Sy&DktvnATFE&e{GAdk|D?zQgKET9_A;Qe_lT&%W`*gU=Fs)s@!5ABQ7Cb1o8fM^N-W_u232y($zM*&1C#X7Bt#0}>_{ zNCwl!kkJGlInr3r5n29rRP;OPf?SY)^H4yyNA8miVgcQzg3LzO%AVP- zlA%RmX<9g(uDtyA8RrH?YPtZrp-_kdm$gl2WtWj^?_4m6AaKr(x`ggWa~9;~zM>a+ zbqL@wT1L3L=S{sTui)jxC&8ERaj)~Y99;%szp?U&?=c%i(5N+Q2-eD5+fuU(z9d@_*Q~^3gguPSw2DSQ^i{#Yvtmr?F9Q;^JkP?hKDJZxLZ%|x7Ttc;vR+}N z-Z(vDX+gjPcfom5lgKFht6e!Lo(XD?Z9_VDz^1)a!SN7Y2GLj^Ns7BGx$8*Dp|m%a zeUNIa4k8BauLxVQR zMiS#6+blOepjGN43{<%cktiHB3yxWe%06LJFDl5?H~5WwGRhYVaf56qHj^Onjsm?`$A&a5DZlb9BNJb3GW3ykGN~U8nK{}DoVpCJ}A~u zIV18)MLN-U@Pzo|w|OP**MaJZHFFu%%D;}xrzwp|79{Ac*Fh5Mzv0n~$uG~UOws*$RKDvTt(Aj3A9%z0z`iRU zAuZU-2d7b=DCEiAAFQ-GU>m<8zDQYFQ>d8UdcU~7WAc;!S?ji3v53o8=W@9#r|L6d z+6vs>DAbDCogbe4ee51e*NgdND90tSOChddNjN~C_>!qkopJQ-MB2P@wx9o9h?tUk zeQ>lICVuCAE;>C>{pGa%&N_HzOGD-A0FkYsAy+}xmq02abHWig$|^kch*8MPfWDpR zg%$19{0rf}RLLPliwRXfGMB4Q0w?b_k|eG4VVpwyY#@fnzz0#M5id{^WshRgbSQ!m z9c3yxavA3alq0S^6I(cXNgveq<&vC`KVDifl+u(edN=uJV+*7fA9~Gvbfa=?kcJoI zNMctB$?Ed6PT=UIhGH@cMkA;iie#Pw4yM-6=IEqj_3LrAr;#FX9I zaZ8c?p2$NY!HZvS?nD%dnrwLnyZ@@O27Y#5Ti>PjCXOkA0s&%wMPvOwWSOaB)Zv&3 zJ=(^+PfY1`3tf}M2zUD3v2YD;aNFvp3dO}Fm*~+1i&Ab;0mRj^!*0Jzs;q_BRI39& zE0p{hfttjV2^uLeHJd1AY_sgTV_sw_5eTeQf>GJ@VfAFqRP#xBhkC{5YHRzIcEP3| zDH=ayg|w?%+vbR?BhP4y#A+OzaXFr6fa*Y9b7JP|t79tECg zOz<=UiMaGC`VM}hn?1K2 z%lU5N5@|FI;PZVUEx?#!>ngE$DeX$yS$&FFv*Q7uRThG-^6W^|idDXp*WU&awXc3Sc zf zJ1gJ5ZEM#&%4OsxToo=mvlC9KOcrhE=fJWK4oE7zGBH|=qmL&j1_bY!K2qa2wLGk6 z=3Z1!L}+inF6XS8(E3duT4el+0EAjCuZE�{J#W#di^}89q8%#Uhr{Y!4dbwK&O9 zvsP#ynOCgn>~74nCw-BmdjU%X+fkir9ORG7kqEbIi36Ai$`ffUA0GY1+zg^kDcPXg z=Y@%F%}!aWZ8SDa6=HsK7gTwv643RoNGMMHSUC{6{mw%KY2ssD=MoH-+tw-s*hSux zikd3<2gmnWOwXT^B&d}KXJRqQ)iMt!!C03@XS=r>$}=Q`;)G+>j=l?5vVcs%839=i z(DMxW5pnX~BRDBoCHazKzyk?ps@cY)w=-&-XP{~uQ%4v2PMPKHW{J6sG=qg?Ao|bw zm6RrWBWqd#I90yd_QE-Bv_)L#Z$M7_t+&TWZ6660u+&B~(V?@sUaF_^^gF@Bjcl*) z{^Wh1Gz+$5zz6I-)EPbRsNa3bPnnEeo``}yd@)5zk+umEcmw!$5RMM_3}TQidZ7w18pIvbEng5SaFoFDz1OGVU`5_=%Q(p7PqxFBw4+DuGv8G7CY z)&f3;%2C2SgM#86$Ma&0_o=IdOE(9;%wlH|4)kQc6gt!oy@2%Bq_i^=#acqKJdt$* zw?u^Ok#X)|3l?co6+B1G@Fxy|^@Vnsm{p4` z5`z2{b1hCf60?tq+>}7WI$2}Rqg1?Gd?9#%)vSCYi4>n#Y_p^L+ z`0YiRi0PQbJ-+7|EGW%WFKsGjEE*L%W~G!ctzggiuVLCw-J-$yFnVf0rGED)2#uQe zPP%^Cdy+jdV9Hq#7DI4lSB0LV?OPHW}sGhgcufcd(xDL27X`c(W@M zDR(aiOdX7s4;PQ7Fk$-b#Vj3(+d!jKibhFyplesD+DQA1PB13kg-8@W{TYcqPC+n= zR-v7ZEAejnPB~Ucgmfs~*Q-R6;l+;~QSX<9WE{_982O{@&PYt(5R3~XI}Hn@;lA@O zm?anR=E| zemboArsA|$m%Ye5(p*xAISOAs*Toq49Wt@FdpU;PR4?*|sVYj0?+%Y6z_oo@f3f@> zfH&qS&{KsU`E(^m4=}`gm1YS9)*$Q!iy)Ei2Il*1bb8tUxU|7nKc7}jD~S>J7y!j2 zW=ICB3Gi7I1UmIx!{zVe?m6=;|88q08UC+5yE^jw6YF967_W)Da37h`xrj48{$eFB z*J6sLS1`slfa%%If?&zO9I;&*OlDmzensq#ir#ILIsf6T%ia5IkcfRpjmVn8jZRpb zRIT0$1%w`KOT`7WyQWnPr*8Zj(p|5AOA7$6r){r#RWV_<__wX=s^!8qFzeW&=voyf z{;a{eS?IZL&=!+Mq72-4^{n1nyHGVrMn1WyBR{Z!YMUSOAE|QY>*RVf)}~7z+)VEE z{y#gi^u;>-lKFEKUg!aU+{)olb-F`?`RF6r19D2W7Hzhg-GLiAgHK&SwI_#aL@N5H zilodP+eO?C9af+-CnbQyrKU=q2itzg+D3j0?pP!txWYb{`qUxrURYt2Rb-&YL|i@b zF?`-YVLdiunDq_^tQa<#5*M1)Qd`UjM=qm!{X-!6Ki)cqu|s^ALFWh>TFeC&mXlz&=|kNCo8t z*bDiiXF(mxLCW_9)18p+x%wKrlzvCI|f<-oBu;8vU{I?7X`99!%k&T3MY$&6igO}(j& z72BY6*wZ|(gqOUh@!3gP7H&Xj~LoleC{q4kv*bvw_BEx#?n_D9?+Z^j%hMQRZ0XQN2b51loJRktE7{$|5*@lyVf@(UHBb>2eD5JY{kdDhyN5`DyHfVcl zi95CIT|qart9`N1XEKXb_=_yYnSq#fuXV5RV4M# zYX$uX(YTA<$K$jj&k1_NGZaa<2{P#XYi^*0r7GLsXOv+LWp*sakURsraBhc~1Qu02 z>C9wi$;D^@T0k^<(2;W5uPFPSGEUvLQ*$OV=7*%QLB%5Cr;HR8Qw*GeDS!KPQ#e^50=q>RQ|=)4s=%*IX6II_ySpA9v&M*@=!nYqX2km z^>WqjdGAvd9Wn>L&;%kKbX5S@o6Nc>?c6bRk9xCQ^JeedH3lp=Si$v1@y6H*a!2U$Q?^X_xWzjrH8%yynr3vn@FHbm#s01 zRq#7}e@<$+W|42q^_duJ>z^<-Oew9Qc(FZf&D9%LSh)LZgh!t#2K6JM)8vSwH+v~| zQ3l?`fqenwH49%=-R}6eH6>r_ZlbWyMO%Uw6tfc#HHFE&vTp&o*5sNlU!4OT#kt0m_4A$rt7~R#ktsB6`kp?{bBg6AG7)Z zE?I1~*~mPI!Iv%1lyv%Vw@tfzpuzma z;*!SlBVQO%(TtlGzMB~QiWi)=LpG`#_o8b!C`H2yc8fALhb=g`HfEt|ZOiLJm-Bat zpCYshnI~v4+6KJ7*H2`Id+G;woK#pb+xFvGadm%`tZpWKFhnyY!1}`+8myqSAZ#;x z61S`EwlLNm&nKP|jT7Yp4>0@Os4;NW4RY0Wn35EpvJML8Pq_OBoU_zY7nvRdnsP4C zOw8)$IwA&o;cpb>O|%+^*>f@> zxiw?t6T+89T%W%z-6V-e$n9H;&P#np6=NjtmF_A_mFou`pIX`6ZQMV!<0q>nhCklF ziV;1fSnA^=s*2K+*e9g$J6be213XJYQDdI7@R`_9j$}IrwZv-4p^@1>M#1u%r)>}v z@b(fanxMRcsYPs3yyQXfLn7Q_{Dx(?#o}?_i~3Ht$~6_i4U>pZ$^7G3wx?3Njb?(( zuix89L7RYE3lQN$T(Q_h{2+WgHz{R2Y2 zpN9?z$W+%y$_h39vH&BQTv;&nO5?i(y!smGWVD zVKMRpWGyBJ#4{^4_EVWl=^B>!F2n-XV0lG>soiuH8Kb^}7_^Uvpr=(J;yBGQQvJPh z!4a9DmXB2^+qd`I_ZE0egvlx7TQS#ux08(&xm2y=(h`q2IU&+aD=yKXb+Y*vVKxE{ z*4oXmYCbY7)h}FD10^c@jl_R~aIBBoZ&x~X0(Zs8UftF}f@36289C=#yozMm1Ka zJc#0_^syM+pqz23c2VfY=hDUwpOD+X5TSC2UAeXqI6JJ;rhd-gqDS_dgw+R%{dtyP z-jVk#x$(1~=ttJ6c6us)+c8Ln5#5Ftv|*76F1p+4i~jto?%MV>|2;lmZk56bZ<(Vd z=X)S4jGTwS*$2rjC~9@>17X6rgo4u)62?T%J6AIKfrPAq2n&=E&_hzu7ZkWI!$3hg8Xx_^K66moU*`YY)C2ew2-A&i zkSl#JO~egiI?-relUIWG#lG1MJa7fDWZ!6x84D^9yU2Mq<;X}EsZcJ=Mj(l91v<$g z_t)xBR7KRYT#b}!*t7T>$iaL`@-c3yiq$b|R{yhLx9Z>dMsgxUM8jMXAg+`?|NPMa z`tSRSJ&^8^%(T{0y#G--;P0*_Zhax$q622H8=DGpn(12^aral^udd0KiXu-_c%y%` zkT>}k5WgRt=z`!pXN_TCK&XC+@-V2X!&UIyG2`@gmD_9QlVR8lY?uJWO5I_B?T-!B ziM+W|klrhchX#^k%DXn}>yhaogSN$(b#$*jd!zYBeJ8^$tyfu;yB_Wj!`$l6*7sVv zMb#Pd{mmy5J~zZzrmR@&S(M~@=&mC4;tX+LqrFy`GiC{m(;xfQFYy{9#l7zBF(;Y? zl1_Ndq(g2^68f6pX8{@f5Bz&(7cY6OqWFecFyRaZriGl&Lkj%KW*em_GL zn)gfDRjGbljs>8<6+M8|?Jwuu(` zRxP%{eTod9w%0oG(TgC{QrSZ2VVrwyUfouNH#MrAd&sY62QJGa=glYBqR6+3Rbn{( zCi+3)=g3q?xZG%mR|5=lrtWkc)%Hk;Mqlf2{Sc@=r|4V^_mgmo-dLe)Sbp z)nEv@vV4HLuZ0sE>+Rey{zYXzFx%&O_BXXZ1`ut@E)!vV8&Ftj{?Cbv{w z`q5UFnJ8I_s4-WdDhTLV3 z4OYO-cQSR8(qfK>4)g>z?8Sv-I35Ki<2-H3Oh#QWvHmfgh_oLw4XX^Rox-80Q2vo7 zq+X$0YD(+fi<;>-v&y3<6u}d;aa>6H<{Rd#f`LbDc2|Q%Abt1%6K$Kis~EVHrWx@n z)Y#Rfzf9D6;x?XGGg8)ffti;g6x;BP(xVhNVj1InPyJ(_2RT*?_0Q^m75RKHdrqC3 z4povZuCPxuHac{AfDu3l0O-=t0#$$WWCbEvo&vmGvP}W=pvq!XbqJU{Tl!)Hx`iqz z-7pXzJV5HQ&`Hey^MYB%D3=#8CPBKTLaTH5@7LL+zwaCo$K&PIzk6{;2>LT_Q6|Me zuMTwEm@>Jlf_>SW;m*$sPcts6%!5Vp+vgBnyu0630PP?qQy|cmmY2u?v=Knj(FbNl z?lATeyTf9UoZdX$1*=)B=`I#Hp#Vs`hZA z@Fh%W5J~OYBO1+1!IB(?;~75qP;o`hF6>UsUcuE#B`Qcq5^coDt-R)SR;O`p0@s~L z@x!mV_Ve>W!-IQ518Ba|Xv;G0yprwFbYcwCdIkM#J5b{s!HPJ^5<9A*e%@_dky(o2 zI?SzQ54?ObsyflGn{VLpf@YJCIKH{_}Ow(clv7er`xMBTz4YaPCGL&+aKlyx(2{xk{9x3jU&pzF0>J27jg}09NhZQVe z@5%(AOxfS@h{4%WZ|S@WJ0l{pkip%zy%)&`BPuV4^y$#oGo%{21OJ@mgK>fR7$=mY z#AV%w(QIbt>57mZQ}!`akTzY56z8T7Gzxnq27W+`@vzS8)kY{Y#M~qnfqO#+p@89w ztlqoE`b-jmcTk2O-6{C6A6+g6Yi>y6xbQCxv-e{YQ3ByaU#*2RP(wF&I%Z2@~P!FI7nY(@*#dnuB* zJalBH0e|D_SgFKKUV|s~#3R$7|0SIrDi;tAt31)To`%Mi-Uq}h87LO%^bHL-YKp%e z{1PfaeMxr|QvkciL8BZ8c7Ha}46{ytTSlYDg00j_Tk^7&@~;pZRoK_=f486P#SWe~ zW64mxD8G*(s~g8+ds3;Z`c+F^eD?&aF1XPiK-y=XsZVp7ks#eD$Ou}oaFguk1$&v# z)p2L}m}B+g#y5!aqVjgEWhAQ;lPKD%e8WqLvZxqy59X5Sh>5FDtL-e%o+nJbTK4fO z_CWC6CrVe3yEJ0cnmwDbx3&!N`7y}`6O4}kY&>xEvjbnj1=NleHJ40QYPe1)nNo*k#K|a#nu5Ntx^d{M>bdDGWWtot?O}q28Se8f<{m_J%*~~1ClUsCA33cxT zB$56(X!|&_m45@mlzPN_EB-1PGKMu~mGf@xlMZnbUz8p+cUiuJi?v0b`hb6HhRZU| z2IDP!vf*}X=(sP6@LDjH{%jp+IiVO6h+Fm-RVh4j_(qK%b! zqVV9JSDzt59iH@)6nyaGPDZD$PTj5Olo>{6Ki#f+o4Bs6kyWc~FJ>gR4sMxij>B*K-h zE?Yi=Fch&D)b}$3_Y7}pN@K) zQBMy3i;oe<>oG;TUe)ezt#mL$cD$j{;yQg$wS^|{BFO7eH4J>t@4!)xp8)XX;M5TA z%=(F8OpXlT44wy5f(8x2D@-qhaEo^kVg`7%C>P7z#P~{$r>8AKhCBdt*nbwAc`$_} zs75haTS%L2(;0T^q&ln48?{q!i^=Mns#M!CXCiAzh%7~a1bz&=CDc9`+U}&`6MztaaKm?RL1R-;hzlp)D@yP?D(}US$DVQMD3@E`q{0>(g&vE;Y^@c9f*W-cCNt5qa)nr-ytu zI#sc(Vhq*gWTUHqoaTuI*dr80*$=~FMd4f}8cYn%yyZf7%SNJLn+7C;%xDS!c+=r)E_!#5evt`s7 zK^A{Bu%DD_L0I>h7)D5}>AJmih?5&{K$i`Zv7@u4+6yWc1xq?JW@tSrco+7LF7Ocz zj!NI-`~X|F0H&=69HElQyhZ$NzzzzyrxDfVZVtUyKDF;vNHas0Bh0!U{X)-3Fw1nw z41LzRl2{Ky_*6~y9bvee9BZHt*d$SrDMeCnd!DC!xl4&&-w56*@TN_D5k6m*H#slK zqZ|8__+@|nmvIiEf1b%Hc}K|bi#D@9i#TdsfgK9WXV2VAH0|-Ha34ThEl>S(#HE1N zO^54~b|zvf1Dw{mUEoI&CGZn`_tX&EP~d+6PMtZbwk{vl96lb;a{> zfZ6HIgH6V3AIsdXCR)aOmEHPQ>2KqBI;U}J|+tg6!=kP>Uk z$9Gm9^!SKrPZ}_njq}E$yIKfOlpBqVTf@__qmx<_v5s;=>ob`YsAyg8;40G_!zEN1ljcb@TXYKWyXO+Oa>qYGn zmeSo_^jTW9ZUya|`~vi8a!G*V)`>Qj8-C8T3;yyuX~z zWdd5A>ExNZt%9}edyvIhPgu>d!?MYvHI}cZ$j0x4S`b%O=&MSY#HefDDN!@-MQm1* z@rD86OmQxg7z8uhUs}a+fr&-%A<_X19@d$vLm8QW+mI)bsJNmdBpylT!`th3Mfo3D z^EPaa#x4%{-BcCYFL7cmklshakB$3%?_$Gah79ZaWl4W4L`FA^*d7-Tf;znN$#1C>{K zZ9LDEO5b9;c>}ko8>i~?=`H$8F8o~n?iY${kF-$YB#A&6C&X{Ur|5Z|?vs(wdhW$D z#(9-Kqlp}XU<}OCzUQ;D{|>gjQRPcLQ43~C3MXqY*|)Y?bn&a~>dU@YHe;Ss8Sy;` zaPORBw+rx6eSQ?ifX4S0H3xx}QQ(e0Z~S)&{~N!Q_HkQqG`{sp82b>*+VyPGx-@}_ zKLh%W;wfbSy!ltRZ)`fXo>|>SjTCyQ@|GBJ`H=6S)_U(n$?_fOcsV5eqfPW^I{8uq zKOxO1cCWNWizEGZ&Lu?&(tzUb8Nj;pHu^>xoGR4(_E>-f+FoOyxZEh_M{Y_T!%?rJ z7mft}3hYi{+Zk{Ham3g|fS~ysd~1MH9_EP>5QpVdQ&#-F|MC#eMM$D>ELUr>gfm4=ndye;#+ zs}pR{KOg%~&zS=ec$(PNu_L~fd$eOKNwWsMujQA3Kq4W^rQ&rf*`l@`H(e%Q05nbE zp2mXq(!+j&nU4KYI~MA>8`7_xDkVy`inI?)R*BQlXTEcS1UyHacY@%cH(=mHN04i+wa?;4a?q-lYkC@|q2+=K)e7FIgwGHpcURpRh(A-~ zC7bWaCY3si)ZJk(%PE+%hkvL5X}7h-7`-2TG#)X4U1jKt>i2A6LwB@3g4eJ@nIfXW zA)#9;J54+8_zu%HeC&vz(jNb?Z|-UHHs3fWI=)G%W7C~=#AYqNMZzyFU1xdsr4%U^ zHf{`Rh$Q#(THC;*B{bgAJRqoM8{D5&KQ)H)ysJQrdp9$xY+H399^KmgBB`19@%t00 zOE{z!a!=W=zafoLCD*o-Lj=%q0<5#gKfsSbYea=fDF??yypBu8zs({q+TpCTPEWhd zKXLIh1$zVapy>r7;;7&fU3@(M-Pg0%&D*^Mr40;pYg-Jt$LD|I*AJwOj<+w!?*U{Y zS_Ft>yF-04goWL4j#GJp^A%v6%VYxIN86gv>7*Ei_1 zvt%ASj;EH5ZqJi5z8x5pmESJrF81kb5DB=KF(48dV0`vN^2Mr%@U#C0cFMDXamc-0 zkL_e9VQloU2J(zQERH;U0aS{Y=g_6oeN4CSeo8#=pRN(VxG`qI(&)y6M%#^sWR~}X z(R+T+*NovUq0j2fx9veMA_Zq|It>agd072&PAS83={_Ydg_0^y?=xx1um_oG(nlDc zYg-{Fg!~~rP3in-IJD0R{w?i&+yE@Qg;&@EBZh_NXq6C1re>&9m{~(%-%3m%QhSI% zJ2lP`+t-W#%=~^;nbwM$tf>IUI(H2;B1H8| z%M_=>{IHp1fO?GF20+A*(r5ysEQzpWJ#lq#`~I$C8?f0Ht?u0pp^>VB9|>=&{SCHXL?pqR!dujfmxW;7d=qP2oVI zQc>h8^kV5ijaC2~{+hvvO3LjNuTN*trUAuXe%W+Uj zXwOi2{xjl$CiNYAZiewUO>T_&x?p1qg%S4Rv}Pl(jQ^xi9M!hGxK=TU_#~aUg<}Kj zJ+M7p6|6-@Lf6Tm(rzrp(TPm=7-lO_7=Nj3iK>?r3oysX4F68?ArNQ16;d|S{k?+F z*Q&yka@nA&ZMI8le)fJ&n^V>l*A8*`u=hN--enHw(bW3zhj6%9NWRpG1^F#v);Mh` zVV%l8BAW~5SOseP+Ihl9Z9{G!F?D8ZvJq26Ra{Xz{m=*s+*%oo{%7_Jg4s~~rhZ^i zh=Gd%!whfyRYUu;LCJ|Ka)RPqfcLZFRDKs4`ZAB%>yLw?1k#R#9kGN+L$!BeesP8s z36N9E9ekYH+|aTWUTd#4+m#}_qD;b<^k+A}$&w7JYDJFF1l+`)WD_iEUwT8O&0`68 zcJe(-m@pI-!p4tu`=43ibrDHsUIKctQzyR}0V!#&66P|r1G@Hei%TkEI<*GJEYl^9 z{y&9Wby!qgw}&C6LsBFpBt$xg?q)~{DXF2mI|ZaiN(4k&x*PtaR#ZnuZ_d3E$X5DJ zbQMqsx_m1OeJ_`hynOw=mo#tV-$aZ)=-|Od-y;SBb;t$JS~)Mc+mFM#7>(X1T7k z#!g0Y_xr;%vPnbttI@LUcmo*848sf=>vg2lb7A4Zj%6A@t-g6kXJjuNJv`;c37jJz zh^V=TQ{#8D6zbK($irH1$;x`e0~LR@Hr2*12HU3)#Mf71O*>1JRxR}_9ooUNK(Ejr zS%1m+L$gX?`*imqo@p!q@7EO>Cctu!QI^vsfcF=w)h&+e+*R34E0G zj*_6-;(CwMoA&Vo&HD2wrj}w%mG69AR=$Ij!3;gkW*uz#&6S_%OJ5P^lU2^|Prc25 z*q&qIOpL^|zPR4TyL;eKDBqsgcq_-Ys@8yo*r|1QVys8@Ff|g!(wRl(=`^tsc6ZRpkq!_4(Zl1SCif&W+ z%V|?kh%H=nd9&-?IJ7HEV;;JHkvZ0<*ow7SZgEO`iG0)_-Vw(Al-f$WhL{ph!a1;J z-WaXRxZ=_A6mc^i`Rqrb`#mwlFI?`St=i|NV(db~WX`|1EPi%rjO^q3HF0*@_EW>` zy=>^~h`DFt)$&qiA$<0nkZYvXWMjdYhJYK**mP>LT)iK0U!(emFsW{v6__mo#Cak4 z$~&`YFI=45Ovt}71L4|fOZ zJ)@V09pGb-swBY(UCNJm_WSwz@vy~U$ zr;u$y)nE}d7l`ylu_%yWoO^HZmP`2RVXGR&^SJ7M8>b!6m47oOy#^5`QPZ{YAeCbl zq0V>py~K5ggsdKYBBv$ST$Z0G1JhQ_3p}060%5BKKm67Co$sZ9aF;K&7@o>+vr2@HK7ack)y_CdS0!4X~XTJ05nQYmj4)jZaJFf{qiS5clj*?Iqwsf%S%>YtbF2U&&_MRTGnm5%H2R;AU?#_AYa-?!YlGwjNL+dArzOR;c} z-elviPC-TZX!49*D${(wX57&+`h$BCyUla|!;Z*f9AK{b%(p+Ev-h1a1CWcSk5 zEtgV0-$=94#(j(sS;29K2*HYe4C>>b5Qf>JxyFH=~s~`5?O^MpUE$^uNLyfL}rTOfB+%zLU@F&+` z3h79O#Oq~>?Z{iJ&W??`EYdI1rrSGSq9kV9?ZsOBYPuSRLrT+IxKz(?Z>!(XoEY-;F65dm}J5&evDnu~cw6 z4Gt=d^azs|{dlH!B}C0GycDh{c+}86i<MG)6_RcztHbXbq6qtC*&sVwnp8antpNE z!8Z;s^-}hJy1-2pO!cN+_A)xcJNUA(tc?2NVoJL#;X3=r+6*}3dL=NYvm3&5Dh|`b zGnl^^mT+aJqDLR#{d)DFvH9XOiX>6rsrGi<0Ip!ce#@7Pa)L@?F2m&AV%kSE!jQ13 zt&qu{A6=~ZQuIZw&4a=(;jd3rkZZvkmqQOAeUMCzk=wQrb-&q}(jQ(Pf={*>YI+VB z-KiaJ?OlEi&@E=RQb@)xkrC=@98p|p_jI=w;XRA63810#%x1%N!dEpNIj0O0CC#3% z+4NP=8XP$J0u@3n&s5Mm-KkDxa`=kk51sP;WRguoXoiD9_rBzu+iBjyWWY}@OltYu zZ~_fwdhOgsOw5suiu_{nK2HXwj_M*y^G&2PNaAf6ZFC*M!}sP$S#Tq-87nRA6H-Css8rMUE)Kh4 zY5ByINtn*VrubZ3^hfLYs05%~EBp8! z5u(-57Xi+O%BJDUr#hB%@p%5+)X+viYUlN=DcRq8kf z7t$OQ)e!qN%FS*;E;iD}n`ZFm+Ekn~zzp%BXZws`BHU}=eHqob;&O_AEFzKIGa*DT_T(p`F26W+(~Jdtwc7BpzlhoMyA(jBWY5?Z z7X0j695fshczdTf%96;izyI=G|*(CJUoUvDv z{zUw~5ULM>i-OXzP z!*v>^$v@$jg$yI^ES0M0IrmCC-6KmdsEcOpPA$M}MSUyTI5AskuQGV{c+zZ;nf>5R z5Z`W6fe9*RqK{WOq;%3HMCiturnSm=@ksH#d!WPYvdgCPE5GvLXD%TBuXD%MN zSDP`^SMiSU={M;#ga#KQ6^3&UMcv0-}T5Pd$l%Ach#Zo+yo_HH4KZ zqP$8xMvTh2UYu}W`%hT8+Bw!Y8+V~+f1R%Ig08uJk^&vwV%@t<@-kB7sc^r?G3gjx{iui zQJLqumy$xP)cxYLatNARA=&eo+ceQBsQNQ5?1V!?J$HwP!&~+vtLn{T^H9@phl>U~ zY$OvBitWerHj5ay`S&!xxO3n7PTdiasOdj2dIN1{@)yUSqhGa;UEIfOcLOv+uh?a_j+oW1n zxwqWY8%WZT^x^S7w3C68!xK;GYMVI3d($XZ8_yatk)T0aa(yZ-952(MoGhbu4K2qW zhiar+cHIkkbr8*8W9RK)ZMyx0d4f`l1&r!CL%HDGulj)Tt#Nv{-FZ#V z)Gr2ixXXwDM29Hk=T9C7vIOHvRj(ahmysj+=?Jsq9|;YESa+JAPdOy|3vnuH$wKbs zEBKDNx{F})mKRX;??yv(S3W;tKMze!_474gR*h*fl`gkcpWD4@KRR1f$s(D~gLm;| z4^^RxowaDac@mMx0VY`$^Im9`PzVZ}#g(Yk0eLw+o|@xF$MrGrWMyJ;xU~d<%J9ghi-LZ{=agcQx{Z}Xs9D0#=ihPS zAK!FQo2Nm@*og`{q0UkPC+4iu*Kfww=zq?rmkVu^gWefznJ}PT$45!)#4gw0fI&ZJ z*>jo5anv%r`%R-g_J)MVN^3DvlI#nG!B)NecKxfhnV+bxjasM)vSEI6unN{+$YAbg zTVaZ?*&@|zV5gD8C~(X0#|6I0U$t9oanS9G_4j5AF9g*sGGIg9o!Ds(R>APfQ;wyl zKMmzHN*W`V1B06!V92TNAIOw8^SU9+ksK-E1&kC3mUwf35d#+$LbDV^+PlW_eD>aQCziqmgwDqoC#@G~l;XLo60mZA= zLYx-*a6XY{Q8QI_icJ*xsohXGvkS_E5Yg)F$04@0C0*$n@FCCRI$Q8MZ@U8PMVPU^d*N60_=r1v3iOOvkKRN!J08kk;lSK|t12O;AbOQV4B|R-+M*_19pgynuX!V^)QMZZpfXU| zzt4-`7~uky0q2FWf2tI)mb*G=uQ@-q(N>T+NE|dM%Oz7~6thbWXZ1;^7dB&p5i3!S z7{4(zHt(7(KAbRup2V5l*lIdCjY3P*3uQETcJmYYn1ss=*?9IRrq*L{>l(xl~4%JLT5t3$J- z_sb&nn$)x{F^v~Rgi!9j-+fzS6;IJ7VopuOPWQ^oS+g+Y-VI{$f5PmRFy*9yyRre}H-c()px=E$PA~eE&)C68T&M+x)5F zl}ybQm6yE*KCkp?-XPkJ50zJ+l}F_c^qG;%VE;>JS{6Jy+VfGv&0x5>uHX3X`q>H5 z{nD78WukTm+3{tw9hD5E*!K9MS)9%);o@#_a|x)B&UNR~c)NeMNseMS95-uw%fcxr z@mRZUF9Fa&U91fZ>ZA!mAL-Yh6YP))5qBpd3=w=um`GjL(BAFN3a$oHo}7>-8cLTj zE6FCT^1Q)2r~)I!3F$lS))w+gYPBM9>Jl+*8Pwv^nck0oF1n!}Bx(H} zE4SZvS!A)yUmf%vH!AUNt}I@qHXCtzF@Vq-?JT{$7BqBMo!8e+Kk-(T6Cv%y9$DWb zqv3U&aj7OJ71n6b@_T2Z%&cV6Vp=HDymR_8F69>~7nWQ;f6wYP_^NnZKb9r`ArfXw zpm5Y?J>vvVG}U5R4{?}ge#$NOYp5C7 zACvPmL%Q%8b#g^&MkK#rMd5c`DLUh^A5H|&D+7GXjBQp*gBOkr^p;S5GW-g^zoLeI@q)3r%HcBX70n0H*e8qa$aC9}_Y*sR- zjD}S>*@fKFY{o4)u2XZ={N7WOJUXnz{+B+pOQAV|TcI%?XqR>#Ki zNPTBhHSlZCuF~t5ZHoQJ_j_B+;FaSfay8>{Q>9<&)r4Gly&T_zO|7yxqRH=E=qb1+pC0lv6+~j{m52-98lEzGZKIHo{P|eKc#65e$mb9K6~m_|30SQr8eg%1Qbc7m| zc zCu$qg>+jS|P1)FQbe?0=2*+^A8}Og=@ZqR}37LKF(s?iI8gNVlE<<|(CnX2-nW*}e zlL{Lj6@?eMc3kjXuVE~5EU7jgGL1d$?@c`#bu8L0h)sLq_TXh7jNiEcE=r72>09-& zK>){l!!-MihrY7e6sszfh&;aAvU8E^t>HnzBM0nn^utZq&%&#)lN`J78JP8Q+Mkyy zy;j!ZkUJY{NlI~i!nMZm_FV^Q^?m5Aeu?rKO{?hcoO>!dzY+A3?F^zb$94fhXMUg< zQM!IpGaCewF|`~e39PPtFB7{wrD|^?^mg8ZPR1{qpEZpER8WA**`j=a*gkL@8AV9Q z{Rqd;Q-UYxH8!- z-s2t@hcb?yVaT_eL3ss2_@@kFEd{sl;@tj@9SI%CtCy=I;UBumeqab<2>$!J`Wqu$ z7=plc6}P|62)M4kL&@nLOKuTE?j*_c@KJhXK6#BuT}0Cw3)@AC>DAYq!TFx@eEnN9 z8@SJlmqY+Oa=JE$bfNhUyP68}@;CLRZ*-eQd>5M-!eZSRG z92$qP^4SaY0F3TdsV`ETT72v{k>vDY=Qa;mPA+UQ4pi*gbc6{v@GOgk3`$Onp2#2H zr6<~%#fPv6(?@(jwSCNg&LgBb_uaE*4Y80>R13+24^*iDJ*|bM0@es``06uSgzQ~&zT!^(2lDZZ4CP1ogI zIir~0dxIH}82(QT00Y7R#PCMOx`i~RBV($GPKXthf;X6Ie;zmLcXNy>8kKQs4pT~nnA6=;~&zgxpJz;fHd^X#MOf$-6Xn=QNbfHZNoJXY4nC+LOfa!&dk zL+((`&rRRDA}9HDO|*-|;(C~s#&ZhY7B*(!YG?6Ahv|Mm_H0C2?QoX@F=#@=z6$<3dBn!bJqs5%v%N&uD?g z{V%?K69vHk{owN#9|Z6a8^tAn5)nTFhzE!-ZW_RUgis*npZF+S;v#^M5dn z00OK0g~JfI>K{zY100)wusYC>zxe9EfVusrT@wz>-9Oj>ADEkeupt5?$^3=25IE-_ sj6lETcbA`ZmW^!Scza#U=T<@tJwWC5bsX@kOc0 z`9&$2c|awcsnu-Ql$50c6(B2&+ZSfWRg$D&HRGA7Bv(dePEu-7NqkynQG7~4Cd2{O Q&2H`JG745VS#|Zf0EpveB>(^b literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cwidincr.db b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/cwidincr.db new file mode 100644 index 0000000000000000000000000000000000000000..7dc46465ec3a059185db0a4394b142a09e1eff45 GIT binary patch literal 298 zcmZwByAA>|3`Ei8&4)ojx1xb=Fa<@hCODSh uvm_dpi.d +uvm_verdi_dpi.o : uvm_verdi_dpi.d /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + g++ $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp +uvm_verdi_dpi.d : /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + @g++ -MM $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp > uvm_verdi_dpi.d +# This file is automatically generated by VCS. Any changes you make to it +# will be overwritten the next time VCS is run +VCS_LIBEXT= +XTRN_OBJS=uvm_dpi.o uvm_verdi_dpi.o + +DPI_WRAPPER_OBJS = +DPI_STUB_OBJS = +# filelist.dpi will populate DPI_WRAPPER_OBJS and DPI_STUB_OBJS +include filelist.dpi +PLI_STUB_OBJS = +include filelist.pli + +include filelist.hsopt + +include filelist.cu + +VCS_INCR_OBJS= + + +AUGDIR= +AUG_LDFLAGS= +SHARED_OBJ_SO= + + + +VLOG_OBJS=$(XTRN_OBJS) $(VCS_OBJS) $(CU_OBJS) $(VCS_ARC0) $(DPI_WRAPPER_OBJS) $(VCS_INCR_OBJS) $(SHARED_OBJ_SO) $(HSOPT_OBJS) diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu new file mode 100644 index 0000000..3f3a9c8 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.cu @@ -0,0 +1,33 @@ +PIC_LD=ld + +ARCHIVE_OBJS= +ARCHIVE_OBJS += _64535_archive_1.so +_64535_archive_1.so : archive.0/_64535_archive_1.a + @$(AR) -s $< + @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_64535_archive_1.so --whole-archive $< --no-whole-archive + @rm -f $@ + @ln -sf .//../simv.daidir//_64535_archive_1.so $@ + + + + + +O0_OBJS = + +$(O0_OBJS) : %.o: %.c + $(CC_CG) $(CFLAGS_O0) -c -o $@ $< + + +%.o: %.c + $(CC_CG) $(CFLAGS_CG) -c -o $@ $< +CU_UDP_OBJS = \ + + +CU_LVL_OBJS = \ +SIM_l.o + +MAIN_OBJS = \ +objs/amcQw_d.o + +CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(CU_UDP_OBJS) $(CU_LVL_OBJS) + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.dpi b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.dpi new file mode 100644 index 0000000..bc5e8d7 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.dpi @@ -0,0 +1,4 @@ +DPI_STUB_OBJS += ./../simv.daidir/vc_hdrs.o +./../simv.daidir/vc_hdrs.o: ./../simv.daidir/vc_hdrs.c + @$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o ./../simv.daidir/vc_hdrs.o ./../simv.daidir/vc_hdrs.c + @strip -g ./../simv.daidir/vc_hdrs.o diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt new file mode 100644 index 0000000..c5a3e18 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt @@ -0,0 +1,13 @@ +rmapats_mop.o: rmapats.m + @/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/cgmop1 -tls_initexe -dump_profinf -rtloopreport -pic -gen_obj rmapats.m rmapats_mop.o; rm -f rmapats.m; touch rmapats.m; touch rmapats_mop.o + +rmapats.o: rmapats.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmapats.o rmapats.c +rmapats%.o: rmapats%.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $< +rmar.o: rmar.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmar.o rmar.c +rmar%.o: rmar%.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $< + +include filelist.hsopt.objs diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.llvm2_0.objs b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.llvm2_0.objs new file mode 100644 index 0000000..4c31419 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.llvm2_0.objs @@ -0,0 +1 @@ +LLVM_OBJS += rmar_llvm_0_1.o rmar_llvm_0_0.o diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.objs b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.objs new file mode 100644 index 0000000..f40e57c --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.hsopt.objs @@ -0,0 +1,7 @@ +HSOPT_OBJS +=rmapats_mop.o \ + rmapats.o \ + rmar.o rmar_nd.o + +include filelist.hsopt.llvm2_0.objs +HSOPT_OBJS += $(LLVM_OBJS) + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.pli b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.pli new file mode 100644 index 0000000..f943d17 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/filelist.pli @@ -0,0 +1,4 @@ +PLI_STUB_OBJS += _vcs_pli_stub_.o +_vcs_pli_stub_.o: _vcs_pli_stub_.c + @$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o _vcs_pli_stub_.o _vcs_pli_stub_.c + @strip -g _vcs_pli_stub_.o diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/import_dpic.h b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/import_dpic.h new file mode 100644 index 0000000..e375a1a --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/import_dpic.h @@ -0,0 +1,146 @@ +typedef struct _vcs_dpi_rsrc_msg_struct rsrc_msg_struct; + +struct _vcs_dpi_rsrc_msg_struct { + SV_STRING scope_name; + SV_STRING field_name; + SV_STRING type_name; + SV_STRING action; + SV_STRING accessor; + SV_STRING resource; +}; + + + + extern int uvm_hdl_check_path(/* INPUT */const char* path); + + extern int uvm_hdl_deposit(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_force(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_release_and_read(/* INPUT */const char* path, /* INOUT */svLogicVecVal *value); + + extern int uvm_hdl_release(/* INPUT */const char* path); + + extern int uvm_hdl_read(/* INPUT */const char* path, /* OUTPUT */svLogicVecVal *value); + + extern SV_STRING uvm_hdl_read_string(/* INPUT */const char* path); + + extern int uvm_memory_load(/* INPUT */const char* nid, /* INPUT */const char* scope, /* INPUT */const char* fileName, /* INPUT */const char* radix, /* INPUT */const char* startaddr, /* INPUT */const char* endaddr, /* INPUT */const char* types); + + extern SV_STRING uvm_dpi_get_next_arg_c(/* INPUT */int init); + + extern SV_STRING uvm_dpi_get_tool_name_c(); + + extern SV_STRING uvm_dpi_get_tool_version_c(); + + extern void* uvm_dpi_regcomp(/* INPUT */const char* regex); + + extern int uvm_dpi_regexec(/* INPUT */void* preg, /* INPUT */const char* str); + + extern void uvm_dpi_regfree(/* INPUT */void* preg); + + extern int uvm_re_match(/* INPUT */const char* re, /* INPUT */const char* str); + + extern void uvm_dump_re_cache(); + + extern SV_STRING uvm_glob_to_re(/* INPUT */const char* glob); + + extern int parse_rsrc_msg(/* INPUT */const char* message, /* OUTPUT */rsrc_msg_struct *_msg_fields); + + extern int parse_phase_msg(/* INPUT */const char* message, /* OUTPUT */SV_STRING *domain, /* OUTPUT */SV_STRING *schedule, /* OUTPUT */SV_STRING *phase); + + extern int find_substr_by_C(/* INPUT */const char* org_str, /* INPUT */const char* search_str); + + extern SV_STRING verdi_dump_resource_value(/* INPUT */const char* rsrc); + + extern int verdi_dump_component_interface(/* INPUT */const char* scope_name, /* INPUT */int streamId); + + extern SV_STRING verdi_upper_scope(/* INPUT */const char* inst_scope_name, /* OUTPUT */void* *upper_scope_pointer); + + extern void verdi_dhier_interface(/* INPUT */const char* var_name); + + extern void retrieve_reg_def_class(/* INPUT */const char* var_name, /* INPUT */int _handle, /* INPUT */int is_objid_only); + + extern SV_STRING retrieve_def_class(/* INPUT */const char* var_name, /* OUTPUT */int *objid); + + extern int record_reg_decl_name(/* INPUT */int handle, /* INPUT */const char* parent_var_name, /* INPUT */const char* var_name, /* INPUT */const char* obj_name); + + extern int check_is_sequencer(); + + extern SV_STRING remove_array_index(/* INPUT */const char* name_w_ary_idx, /* OUTPUT */void* *name_c_ptr); + + extern void fsdbTransDPI_scope_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_string_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_real_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern int fsdbTransDPI_create_stream_begin(/* OUTPUT */int *state, /* INPUT */const char* stream_fullname, /* INPUT */const char* description, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_create_stream_end(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* options); + + extern long long fsdbTransDPI_begin(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* trans_type, /* INPUT */const char* options); + + extern void fsdbTransDPI_set_label(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* label, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_tag(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* tag, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, const /* INPUT */svLogicVecVal *expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */double expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_end(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_relation(/* OUTPUT */int *state, /* INPUT */const char* rel_name, /* INPUT */long long master_tid, /* INPUT */long long slave_tid, /* INPUT */const char* options); + + extern unsigned int fsdbTransDPI_get_enum_id(/* OUTPUT */int *state, /* INPUT */const char* enum_var_name); + + extern SV_STRING fsdbTransDPI_get_class_str(/* OUTPUT */int *state, /* INPUT */const char* class_var_name, /* INPUT */const char* options); + + extern void* DPI_hilbert_fir_initialize(/* INPUT */void* existhandle); + + extern void* DPI_hilbert_fir_reset(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data); + + extern void DPI_hilbert_fir(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data); + + extern void DPI_hilbert_fir_terminate(/* INPUT */void* existhandle); diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/product_timestamp b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/product_timestamp new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.c b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.c new file mode 100644 index 0000000..986e973 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.c @@ -0,0 +1,59 @@ +// file = 0; split type = patterns; threshold = 100000; total count = 0. +#include +#include +#include +#include "rmapats.h" + +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0_core(struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685) +{ + U I1547; + U I1548; + U I1549; + struct futq * I1550; + struct dummyq_struct * pQ = I1289; + I1547 = ((U )vcs_clocks) + I685; + I1549 = I1547 & ((1 << fHashTableSize) - 1); + I1283->I727 = (EBLK *)(-1); + I1283->I731 = I1547; + if (I1547 < (U )vcs_clocks) { + I1548 = ((U *)&vcs_clocks)[1]; + sched_millenium(pQ, I1283, I1548 + 1, I1547); + } + else if ((peblkFutQ1Head != ((void *)0)) && (I685 == 1)) { + I1283->I733 = (struct eblk *)peblkFutQ1Tail; + peblkFutQ1Tail->I727 = I1283; + peblkFutQ1Tail = I1283; + } + else if ((I1550 = pQ->I1190[I1549].I745)) { + I1283->I733 = (struct eblk *)I1550->I744; + I1550->I744->I727 = (RP )I1283; + I1550->I744 = (RmaEblk *)I1283; + } + else { + sched_hsopt(pQ, I1283, I1547); + } +} +#ifdef __cplusplus +extern "C" { +#endif +void mopcProfileInit(void); +void SinitHsimPats(void); +#ifdef __cplusplus +} +#endif +#ifdef __cplusplus +extern "C" { +#endif +void rmaFunctionDummyEnd_simv_daidir(UB * pcode, scalar val); +#ifdef __cplusplus +} +#endif +#ifdef __cplusplus +extern "C" { +#endif +void SinitHsimPats(void); +#ifdef __cplusplus +} +#endif diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.h b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.h new file mode 100644 index 0000000..204559b --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.h @@ -0,0 +1,2519 @@ +#ifndef __DO_RMAHDR_ +#define __DO_RMAHDR_ + +#ifdef __cplusplus + extern "C" { +#endif + +#define VCS_RTLIB_TLS_MODEL __attribute__((tls_model("initial-exec"))) + +typedef unsigned long UP; +typedef unsigned U; +typedef unsigned char UB; +typedef unsigned char scalar; +typedef struct vec32 vec32; +typedef unsigned short US; +typedef unsigned char SVAL; +typedef unsigned char TYPEB; +typedef struct qird QIRD; +typedef unsigned char UST_e; +typedef unsigned uscope_t; +typedef U NumLibs_t; +struct vec32 { + U I1; + U I2; +}; +typedef unsigned long RP; +typedef unsigned long RO; +typedef unsigned long long ULL; +typedef U GateCount; +typedef U NodeCount; +typedef unsigned short HsimEdge; +typedef unsigned char HsimExprChar; +typedef struct { + U I706; + RP I707; +} RmaReceiveClock1; +typedef NodeCount FlatNodeNum; +typedef U InstNum; +typedef unsigned ProcessNum; +typedef unsigned long long TimeStamp64; +typedef unsigned long long TimeStamp; +typedef enum { + PD_SING = 0, + PD_RF = 1, + PD_PLSE = 2, + PD_PLSE_RF = 3, + PD_NULL = 4 +} PD_e; +typedef TimeStamp RmaTimeStamp; +typedef TimeStamp64 RmaTimeStamp64; +typedef struct { + int * I708; + int * I709; + int I710; + union { + long long enumDesc; + long long classId; + } I711; +} TypeData; +struct etype { + U I586 :8; + U I587; + U I588; + U I589 :1; + U I590 :1; + U I591 :1; + U I592 :1; + U I593 :1; + U I594 :1; + U I595 :1; + U I596 :1; + U I597 :1; + U I598 :4; + U I599 :1; + U I600 :1; + U I601 :1; + U I602 :1; + U I603 :1; + U I604 :1; + U I605 :1; + U I606 :1; + U I607 :2; + U I608 :1; + U I609 :2; + U I610 :1; + U I611 :1; + U I612 :1; + U I613 :1; + U I614 :1; + U I615 :1; + TypeData * I616; + U I617; + U I618; + U I619 :1; + U I620 :1; + U I621 :1; + U I622 :1; + U I623 :2; + U I624 :2; + U I625 :1; + U I626 :1; + U I627 :1; + U I628 :1; + U I629 :1; + U I630 :1; + U I631 :1; + U I632 :1; + U I633 :1; + U I634 :1; + U I635 :1; + U I636 :13; +}; +typedef union { + double I718; + unsigned long long I719; + unsigned I720[2]; +} rma_clock_struct; +typedef struct eblk EBLK; +typedef int (* E_fn)(void); +typedef struct eblk { + struct eblk * I727; + E_fn I728; + struct iptmpl * I729; + unsigned I731; + unsigned I732; + struct eblk * I733; +} eblk_struct; +typedef struct { + RP I727; + RP I728; + RP I729; + unsigned I731; + unsigned I732; + RP I733; +} RmaEblk; +typedef struct { + RP I727; + RP I728; + RP I729; + unsigned I731; + unsigned I732; + RP I733; + unsigned val; +} RmaEblklq; +typedef union { + double I718; + unsigned long long I719; + unsigned I720[2]; +} clock_struct; +typedef clock_struct RmaClockStruct; +typedef struct RmaRetain_t RmaRetain; +struct RmaRetain_t { + RP I769; + RmaEblk I726; + U I771; + US I772 :1; + US I773 :4; + US I181 :2; + US state :2; + US I775 :1; + US I776 :2; + US I777 :2; + US fHsim :1; + US I569 :1; + scalar newval; + scalar I780; + RP I781; +}; +struct retain_t { + struct retain_t * I769; + EBLK I726; + U I771; + US I772 :1; + US I773 :4; + US I181 :2; + US state :2; + US I775 :1; + US I776 :2; + US I777 :2; + US fHsim :1; + US I778 :1; + scalar newval; + scalar I780; + void * I781; +}; +typedef struct MPSched MPS; +typedef struct RmaMPSched RmaMps; +struct MPSched { + MPS * I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + EBLK I766; + void * I767; + UP I768[1]; +}; +struct RmaMPSched { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + RmaEblk I766; + RP I767; + RP I768[1]; +}; +typedef struct RmaMPSchedPulse RmaMpsp; +struct RmaMPSchedPulse { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar I181; + U I765; + RmaEblk I766; + scalar I777; + scalar I786; + scalar I787; + scalar I788; + U I789; + RmaClockStruct I790; + RmaClockStruct I791; + U state; + U I792; + RP I729; + RP I793; + RP I794; + RP I768[1]; +}; +typedef struct MPItem MPI; +struct MPItem { + U * I796; + void * I797; +}; +typedef struct { + RmaEblk I726; + RP I798; + scalar I799; + scalar I777; + scalar I800; +} RmaTransEventHdr; +typedef struct RmaMPSchedPulseNewCsdf RmaMpspNewCsdf; +struct RmaMPSchedPulseNewCsdf { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + RmaEblk I766; + scalar I777; + scalar I786; + scalar I787; + scalar I788; + U state :4; + U I802 :28; + RmaClockStruct I790; + RmaClockStruct I791; + RP I803; + RP I729; + RP I804; + RP I768[1]; +}; +typedef struct red_t { + U I805; + U I806; + U I685; +} RED; +typedef struct predd { + PD_e I181; + RED I807[0]; +} PREDD; +union rhs_value { + vec32 I808; + scalar I799; + vec32 * I777; + double I809; + U I810; +}; +typedef struct nbs_t { + struct nbs_t * I811; + struct nbs_t * I813; + void (* I814)(struct nbs_t * I781); + U I815 :1; + U I816 :1; + U I817 :1; + U I818 :1; + U I819 :1; + U I820 :1; + U I821 :26; + U I822; + void * I823; + union rhs_value I824; + vec32 I718; + union { + struct nbs_t * first; + struct nbs_t * last; + } I826; +} NBS; +typedef struct { + RP I827; + RP I793; + RP I729; + RP I794; + RmaEblk I726; + RmaEblk I828; + RP I829; + scalar I799; + scalar I777; + char state; + uscope_t I830; + U I831; + RP I832; + scalar I786; + scalar I787; + scalar I788; + RmaClockStruct I790; + RmaClockStruct I791; + RP I767; +} RmaPulse; +typedef enum { + QIRDModuleC = 1, + QIRDSVPackageC = 2, + QIRDSpiceModuleC = 3 +} QIRDModuleType; +typedef struct { + U I836 :1; + U I837 :1; + U I838 :1; + U I839 :1; + U I840 :1; + U I841 :1; + U I842 :1; + U I843 :1; + U I844 :1; + U I845 :1; + U I846 :1; + U I847 :1; + U I848 :1; + U I849 :1; + U I850 :1; + U I851 :1; + U I852 :1; + U I853 :1; + QIRDModuleType I854 :2; + U I855 :1; + U I856 :1; + U I857 :1; + U I858 :1; + U I859 :1; + U I860 :1; + U I861 :1; + U I862 :1; + U I863 :1; + U I864 :1; + U I865 :1; + U I866 :1; + U I867 :1; + U I868 :1; + U I869 :1; + U I870 :1; + U I871 :1; + U I872 :1; + U I873 :1; + U I874 :1; +} BitFlags; +struct qird { + US I4; + US I5; + U I6; + U I7; + char * I8; + char * I9; + U * I10; + char * I11; + char * I12; + U I13; + U I14; + struct vcd_rt * I15; + U I17; + struct _vcdOffset_rt * I18; + U I20; + U I21; + U * I22; + U * I23; + void * I24; + void * I25; + U I26; + int I27; + UP I28; + U I29; + U I30; + U I31; + UP I32; + U * I33; + UP I34; + U I35; + BitFlags I36; + U I37; + U I38; + U I39; + U I40; + U I41; + U * I42; + U I43; + U * I44; + U I45; + U I46; + U I47; + U I48; + U I49; + U I50; + U I51; + U * I52; + U * I53; + U I54; + U I55; + U * I56; + U I57; + U * I58; + U I59; + U I60; + U I61; + U I62; + U * I63; + U I64; + U * I65; + U I66; + U I67; + U I68; + U I69; + U I70; + U I71; + U * I72; + char * I73; + U I74; + U I75; + U I76; + U I77; + U I78; + U * I79; + U I80; + U I81; + U I82; + UP * I83; + U I84; + U I85; + U I86; + U I87; + U I88; + U I89; + U * I90; + U I91; + U I92; + U * I93; + U * I94; + U * I95; + U * I96; + U * I97; + U I98; + U I99; + struct taskInfo * I100; + U I102; + U I103; + U I104; + int * I105; + U * I106; + UP * I107; + U * I108; + U I109; + U I110; + U I111; + U I112; + U I113; + struct qrefer * I114; + U * I116; + unsigned * I117; + void * I118; + U I119; + U I120; + struct classStaticReferData * I121; + U I123; + U * I124; + U I125; + U * I126; + U I127; + struct wakeupInfoStruct * I128; + U I130; + U I131; + U I132; + U * I133; + U I134; + U * I135; + U I136; + U I137; + U I138; + U * I139; + U I140; + U * I141; + U I142; + U I143; + U * I144; + U I145; + U I146; + U * I147; + U * I148; + U * I149; + U I150; + U I151; + U I152; + U I153; + U I154; + struct qrefee * I155; + U * I157; + U I158; + struct qdefrefee * I159; + U * I161; + int (* I162)(void); + char * I163; + U I164; + U I165; + void * I166; + void * I167; + NumLibs_t I168; + char * I169; + U * I170; + U I171; + U I172; + U I173; + U I174; + U I175; + U * I176; + U * I177; + int I178; + struct clock_load * I179; + int I194; + struct clock_data * I195; + int I211; + struct clock_hiconn * I212; + U I216; + U I217; + U I218; + U I219; + U * I220; + U * I221; + U I222; + void * I223; + U I224; + U I225; + UP * I226; + void * I227; + U I228; + UP * I229; + U * I230; + int (* I231)(void); + U * I232; + UP * I233; + U * I234; + U I235 :1; + U I236 :31; + U I237; + U I238; + UP * I239; + U * I240; + U I241 :1; + U I242 :1; + U I243 :1; + U I244 :1; + U I245 :28; + U I246; + U I247; + U I248; + U I249 :31; + U I250 :1; + UP * I251; + UP * I252; + U * I253; + U * I254; + U * I255; + U * I256; + UP * I257; + UP * I258; + UP * I259; + U * I260; + UP * I261; + UP * I262; + UP * I263; + UP * I264; + char * I265; + U I266; + U I267; + U I268; + UP * I269; + U I270; + UP * I271; + UP * I272; + UP * I273; + UP * I274; + UP * I275; + UP * I276; + UP * I277; + UP * I278; + UP * I279; + UP * I280; + UP * I281; + UP * I282; + UP * I283; + UP * I284; + U * I285; + U * I286; + UP * I287; + U I288; + U I289; + U I290; + U I291; + U I292; + U I293; + U I294; + U I295; + char * I296; + U * I297; + U I298; + U I299; + U I300; + U I301; + U I302; + UP * I303; + UP * I304; + UP * I305; + UP * I306; + struct daidirInfo * I307; + struct vcs_tftable * I309; + U I311; + UP * I312; + UP * I313; + U I314; + U I315; + U I316; + UP * I317; + U * I318; + UP * I319; + UP * I320; + struct qird_hil_data * I321; + UP (* I323)(void); + UP (* I324)(void); + UP (* I325)(void); + UP (* I326)(void); + UP (* I327)(void); + int * I328; + int (* I329)(void); + char * I330; + UP * I331; + UP * I332; + UP (* I333)(void); + int (* I334)(void); + int * I335; + int (* I336)(void); + int * I337; + char * I338; + U * I339; + U * I340; + U * I341; + U * I342; + void * I343; + U I344; + void * I345; + U I346; + U I347; + U I348; + U I349; + U I350; + U I351; + char * I352; + UP * I353; + U * I354; + U * I355; + U I356 :15; + U I357 :14; + U I358 :1; + U I359 :1; + U I360 :1; + U I361 :3; + U I362 :1; + U I363 :1; + U I364 :17; + U I365 :3; + U I366 :5; + U I367 :1; + U I368 :1; + U I369; + U I370; + struct scope * I371; + U I373; + U I374; + U I375; + U * I376; + U * I377; + U * I378; + U I379; + U I380; + U I381; + struct pcbt * I382; + U I392; + U I393; + U I394; + U I395; + void * I396; + void * I397; + void * I398; + int I399; + U * I400; + U I401; + U I402; + U I403; + U I404; + U I405; + U I406; + U I407; + void * I408; + UP * I409; + U I410; + U I411; + void * I412; + U I413; + void * I414; + U I415; + void * I416; + U I417; + int (* I418)(void); + int (* I419)(void); + void * I420; + void * I421; + void * I422; + U I423; + U I424; + U I425; + U I426; + U I427; + U I428; + char * I429; + U I430; + U * I431; + U I432; + U * I433; + U I434; + U I435; + U I436; + U I437; + U I438; + U I439; + U * I440; + U I441; + U I442; + U * I443; + U I444; + U I445; + U I446; + U * I447; + char * I448; + U I449; + U I450; + U I451; + U I452; + U * I453; + U * I454; + U I455; + U * I456; + U * I457; + U I458; + U I459; + U I460; + UP * I461; + U I462; + U I463; + U I464; + struct cosim_info * I465; + U I467; + U * I468; + U I469; + void * I470; + U I471; + U * I472; + U I473; + struct hybridSimReferrerData * I474; + U I476; + U * I477; + U I478; + U I479; + U * I480; + U I481; + U * I482; + U I483; + U * I484; + U I485; + U I486; + U I487; + U I488; + U I489; + U I490; + U I491; + U I492; + U I493; + U * I494; + U * I495; + void (* I496)(void); + U * I497; + UP * I498; + struct mhdl_outInfo * I499; + UP * I501; + U I502; + UP * I503; + U I504; + void * I505; + U * I506; + void * I507; + char * I508; + int (* I509)(void); + U * I510; + char * I511; + char * I512; + U I513; + U * I514; + char * I515; + U I516; + struct regInitInfo * I517; + UP * I519; + U * I520; + char * I521; + U I522; + U I523; + U I524; + U I525; + U I526; + U I527; + U I528; + U I529; + UP * I530; + U I531; + U I532; + U I533; + U I534; + UP * I535; + U I536; + UP * I537; + U I538; + U I539; + U I540; + U * I541; + U I542; + U I543; + U I544; + U * I545; + U * I546; + UP * I547; + UP * I548; + void * I549; + UP I550; + void * I551; + void * I552; + void * I553; + void * I554; + void * I555; + UP I556; + U * I557; + U * I558; + void * I559; + U I560 :1; + U I561 :31; + U I562; + U I563; + U I564; + int I565; + U I566 :1; + U I567 :1; + U I568 :1; + U I569 :29; + void * I570; + void * I571; + void * I572; + void * I573; + void * I574; + UP * I575; + U * I576; + U I577; + char * I578; + U * I579; + U * I580; + char * I581; + int * I582; + UP * I583; + struct etype * I584; + U I637; + U I638; + U * I639; + struct etype * I640; + U I641; + U I642; + U I643; + U * I644; + void * I645; + U I646; + U I647; + void * I648; + U I649; + U I650; + U * I651; + U * I652; + char * I653; + U I654; + struct covreg_rt * I655; + U I657; + U I658; + U * I659; + U I660; + U * I661; + U I662; + U I663; + U * I664; +}; +typedef struct pcbt { + U * I384; + UP I385; + U I386; + U I387; + U I388; + U I389; + U I390; + U I391; +} PCBT; +struct iptmpl { + QIRD * I734; + struct vcs_globals_t * I735; + void * I737; + UP I738; + UP I739; + struct iptmpl * I729[2]; +}; +typedef unsigned long long FileOffset; +typedef struct _RmaMultiInputTable { + U I881 :1; + U I882 :1; + U I672 :2; + U I673 :4; + U I674 :5; + U I883 :1; + U I884 :1; + U I885 :1; + U I886 :1; + U I887 :1; + U I888 :1; + U I889; + U I890; + U I203; + U I891; + U I892 :1; + U I893 :31; + union { + U utable; + U edgeInputNum; + } I699; + U I894 :4; + U I895 :4; + U I896 :4; + U I897 :4; + U I898 :4; + U I899 :4; + U I900 :1; + U I901 :1; + U I902 :1; + U I903 :1; + U I904 :5; + HsimExprChar * I905; + UB * I906; + UB * I907; + struct _RmaMultiInputTable * I880; + struct _RmaMultiInputTable * I909; +} RmaMultiInputTable; +typedef struct _HsCgPeriod { + U I955; + U I956; +} HsCgPeriod; +typedef struct { + U I957[2]; + U I958 :1; + U I959 :1; + U I960 :8; + U I961 :8; + U I962 :8; + U I963 :4; + U I964 :1; + U I965 :1; + unsigned long long I966; + unsigned long long I967; + unsigned long long I968; + unsigned long long I969; + unsigned long long I956; + U I955; + U I970; + U I971; + U I972; + U I973; + U I974; + HsCgPeriod * I975[10]; +} HsimSignalMonitor; +typedef struct { + FlatNodeNum I976; + InstNum I977; + U I915; + scalar I978; + UB I979; + UB I980; + UB I981; + UB I982; + UB I983; + UB I984; + U I985; + U I986; + U I987; + U I988; + U I989; + U I990; + U I991; + U I992; + U I993; + HsimSignalMonitor * I994; + RP I995; + RmaTimeStamp64 I996; + U I997; + RmaTimeStamp64 I998; + U I999; + UB I1000; +} HsimNodeRecord; +typedef RP RCICODE; +typedef struct { + RP I1005; + RP I729; +} RmaIbfIp; +typedef struct { + RP I1005; + RP pcode; +} RmaIbfPcode; +typedef struct { + RmaEblk I726; +} RmaEvTriggeredOrSyncLoadCg; +typedef struct { + RO I877; + RP pcode; +} SchedGateFanout; +typedef struct { + RO I877; + RP pcode; + U I936[4]; +} SchedSelectGateFanout; +typedef struct { + RP pcode; + RmaEblklq I726; +} SchedGateEblk; +typedef struct { + RP pcode; + RmaEblklq I726; + UB * I1006; +} SchedSelectGateEblk; +typedef struct { + RP I1007; + RP pfn; + RP pcode; +} RmaSeqPrimOutputEblkData; +typedef struct { + RmaEblk I726; + RP I1008; +} RmaAnySchedSampleSCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + vec32 I1009; +} RmaAnySchedVCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + vec32 I776[1]; +} RmaAnySchedWCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + scalar I1010[1]; +} RmaAnySchedECg; +typedef struct { + U I1011; + U I714; + U I915; + U I1012; + RmaIbfIp * I1013; + EBLK I726; + void * val; +} RmaThreadSchedCompiledLoads; +typedef struct { + U I714; + U I722; + RmaThreadSchedCompiledLoads * I1014; +} RmaSchedCompileLoadsCg; +typedef struct { + RP I1015; +} RmaRootCbkCg; +typedef struct { + RP I1016; +} RmaRootForceCbkCg; +typedef struct { + RmaEblk I726; + RP I1017; +} RmaForceCbkJmpCg; +typedef struct { + U I5; + U I722 :31; + U I1018 :1; + vec32 I808; + U I1019; + RP I1020; + RP I1021; +} RmaForceSelectorV; +typedef struct { + U I5; + RmaIbfPcode I1027; +} RmaNetTypeDriverGate; +typedef struct { + U I5; + U I668; + RmaIbfPcode I1027[1]; +} RmaNetTypeScatterGate; +typedef struct { + U I5; + RmaIbfPcode I1027; +} RmaNetTypeGatherGate; +typedef struct { + RmaIbfPcode I1028; + U I1029 :3; + U I1030 :1; + U I1031 :1; + U I890 :16; +} RmaNbaGateOfn; +typedef struct { + U I5; + NBS I1032; + RmaIbfPcode I1028; +} RmaNbaGate1; +typedef struct { + RP ptable; + RP pfn; + RP pcode; +} Rma1InputGateFaninCgS; +typedef struct RmaSeqPrimOutputS_ RmaSeqPrimOutputOnClkS; +struct RmaSeqPrimOutputS_ { + RP pfn; + RP I1035; + U state; + U I1036; + RP I1037; + U I706; + scalar val; +}; +typedef struct { + U I5; + U iinput; + UB I1039; + RP I1040; +} RmaCondOptLoad; +typedef struct { + U I5; + U iinput; + UB I1039; + RP I1040; +} RmaMacroStateUpdate; +typedef struct { + U I5; + U state; + U I1041; + UB I1039; + U * I1042; +} RmaMacroState; +typedef struct { + U iinput; + RP I1043; +} RmaMultiInputLogicGateCg; +typedef struct { + U iinput; + RP ptable; + RP I1043; +} RmaSeqPrimEdgeInputCg; +typedef struct { + RmaEblk I726; + RP pcode; +} RmaSched0GateCg; +typedef struct { + RmaEblk I726; + RP pcode; + RP pfn; +} RmaUdpDeltaGateCg; +typedef struct { + RmaEblk I726; + RP pcode; + RP pfn; + scalar I1044; +} RmaSchedDeltaGateCg; +typedef struct { + UB I1045; + RP I1046; + RP I1047; +} RmaPropNodeSeqLhsSCg; +typedef struct { + RmaEblk I726; + RP pcode; + U I915; + U I715[1]; +} RmaBitEdgeEblk; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaGateDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaGateBehavioralDelay; +typedef struct { + U I5; + union { + RP I1290; + RP I1578; + RP I1592; + } I781; + RmaIbfPcode I1028; +} RmaMPDelay; +typedef struct { + U I5; + RmaPulse I1048; + RmaIbfPcode I1028; +} RmaMPPulseHybridDelay; +typedef struct { + U I5; + RmaIbfPcode I1028; + RmaMps I1049; +} RmaMPHybridDelay; +typedef struct { + U I5; + U I1050; + RmaIbfPcode I1028; + RmaEblk I766; +} RmaMPHybridDelayPacked; +typedef struct { + U I5; + RmaIbfPcode I1028; + RmaMpspNewCsdf I1051; +} RmaMPPulseDelay; +typedef struct { + U I5; + RmaMpsp I1051; + RmaIbfPcode I1028; +} RmaMPPulseOptHybridDelay; +typedef struct _RmaBehavioralTransportDelay { + U I5; + RP I685; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaBehavioralTransportDelayS; +typedef struct { + U I5; + U I685; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaNtcTransDelay; +typedef struct { + U I5; + U I685; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransMpwOptDelay; +typedef struct { + U I5; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransZeroDelay; +typedef struct { + U I5; + U I1052; + U I1053; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaNtcTransDelayRF; +typedef struct { + U I5; + U I1052; + U I1053; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransMpwOptDelayRF; +typedef struct { + U I5; + RP I1054; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaICTransDelay; +typedef struct { + U I5; + RP I1054; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICTransMpwOptDelay; +typedef struct { + U I5; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICTransZeroDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICSimpleDelay; +typedef struct { + U I5; + union { + RP psimple; + RP I1578; + RP I1592; + } I781; + RmaIbfPcode I1028; +} RmaICDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaPortDelay; +typedef struct { + U I890; + RP I1058; +} RmaRtlXEdgesLoad; +typedef struct { + U I5; + RmaRtlXEdgesLoad I1058[(5)]; +} RmaRtlXEdgesHdr; +typedef struct { + U I5; + US I1059; + US I1060 :1; + US I904 :15; + RP I1061; + RP I1062; + RP I1063; +} RmaRtlEdgeBlockHdr; +typedef struct { + RP I1064; + RP I1065; +} RemoteDbsedLoad; +typedef struct { + RmaEblk I726; + RP I1066; + RP I1067; + U I1068 :16; + U I1069 :2; + U I1070 :2; + U I1071 :1; + U I1072 :8; + U I904 :3; + U I471; + RP I1073; + RP I811[(5)]; + RP I813[(5)]; + US I1074; + US I1075; + RemoteDbsedLoad I1076[1]; +} RmaRtlEdgeBlock; +typedef struct TableAssign_ { + struct TableAssign_ * I880; + struct TableAssign_ * I798; + U I5; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RP ptable; + RP I1043; +} TableAssign; +typedef struct TableAssignLayoutOnClk_ { + struct TableAssignLayoutOnClk_ * I880; + struct TableAssignLayoutOnClk_ * I798; + U I5; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RP ptable; + RmaSeqPrimOutputOnClkS I1089; + RmaEblk I726; +} TableAssignLayoutOnClk; +typedef struct { + U state; + U I1090; +} RmaSeqPrimOutputOnClkOpt; +typedef struct TableAssignLayoutOnClkOpt_ { + struct TableAssignLayoutOnClkOpt_ * I880; + struct TableAssignLayoutOnClkOpt_ * I798; + U I1092; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RmaSeqPrimOutputOnClkOpt I1089; + RmaSeqPrimOutputEblkData I1093; +} TableAssignLayoutOnClkOpt; +typedef struct { + U I5; + RP I798; + RP I1094; +} RmaTableAssignList; +typedef struct { + U I5; + RP I798; + RP I1094; + RP I1095; + RP I1037; + US I706; + UB I978; + UB I1096; + UB I1097; + UB I772; + RP I1098[0]; +} RmaThreadTableAssignList; +typedef struct { + RP I1095; + RP I1037; + US I706; + UB I978; + UB I1096; + UB I1097; + UB I772; +} RmaThreadTableHeader; +typedef struct { + RP I1064; +} RmaWakeupListCg; +typedef struct { + RP I1064; +} RmaWakeupArrayCg; +typedef struct { + RP I1064; + RP I1099; +} RmaPreCheckWakeupListCg; +typedef struct { + RP I1064; + RP I1099; +} RmaPreCheckWakeupArrayCg; +typedef struct { + U I1100; + U I706; + RmaTimeStamp I1101[1]; +} RmaTsArray; +typedef struct { + U iinput; + RP I1102; +} RmaConditionsMdb; +typedef struct { + RP I1103; + RP I1104; + U I1105; +} RmaTcListHeader; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; +} RmaTcCoreSimple; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; +} RmaTcCoreConditional; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1118; +} RmaTcCoreConditionalOpt; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtc; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; +} RmaTcCoreSimpleNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1035; +} RmaTcCoreSimpleNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; +} RmaTcCoreConditionalNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1118; +} RmaTcCoreConditionalOptNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtcNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + RP I1035; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtcNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1035; +} RmaTcCoreConditionalNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + U I1122; + RP I1123; + RP I1124; + RP I1117; + RP I1125; + RP I1126; + RmaTimeStamp I1127; +} RmaTcCoreNochange; +typedef struct { + RP I1128; + RP I880; +} RmaTcCoreNochangeList; +typedef struct { + RP I1102; + RmaTimeStamp I1129; + scalar I1130; +} RmaConditionalTSLoadNoList; +typedef struct { + RP I880; + RP I1102; + RmaTimeStamp I1129; + scalar I1130; +} RmaConditionalTSLoad; +typedef struct { + RmaTimeStamp I1129; + scalar I1130; + US I890; + RP I1118; +} RmaConditionalTSLoadOptNoList; +typedef struct { + RP I880; + RmaTimeStamp I1129; + scalar I1130; + US I890; + RP I1118; +} RmaConditionalTSLoadOpt; +typedef struct { + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtcNoList; +typedef struct { + RP I1035; + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtcNoListMdb; +typedef struct { + RP I880; + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtc; +typedef struct { + U I1132; + U I1133; + FlatNodeNum I1004; + U I915; + U I1134; + U I1135; + RmaIbfPcode I1028; + union { + scalar I1136; + vec32 I1137; + scalar * I1138; + vec32 * I1139; + } val; +} RmaScanSwitchData; +typedef struct { + RP I880; + RP I798; + RP I1140; +} RmaDoublyLinkedListElem; +typedef struct { + RP I1141; + U I1142 :1; + U I1143 :1; + U I1144 :1; + U I1145 :4; + U I904 :25; + U I1146; +} RmaSwitchGateInCbkListInfo; +typedef struct { + union { + RmaDoublyLinkedListElem I1640; + RmaSwitchGateInCbkListInfo I2; + } I699; + RmaIbfPcode I1028; +} RmaSwitchGate; +typedef struct RmaNonEdgeLoadData1_ { + US I1147; + scalar val; + scalar I1148 :1; + scalar I1149 :1; + scalar I1150 :1; + scalar I1151 :1; + scalar I1152 :1; + U I1153; + RP I811; + RP I1154; + RP I1004; + RP I1155; + RP I1156; +} RmaNonEdgeLoadData1; +typedef struct RmaNonEdgeLoadHdr1_ { + UB I1148; + UB I1157; + UB I978; + RmaNonEdgeLoadData1 * I1058; + RmaNonEdgeLoadData1 * I798; + void * I1158; +} RmaNonEdgeLoadHdr1; +typedef struct RmaNonEdgeLoadHdrPrl1_ { + U I1159; + RP I721; +} RmaNonEdgeLoadHdrPrl1; +typedef struct RmaChildClockProp_ { + RP I811; + RP I1160; + RP I1004; + RP pcode; + scalar val; +} RmaChildClockProp; +typedef struct RmaChildClockPropList1_ { + RmaChildClockProp * I1058; + RmaChildClockProp * I798; +} RmaChildClockPropList1; +typedef struct { + U I5; + U I1161; +} RmaHDLCosimDUTGate; +typedef struct { + UB I1162; + UB I1163 :1; + UB I1164 :1; + UB I1165 :1; + UB I1166 :1; + UB I904 :4; + US cedges; +} RmaMasterXpropLoadHdr; +typedef struct { + UB I1167; + UB I1168; + UB I1169; + UB I1170; + U cedges :30; + U I1164 :1; + U I1171 :1; + U I1172; + U I1173; + RP I1174; + RP I1175; + RmaRtlEdgeBlockHdr * I1176; +} RmaChildXpropLoadHdr; +struct clock_load { + U I181 :5; + U I182 :12; + U I183 :1; + U I184 :2; + U I185 :1; + U I186 :1; + U I187 :1; + U I188 :9; + U I189; + U I190; + void (* pfn)(void * I192, char val); +}; +typedef struct clock_data { + U I197 :1; + U I198 :1; + U I199 :1; + U I200 :1; + U I181 :5; + U I182 :12; + U I201 :6; + U I202 :1; + U I184 :2; + U I185 :1; + U I188 :1; + U I203; + U I204; + U I205; + U I189; + U I206; + U I207; + U I208; + U I209; + U I210; +} HdbsClockData; +struct clock_hiconn { + U I214; + U I215; + U I189; + U I184; +}; +typedef struct _RmaDaiCg { + RP I1177; + RP I1178; + U I1179; +} RmaDaiCg; +typedef union _RmaCbkMemOptUnion { + RP I1177; + RP I1180; + RP I1181; +} RmaCbkMemOptUnion; +typedef struct _RmaDaiOptCg { + RmaCbkMemOptUnion I1182; +} RmaDaiOptCg; +struct futq_slot2 { + U I758; + U I759[32]; +}; +struct futq_slot1 { + U I755; + struct futq_slot2 I756[32]; +}; +struct futq_info { + scalar * I750; + U I751; + U I752; + struct futq_slot1 I753[32]; +}; +struct futq { + struct futq * I740; + struct futq * I742; + RmaEblk * I743; + RmaEblk * I744; + U I731; + U I1; +}; +struct sched_table { + struct futq * I745; + struct futq I746; + struct hash_bucket * I747; + struct hash_bucket * I749; +}; +struct dummyq_struct { + clock_struct I1183; + EBLK * I1184; + EBLK * I1185; + EBLK * I1186; + struct futq * I1187; + struct futq * I1188; + struct futq * I1189; + struct sched_table * I1190; + struct futq_info * I1192; + struct futq_info * I1194; + U I1195; + U I1196; + U I1197; + U I1198; + U I1199; + U I1200; + U I1201; + struct millenium * I1202; + EBLK * I1204; + EBLK * I1205; + EBLK * I1206; + EBLK * I1207; + EBLK * I1208; + EBLK * I1209; + EBLK * I1210; + EBLK * I1211; + EBLK * I1212; + EBLK * I1213; + EBLK * I1214; + EBLK * I1215; + EBLK * I1216; + EBLK * I1217; + EBLK * I1218; + EBLK * I1219; + EBLK * I1220; + EBLK * I1221; + MPS * I1222; + struct retain_t * I1223; + EBLK * I1224; + EBLK * I1225; + EBLK * I1226; + EBLK * I1227; + EBLK * I1228; + EBLK * I1229; + EBLK * I1230; + EBLK * I1231; + EBLK * I1232; + EBLK * I1233; + EBLK * I1234; + EBLK * I1235; + EBLK * I1236; + EBLK * I1237; + EBLK * I1238; + EBLK * I1239; + EBLK * I1240; + EBLK * I1241; + EBLK * I1242; + EBLK * I1243; + EBLK * I1244; + EBLK * I1245; + EBLK * I1246; + EBLK * I1247; + EBLK * I1248; + EBLK * I1249; + EBLK I1250; + EBLK * I1251; + EBLK * I1252; + EBLK * I1253; + EBLK * I1254; + int I1255; + int I1256; + struct vcs_globals_t * I1257; + clock_struct I1258; + unsigned long long I1259; + EBLK * I1260; + EBLK * I1261; + void * I1262; +}; +typedef void (* FP)(void * , scalar ); +typedef void (* FP1)(void * ); +typedef void (* FPRAP)(void * , vec32 * , U ); +typedef U (* FPU1)(void * ); +typedef void (* FPV)(void * , UB * ); +typedef void (* FPVU)(void * , UB * , U ); +typedef void (* FPLSEL)(void * , scalar , U ); +typedef void (* FPLSELV)(void * , vec32 * , U , U ); +typedef void (* FPFPV)(UB * , UB * , U , U , U , U , U , UB * , U ); +typedef void (* FPFA)(UB * , UB * , U , U , U , U , U , U , UB * , U ); +typedef void (* FPRPV)(UB * , U , U , U ); +typedef void (* FPEVCDLSEL)(void * , scalar , U , UB * ); +typedef void (* FPEVCDLSELV)(void * , vec32 * , U , U , UB * ); +typedef void (* FPNTYPE_L)(void * , void * , U , U , UB * , UB * , UB * , UB * , UB * , UB * , UB * , U ); +typedef void (* FPNTYPE_H)(void * , void * , U , U , UB * , UB * , UB * , UB * , U ); +typedef void (* FPNTYPE_LPAP)(void * , void * , void * , U , U , UB * , UB * , U ); +typedef void (* FPNTYPE_HPAP)(void * , void * , void * , U , U , UB * , UB * , UB * , UB * , U ); +typedef struct _lqueue { + EBLK * I727; + EBLK * I1263; + int I1264; + struct _lqueue * I769; +} Queue; +typedef struct { + void * I1266; + void * I1267; + void * I1268[2]; + void * I1269; +} ClkLevel; +typedef struct { + unsigned long long I1270; + EBLK I1171; + U I1271; + U I1272; + union { + void * pHeap; + Queue * pList; + } I699; + unsigned long long I1273; + ClkLevel I1274; + Queue I1275[1]; +} Qhdr; +extern UB Xvalchg[]; +extern UB X4val[]; +extern UB X3val[]; +extern UB X2val[]; +extern UB XcvtstrTR[]; +extern UB Xcvtstr[]; +extern UB Xbuf[]; +extern UB Xbitnot[]; +extern UB Xwor[]; +extern UB Xwand[]; +extern U Xbitnot4val[]; +extern UB globalTable1Input[]; +extern __thread unsigned long long vcs_clocks; +extern UB Xunion[]; +extern U fRTFrcRelCbk; +extern FP txpFnPtr; +extern FP rmaFunctionArray[]; +extern UP rmaFunctionRtlArray[]; +extern FP rmaFunctionLRArray[]; +extern U rmaFunctionCount; +extern U rmaFunctionLRCount; +extern U rmaFunctionLRDummyCount; +extern UP rmaFunctionDummyEndPtr; +extern FP rmaFunctionFanoutArray[]; +extern __thread UB dummyScalar; +extern __thread UB fScalarIsForced; +extern __thread UB fScalarIsReleased; +extern U fNotimingchecks; +extern U fFsdbDumpOn; +extern RP * iparr; +extern FP1 * rmaPostAnySchedFnPtr; +extern FP1 * rmaPostAnySchedFnSamplePtr; +extern FP1 * rmaPostAnySchedVFnPtr; +extern FP1 * rmaPostAnySchedWFnPtr; +extern FP1 * rmaPostAnySchedEFnPtr; +extern FP1 * rmaPostSchedUpdateClockStatusFnPtr; +extern FP1 * rmaPostSchedUpdateClockStatusNonCongruentFnPtr; +extern FP1 * rmaPostSchedUpdateEvTrigFnPtr; +extern FP1 * rmaSched0UpdateEvTrigFnPtr; +extern FP1 * rmaPostSchedRecoveryResetDbsFnPtr; +extern U fGblDataOrTime0Prop; +extern UB rmaEdgeStatusValArr[]; +extern FP1 * propForceCbkSPostSchedCgFnPtr; +extern FP1 * propForceCbkMemoptSPostSchedCgFnPtr; +extern UB * ptableGbl; +extern U * vcs_ptableOffsetsGbl; +extern UB * expandedClkValues; +extern __thread Qhdr * lvlQueue; +extern __thread unsigned threadIndex; +extern int cPeblkThreads; +extern US xedges[]; +extern U mhdl_delta_count; +extern U ignoreSchedForScanOpt; +extern U fignoreSchedForDeadComboCloud; +extern int fZeroUser; +extern U fEveBusPullVal; +extern U fEveBusPullFlag; +extern U fFutEventPRL; +extern U fParallelEBLK; +extern U fBufferingEvent; +extern __thread UB fNettypeIsForced; +extern __thread UB fNettypeIsReleased; +extern EBLK * peblkFutQ1Head; +extern EBLK * peblkFutQ1Tail; +extern US * edgeActionT; +extern unsigned long long * derivedClk; +extern U fHashTableSize; +extern U fSkipStrChangeOnDelay; +extern U fHsimTcheckOpt; +extern scalar edgeChangeLookUp[4][4]; +extern U fDoingTime0Prop; +extern U fLoopDetectMode; +extern int gFLoopDectCodeEna; +extern U fLoopReportRT; + + +extern void *mempcpy(void* s1, void* s2, unsigned n); +extern UB* rmaEvalDelays(UB* pcode, scalar val); +extern UB* rmaEvalDelaysV(UB* pcode, vec32* pval); +extern void rmaPopTransEvent(UB* pcode); +extern void rmaSetupFuncArray(UP* ra, U c, U w); +extern void rmaSetupRTLoopReportPtrs(UP* funcs, UP* rtlFuncs, U cnt, U cntDummy, UP end); +extern void SinitHsimPats(void); +extern void VVrpDaicb(void* ip, U nIndex); +extern int SDaicb(void *ip, U nIndex); +extern void SDaicbForHsimNoFlagScalar(void* pDaiCb, unsigned char value); +extern void SDaicbForHsimNoFlagStrengthScalar(void* pDaiCb, unsigned char value); +extern void SDaicbForHsimNoFlag(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimNoFlag2(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimWithFlag(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimNoFlagFrcRel(void* pRmaDaiCg, unsigned char reason, int msb, int lsb, int ndx); +extern void SDaicbForHsimNoFlagFrcRel2(void* pRmaDaiCg, unsigned char reason, int msb, int lsb, int ndx); +extern void VcsHsimValueChangeCB(void* pRmaDaiCg, void* pValue, unsigned int valueFormat); +extern U isNonDesignNodeCallbackList(void* pRmaDaiCg); +extern void SDaicbForHsimCbkMemOptNoFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptNoFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void VVrpNonEventNonRegdScalarForHsimOptCbkMemopt(void* ip, U nIndex); +extern void SDaicbForHsimCbkMemOptNoFlagDynElabScalar(U* mem, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagDynElabScalar(U* mem, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptNoFlagDynElabFrcRel(U* mem, unsigned char reason, int msb, int lsb, int ndx); +extern void SDaicbForHsimCbkMemOptNoFlagFrcRel(void* pDaiCb, unsigned char reason, int msb, int lsb, int ndx); +extern void hsimDispatchCbkMemOptForVcd(RP p, U val); +extern void* hsimGetCbkMemOptCallback(RP p); +extern void hsimDispatchCbkMemOptNoDynElabS(RP* p, U val, U isStrength); +extern void* hsimGetCbkPtrNoDynElab(RP p); +extern void hsimDispatchCbkMemOptDynElabS(U** pvcdarr, U** pcbkarr, U val, U isScalForced, U isScalReleased, U isStrength); +extern void hsimDispatchCbkMemOptNoDynElabVector(RP* /*RmaDaiOptCg* */p, void* pval, U /*RmaValueType*/ vt, U cbits); +extern void copyAndPropRootCbkCgS(RmaRootCbkCg* pRootCbk, scalar val); +extern void copyAndPropRootCbkCgV(RmaRootCbkCg* rootCbk, vec32* pval); +extern void copyAndPropRootCbkCgW(RmaRootCbkCg* rootCbk, vec32* pval); +extern void copyAndPropRootCbkCgE(RmaRootCbkCg* rootCbk, scalar* pval); +extern void Wsvvar_callback_non_dynamic1(RP* ptr, int); +extern void rmaExecEvSyncList(RP plist); +extern void Wsvvar_callback_virt_intf(RP* ptr); +extern void Wsvvar_callback_hsim_var(RP* ptr); +extern void checkAndConvertVec32To2State(vec32* value, vec32* svalue, U cbits, U* pforcedBits); +extern unsigned int fGblDataOrTime0Prop; +extern void SchedSemiLerMP1(UB* pmps, U partId); +extern void SchedSemiLerMPO(UB* pmpso, U partId); +extern void rmaDummyPropagate(void); +extern RP rmaTestCg(RP pcode, U vt, UB* value); +extern void hsUpdateModpathTimeStamp(UB* pmps); +extern void doMpd32One(UB* pmps); +extern void doMpdCommon(MPS* pmps); +extern TimeStamp GET_DIFF_DELAY_FUNC(TimeStamp ts); +extern void SchedSemiLerMP(UB* ppulse, U partId); +extern EBLK *peblkFutQ1Head; +extern EBLK *peblkFutQ1Tail; +extern void scheduleuna(UB *e, U t); +extern void scheduleuna_mp(EBLK *e, unsigned t); +extern void schedule(UB *e, U t); +extern void sched_hsopt(struct dummyq_struct * pQ, EBLK *e, U t); +extern void sched_millenium(struct dummyq_struct * pQ, void *e, U thigh, U t); +extern void schedule_1(EBLK *e); +extern void sched0(UB *e); +extern void sched0Raptor(UB *e); +extern void sched0lq(EBLK *e); +extern void sched0lqnc(EBLK *e); +extern void sched0una(UB *e); +extern void sched0una_th(struct dummyq_struct *pq, UB *e); +extern void hsopt_sched0u_th(struct dummyq_struct *pq, UB *e); +extern void scheduleuna_mp_th(struct dummyq_struct *pq, EBLK *e, unsigned t); +extern void schedal(UB *e); +extern void sched0_th(struct dummyq_struct * pQ, EBLK *e); +extern void sched0u(UB *e); +extern void sched0u_th(struct dummyq_struct *pq, UB *e); +extern void sched0_hsim_front_th(struct dummyq_struct * pQ, UB *e); +extern void sched0_hsim_frontlq_th(struct dummyq_struct * pQ, UB *e); +extern void sched0lq_th(struct dummyq_struct * pQ, UB *e); +extern void schedal_th(struct dummyq_struct * pQ, UB *e); +extern void scheduleuna_th(struct dummyq_struct * pQ, void *e, U t); +extern void schedule_th(struct dummyq_struct * pQ, UB *e, U t); +extern void schedule_1_th(struct dummyq_struct * pQ, EBLK *peblk); +extern void SetupLER_th(struct dummyq_struct * pQ, EBLK *e); +extern void FsdbReportClkGlitch(UB*,U); +extern void AddToClkGLitchArray(EBLK*); +extern void SchedSemiLer_th(struct dummyq_struct * pQ, EBLK *e); +extern void SchedSemiLerTXP_th(struct dummyq_struct * pQ, EBLK *e); +extern void SchedSemiLerTXPFreeVar_th(struct dummyq_struct * pQ, EBLK *e); +extern U getVcdFlags(UB *ip); +extern void VVrpNonEventNonRegdScalarForHsimOpt(void* ip, U nIndex); +extern void VVrpNonEventNonRegdScalarForHsimOpt2(void* ip, U nIndex); +extern void SchedSemiLerTBReactiveRegion(struct eblk* peblk); +extern void SchedSemiLerTBReactiveRegion_th(struct eblk* peblk, U partId); +extern void SchedSemiLerTr(UB* peblk, U partId); +extern void SchedSemiLerNBA(UB* peblk, U partId); +extern void NBA_Semiler(void *ip, void *pNBS); +extern void sched0sd_hsim(UB* peblk); +extern void vcs_sched0sd_hsim_udpclk(UB* peblk); +extern void vcs_sched0sd_hsim_udpclkopt(UB* peblk); +extern void sched0sd_hsim_PRL(UB* peblk); +extern void sched0lq_parallel_clk(EBLK* peblk); +extern U isRtlClockScheduled(EBLK* peblk); +extern void doFgpRaceCheck(UB* pcode, UB* p, U flag); +extern void doSanityLvlCheck(); +extern void sched0lq_parallel_ova(EBLK* peblk); +extern void sched0lq_parallel_ova_precheck(EBLK* peblk); +extern void rmaDlpEvalSeqPrim(UB* peblk, UB val, UB preval); +extern void appendNtcEvent(UB* phdr, scalar s, U schedDelta); +extern void appendTransEventS(RmaTransEventHdr* phdr, scalar s, U schedDelta); +extern void schedRetainHsim(MPS* pMPS, scalar sv, scalar pv); +extern void updateRetainHsim(MPS* pMPS,scalar sv, scalar pv); +extern void hsimCountXEdges(void* record, scalar s); +extern void hsimRegisterEdge(void* sm, scalar s); +extern U pvcsGetPartId(); +extern void HsimPVCSPartIdCheck(U instNo); +extern void debug_func(U partId, struct dummyq_struct* pQ, EBLK* EblkLastEventx); +extern struct dummyq_struct* pvcsGetQ(U thid); +extern EBLK* pvcsGetLastEventEblk(U thid); +extern void insertTransEvent(RmaTransEventHdr* phdr, scalar s, scalar pv, scalar resval, U schedDelta, int re, UB* predd, U fpdd); +extern void insertNtcEventRF(RmaTransEventHdr* phdr, scalar s, scalar pv, scalar resval, U schedDelta, U* delays); +extern U doTimingViolation(RmaTimeStamp ts,RP* pdata, U fskew, U limit, U floaded, U fcondopt, RmaTimeStamp tsNochange); +extern void sched_gate_hsim(EBLK* peblk, unsigned t, RP* offset, U gd_info, U encodeInPcode, void* propValue); +extern int getCurSchedRegion(); +extern FP getRoutPtr(RP, U); +extern U rmaChangeCheckAndUpdateE(scalar* pvalDst, scalar* pvalSrc, U cbits); +extern void rmaUpdateE(scalar* pvalDst, scalar* pvalSrc, U cbits); +extern U rmaChangeCheckAndUpdateEFromW(scalar* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaLhsPartSelUpdateE(scalar* pvalDst, scalar* pvalSrc, U index, U width); +extern void rmaUpdateWithForceSelectorE(scalar* pvalDst, scalar* pvalSrc, U cbits, U* pforceSelector); +extern void rmaUpdateWFromE(vec32* pvalDst, scalar* pvalSrc, U cbits); +extern U rmaLhsPartSelWithChangeCheckE(scalar* pvalDst, scalar* pvalSrc, U index, U width); +extern void rmaLhsPartSelWFromE(vec32* pvalDst, scalar* pvalSrc, U index,U width); +extern U rmaChangeCheckAndUpdateW(vec32* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaUpdateW(vec32* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaUpdateEFromW(scalar* pvalDst, vec32* pvalSrc, U cbits); +extern void *VCSCalloc(size_t size, size_t count); +extern void *VCSMalloc(size_t size); +extern void VCSFree(void *ptr); +extern U rmaLhsPartSelWithChangeCheckW(vec32* pvalDst, vec32* pvalSrc, U index,U width); +extern void rmaLhsPartSelEFromW(scalar* pvalDst, vec32* pvalSrc, U index,U width); +extern U rmaLhsPartSelWithChangeCheckEFromW(scalar* pvalDst, vec32* pvalSrc, U index,U width); +extern void rmaLhsPartSelUpdateW(vec32* pvalDst, vec32* pvalSrc, U index, U width); +extern void rmaEvalWunionW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalWorW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalWandW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalUnionE(scalar* dst, scalar* src, U cbits, U count, RP ptable); +typedef U RmaCgFunctionType; +extern RmaIbfPcode* rmaEvalPartSelectsW(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsWLe32(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsWToE(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce); +extern RmaIbfPcode* rmaEvalPartSelectsEToE(scalar* pv, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsEToW(scalar* pv, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce); +extern U rmaEvalBitPosEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitNegEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitChangeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U VcsForceVecVCg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U/*RmaValueConvType*/ convtype, U/*RmaForceType*/ frcType, UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecVCg(UB* pcode, UB* pvDst, U fullcbits, U ibeginDst, U width, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecWCg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U/*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType, UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecWCg(UB* pcode, UB* pvDst, U fullcbits, U ibeginDst, U width, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecECg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U /*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType,UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecACg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U /*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType,UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecCg(UB* pcode, UB* pvDst, U ibeginDst, U width, U /*RmaValueType*/ type,U fisRoot, UB* prhsDst, U frhs, U* pforcedbits); +extern U VcsDriveBitsAndDoChangeCheckV(vec32* pvSel, vec32* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern U VcsDriveBitsAndDoChangeCheckW(vec32* pvSel, vec32* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern U VcsDriveBitsAndDoChangeCheckE(scalar* pvSel, scalar* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern void cgvecDebug_Eblk(UB* pcode); +extern U rmaCmpW(vec32* pvalDst, vec32* pvalSrc, U index, U width); +extern void copyVec32ArrMask(vec32* pv1, vec32* pv2, U len, U* mask); +extern void* memcpy(void*, const void*, size_t); +extern int memcmp(const void*, const void*, size_t); +extern void propagateScanOptPathVal(EBLK *peblk); +extern UB* rmaProcessScanSwitches(UB* pcode, scalar val); +extern UB* rmaProcessScanSwitchesV(UB* pcode, vec32 *pval); +extern UB* rmaProcessScanoptDump(UB* pcode, scalar val); +extern UB* rmaProcessScanoptDumpV(UB* pcode, vec32 *pval); +extern UB* rmaProcessScanChainOptSeqPrims(UB* pcode, scalar val); +extern void rmaProcessPvcsCcn(UB* pcode, scalar val); +extern void rmaProcessPvcsCcnE(UB* pcode, scalar* val); +extern void rmaProcessPvcsCcnW(UB* pcode, vec32* val); +extern void rmaProcessPvcsCcnV(UB* pcode, vec32* val); +extern void rmaProcessPvcsCcnCompiledS(UB* pcode, U offset, scalar ibnval); +extern void rmaProcessPvcsCcnCompiledV(UB* pcode, U offset, vec32* pval); +extern void schedResetRecoveryDbs(U cedges, EBLK* peblkFirst); +extern UB* rmaEvalUnaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpVOneFanoutCount(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpVLargeFanoutCount(UB* pcode, vec32* pval); +extern UB* rmaEvalAndOpVOneFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalAndOpVLargeFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalAndOpV(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpVOneFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpVLargeFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpV(UB* pcode, vec32* value); +extern UB* rmaEvalTernaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalUnaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalTernaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalUnaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalBinaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalTernaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalTernaryOpS(UB* pcode, scalar val); +extern scalar rmaGetScalarFromWCg(vec32* pval, U index); +extern void rmaSetScalarInWCg(vec32* pval, U index, scalar s); +extern void rmaSetWInW(vec32* dst, vec32* src, U index, U indexSrc, U width); +extern void rmaCountRaptorBits(void* pval, void* pvalPrev, U cbits, U vt); +extern void setHsimFunc(void* ip); +extern void unsetHsimFunc(void* ip); +extern UB* getEvcdStatusByFlagsE(scalar* pscalar, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsV(vec32* pvec32, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsW(vec32* pvec32, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsS(scalar* pscalar, UB* pevcdTBDriverFlags, U cdrivers, UB* table); +extern UB* getSingleDrvEvcdStatusS(UB value, U fTBDriver); +extern UB* getSingleDrvEvcdStatusE(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getSingleDrvEvcdStatusV(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getSingleDrvEvcdStatusW(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getEvcdStatusByDrvEvcdStatus(UB* pdrvevcdStatus, U cdrivers, UB* table, U cbits); +extern void evcdCallback(UP pcode, U cbits); +extern UB* getSavedEvcdStatus(void); +extern void saveEvcdStatus(UB*); +extern void mhdlMarkExport(void*, U); +extern void levelInsertQueue(int); +extern void VcsRciRtl(RP pcode); +extern U fLoopDetectMode; +extern int gFLoopDectCodeEna; +extern U fLoopReportRT; +extern void rtSched0LoopDectDumpProcess(void* e, void* rtn, void* PQ); +extern void pushHsimRtnCtxt(void* pcode); +extern void popHsimRtnCtxt(); +extern EBLK* loopReportInlinedSched0Wrapper(EBLK *peblk); +extern void loopReportSched0Wrapper(EBLK *peblk, unsigned int sfType, unsigned int fTH, struct dummyq_struct* pq); +extern void loopReportSchedSemiLerWrapper(EBLK *peblk, int sfType); +extern void CallGraphPushNodeAndAddToGraph(UP flatNode, UP instNum, U dummy); +extern void CallGraphPopNode(void); +extern RP elabGetIpTpl(U in); +extern U rmaEvalBitBothEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ1W(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQXW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ0W(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval01EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval0XEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval10EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval1XEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalX1EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalX0EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitPosEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitNegEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitBothEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ1E(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ0E(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitChangeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern void rmaScheduleNbaGate(RP pcode, scalar val); +extern void rmaEvalRtlEdgeLoads(RmaRtlEdgeBlockHdr *phdr, US clkEdge, scalar clkVal, scalar prevClkVal, scalar val4, scalar prevval4, scalar master4val); +extern void rmaEvaluateDynamicGateLoadsCg(RP p, scalar s); +extern void rmaEvaluateFusedWithDynamicGateLoadsCg(RP p, scalar s); +extern void rmaScheduleGatedClockEdgeLoadNew(UB* p, US* ea, U flags, UB* plist, UB* pprevlist, scalar v); +extern void rmaScheduleGatedClockEdgeLoad(UB* p, US* ea, U flags, UB* plist, UB* pprevlist, scalar v); +extern void rmaRemoveNonEdgeLoads(UB* pcode); +extern void rmaRecordEvents(HsimNodeRecord *pnr); +extern void handlePCBs(UB* p, U i); +extern void markMasterClkOvaLists(U fdbs, RP p); +extern void rmaChildClockPropAfterWrite(UB* p); +extern void rmaSchedChildClockPropAfterWrite(UB* p, UB* pmasterList, UB val); +extern void HDLCosimProcessDUTInputChange(U inputId, void* val); +extern void rmaChangeListForMovedGates(UB clkVal, UB f10Edge, UB* subMasterVal, UB* plist, RP* p, U count); +extern void rmaEvalSeqPrimLoadsByteArray(UB* pcode, UB val, UB prevval4); +extern void rmaEvalSeqPrimLoadsByteArrayX(UB* pcode, UB val, UB prevval4); +extern void vcsRmaEvalSeqPrimLoadsByteArraySCT(UB* pcode, UB val, UB prevval4, U c); +extern void vcsAbortForBadEBlk(void); +extern scalar edgeChangeLookUp[4][4]; +extern void Wsvvar_sched_virt_intf_eval(RP* ptr); +extern void vcs_hwcosim_drive_dut_scalar(uint id, char val); +extern void vcs_hwcosim_drive_dut_vector_4state(uint id, vec32* val); +extern U vcs_rmaGetClkValForSeqUdpLayoutOnClkOpt(UB* poutput); +extern U rmaIsS2State(scalar s); +extern U rmaIsV2State(vec32* pval, U cbits); +extern U rmaIsW2State(vec32* pval, U cbits); +extern U rmaIsE2State(scalar* pval, U cbits); +extern void rmaUpdateRecordFor2State(HsimNodeRecord* record, U f2state); +typedef void (*FuncPtr)(); +static inline U asm_bsf (U in) +{ +#if defined(linux) + U out; +#if !defined(__aarch64__) + asm ("movl %1, %%eax; bsf %%eax, %%eax; movl %%eax, %0;" + :"=r"(out) + :"r"(in) + :"%eax" + ); +#else + out = ffs(in) - 1; +#endif + return out; +#else + return 0; +#endif +} + + +#ifdef __cplusplus +extern "C" { +#endif +void hs_0_M_0_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_0_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_0_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_0_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_0_2__simv_daidir (UB * pcode); +void hs_0_M_0_2__simv_daidir_core(UB * pcode); +void hs_0_M_0_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_0_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_0_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_1_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_1_2__simv_daidir (UB * pcode); +void hs_0_M_1_2__simv_daidir_core(UB * pcode); +void hs_0_M_1_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_1_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_1_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_2_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_2_2__simv_daidir (UB * pcode); +void hs_0_M_2_2__simv_daidir_core(UB * pcode); +void hs_0_M_2_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_2_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_2_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_3_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_3_2__simv_daidir (UB * pcode); +void hs_0_M_3_2__simv_daidir_core(UB * pcode); +void hs_0_M_3_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_3_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_3_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_4_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_4_2__simv_daidir (UB * pcode); +void hs_0_M_4_2__simv_daidir_core(UB * pcode); +void hs_0_M_4_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_4_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_4_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_5_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_5_2__simv_daidir (UB * pcode); +void hs_0_M_5_2__simv_daidir_core(UB * pcode); +void hs_0_M_5_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_5_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_5_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_11__simv_daidir_core(UB * pcode, scalar val); +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0_core(struct dummyq_struct * I1289, EBLK * I1283, U I685); +#ifdef __cplusplus +} +#endif + +#ifdef __cplusplus + } +#endif +#endif /*__DO_RMAHDR_*/ + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.m b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmapats.m new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.c b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.c new file mode 100644 index 0000000..21b81fa --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.c @@ -0,0 +1,13 @@ +#include +#include +#include "rmar0.h" + +// stubs for Hil functions +#ifdef __cplusplus +extern "C" { +#endif +void __Hil__Static_Init_Func__(void) {} +#ifdef __cplusplus +} +#endif + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.h b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.h new file mode 100644 index 0000000..77865aa --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar.h @@ -0,0 +1,18 @@ +#ifndef _RMAR1_H_ +#define _RMAR1_H_ + +#ifdef __cplusplus +extern "C" { +#endif + +#ifndef __DO_RMAHDR_ +#include "rmar0.h" +#endif /*__DO_RMAHDR_*/ + +extern UP rmaFunctionRtlArray[]; + +#ifdef __cplusplus +} +#endif +#endif + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar0.h b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar0.h new file mode 100644 index 0000000..48e8516 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/rmar0.h @@ -0,0 +1,13 @@ +#ifndef _RMAR0_H_ +#define _RMAR0_H_ + +#ifdef __cplusplus +extern "C" { +#endif + + +#ifdef __cplusplus +} +#endif +#endif + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_dpi.d b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_dpi.d new file mode 100644 index 0000000..440fccc --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_dpi.d @@ -0,0 +1,14 @@ +uvm_dpi.o: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/svdpi.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_common.c \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.cc \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.c \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl_vcs.c \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vcsuser.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/mhpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vhpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.c diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_verdi_dpi.d b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_verdi_dpi.d new file mode 100644 index 0000000..366e304 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/csrc/uvm_verdi_dpi.d @@ -0,0 +1,8 @@ +uvm_verdi_dpi.o: \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vcs_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.c b/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.c new file mode 100644 index 0000000..5140a57 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.c @@ -0,0 +1,91 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * main.c + * + * Code generation for function 'main' + * + */ + +/*************************************************************************/ +/* This automatically generated example C main file shows how to call */ +/* entry-point functions that MATLAB Coder generated. You must customize */ +/* this file for your application. Do not modify this file directly. */ +/* Instead, make a copy of this file, modify it, and integrate it into */ +/* your development environment. */ +/* */ +/* This file initializes entry-point function arguments to a default */ +/* size and value before calling the entry-point functions. It does */ +/* not store or use any values returned from the entry-point functions. */ +/* If necessary, it does pre-allocate memory for returned values. */ +/* You can use this file as a starting point for a main function that */ +/* you can deploy in your application. */ +/* */ +/* After you copy the file, and before you deploy it, you must make the */ +/* following changes: */ +/* * For variable-size function arguments, change the example sizes to */ +/* the sizes that your application requires. */ +/* * Change the example values of function arguments to the values that */ +/* your application requires. */ +/* * If the entry-point functions return values, store these values or */ +/* otherwise use them as required by your application. */ +/* */ +/*************************************************************************/ + +/* Include files */ +#include "main.h" +#include "hilbert_fir.h" + +/* Function Declarations */ +static void argInit_1x8_real_T(double result[8]); + +static double argInit_real_T(void); + +/* Function Definitions */ +static void argInit_1x8_real_T(double result[8]) +{ + int idx1; + /* Loop over the array to initialize each element. */ + for (idx1 = 0; idx1 < 8; idx1++) { + /* Set the value of the array element. +Change this value to the value that the application requires. */ + result[idx1] = argInit_real_T(); + } +} + +static double argInit_real_T(void) +{ + return 0.0; +} + +int main(int argc, char **argv) +{ + (void)argc; + (void)argv; + /* Initialize the application. +You do not need to do this more than one time. */ + hilbert_fir_initialize(); + /* Invoke the entry-point functions. +You can call entry-point functions multiple times. */ + main_hilbert_fir(); + /* Terminate the application. +You do not need to do this more than one time. */ + hilbert_fir_terminate(); + return 0; +} + +void main_hilbert_fir(void) +{ + double dv[8]; + double i_data[8]; + double q_data[8]; + /* Initialize function 'hilbert_fir' input arguments. */ + /* Initialize function input argument 'data'. */ + /* Call the entry-point 'hilbert_fir'. */ + argInit_1x8_real_T(dv); + hilbert_fir(dv, i_data, q_data); +} + +/* End of code generation (main.c) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.h b/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.h new file mode 100644 index 0000000..9d81b47 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/examples/main.h @@ -0,0 +1,59 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * main.h + * + * Code generation for function 'main' + * + */ + +/*************************************************************************/ +/* This automatically generated example C main file shows how to call */ +/* entry-point functions that MATLAB Coder generated. You must customize */ +/* this file for your application. Do not modify this file directly. */ +/* Instead, make a copy of this file, modify it, and integrate it into */ +/* your development environment. */ +/* */ +/* This file initializes entry-point function arguments to a default */ +/* size and value before calling the entry-point functions. It does */ +/* not store or use any values returned from the entry-point functions. */ +/* If necessary, it does pre-allocate memory for returned values. */ +/* You can use this file as a starting point for a main function that */ +/* you can deploy in your application. */ +/* */ +/* After you copy the file, and before you deploy it, you must make the */ +/* following changes: */ +/* * For variable-size function arguments, change the example sizes to */ +/* the sizes that your application requires. */ +/* * Change the example values of function arguments to the values that */ +/* your application requires. */ +/* * If the entry-point functions return values, store these values or */ +/* otherwise use them as required by your application. */ +/* */ +/*************************************************************************/ + +#ifndef MAIN_H +#define MAIN_H + +/* Include files */ +#include "rtwtypes.h" +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +extern int main(int argc, char **argv); + +extern void main_hilbert_fir(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* End of code generation (main.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/files.f b/hilbert_dpi/codegen/dll/hilbert_fir/files.f new file mode 100644 index 0000000..c76bdca --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/files.f @@ -0,0 +1,4 @@ +./tb.sv +./hilbert_fir_dpi_pkg.sv +./hilbert_fir_dpi.sv + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c new file mode 100644 index 0000000..eb7dfd3 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.c @@ -0,0 +1,58 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * hilbert_fir.c + * + * Code generation for function 'hilbert_fir' + * + */ + +/* Include files */ +#include "hilbert_fir.h" +#include +#include + +/* Function Definitions */ +void hilbert_fir(const double data[8], double i_data[8], double q_data[8]) +{ + static const short iv[33] = { + 0, -69, 0, -202, 0, -471, 0, -950, 0, -1766, 0, + -3213, 0, -6337, 0, -20648, 0, 20648, 0, 6337, 0, 3213, + 0, 1766, 0, 950, 0, 471, 0, 202, 0, 69, 0}; + double q_data_temp[40]; + int b_k; + int k; + memcpy(&i_data[0], &data[0], 8U * sizeof(double)); + memset(&q_data_temp[0], 0, 40U * sizeof(double)); + for (k = 0; k < 8; k++) { + for (b_k = 0; b_k < 33; b_k++) { + int q_data_temp_tmp; + q_data_temp_tmp = k + b_k; + q_data_temp[q_data_temp_tmp] += data[k] * (double)iv[b_k]; + } + } + for (k = 0; k < 8; k++) { + double d; + d = floor(q_data_temp[k + 16] / 32768.0); + q_data[k] = d; + if (d > 32767.0) { + d = 32767.0; + q_data[k] = 32767.0; + } + if (d < -32768.0) { + q_data[k] = -32768.0; + } + } +} + +void hilbert_fir_initialize(void) +{ +} + +void hilbert_fir_terminate(void) +{ +} + +/* End of code generation (hilbert_fir.c) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.def b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.def new file mode 100644 index 0000000..34c1cb2 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.def @@ -0,0 +1,8 @@ +DLL { + global: + hilbert_fir; + hilbert_fir_initialize; + hilbert_fir_terminate; + local: + *; +}; diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.h b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.h new file mode 100644 index 0000000..bb7df17 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir.h @@ -0,0 +1,37 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * hilbert_fir.h + * + * Code generation for function 'hilbert_fir' + * + */ + +#ifndef HILBERT_FIR_H +#define HILBERT_FIR_H + +/* Include files */ +#include "rtwtypes.h" +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +extern void hilbert_fir(const double data[8], double i_data[8], + double q_data[8]); + +extern void hilbert_fir_initialize(void); + +extern void hilbert_fir_terminate(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* End of code generation (hilbert_fir.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.c b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.c new file mode 100644 index 0000000..58518ae --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.c @@ -0,0 +1,52 @@ +/* + +*File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.c +*Created: 2024-10-28 23:46:29 +*Generated by MATLAB 9.13 and HDL Verifier 7.0 + +*/ + +#include "hilbert_fir.h" +#include "hilbert_fir_dpi.h" +#include + + + + +DPI_DLL_EXPORT void * DPI_hilbert_fir_initialize(void* existhandle) +{ + + hilbert_fir_initialize(); + existhandle=NULL; + return NULL; + +} + +DPI_DLL_EXPORT void * DPI_hilbert_fir_reset(void* objhandle,real_T * data,real_T * i_data,real_T * q_data) +{ + DPI_hilbert_fir_terminate(objhandle); + objhandle=NULL; + objhandle=DPI_hilbert_fir_initialize(NULL); + DPI_hilbert_fir(objhandle,data,i_data,q_data); + DPI_hilbert_fir_terminate(objhandle); + objhandle=NULL; + return DPI_hilbert_fir_initialize(NULL); + +} + +DPI_DLL_EXPORT void DPI_hilbert_fir(void* objhandle,real_T * data,real_T * i_data,real_T * q_data) +{ + + + hilbert_fir(data,i_data,q_data); + objhandle=NULL; + + +} + +DPI_DLL_EXPORT void DPI_hilbert_fir_terminate(void* existhandle) +{ + existhandle=NULL; + hilbert_fir_terminate(); + +} diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.h b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.h new file mode 100644 index 0000000..7fee103 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.h @@ -0,0 +1,34 @@ +/* + +*File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.h +*Created: 2024-10-28 23:46:29 +*Generated by MATLAB 9.13 and HDL Verifier 7.0 + +*/ + +#ifndef RTW_HEADER_hilbert_fir_dpi_h_ +#define RTW_HEADER_hilbert_fir_dpi_h_ + +#ifdef __cplusplus +#define DPI_LINK_DECL extern "C" +#else +#define DPI_LINK_DECL +#endif + +#ifndef DPI_DLL_EXPORT +#ifdef _MSC_VER +#define DPI_DLL_EXPORT __declspec(dllexport) +#else +#define DPI_DLL_EXPORT +#endif +#endif + +DPI_LINK_DECL +DPI_DLL_EXPORT void * DPI_hilbert_fir_initialize(void* existhandle); +DPI_LINK_DECL +DPI_DLL_EXPORT void * DPI_hilbert_fir_reset(void* objhandle,real_T * data,real_T * i_data,real_T * q_data); +DPI_LINK_DECL +DPI_DLL_EXPORT void DPI_hilbert_fir(void* objhandle,real_T * data,real_T * i_data,real_T * q_data); +DPI_LINK_DECL +DPI_DLL_EXPORT void DPI_hilbert_fir_terminate(void* existhandle); +#endif diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv new file mode 100644 index 0000000..162d767 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv @@ -0,0 +1,47 @@ +// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv +// Created: 2024-10-28 23:46:29 +// Generated by MATLAB 9.13 and HDL Verifier 7.0 + +//`timescale 1ns / 1ns + +import hilbert_fir_dpi_pkg::*; + + + +module hilbert_fir_dpi( + input bit clk, + input bit clk_enable, + input bit reset, + input real data [0:7], + output real i_data [0:7], + output real q_data [0:7] +); + + chandle objhandle=null; + real i_data_temp [0:7]; + real q_data_temp [0:7]; + + + initial begin + objhandle=DPI_hilbert_fir_initialize(objhandle); + end + + final begin + DPI_hilbert_fir_terminate(objhandle); + end + + always @(posedge clk or posedge reset) begin + if(reset== 1'b1) begin + objhandle=DPI_hilbert_fir_reset(objhandle,data,i_data_temp,q_data_temp); + i_data<=i_data_temp; + q_data<=q_data_temp; + + end + else if(clk_enable) begin + DPI_hilbert_fir(objhandle,data,i_data_temp,q_data_temp); + i_data<=i_data_temp; + q_data<=q_data_temp; + + end + end +endmodule diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv new file mode 100644 index 0000000..c45f2fe --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv @@ -0,0 +1,16 @@ +// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv +// Created: 2024-10-28 23:46:29 +// Generated by MATLAB 9.13 and HDL Verifier 7.0 + +//`timescale 1ns / 1ns +package hilbert_fir_dpi_pkg; + +// Declare imported C functions +import "DPI-C" function chandle DPI_hilbert_fir_initialize(input chandle existhandle); +import "DPI-C" function chandle DPI_hilbert_fir_reset(input chandle objhandle,input real data [8],output real i_data [8],output real q_data [8]); +import "DPI-C" function void DPI_hilbert_fir(input chandle objhandle,input real data [8],output real i_data [8],output real q_data [8]); +import "DPI-C" function void DPI_hilbert_fir_terminate(input chandle existhandle); + + + +endpackage : hilbert_fir_dpi_pkg diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_rtw.mk b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_rtw.mk new file mode 100644 index 0000000..e424a8d --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_rtw.mk @@ -0,0 +1,354 @@ +########################################################################### +## Makefile generated for component 'hilbert_fir'. +## +## Makefile : hilbert_fir_rtw.mk +## Generated on : Mon Oct 28 23:46:30 2024 +## Final product: ./libhilbert_fir_dpi.so +## Product type : dynamic-library +## +########################################################################### + +########################################################################### +## MACROS +########################################################################### + +# Macro Descriptions: +# PRODUCT_NAME Name of the system to build +# MAKEFILE Name of this makefile +# DEF_FILE Definition file + +PRODUCT_NAME = hilbert_fir +MAKEFILE = hilbert_fir_rtw.mk +MATLAB_ROOT = /opt/mathWorks/Matlab/R2022b +MATLAB_BIN = /opt/mathWorks/Matlab/R2022b/bin +MATLAB_ARCH_BIN = $(MATLAB_BIN)/glnxa64 +START_DIR = /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2 +TGT_FCN_LIB = ISO_C +SOLVER_OBJ = +CLASSIC_INTERFACE = 0 +MODEL_HAS_DYNAMICALLY_LOADED_SFCNS = +RELATIVE_PATH_TO_ANCHOR = ../../.. +DEF_FILE = $(PRODUCT_NAME).def +C_STANDARD_OPTS = -fwrapv +CPP_STANDARD_OPTS = -fwrapv + +########################################################################### +## TOOLCHAIN SPECIFICATIONS +########################################################################### + +# Toolchain Name: GNU gcc/g++ | gmake (64-bit Linux) +# Supported Version(s): +# ToolchainInfo Version: 2022b +# Specification Revision: 1.0 +# +#------------------------------------------- +# Macros assumed to be defined elsewhere +#------------------------------------------- + +# C_STANDARD_OPTS +# CPP_STANDARD_OPTS + +#----------- +# MACROS +#----------- + +WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wstrict-prototypes -Wnested-externs -Wpointer-arith -Wcast-align +WARN_FLAGS_MAX = $(WARN_FLAGS) -Wcast-qual -Wshadow +CPP_WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wpointer-arith -Wcast-align +CPP_WARN_FLAGS_MAX = $(CPP_WARN_FLAGS) -Wcast-qual -Wshadow + +TOOLCHAIN_SRCS = +TOOLCHAIN_INCS = +TOOLCHAIN_LIBS = + +#------------------------ +# BUILD TOOL COMMANDS +#------------------------ + +# C Compiler: GNU C Compiler +CC = gcc + +# Linker: GNU Linker +LD = g++ + +# C++ Compiler: GNU C++ Compiler +CPP = g++ + +# C++ Linker: GNU C++ Linker +CPP_LD = g++ + +# Archiver: GNU Archiver +AR = ar + +# MEX Tool: MEX Tool +MEX_PATH = $(MATLAB_ARCH_BIN) +MEX = "$(MEX_PATH)/mex" + +# Download: Download +DOWNLOAD = + +# Execute: Execute +EXECUTE = $(PRODUCT) + +# Builder: GMAKE Utility +MAKE_PATH = %MATLAB%/bin/glnxa64 +MAKE = "$(MAKE_PATH)/gmake" + + +#------------------------- +# Directives/Utilities +#------------------------- + +CDEBUG = -g +C_OUTPUT_FLAG = -o +LDDEBUG = -g +OUTPUT_FLAG = -o +CPPDEBUG = -g +CPP_OUTPUT_FLAG = -o +CPPLDDEBUG = -g +OUTPUT_FLAG = -o +ARDEBUG = +STATICLIB_OUTPUT_FLAG = +MEX_DEBUG = -g +RM = @rm -f +ECHO = @echo +MV = @mv +RUN = + +#-------------------------------------- +# "Faster Runs" Build Configuration +#-------------------------------------- + +ARFLAGS = ruvs +CFLAGS = -c $(C_STANDARD_OPTS) -fPIC \ + -O3 -fno-loop-optimize -fno-aggressive-loop-optimizations +CPPFLAGS = -c $(CPP_STANDARD_OPTS) -fPIC \ + -O3 -fno-loop-optimize -fno-aggressive-loop-optimizations +CPP_LDFLAGS = +CPP_SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined +DOWNLOAD_FLAGS = +EXECUTE_FLAGS = +LDFLAGS = +MEX_CPPFLAGS = +MEX_CPPLDFLAGS = +MEX_CFLAGS = +MEX_LDFLAGS = +MAKE_FLAGS = -f $(MAKEFILE) +SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined + + + +########################################################################### +## OUTPUT INFO +########################################################################### + +PRODUCT = ./libhilbert_fir_dpi.so +PRODUCT_TYPE = "dynamic-library" +BUILD_TYPE = "Dynamic Library" + +########################################################################### +## INCLUDE PATHS +########################################################################### + +INCLUDES_BUILDINFO = -I$(START_DIR)/codegen/dll/hilbert_fir -I$(START_DIR) -I$(MATLAB_ROOT)/extern/include + +INCLUDES = $(INCLUDES_BUILDINFO) + +########################################################################### +## DEFINES +########################################################################### + +DEFINES_CUSTOM = +DEFINES_STANDARD = -DMODEL=libhilbert_fir_dpi + +DEFINES = $(DEFINES_CUSTOM) $(DEFINES_STANDARD) + +########################################################################### +## SOURCE FILES +########################################################################### + +SRCS = $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir.c $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir_dpi.c + +ALL_SRCS = $(SRCS) + +########################################################################### +## OBJECTS +########################################################################### + +OBJS = hilbert_fir.o hilbert_fir_dpi.o + +ALL_OBJS = $(OBJS) + +########################################################################### +## PREBUILT OBJECT FILES +########################################################################### + +PREBUILT_OBJS = + +########################################################################### +## LIBRARIES +########################################################################### + +LIBS = + +########################################################################### +## SYSTEM LIBRARIES +########################################################################### + +SYSTEM_LIBS = -lm + +########################################################################### +## ADDITIONAL TOOLCHAIN FLAGS +########################################################################### + +#--------------- +# C Compiler +#--------------- + +CFLAGS_BASIC = $(DEFINES) $(INCLUDES) + +CFLAGS += $(CFLAGS_BASIC) + +#----------------- +# C++ Compiler +#----------------- + +CPPFLAGS_BASIC = $(DEFINES) $(INCLUDES) + +CPPFLAGS += $(CPPFLAGS_BASIC) + +########################################################################### +## INLINED COMMANDS +########################################################################### + +########################################################################### +## PHONY TARGETS +########################################################################### + +.PHONY : all build clean info prebuild download execute + + +all : build + @echo "### Successfully generated all binary outputs." + + +build : prebuild $(PRODUCT) + + +prebuild : + + +download : $(PRODUCT) + + +execute : download + + +########################################################################### +## FINAL TARGET +########################################################################### + +#---------------------------------------- +# Create a dynamic library +#---------------------------------------- + +$(PRODUCT) : $(OBJS) $(PREBUILT_OBJS) + @echo "### Creating dynamic library "$(PRODUCT)" ..." + $(LD) $(SHAREDLIB_LDFLAGS) -o $(PRODUCT) $(OBJS) $(SYSTEM_LIBS) $(TOOLCHAIN_LIBS) + @echo "### Created: $(PRODUCT)" + + +########################################################################### +## INTERMEDIATE TARGETS +########################################################################### + +#--------------------- +# SOURCE-TO-OBJECT +#--------------------- + +%.o : %.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : %.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/codegen/dll/hilbert_fir/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/codegen/dll/hilbert_fir/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +hilbert_fir.o : $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +hilbert_fir_dpi.o : $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir_dpi.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +########################################################################### +## DEPENDENCIES +########################################################################### + +$(ALL_OBJS) : rtw_proj.tmw $(MAKEFILE) + + +########################################################################### +## MISCELLANEOUS TARGETS +########################################################################### + +info : + @echo "### PRODUCT = $(PRODUCT)" + @echo "### PRODUCT_TYPE = $(PRODUCT_TYPE)" + @echo "### BUILD_TYPE = $(BUILD_TYPE)" + @echo "### INCLUDES = $(INCLUDES)" + @echo "### DEFINES = $(DEFINES)" + @echo "### ALL_SRCS = $(ALL_SRCS)" + @echo "### ALL_OBJS = $(ALL_OBJS)" + @echo "### LIBS = $(LIBS)" + @echo "### MODELREF_LIBS = $(MODELREF_LIBS)" + @echo "### SYSTEM_LIBS = $(SYSTEM_LIBS)" + @echo "### TOOLCHAIN_LIBS = $(TOOLCHAIN_LIBS)" + @echo "### CFLAGS = $(CFLAGS)" + @echo "### LDFLAGS = $(LDFLAGS)" + @echo "### SHAREDLIB_LDFLAGS = $(SHAREDLIB_LDFLAGS)" + @echo "### CPPFLAGS = $(CPPFLAGS)" + @echo "### CPP_LDFLAGS = $(CPP_LDFLAGS)" + @echo "### CPP_SHAREDLIB_LDFLAGS = $(CPP_SHAREDLIB_LDFLAGS)" + @echo "### ARFLAGS = $(ARFLAGS)" + @echo "### MEX_CFLAGS = $(MEX_CFLAGS)" + @echo "### MEX_CPPFLAGS = $(MEX_CPPFLAGS)" + @echo "### MEX_LDFLAGS = $(MEX_LDFLAGS)" + @echo "### MEX_CPPLDFLAGS = $(MEX_CPPLDFLAGS)" + @echo "### DOWNLOAD_FLAGS = $(DOWNLOAD_FLAGS)" + @echo "### EXECUTE_FLAGS = $(EXECUTE_FLAGS)" + @echo "### MAKE_FLAGS = $(MAKE_FLAGS)" + + +clean : + $(ECHO) "### Deleting all derived files..." + $(RM) $(PRODUCT) + $(RM) $(ALL_OBJS) + $(ECHO) "### Deleted all derived files." + + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_types.h b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_types.h new file mode 100644 index 0000000..92db01d --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/hilbert_fir_types.h @@ -0,0 +1,19 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * hilbert_fir_types.h + * + * Code generation for function 'hilbert_fir' + * + */ + +#ifndef HILBERT_FIR_TYPES_H +#define HILBERT_FIR_TYPES_H + +/* Include files */ +#include "rtwtypes.h" + +#endif +/* End of code generation (hilbert_fir_types.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.c b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.c new file mode 100644 index 0000000..e6a3d33 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.c @@ -0,0 +1,151 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * _coder_hilbert_fir_api.c + * + * Code generation for function 'hilbert_fir' + * + */ + +/* Include files */ +#include "_coder_hilbert_fir_api.h" +#include "_coder_hilbert_fir_mex.h" + +/* Variable Definitions */ +emlrtCTX emlrtRootTLSGlobal = NULL; + +emlrtContext emlrtContextGlobal = { + true, /* bFirstTime */ + false, /* bInitialized */ + 131627U, /* fVersionInfo */ + NULL, /* fErrorFunction */ + "hilbert_fir", /* fFunctionName */ + NULL, /* fRTCallStack */ + false, /* bDebugMode */ + {2045744189U, 2170104910U, 2743257031U, 4284093946U}, /* fSigWrd */ + NULL /* fSigMem */ +}; + +/* Function Declarations */ +static real_T (*b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u, + const emlrtMsgIdentifier *parentId))[8]; + +static real_T (*c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src, + const emlrtMsgIdentifier *msgId))[8]; + +static real_T (*emlrt_marshallIn(const emlrtStack *sp, const mxArray *data, + const char_T *identifier))[8]; + +static const mxArray *emlrt_marshallOut(const real_T u[8]); + +/* Function Definitions */ +static real_T (*b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u, + const emlrtMsgIdentifier *parentId))[8] +{ + real_T(*y)[8]; + y = c_emlrt_marshallIn(sp, emlrtAlias(u), parentId); + emlrtDestroyArray(&u); + return y; +} + +static real_T (*c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src, + const emlrtMsgIdentifier *msgId))[8] +{ + static const int32_T dims[2] = {1, 8}; + real_T(*ret)[8]; + emlrtCheckBuiltInR2012b((emlrtConstCTX)sp, msgId, src, "double", false, 2U, + (const void *)&dims[0]); + ret = (real_T(*)[8])emlrtMxGetData(src); + emlrtDestroyArray(&src); + return ret; +} + +static real_T (*emlrt_marshallIn(const emlrtStack *sp, const mxArray *data, + const char_T *identifier))[8] +{ + emlrtMsgIdentifier thisId; + real_T(*y)[8]; + thisId.fIdentifier = (const char_T *)identifier; + thisId.fParent = NULL; + thisId.bParentIsCell = false; + y = b_emlrt_marshallIn(sp, emlrtAlias(data), &thisId); + emlrtDestroyArray(&data); + return y; +} + +static const mxArray *emlrt_marshallOut(const real_T u[8]) +{ + static const int32_T iv[2] = {0, 0}; + static const int32_T iv1[2] = {1, 8}; + const mxArray *m; + const mxArray *y; + y = NULL; + m = emlrtCreateNumericArray(2, (const void *)&iv[0], mxDOUBLE_CLASS, mxREAL); + emlrtMxSetData((mxArray *)m, (void *)&u[0]); + emlrtSetDimensions((mxArray *)m, &iv1[0], 2); + emlrtAssign(&y, m); + return y; +} + +void hilbert_fir_api(const mxArray *prhs, int32_T nlhs, const mxArray *plhs[2]) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + real_T(*data)[8]; + real_T(*i_data)[8]; + real_T(*q_data)[8]; + st.tls = emlrtRootTLSGlobal; + i_data = (real_T(*)[8])mxMalloc(sizeof(real_T[8])); + q_data = (real_T(*)[8])mxMalloc(sizeof(real_T[8])); + /* Marshall function inputs */ + data = emlrt_marshallIn(&st, emlrtAlias(prhs), "data"); + /* Invoke the target function */ + hilbert_fir(*data, *i_data, *q_data); + /* Marshall function outputs */ + plhs[0] = emlrt_marshallOut(*i_data); + if (nlhs > 1) { + plhs[1] = emlrt_marshallOut(*q_data); + } +} + +void hilbert_fir_atexit(void) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + mexFunctionCreateRootTLS(); + st.tls = emlrtRootTLSGlobal; + emlrtEnterRtStackR2012b(&st); + emlrtDestroyRootTLS(&emlrtRootTLSGlobal); + hilbert_fir_xil_terminate(); + hilbert_fir_xil_shutdown(); + emlrtExitTimeCleanup(&emlrtContextGlobal); +} + +void hilbert_fir_initialize(void) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + mexFunctionCreateRootTLS(); + st.tls = emlrtRootTLSGlobal; + emlrtClearAllocCountR2012b(&st, false, 0U, NULL); + emlrtEnterRtStackR2012b(&st); + emlrtFirstTimeR2012b(emlrtRootTLSGlobal); +} + +void hilbert_fir_terminate(void) +{ + emlrtDestroyRootTLS(&emlrtRootTLSGlobal); +} + +/* End of code generation (_coder_hilbert_fir_api.c) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.h b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.h new file mode 100644 index 0000000..28c1e7b --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_api.h @@ -0,0 +1,48 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * _coder_hilbert_fir_api.h + * + * Code generation for function 'hilbert_fir' + * + */ + +#ifndef _CODER_HILBERT_FIR_API_H +#define _CODER_HILBERT_FIR_API_H + +/* Include files */ +#include "emlrt.h" +#include "tmwtypes.h" +#include + +/* Variable Declarations */ +extern emlrtCTX emlrtRootTLSGlobal; +extern emlrtContext emlrtContextGlobal; + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +void hilbert_fir(real_T data[8], real_T i_data[8], real_T q_data[8]); + +void hilbert_fir_api(const mxArray *prhs, int32_T nlhs, const mxArray *plhs[2]); + +void hilbert_fir_atexit(void); + +void hilbert_fir_initialize(void); + +void hilbert_fir_terminate(void); + +void hilbert_fir_xil_shutdown(void); + +void hilbert_fir_xil_terminate(void); + +#ifdef __cplusplus +} +#endif + +#endif +/* End of code generation (_coder_hilbert_fir_api.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.c b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.c new file mode 100644 index 0000000..00d1163 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.c @@ -0,0 +1,67 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * _coder_hilbert_fir_mex.c + * + * Code generation for function 'hilbert_fir' + * + */ + +/* Include files */ +#include "_coder_hilbert_fir_mex.h" +#include "_coder_hilbert_fir_api.h" + +/* Function Definitions */ +void mexFunction(int32_T nlhs, mxArray *plhs[], int32_T nrhs, + const mxArray *prhs[]) +{ + mexAtExit(&hilbert_fir_atexit); + /* Module initialization. */ + hilbert_fir_initialize(); + /* Dispatch the entry-point. */ + unsafe_hilbert_fir_mexFunction(nlhs, plhs, nrhs, prhs); + /* Module termination. */ + hilbert_fir_terminate(); +} + +emlrtCTX mexFunctionCreateRootTLS(void) +{ + emlrtCreateRootTLSR2022a(&emlrtRootTLSGlobal, &emlrtContextGlobal, NULL, 1, + NULL, "US-ASCII", true); + return emlrtRootTLSGlobal; +} + +void unsafe_hilbert_fir_mexFunction(int32_T nlhs, mxArray *plhs[2], + int32_T nrhs, const mxArray *prhs[1]) +{ + emlrtStack st = { + NULL, /* site */ + NULL, /* tls */ + NULL /* prev */ + }; + const mxArray *outputs[2]; + int32_T i; + st.tls = emlrtRootTLSGlobal; + /* Check for proper number of arguments. */ + if (nrhs != 1) { + emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:WrongNumberOfInputs", 5, 12, 1, 4, + 11, "hilbert_fir"); + } + if (nlhs > 2) { + emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:TooManyOutputArguments", 3, 4, 11, + "hilbert_fir"); + } + /* Call the function. */ + hilbert_fir_api(prhs[0], nlhs, outputs); + /* Copy over outputs to the caller. */ + if (nlhs < 1) { + i = 1; + } else { + i = nlhs; + } + emlrtReturnArrays(i, &plhs[0], &outputs[0]); +} + +/* End of code generation (_coder_hilbert_fir_mex.c) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.h b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.h new file mode 100644 index 0000000..be1e1c8 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/interface/_coder_hilbert_fir_mex.h @@ -0,0 +1,38 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * _coder_hilbert_fir_mex.h + * + * Code generation for function 'hilbert_fir' + * + */ + +#ifndef _CODER_HILBERT_FIR_MEX_H +#define _CODER_HILBERT_FIR_MEX_H + +/* Include files */ +#include "emlrt.h" +#include "mex.h" +#include "tmwtypes.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* Function Declarations */ +MEXFUNCTION_LINKAGE void mexFunction(int32_T nlhs, mxArray *plhs[], + int32_T nrhs, const mxArray *prhs[]); + +emlrtCTX mexFunctionCreateRootTLS(void); + +void unsafe_hilbert_fir_mexFunction(int32_T nlhs, mxArray *plhs[2], + int32_T nrhs, const mxArray *prhs[1]); + +#ifdef __cplusplus +} +#endif + +#endif +/* End of code generation (_coder_hilbert_fir_mex.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/loop.txt b/hilbert_dpi/codegen/dll/hilbert_fir/loop.txt new file mode 100644 index 0000000..bbb4b1e --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/loop.txt @@ -0,0 +1,35738 @@ +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +tb.sv, 32 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 45 : nco_dpi inst_nco_dpi( +tb +uvm_custom_install_vcs_recorder.sv, 56 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_vcs_recorder.sv, 57 : cs = uvm_coreservice_t::get(); +uvm_custom_install_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_vcs_recorder.sv, 58 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 59 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 60 : if (vc_env_str!="")begin +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 70 : if (clp.get_arg_matches("+UVM_LOG_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_vcs_recorder.sv, 77 : if (clp.get_arg_matches("+UVM_TR_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 124 : p = process::self(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 125 : if (p != null) +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 126 : rand_state = p.get_randstate(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 127 : verdi_clp = verdi_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 264 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 270 : return m_inst; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 128 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 129 : pli_inst = uvm_verdi_pli::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli.svh, 18 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_verdi_pli.svh, 19 : process p = process::self(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 20 : string p_rand = p.get_randstate(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 21 : m_inst = new; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 24 : return m_inst; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_custom_install_verdi_recorder.sv, 130 : cs = uvm_coreservice_t::get(); +uvm_custom_install_verdi_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_verdi_recorder.sv, 132 : env_vcs_home = getenv("VCS_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 133 : if (env_vcs_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 134 : is_vcs_home_exist = 1; +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 135 : env_vcs_uvm_home = getenv("VCS_UVM_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 136 : if (env_vcs_uvm_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 138 : if (clp.get_arg_matches("+UVM_VPD_RECORD", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 140 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 141 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 142 : if (vc_env_str!="")begin +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 156 : if (verdi_clp.is_verdi_trace_ral()) begin +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 389 : verdi_trace_option_check(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 310 : verdi_trace_option_check_by_sep("|"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 311 : verdi_trace_option_check_by_sep("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 313 : verdi_minus_option_check("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 323 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 324 : void'(clp.get_arg_values("-gui=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 325 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check .unnamed$$_0 +uvm_verdi_pli_base.svh, 315 : is_verdi_trace_option_checked = 1; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_custom_install_verdi_recorder.sv, 201 : if ((clp.get_arg_matches("+UVM_LOG_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 416 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 419 : return verdi_trace_fac_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 367 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 370 : return verdi_trace_msg_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 381 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 384 : return verdi_trace_uvm_aware_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 374 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_verdi_pli_base.svh, 377 : return verdi_trace_dht_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 395 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 398 : return verdi_trace_ralwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 402 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_verdi_pli_base.svh, 405 : return verdi_trace_compwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_custom_install_verdi_recorder.sv, 259 : if ((clp.get_arg_matches("+UVM_TR_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 346 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_verdi_pli_base.svh, 349 : return verdi_trace_tlm_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 280 : if (p != null) +uvm_custom_install_verdi_recording +nco_dpi.sv, 31 : objhandle=DPI_nco_initialize(objhandle); +tb.inst_nco_dpi +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 28 : reset = 1'b1; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 29 : #100; +tb +tb.sv, 35 : clk = 1'b1; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 41 : clk_enable = 1'b0; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 42 : clr = 1'b0; +tb +tb.sv, 43 : fcw = 48'h0200_0000_0000; +tb +tb.sv, 44 : ptw = 16'h0; +tb +tb.sv, 45 : acc = 16'h0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 30 : reset = 1'b0; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 46 : else if(clk_enable) begin +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +uvm_custom_install_vcs_recorder.sv, 56 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_vcs_recorder.sv, 57 : cs = uvm_coreservice_t::get(); +uvm_custom_install_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_vcs_recorder.sv, 58 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 59 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 60 : if (vc_env_str!="")begin +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 70 : if (clp.get_arg_matches("+UVM_LOG_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_vcs_recorder.sv, 77 : if (clp.get_arg_matches("+UVM_TR_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 124 : p = process::self(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 125 : if (p != null) +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 126 : rand_state = p.get_randstate(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 127 : verdi_clp = verdi_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 264 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 270 : return m_inst; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 128 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 129 : pli_inst = uvm_verdi_pli::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli.svh, 18 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_verdi_pli.svh, 19 : process p = process::self(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 20 : string p_rand = p.get_randstate(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 21 : m_inst = new; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 24 : return m_inst; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_custom_install_verdi_recorder.sv, 130 : cs = uvm_coreservice_t::get(); +uvm_custom_install_verdi_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_verdi_recorder.sv, 132 : env_vcs_home = getenv("VCS_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 133 : if (env_vcs_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 134 : is_vcs_home_exist = 1; +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 135 : env_vcs_uvm_home = getenv("VCS_UVM_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 136 : if (env_vcs_uvm_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 138 : if (clp.get_arg_matches("+UVM_VPD_RECORD", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 140 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 141 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 142 : if (vc_env_str!="")begin +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 156 : if (verdi_clp.is_verdi_trace_ral()) begin +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 389 : verdi_trace_option_check(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 310 : verdi_trace_option_check_by_sep("|"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 311 : verdi_trace_option_check_by_sep("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 313 : verdi_minus_option_check("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 323 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 324 : void'(clp.get_arg_values("-gui=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 325 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check .unnamed$$_0 +uvm_verdi_pli_base.svh, 315 : is_verdi_trace_option_checked = 1; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_custom_install_verdi_recorder.sv, 201 : if ((clp.get_arg_matches("+UVM_LOG_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 416 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 419 : return verdi_trace_fac_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 367 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 370 : return verdi_trace_msg_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 381 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 384 : return verdi_trace_uvm_aware_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 374 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_verdi_pli_base.svh, 377 : return verdi_trace_dht_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 395 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 398 : return verdi_trace_ralwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 402 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_verdi_pli_base.svh, 405 : return verdi_trace_compwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_custom_install_verdi_recorder.sv, 259 : if ((clp.get_arg_matches("+UVM_TR_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 346 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_verdi_pli_base.svh, 349 : return verdi_trace_tlm_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 280 : if (p != null) +uvm_custom_install_verdi_recording +nco_dpi.sv, 31 : objhandle=DPI_nco_initialize(objhandle); +tb.inst_nco_dpi +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 28 : reset = 1'b1; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 29 : #100; +tb +tb.sv, 35 : clk = 1'b1; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 41 : clk_enable = 1'b0; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 42 : clr = 1'b0; +tb +tb.sv, 43 : fcw = 48'h0200_0000_0000; +tb +tb.sv, 44 : ptw = 16'h0; +tb +tb.sv, 45 : acc = 16'h0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 30 : reset = 1'b0; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 46 : else if(clk_enable) begin +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 23 : $finish(0); +tb +nco_dpi.sv, 35 : DPI_nco_terminate(objhandle); +tb.inst_nco_dpi diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/loop_detect.tcl b/hilbert_dpi/codegen/dll/hilbert_fir/loop_detect.tcl new file mode 100644 index 0000000..452bb3b --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/loop_detect.tcl @@ -0,0 +1,23 @@ +#!/usr/bin/tclsh + +proc loop_detect {args} { + set help "-help" + if { [string equal $args $help] != 1 } { + config followactivescope on + set i 0; + while {$i < $args} { + run_step + incr i + } + + } else { + puts "Usage: loop_detect " + } +} + +proc run_step {} { +redirect -f loop.txt -a {set x [step]}; +redirect -f loop.txt -a {set y [scope]}; +puts $x; +puts $y; +} diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/makefile b/hilbert_dpi/codegen/dll/hilbert_fir/makefile new file mode 100755 index 0000000..0c6a545 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/makefile @@ -0,0 +1,36 @@ +all_sv:com_sv sim_sv +all_ucli:com_ucli sim_ucli verdi_ucli + +com_sv: + vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all -debug_region+cell+encrypt \ + -f files.f -l com.log -fsdb +define+FSDB + + +com_ucli: + vcs -full64 -sverilog -debug_acc+all -debug_region+cell+encrypt \ + -LDFLAGS \ + -rdynamic \ + -P $(VERDI_HOME)/share/PLI/VCS/linux64/novas.tab \ + $(VERDI_HOME)/share/PLI/VCS/linux64/pli.a \ + -f files.f \ + +vcs+lic+wait \ + -l com.log + +sim_sv: + ./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log + +sim_ucli: + ./simv \ + -ucli -i loop_detect.tcl +fsdb+autoflush \ + -l sim.log +dve: + dve -full64 -vpd vcdplus.vpd & + +dbg: + verdi & -sv -f files.f -ssf *.fsdb -nologe + +clean: + rm -rf csrc *.log *.key *simv* *.vpd *DVE* + rm -rf verdiLog *.fsdb *.bak *.conf +file: + find -name "*.sv" > files.f diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log b/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log new file mode 100644 index 0000000..864a3bd --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/novas_dump.log @@ -0,0 +1,345 @@ +####################################################################################### +# log primitive debug message of FSDB dumping # +# This is for R&D to analyze when there are issues happening when FSDB dump # +####################################################################################### +ANF: vcsd_get_serial_mode_status('./simv: undefined symbol: vcsd_get_serial_mode_status') +ANF: vcsd_get_power_scope_name('./simv: undefined symbol: vcsd_get_power_scope_name') +ANF: vcsd_begin_no_value_var_info('./simv: undefined symbol: vcsd_begin_no_value_var_info') +ANF: vcsd_end_no_value_var_info('./simv: undefined symbol: vcsd_end_no_value_var_info') +ANF: vcsd_remove_xprop_merge_mode_callback('./simv: undefined symbol: vcsd_remove_xprop_merge_mode_callback') +ANF: vhpi_get_cb_info('./simv: undefined symbol: vhpi_get_cb_info') +ANF: vhpi_free_handle('./simv: undefined symbol: vhpi_free_handle') +ANF: scsd_xprop_is_enabled('./simv: undefined symbol: scsd_xprop_is_enabled') +ANF: scsd_xprop_sig_is_promoted('./simv: undefined symbol: scsd_xprop_sig_is_promoted') +ANF: scsd_xprop_int_xvalue('./simv: undefined symbol: scsd_xprop_int_xvalue') +ANF: scsd_xprop_bool_xvalue('./simv: undefined symbol: scsd_xprop_bool_xvalue') +ANF: scsd_xprop_enum_xvalue('./simv: undefined symbol: scsd_xprop_enum_xvalue') +ANF: scsd_xprop_register_merge_mode_cb('./simv: undefined symbol: scsd_xprop_register_merge_mode_cb') +ANF: scsd_xprop_delete_merge_mode_cb('./simv: undefined symbol: scsd_xprop_delete_merge_mode_cb') +ANF: scsd_xprop_get_merge_mode('./simv: undefined symbol: scsd_xprop_get_merge_mode') +ANF: scsd_thread_get_info('./simv: undefined symbol: scsd_thread_get_info') +ANF: scsd_thread_vc_init('./simv: undefined symbol: scsd_thread_vc_init') +ANF: scsd_master_set_delta_sync_cbk('./simv: undefined symbol: scsd_master_set_delta_sync_cbk') +ANF: scsd_fgp_get_fsdb_cores('./simv: undefined symbol: scsd_fgp_get_fsdb_cores') +ANF: msvEnableDumpingMode('./simv: undefined symbol: msvEnableDumpingMode') +ANF: msvGetVersion('./simv: undefined symbol: msvGetVersion') +ANF: msvGetInstProp('./simv: undefined symbol: msvGetInstProp') +ANF: msvIsSpiceEngineReady('./simv: undefined symbol: msvIsSpiceEngineReady') +ANF: msvSetAddProbeCallback('./simv: undefined symbol: msvSetAddProbeCallback') +ANF: msvGetInstHandle('./simv: undefined symbol: msvGetInstHandle') +ANF: msvGetProbeByInst('./simv: undefined symbol: msvGetProbeByInst') +ANF: msvGetSigHandle('./simv: undefined symbol: msvGetSigHandle') +ANF: msvGetProbeBySig('./simv: undefined symbol: msvGetProbeBySig') +ANF: msvGetProbeInfo('./simv: undefined symbol: msvGetProbeInfo') +ANF: msvRelease('./simv: undefined symbol: msvRelease') +ANF: msvSetVcCallbackFunc('./simv: undefined symbol: msvSetVcCallbackFunc') +ANF: msvCheckVcCallback('./simv: undefined symbol: msvCheckVcCallback') +ANF: msvAddVcCallback('./simv: undefined symbol: msvAddVcCallback') +ANF: msvRemoveVcCallback('./simv: undefined symbol: msvRemoveVcCallback') +ANF: msvGetLatestValue('./simv: undefined symbol: msvGetLatestValue') +ANF: msvSetEndofSimCallback('./simv: undefined symbol: msvSetEndofSimCallback') +ANF: msvIgnoredProbe('./simv: undefined symbol: msvIgnoredProbe') +ANF: msvGetThruNetInfo('./simv: undefined symbol: msvGetThruNetInfo') +ANF: msvFreeThruNetInfo('./simv: undefined symbol: msvFreeThruNetInfo') +ANF: PI_ace_get_output_time_unit('./simv: undefined symbol: PI_ace_get_output_time_unit') +ANF: PI_ace_sim_sync('./simv: undefined symbol: PI_ace_sim_sync') +ANF: msvGetRereadInitFile('./simv: undefined symbol: msvGetRereadInitFile') +ANF: msvSetBeforeRereadCallback('./simv: undefined symbol: msvSetBeforeRereadCallback') +ANF: msvSetAfterRereadCallback('./simv: undefined symbol: msvSetAfterRereadCallback') +ANF: msvSetForceCallback('./simv: undefined symbol: msvSetForceCallback') +ANF: msvSetReleaseCallback('./simv: undefined symbol: msvSetReleaseCallback') +ANF: msvGetForceStatus('./simv: undefined symbol: msvGetForceStatus') +ANF: vhdi_dt_get_type('./simv: undefined symbol: vhdi_dt_get_type') +ANF: vhdi_dt_get_key('./simv: undefined symbol: vhdi_dt_get_key') +ANF: vhdi_dt_get_vhdl_enum_info('./simv: undefined symbol: vhdi_dt_get_vhdl_enum_info') +ANF: vhdi_dt_get_vhdl_physical_info('./simv: undefined symbol: vhdi_dt_get_vhdl_physical_info') +ANF: vhdi_dt_get_vhdl_array_info('./simv: undefined symbol: vhdi_dt_get_vhdl_array_info') +ANF: vhdi_dt_get_vhdl_record_info('./simv: undefined symbol: vhdi_dt_get_vhdl_record_info') +ANF: vhdi_def_traverse_module('./simv: undefined symbol: vhdi_def_traverse_module') +ANF: vhdi_def_traverse_scope('./simv: undefined symbol: vhdi_def_traverse_scope') +ANF: vhdi_def_traverse_variable('./simv: undefined symbol: vhdi_def_traverse_variable') +ANF: vhdi_def_get_module_id_by_vhpi('./simv: undefined symbol: vhdi_def_get_module_id_by_vhpi') +ANF: vhdi_def_get_handle_by_module_id('./simv: undefined symbol: vhdi_def_get_handle_by_module_id') +ANF: vhdi_def_get_variable_info_by_vhpi('./simv: undefined symbol: vhdi_def_get_variable_info_by_vhpi') +ANF: vhdi_def_free('./simv: undefined symbol: vhdi_def_free') +ANF: vhdi_ist_traverse_scope('./simv: undefined symbol: vhdi_ist_traverse_scope') +ANF: vhdi_ist_traverse_variable('./simv: undefined symbol: vhdi_ist_traverse_variable') +ANF: vhdi_ist_convert_by_vhpi('./simv: undefined symbol: vhdi_ist_convert_by_vhpi') +ANF: vhdi_ist_clone('./simv: undefined symbol: vhdi_ist_clone') +ANF: vhdi_ist_free('./simv: undefined symbol: vhdi_ist_free') +ANF: vhdi_ist_hash_key('./simv: undefined symbol: vhdi_ist_hash_key') +ANF: vhdi_ist_compare('./simv: undefined symbol: vhdi_ist_compare') +ANF: vhdi_ist_get_value_addr('./simv: undefined symbol: vhdi_ist_get_value_addr') +ANF: vhdi_set_scsd_callback('./simv: undefined symbol: vhdi_set_scsd_callback') +ANF: vhdi_cbk_set_force_callback('./simv: undefined symbol: vhdi_cbk_set_force_callback') +ANF: vhdi_trigger_init_force('./simv: undefined symbol: vhdi_trigger_init_force') +ANF: vhdi_ist_check_scsd_callback('./simv: undefined symbol: vhdi_ist_check_scsd_callback') +ANF: vhdi_ist_add_scsd_callback('./simv: undefined symbol: vhdi_ist_add_scsd_callback') +ANF: vhdi_ist_remove_scsd_callback('./simv: undefined symbol: vhdi_ist_remove_scsd_callback') +ANF: vhdi_ist_get_scsd_user_data('./simv: undefined symbol: vhdi_ist_get_scsd_user_data') +ANF: vhdi_add_time_change_callback('./simv: undefined symbol: vhdi_add_time_change_callback') +ANF: vhdi_get_real_value_by_value_addr('./simv: undefined symbol: vhdi_get_real_value_by_value_addr') +ANF: vhdi_get_64_value_by_value_addr('./simv: undefined symbol: vhdi_get_64_value_by_value_addr') +ANF: vhdi_xprop_inst_is_promoted('./simv: undefined symbol: vhdi_xprop_inst_is_promoted') +ANF: vdi_ist_convert_by_vhdi('./simv: undefined symbol: vdi_ist_convert_by_vhdi') +ANF: vhdi_ist_get_module_id('./simv: undefined symbol: vhdi_ist_get_module_id') +ANF: vhdi_refine_foreign_scope_type('./simv: undefined symbol: vhdi_refine_foreign_scope_type') +ANF: vhdi_flush_callback('./simv: undefined symbol: vhdi_flush_callback') +ANF: vhdi_set_orig_name('./simv: undefined symbol: vhdi_set_orig_name') +ANF: vhdi_set_dump_pt('./simv: undefined symbol: vhdi_set_dump_pt') +ANF: vhdi_get_fsdb_option('./simv: undefined symbol: vhdi_get_fsdb_option') +ANF: vhdi_fgp_get_mode('./simv: undefined symbol: vhdi_fgp_get_mode') +VCS compile option: + option[0]: ./simv + option[1]: +vcs+loopreport + option[2]: -sv_lib + option[3]: DPI_Component + option[4]: -l + option[5]: sim.log + option[6]: /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcs1 + option[7]: -Mcc=gcc + option[8]: -Mcplusplus=g++ + option[9]: -Masflags= + option[10]: -Mcfl= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include + option[11]: -Mxcflags= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include + option[12]: -Mldflags= -rdynamic + option[13]: -Mout=simv + option[14]: -Mamsrun= + option[15]: -Mvcsaceobjs= + option[16]: -Mobjects= /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so + option[17]: -Mexternalobj= + option[18]: -Msaverestoreobj=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o + option[19]: -Mcrt0= + option[20]: -Mcrtn= + option[21]: -Mcsrc=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + option[22]: -Msyslibs=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl + option[23]: -l + option[24]: com.log + option[25]: +define+UVM_VERDI_VIF_RECORD + option[26]: +define+UVM_VCS_RECORD + option[27]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs + option[28]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv + option[29]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv + option[30]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi + option[31]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv + option[32]: -full64 + option[33]: -ntb_opts + option[34]: uvm-1.2 + option[35]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/ + option[36]: +vcs+loopreport+1000 + option[37]: -debug_acc+all + option[38]: +vpi + option[39]: +vcsd1 + option[40]: +itf+/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab + option[41]: -debug_region+cell+encrypt + option[42]: -fsdb + option[43]: -P + option[44]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab + option[45]: +define+FSDB + option[46]: -picarchive + option[47]: -debug_acc+fsdb + option[48]: -sverilog + option[49]: -gen_obj + option[50]: -f + option[51]: files.f + option[52]: -load + option[53]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/libnovas.so:FSDBDumpCmd +Chronologic Simulation VCS Release O-2018.09-SP2_Full64 +Linux 3.10.0-1160.92.1.el7.x86_64 #1 SMP Tue Jun 20 11:48:01 UTC 2023 x86_64 +CPU cores: 96 +Limit information: +====================================== +cputime unlimited +filesize unlimited +datasize unlimited +stacksize 8250 kbytes +coredumpsize 0 kbytes +memoryuse unlimited +vmemoryuse unlimited +descriptors 4096 +memorylocked 64 kbytes +maxproc 4096 +====================================== +(Special)Runtime environment variables: + +Runtime environment variables: +XMODIFIERS=@im=ibus +SPECTRE_DEFAULTS=-E +SHELL=/bin/csh +VTE_VERSION=5204 +_=/bin/dbus-launch +OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x +SNPSLMD_LICENSE_FILE=27050@cryo1 +MENTOR_HOME=/opt/mentor +DBUS_SESSION_BUS_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +MACHTYPE=x86_64 +LESSOPEN=||/usr/bin/lesspipe.sh %s +CDSROOT=/opt/cadence/IC618 +FM_HOME=/opt/synopsys/fm/O-2018.06-SP1 +CDS_LIC_ONLY=1 +CDSDIR=/opt/cadence/IC618 +PATH=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin +LM_LICENSE_FILE=/opt/synopsys/license/license.dat +MGC_PDF_REDER=evince +MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1 +CDS_ROOT=/opt/cadence/IC618 +QT_GRAPHICSSYSTEM_CHECKED=1 +SESSION_MANAGER=local/unix:@/tmp/.ICE-unix/4486,unix/unix:/tmp/.ICE-unix/4486 +SPECTRE_HOME=/opt/cadence/SPECTRE181 +XDG_RUNTIME_DIR=/run/user/1004 +VENDOR=unknown +CDS_AUTO_64BIT=ALL +XDG_MENU_PREFIX=gnome- +LS_COLORS=rs=0:di=38;5;27:ln=38;5;51:mh=44;38;5;15:pi=40;38;5;11:so=38;5;13:do=38;5;5:bd=48;5;232;38;5;11:cd=48;5;232;38;5;3:or=48;5;232;38;5;9:mi=05;48;5;232;38;5;15:su=48;5;196;38;5;15:sg=48;5;11;38;5;16:ca=48;5;196;38;5;226:tw=48;5;10;38;5;16:ow=48;5;10;38;5;21:st=48;5;21;38;5;15:ex=38;5;34:*.tar=38;5;9:*.tgz=38;5;9:*.arc=38;5;9:*.arj=38;5;9:*.taz=38;5;9:*.lha=38;5;9:*.lz4=38;5;9:*.lzh=38;5;9:*.lzma=38;5;9:*.tlz=38;5;9:*.txz=38;5;9:*.tzo=38;5;9:*.t7z=38;5;9:*.zip=38;5;9:*.z=38;5;9:*.Z=38;5;9:*.dz=38;5;9:*.gz=38;5;9:*.lrz=38;5;9:*.lz=38;5;9:*.lzo=38;5;9:*.xz=38;5;9:*.bz2=38;5;9:*.bz=38;5;9:*.tbz=38;5;9:*.tbz2=38;5;9:*.tz=38;5;9:*.deb=38;5;9:*.rpm=38;5;9:*.jar=38;5;9:*.war=38;5;9:*.ear=38;5;9:*.sar=38;5;9:*.rar=38;5;9:*.alz=38;5;9:*.ace=38;5;9:*.zoo=38;5;9:*.cpio=38;5;9:*.7z=38;5;9:*.rz=38;5;9:*.cab=38;5;9:*.jpg=38;5;13:*.jpeg=38;5;13:*.gif=38;5;13:*.bmp=38;5;13:*.pbm=38;5;13:*.pgm=38;5;13:*.ppm=38;5;13:*.tga=38;5;13:*.xbm=38;5;13:*.xpm=38;5;13:*.tif=38;5;13:*.tiff=38;5;13:*.png=38;5;13:*.svg=38;5;13:*.svgz=38;5;13:*.mng=38;5;13:*.pcx=38;5;13:*.mov=38;5;13:*.mpg=38;5;13:*.mpeg=38;5;13:*.m2v=38;5;13:*.mkv=38;5;13:*.webm=38;5;13:*.ogm=38;5;13:*.mp4=38;5;13:*.m4v=38;5;13:*.mp4v=38;5;13:*.vob=38;5;13:*.qt=38;5;13:*.nuv=38;5;13:*.wmv=38;5;13:*.asf=38;5;13:*.rm=38;5;13:*.rmvb=38;5;13:*.flc=38;5;13:*.avi=38;5;13:*.fli=38;5;13:*.flv=38;5;13:*.gl=38;5;13:*.dl=38;5;13:*.xcf=38;5;13:*.xwd=38;5;13:*.yuv=38;5;13:*.cgm=38;5;13:*.emf=38;5;13:*.axv=38;5;13:*.anx=38;5;13:*.ogv=38;5;13:*.ogx=38;5;13:*.aac=38;5;45:*.au=38;5;45:*.flac=38;5;45:*.mid=38;5;45:*.midi=38;5;45:*.mka=38;5;45:*.mp3=38;5;45:*.mpc=38;5;45:*.ogg=38;5;45:*.ra=38;5;45:*.wav=38;5;45:*.axa=38;5;45:*.oga=38;5;45:*.spx=38;5;45:*.xspf=38;5;45: +MOZILLA_HOME=/usr/bin/firefox +SSH_AUTH_SOCK=/run/user/1004/keyring/ssh +DISPLAY=unix:4 +MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +IMSETTINGS_INTEGRATE_DESKTOP=yes +HOME=/home/yzzhang +VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2 +PWD=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir +SSH_AGENT_PID=5490 +CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1 +VERDI_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +MGLS_LICENSE_FILE=/opt/mentor/license/license.dat +PT_HOME=/opt/synopsys/pts/O-2018.06-SP1 +SYNOPSYS=/opt/synopsys +LD_LIBRARY_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/shared/pkgs/icv/tools/calibre_client/lib/64 +IDQ_HOME=/opt/synopsys/idq/O-2018.06-SP1 +CDS_SPECTRE_FBENABLE=1 +LOGNAME=yzzhang +INNOVUS_HOME=/opt/cadence/INNOVUS152 +CDS_LIC_FILE=/opt/cadence/license/license.dat +HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2 +GNOME_DESKTOP_SESSION_ID=this-is-deprecated +HOSTNAME=cryo1 +GENUS_HOME=/opt/cadence/GENUS152 +MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1 +COLORTERM=truecolor +PWR_HOME=/opt/synopsys/pwr/O-2018.06-SP3 +QT_IM_MODULE=ibus +OSTYPE=linux +SHLVL=4 +GNOME_SHELL_SESSION_MODE=classic +XDG_SESSION_ID=c10 +USER=yzzhang +QTLIB=/usr/lib64/qt-3.3/lib +XDG_CURRENT_DESKTOP=GNOME +VNCDESKTOP=cryo1:4 (yzzhang) +CDS=/opt/cadence/IC618 +CDS_LOAD_ENV=CWD +IMSETTINGS_MODULE=none +starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1 +MAKEFLAGS= +MFLAGS= +SYN_HOME=/opt/synopsys/syn/O-2018.06-SP1 +MAIL=/var/spool/mail/yzzhang +SPYGLASS_HOME=/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME +CADHOME=/opt/cadence +MGC_LIB_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/lib +CDSHOME=/opt/cadence/IC618 +LC_HOME=/opt/synopsys/lc/O-2018.06-SP1 +CADENCE_DIR=/opt/cadence/IC618 +CDS_INST_DIR=/opt/cadence/IC618 +NOVAS_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +DBUS_STARTER_BUS_TYPE=session +W3264_NO_HOST_CHECK=1 +SCL_HOME=/opt/synopsys/scl/2018.06 +HOSTTYPE=x86_64-linux +GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51 +CDS_SPECTRERF_FBENABLE=1 +GNOME_TERMINAL_SERVICE=:1.160 +GROUP=cryo +TXS_HOME=/opt/synopsys/txs/O-2018.06-SP1 +CDS_Netlisting_Mode=Analog +QTINC=/usr/lib64/qt-3.3/include +QTDIR=/usr/lib64/qt-3.3 +CDS_ENABLE_VMS=1 +LANG=C +TERM=xterm-256color +CALIBRE_ENABLE_SKILL_PEXBA_MODE=1 +DBUS_STARTER_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +HOST=cryo1 +MAKELEVEL=1 +VCS_HEAP_EXEC=true +VCS_PATHMAP_PRELOAD_DONE=1 +VCS_STACK_EXEC=true +VCS_EXEC_DONE=1 +LC_ALL=C +DVE=/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve +SPECMAN_OUTPUT_TO_TTY=1 +SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:64859 +SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=64859:0xcdd180 +Runtime command line arguments: +argv[0]=./simv +argv[1]=+vcs+loopreport +argv[2]=-sv_lib +argv[3]=DPI_Component +argv[4]=-l +argv[5]=sim.log +281 profile - 100 + CPU/Mem usage: 0.080 sys, 0.310 user, 294.99M sys, 0.00M vm +282 VC callback profile - scalar:0 vector:0 +283 Mon Oct 28 23:58:07 2024 +284 pliAppInit +285 Enable VD Dumper +286 FSDB_GATE is set. +287 FSDB_RTL is set. +288 Enable Parallel Dumping. +289 pliAppMiscSet: New Sim Round +290 pliEntryInit +291 LIBSSCORE=found /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/lib/LINUXAMD64/libsscore_vcs201712.so through $NOVAS_HOME setting. +292 FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018 +293 (C) 1996 - 2018 by Synopsys, Inc. +294 *********************************************************************** +295 * ERROR - * +296 * The simulator version is newer than the FSDB dumper version which * +297 * may cause abnormal behavior, please contact Synopsys support for * +298 * assistance. * +299 *********************************************************************** +300 sps_call_fsdbDumpfile_main at 0 : ./tb.sv(12) +301 argv[0]: (TB.fsdb) +302 *Verdi* : Create FSDB file 'TB.fsdb' +303 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13) +304 argv[0]: (0) +305 argv[1]: (handle) tb +306 *Verdi* : Begin traversing the scope (tb), layer (0). +307 *Verdi* : End of traversing. +308 pliAppHDL_DumpVarComplete traverse var: profile - + CPU/Mem usage: 0.090 sys, 0.320 user, 391.89M sys, 0.00M vm + incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm + accu: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm + accu incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm + + Count usage: 8 var, 11 idcode, 5 callback + incr: 8 var, 11 idcode, 5 callback + accu: 8 var, 11 idcode, 5 callback + accu incr: 8 var, 11 idcode, 5 callback +309 VC callback profile - scalar:0 vector:0 +310 Mon Oct 28 23:58:07 2024 +311 pliAppHDL_DumpVarComplete: profile - + CPU/Mem usage: 0.090 sys, 0.320 user, 392.95M sys, 0.00M vm + incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm + accu: 0.000 sys, 0.000 user, 8.33M sys, 0.00M vm + accu incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm + + Count usage: 8 var, 11 idcode, 5 callback + incr: 0 var, 0 idcode, 0 callback + accu: 8 var, 11 idcode, 5 callback + accu incr: 0 var, 0 idcode, 0 callback +312 VC callback profile - scalar:0 vector:2 +313 Mon Oct 28 23:58:07 2024 +314 End of simulation at 163930 +315 VC callback profile - scalar:0 vector:2 +316 Mon Oct 28 23:58:07 2024 +317 Begin FSDB profile info: +318 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0) +FSDB Writer elapsed time : flush(0.007414) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000) +FSDB Writer cpu time : MT Compression : 0 +319 End FSDB profile info +320 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0 +321 ProduceTime:0.470358439 ConsumerTime:0.000000000 Buffer:64MB +322 SimExit +323 Sim process exit diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/rtwtypes.h b/hilbert_dpi/codegen/dll/hilbert_fir/rtwtypes.h new file mode 100644 index 0000000..30da556 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/rtwtypes.h @@ -0,0 +1,166 @@ +/* + * Academic License - for use in teaching, academic research, and meeting + * course requirements at degree granting institutions only. Not for + * government, commercial, or other organizational use. + * + * rtwtypes.h + * + * Code generation for function 'hilbert_fir' + * + */ + +#ifndef RTWTYPES_H +#define RTWTYPES_H + +/* Include files */ + +#ifndef __cplusplus +#include +#endif + +#ifdef __cplusplus +extern "C" { +#endif + +/*=======================================================================* + * Target hardware information +* Device type: Generic->MATLAB Host Computer +* Number of bits: char: 8 short: 16 int: 32 +* long: 64 long long: 64 + +* native word size: 64 +* Byte ordering: LittleEndian +* Signed integer division rounds to: Zero +* Shift right on a signed integer as arithmetic shift: on +*=======================================================================*/ + +/*=======================================================================* + * Fixed width word size data types: * + * int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers * + * uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers * + * real32_T, real64_T - 32 and 64 bit floating point numbers * + *=======================================================================*/ +typedef signed char int8_T; +typedef unsigned char uint8_T; +typedef short int16_T; +typedef unsigned short uint16_T; +typedef int int32_T; +typedef unsigned int uint32_T; +typedef long int64_T; +typedef unsigned long uint64_T; +typedef float real32_T; +typedef double real64_T; + +/*===========================================================================* + * Generic type definitions: real_T, time_T, boolean_T, int_T, uint_T, * + * ulong_T, ulonglong_T, char_T and byte_T. * + *===========================================================================*/ + +typedef double real_T; +typedef double time_T; +typedef bool boolean_T; +typedef int int_T; +typedef unsigned int uint_T; +typedef unsigned long ulong_T; +typedef unsigned long long ulonglong_T; +typedef char char_T; +typedef char_T byte_T; + +/*===========================================================================* + * Complex number type definitions * + *===========================================================================*/ +#define CREAL_T +typedef struct { + real32_T re; + real32_T im; +} creal32_T; + +typedef struct { + real64_T re; + real64_T im; +} creal64_T; + +typedef struct { + real_T re; + real_T im; +} creal_T; + +typedef struct { + int8_T re; + int8_T im; +} cint8_T; + +typedef struct { + uint8_T re; + uint8_T im; +} cuint8_T; + +typedef struct { + int16_T re; + int16_T im; +} cint16_T; + +typedef struct { + uint16_T re; + uint16_T im; +} cuint16_T; + +typedef struct { + int32_T re; + int32_T im; +} cint32_T; + +typedef struct { + uint32_T re; + uint32_T im; +} cuint32_T; + +typedef struct { + int64_T re; + int64_T im; +} cint64_T; + +typedef struct { + uint64_T re; + uint64_T im; +} cuint64_T; + +/*=======================================================================* + * Min and Max: * + * int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers * + * uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers * + *=======================================================================*/ + +#define MAX_int8_T ((int8_T)(127)) +#define MIN_int8_T ((int8_T)(-128)) +#define MAX_uint8_T ((uint8_T)(255)) +#define MIN_uint8_T ((uint8_T)(0)) +#define MAX_int16_T ((int16_T)(32767)) +#define MIN_int16_T ((int16_T)(-32768)) +#define MAX_uint16_T ((uint16_T)(65535)) +#define MIN_uint16_T ((uint16_T)(0)) +#define MAX_int32_T ((int32_T)(2147483647)) +#define MIN_int32_T ((int32_T)(-2147483647 - 1)) +#define MAX_uint32_T ((uint32_T)(0xFFFFFFFFU)) +#define MIN_uint32_T ((uint32_T)(0)) +#define MAX_int64_T ((int64_T)(9223372036854775807L)) +#define MIN_int64_T ((int64_T)(-9223372036854775807L - 1L)) +#define MAX_uint64_T ((uint64_T)(0xFFFFFFFFFFFFFFFFUL)) +#define MIN_uint64_T ((uint64_T)(0UL)) + +/* Logical type definitions */ +#if (!defined(__cplusplus)) && (!defined(__true_false_are_keywords)) && \ + (!defined(__bool_true_false_are_defined)) +#ifndef false +#define false 0U +#endif +#ifndef true +#define true 1U +#endif +#endif + +#ifdef __cplusplus +} +#endif +#endif +/* End of code generation (rtwtypes.h) */ diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/sim.log b/hilbert_dpi/codegen/dll/hilbert_fir/sim.log new file mode 100644 index 0000000..9ea7f54 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/sim.log @@ -0,0 +1,58 @@ +Command: /home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log +Chronologic VCS simulator copyright 1991-2018 +Contains Synopsys proprietary information. +Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Oct 28 23:58 2024 +UVM_INFO /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES] +---------------------------------------------------------------- +UVM-1.2.Synopsys +(C) 2007-2014 Mentor Graphics Corporation +(C) 2007-2014 Cadence Design Systems, Inc. +(C) 2006-2014 Synopsys, Inc. +(C) 2011-2013 Cypress Semiconductor Corp. +(C) 2013-2014 NVIDIA Corporation +---------------------------------------------------------------- + + *********** IMPORTANT RELEASE NOTES ************ + + You are using a version of the UVM library that has been compiled + with `UVM_NO_DEPRECATED undefined. + See http://www.eda.org/svdb/view.php?id=3313 for more details. + + You are using a version of the UVM library that has been compiled + with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. + See http://www.eda.org/svdb/view.php?id=3770 for more details. + + (Specify +UVM_NO_RELNOTES to turn off this notice) + +*Verdi* Loading libsscore_vcs201712.so +FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018 +(C) 1996 - 2018 by Synopsys, Inc. +*********************************************************************** +* ERROR - * +* The simulator version is newer than the FSDB dumper version which * +* may cause abnormal behavior, please contact Synopsys support for * +* assistance. * +*********************************************************************** +*Verdi* : Create FSDB file 'TB.fsdb' +*Verdi* : Begin traversing the scope (tb), layer (0). +*Verdi* : End of traversing. +data_reg is: 0 1 2 3 4 5 6 7 +i_data_temp is :6 +i_data0 is :7 +i_data1 is :6 +i_data2 is :5 +i_data3 is :4 +i_data4 is :3 +i_data5 is :2 +i_data6 is :1 +i_data7 is :0 +q_data0 is :-5 +q_data1 is :0 +q_data2 is :0 +q_data3 is :2 +q_data4 is :2 +q_data5 is :2 +q_data6 is :2 +q_data7 is :2 + V C S S i m u l a t i o n R e p o r t +Time: 163930 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv b/hilbert_dpi/codegen/dll/hilbert_fir/simv new file mode 100755 index 0000000000000000000000000000000000000000..e1a50fc06003a453d92e4e140768c0f3b0f41d3d GIT binary patch literal 969424 zcmdqKd0bT0|37{~QQQL8)H27daL0YG83Y{^6#>)S1{eky1!kNX+|nEc%84j4Ewha* zOIwT1&-MgBeuRb0~*OJ)G+!*~NW(upWr8o=(c`?BANRDj}VzzM4ZXF2#9w6OPrhqGOnz zBUvLq<@X~$oy&Ojym1PHO53l6K;k(<^qlgUDEBk|oFdK@BD;E4+edAq^oY3sNU$D= zu%1pzH2b&aOnK>Oh(yCf6t z48`R0LPEou)l&DWbnko%Kn;OIE=@m*6+8Mb**Qh~f zLuluyA3|H)ZC{&UMBBYeQ2loteg8GjJhX?1~VsH9Lw60X?-|N2S zieg-@-_<%OT+z2}8>v%5R`d^D(KW8Hq*6H)sUiIG4u^f2hq-rBTp>`KQ_`#`-~eWnWOYh=C7B?}=$r9G=;|5XZ|$(%2WEt zsLA0?m6ygTB{4xItDA-=*@KebYZVR?@d=BZnz|}Zk64#e;{#{)Ef%6rlYe;_zj!xH+{z3Ye06IAs zsXkIeBsxNIZj97KV9qMaEfQ{l>y}8ZkXlQ88(iNia66paBi$~rT22SxJ2YHJU>#Ct ziSH&|)3bL1M!6*v;-en|ZV9*FZ`ajnWl=ON&RA`L?tjx+*k zB+@7(I_?%{y1qwT8^n1u&M`=1kj4s*1`!>x;!M}$rRxc}o`jTul!%msGzDoY(ljJG z?h|Lao{8((NG7B-q;w=YGQ`;`&cw}?t_f!goP)DnTsy>hKF*88^Ry; z(q1Gw_KEX;aVG8nt`7(3?pC9caQd>Ge9kd7jKjr0xDw@7px7iYTu9@i%% zd=l3`BmIhWO5(lZx=NhS<6JG>r?Tj{BypE<{kw$!5Z715`A_NIbzJ`~;eT;`gD_2i znj;8YJ;B$2^7bni`EZx;A1 zaW2LAU2**Z&RfOxHgWzC=Z}y+M%sZ?hO`rD7n1ha18yJEexw6P2aygTeTMWo5*=US zTrRGU;QSTRHv%8S`FnAF0_T(B`bV69Li$DEUvWN-REbn2xO3usUYx7N`6AAj#WmIc zySV-X=RTW0?{JO1fg_S5}l&*$~#=KnnWMeFoz_q+#g*Y{~OsQvtp-urv*Ei=09%Xz&) zr(a*_KVJ9zZ!gVhb${=5hmA{qyqIdO?3P<%Zv9*319u$ga5Cez(F=NP-rd&u+_s{N zx4bfJ>Aw9Fzuxug^~Vp?-+ylQTX^p0hrXJ0_|p6jPn0JX9eTRS@6UAatWT(SXWmba zJtL?8mGJcwmygHId9Ps1Uom}G-#0Elzwh8fE%xccJ01Vt-hNbgZjxhqyOaG-3_aHE z#KDZF!=GyM@Db0ZpYJ{%Z(0>sdjIOa{-Vj2`ICAMexui8g>NS`Hg|7PbZ<)G zAJNT!Uwi3?f`jWG>~!1gad*6%_vwwXMfZMi{nk$394pA!ce?EHEe$rdUU+`Y6aU`V zCN6IBZLKHlIy&ITVI!B0GJZI&cbEKUpK~6K{iQ>{Uf*24((m}J+YUar_pj-T3T)Gg z#>Sj{WI*co=Rz0TroO%OgTe-XHU0FyHmB}8eEGx6F^^y0I$)c<-nsDcS575#{NXm+ z(YFW12cMmE{rzG0E#2@`{>sI{_dizgUd77WzRbomw_khUqQUpjG*XUU|D+lHS0yz`BXTTWcneLZjHo8gnDe713Z*i=J{i%+<| z?DO{<%Rgz{Xh@T9Lfe&IY29koW6rmld~vifO7 zzOw%t-R2G}o0y+`v-R8On%z(uzxqqwv$J;>w|k;j=#-a=p1Q5ebLp0?v6Cj<=&Cce z?6La6{b!dQ*xc{rEd$fOIIjEt`_F%Q{)IP|G`KYMjjKCeUt`~tyx`*2x7Or+@U~LD z;@#J~xGoiLn)_7g!;5b{cTYz7iPay^9Xs>J&>qL$EZna2yZ%O(KJBM|@K#>;UGElF zyw~@Z-gl3P-u~TFZHE6fqxJYJmwPrn6Vh}0C!0TTuD#T9So!^bb!qTsS?J8XG0$B8 zcl8Us?;7ylTkDUPDW^Z1JA2@}G4H>%zu;@rzM)e_-!bZ|M>99}?-bfTp~H|>xs#j! zb~G!<{^qvAUL%%PO_`eg)Dt6ie!hIoQv;JTejBsnm~^G{FJkKdelymkFXM}~E1{&R7sJuPoHH|lvZ^x&;;TE2L_5H$g z$qRp=XmiU`Q#(Ji?rf(S4}G|!%`4-tgv@;Onb0|X=J)>gj)^n%>2JJn;e5&Qc*m{B zg5Jw|_`Tw|2a`v=xc7}=qc?Brlsfgj#ZL@)V|BOJ20vDB;L%5a{&w#DcPzaBhjBxf z9$(V0b@TRZryPA|-MmKAEU=@E^J2lU-uZtzU4Q7j|NgUa@9?FakAF4(LdPS) zGrnFjYUhd(&zeTrrkuR|>-pPHp6%XI86VSZ*-HZx{wf->Gyy1QUz|AQa>J2NA59-r_3-VFZhF1l zmS-IuUf!0R{GQ3P|AzzZn*AAKeYo3KZ$(w@aNPOMgOmH-{ZwQZ!=%)ickjCJ;MfN* zh8>@F+oYg_GmL|FXBoop_q1K5`|Mi#%T;@y_-f6*(aG-qFE`14f51;Y`k!gq^TTIX zhc*57o%1K(?J*}NXWLz#zh8GQSpW8p=gciv51*Cu)TXizrYBx+u%OAEcMW~;#i%8o zTVL$k(Y^D=iKxHc9REw1XY1^GEBg*VHM3{gqcdV=yu6_3)Ndg(GVcB7e47M|Dei;B ziMQ?_xcH}GnV&e4GbXIH+Se`q^W`yp8r=WSts_rdPW||gr%tACbcFoLQPGe0_YaQCNkTQvIT?Xg4dc~|M%!98l(yOrHuag~43>z55rJXD%eu=T@-wmkI9 zxS~~|w#aX0J<_Ytedv+4qq~l4)S&sQp-_h*Hj zo^|eGyzAkL_fD0k_F8R!!_Z~Gk|p`mN49>h*X`|gxBdCSoJP;wbI^?F_{9-h9`(yQZLugqyQ`kUa5?_VA8 zWvi7_dm8VpdaK3TU))uF^5AlPqeFkceK2M1pE-kj?b)%Z!`H5d27mw78&@yJFWwS8 z^25<|(S-W4iy`0v~KC$QV%`dh7 z{hp!gH&&iI;auD5Sc4Cq51aUV#GR>0&6>S98Z1u^FP1m*$=a0UVeX5*b7hoWBh)?&Zi#eYRW6^)S|LepF`~)xD>zR zM_c7PudT@&`BhrfqWWWOT~iXTerC+L=g5h>_Qk%ob<&5wtXi_S*Z3ZB+vw<^KQ|3qv9nd-ve%v-FzMWelw%96UyOapWIeTVt@Wd?w_O}{`{dkl zd;hrNymQyccXnpv{L`$`8NI=??Bi}Pz5MK#^*)Yj^mtm(y+c<#@Y}A2*XNxqZaDJZ z)y+0X?VOgs{JHCmpZ;KIz1e4D)^B*>_`GSi&;Iq#fBRqg<>XJ^mpx~WUbtme>t@gX z@OMf7A9Y>g<}8aydU1O8fERjv^K3=e?8`rmd-UzR7WsxZrba*1d_d<5AOB&sKXEzw z%gO`aUZuDs(4lXG7~ap#3)l?x$J73XKmM(D{&{TZ`pthkHb4E9^Lc>sdjycDEq0Uq zmD4Ri`Lqu3m;dao{`uRnVT>K3I>*7b{_`)xCZfOc!`oxMRHqb0=^mgQcL4s~hW^XP zR-4~?l>q#&5XPUJrU3aTL3V%n*95SiodM)$YeqkM*d8GNUjh21B0&D00qkKw0QoN= zzrTKoXzjnfjRVv>CjdV=fIedb3RyzrTKby_tV|D+|zH=L7f|+VA#fx9bDg)l=R5 zx9jTw*UbLm7(hQG0@V9i0Qvt6(2uhM_=hzC_~y{RKmQXJ zK%d_R(Ay^g{J_Zo{rgk^{+R&n8W$jcN&vm>>F?i8TmkZ@2Pl6z0^d9R_!9#-e|mc` zfcz)J{M+Y>0P`b#+~UukO9IG0CV)R|6rf!v1K8o_0R1>CK)dLDpFcU*2gv_w0RGJY zyeEMDR|m-7Fo0hg79js)0pb~Yx9hK6sR7E#LOK58-WLPt-yWb{<^cV2E`U9B2q5Pd z0qU&^U_ULOH-Gj#C_udl0r;2z`ad6Fet8h`_^Y>50Qu>?mA`)389;Bk0R7u3fc|>~ znD<8o@OxJR=(8w*osKP%@}CKyA6)=F&j~QkqTBu2$+ZCXbCJa-&6Lti1Jj04=%foPrT3R7W~j*j zIMaVK<>?ANV<^s}WAq?iPKCL4IR@x~%2}%^r@PQ|Mc*j){3q;ReA@;6k$zf>{(^b1 zBNOsdyABz6yIvFgQ#xM0?qY2@AA(7c>aoScz({}9Xh_?Tyg!{4a;rZtu6DT>tdl z936n{=pf|G6mqKk3^Be+=JI;`iTs&^xgJV1_SR68A1U&w{re}{OM0-2aio_2I~)h` zI!(Prsy*Dp?RJAGZ-*Gy_FOJcs^E)6KYEAo_P!(d({LcH-{Q!Q0U@W} zT3gPoP`C~wGFdZ@MtA1r=p424w}9fw4@}e8=a;we{E>fg`7w>MV^=7*hqgf+1G1x? z;L&V$sQuV&xG4X-x#A8##fote?yb##)05X*X0I)$S;()?sm<$z{+ABqa=s~QSpj>a zepIq}e$@^mI&yj1YTAp>dwG6gw`#vv+{O8ld9~{uAnNTgh}Wz7y|0A5#gFE8=n?Xi zV?2`G#=pSFwW^EDn}T%Ksn{1prT!~BM3ynG_+HHvyeg*?4b z4)uG5W;{NGd5z64RlHwRIh$hKkv>aW@%D;h6lWjK>#FsPDi@A;W-#aHRC3-d9vF)W zl;kY#p2e6T!H*GkxKJa{2@C|9U#$Iky&sDFYf%o#6F-XUIYaP&qrXUg-5D;wpp_4q z9d=O`YV?pQ`Zr(W=RbnMQ2FZLg{bAUR@*D=LA9%XqQBgkwe4hb1TV+*i=GuxEE?Qc z_|IhF$5cJr*u30D*{bPBFXkiCTZxe0Ed=TU6DK)!C%Arsp?5mkwBUSMN^NR=~>Qd!8aBD)_t7U>lEcUai8+{{+s82RM^9( zojGqk!g&lsc1#+^`HIxqa(*oIrWfN^9gjm`52S}<8vP6qc6IDoKE6Ul`Ext*@-O_V zXUwaDzYiHGu9zmUs{hx7J(Ottj7QY#)zoXbg_my^aY$ED-ZR2Zk~MLu8-Wv4p;YYB zi=6!QqL|m(YUZ`qgnsP#TtA|FmAPWR(1mmTtA%~glgpE=iT6q%80jrSV{a3XpZYzt zvi5lSR>(h8Gv3#U`8`?VmoCAdGdtAu<7JF%;+1SJzuJ#IZsYuur??(|6b*QUtw)+E z_K@1`dIkQO^cKFA=NH+PF+xtAMxLjzpGobqYy8PY%nM}y8+-8b)%m)i&}W&Z-v=|l z+F7}#@rP^0cyw$0iBXKNE8ThdYFtqS;Yl97MxGPhIG?QHO~U_t@Fj2WJEG%n8wwpj zqZ{+bsVZRSDB<6dg*+IZ?3f4DQ@^K0a(}DZd0cZUr?YZQ$9a)m`2==O{pGgQ?yn@F z|MG2mH7h%BQS~p@3$w-Dk1+0Oe9_+$&>>FBkI(~^U$%soPy6q5JcMyaavC-EzZCLQ z{$x#@|DmYYt*N&a+YiLNAp8={fE`;9N0I)G8a=<-iI@L`SdXZF>2352vs;ZGrn7Mb zy`ARc1?J6;+4Xq-cSJb@1>gA=&c|!y--Q06^7Uf9pz3Fb@Y{;UZ>$n=!bkNCqGW!0 z1@=Jga%X%ej?{soW#%$GwxwBWPtUE~uRG*Eqjk+z1!*dznW6*&?1%gq?G# z@U6L+rz$jYT1zo6>oxOoKQaH>HTJeh*uNtDtwqT5Vkoy~yTB#l+zbXo^6#n8Gv@|-yrgzZ_ni{(a3p{^&{3P z4Y>b_#Jos{P0ZsJn*8lBPf@+qeYm};Wd|D{-E1LD!v_{+>GR44c%f(lki1A+hJl6vtJ5oB4Jcze7^GJvOLLL{leY7 zj}(b=BEIM4zcTXSA-{4w6Ysy>eiJ1m}IWbJAx^!BQ1 z&zgDAA$YxpuP??$wb(yLn86Mw+sEjllxyaZ(cQT`C7SV4-b3&jKX4!XD(TrJ;th5D zPKBQ&dq~!dm(9Z7&W`1BsQLd8e&7*}A9z;e_iFMVLO)XZ(=>LnUe&*5KH4t&z0J>h zR&ht6&tZLdyT<*(c~w6jiE`YUa-J6c&2HfH>}#T&?&+*^$aw-J>cPFkNMKeyv zi*_Z8d}_aMX~*;1HTwJsD>r*>~$%JJo-lWb;}V<#&xe9E3k7JDI83H+U8EIN5Ee zFsn@X1v(zp@%DN(?YbNGK>c-1qyOK9oQg(oDZ*ccFXk0&5ally`aGa1XSWzH$r^j> z(23U@ewNSIYJa^W#(SAYpNB;}Jm!F&nxkO3!H!{q*K5XOGdLnPE;RE+IP8)5WHFy2 ztYt?>6iB?TOBBNk;791_g7y(V=370(6z|f}PK@hhP5(ZI_ENuucH--%P@Y|}qdXc% z9eNoU^OoRSvbdtNQl`<{983gIg;Mg7UgYGbaecYoLN$6D13Mu(OEmkjU&0R%@7Bzx zeKFoi&QgtCJq>}|&>w$@L+zJ&$WP@I3;)ni+)oty0417n;THaI&@XzH6U|}AtD>B0 zvHpVDu%n(Bzxp7K)i~;J5udm<_H&ZO51o~^nmF@cwmxmHlnKA8%D+I^p`w{D?hxys z{`c^H*&yVJf*jP|p5N&igW<`J6`03qyo74rit|vwR7n9lKfoA`TSxpvv=8 z3!Y!E*=Oh{{7ignF3)LJ8h)n)@eGw;p~-(-_#eAiFQ7T>*p7cG|L2u@hV$9Kit-0C z5U=~5m!By3rJ^5o8ozW^jIT1yd%7DKUt~XJn)&o!=9fAvLpApNDCRG+|8Iq!Ula9y z&iottQDe_@#C+FiG?#O>$p4tI6ZJjKv@!g~bTJ;|H1lIVv*-3ovUpzAe?R8;x+v2` zoTHA@?jkNN)5IsIL^%1@*_!?QlY%#D=A#4X z7t-5j!f$L9^~{1CRDShUJ!57F{yz8z;*<T4YyV&La_ApJX3&w8c23evH(_hi@Q1 zl{0Xkp5@D6|KfKX)ODO@eQ}TI7m|w(aZ=KRUrpBJ&lBUiMEC(Nm7;7Bek@tDe(wyG zF~6#j^HuO9XN6eTAk1KgErREtrpZ4{_<;&d`TNoDOm7-LHW1^T@tX0~?=H^UHSc+U z72~u-)7~&q@6Mm}Oui{xOywOhAK8E48VC`56%c3bizmq)epQ6e7DYxFh*{)Fsvxt`}=C(1w9 zoy%FS@dHW1zv;w#d=$%$4MP9nn)T^*;V0c1zxS0o---AW-NcR`;D1POI!(VkCG-}r zk*5;!kUk@Y{|OZiVtP0)NBNGoOZAiCI>Br9g?iNE{76lIeJ}h{nI=x?0sAC5i%0VQ zS|;RQ+lupUO}{J^aej%$KW`QDX@&T%<`MKa9arGrSozb93?E_tVjo`khw9m!$M9jt z`De5q=<96Cd6s`bDS7KTXJ!tck}Gh283fy`eemxL+siT;nId z6n?C&*vH;2^1m(gR;JNgTj9s_n)soMkpG(tdRD?XQO*^yt_(lH`(2F>L&Q8=qG@jm zc(R{l&G$3o2Xg%<3;z!@X2(&%D;hm`pnvMGG);W+K_@D|i*ijfAB_P|`Q5^IW{sZ;(7*A&(d?$|W&g%EUh5UjCT! zq>v|hD7QCt-YI7O0fSY<4=@jQ48nLJeJbjB6#VPKyj_P6=@~=6M?{Ak&&iKH*O&ME`@DE%ml$7V8b7d~^$Who(D>nV&?o7q zL=#u%M{r)ztP7qIc9ksF?|7UYjp5Kp9!pOy&sM>gwINnlMI->exJ;UlhIWTN0f2jDru2kGO4LOPbS?r&DDELrOZ|QEmS_C_nVVz6)9~r^r zRQq?c@c(+PenxOPb((#W{vuA$Y5e>=F`v3M^YU~Nw+t2YdytUIF6>#S8Sj6?0BBu2 zRAf}`AwkSvw~6m#-xB3K3wt0t)M?_(;iSmiNW{rD*g_zh6b=XKMUX4tSF1-JkTV1Pl{) zoDlPiUev4FVK=dkd+?Z^Jil8j6bF!}^8v zkgVyKx8ahAuh4vFyB-Rmab2ug?|jYd1m6t`J5=q#A^c&uc#j4%W=BUMk6Wz2P77Wy z;=p8$pXn>)j2H1IvazGtV9~#tcxIxAqZCd2U!Ud2zN^MBEfn_eisE`(Bg&s9{Hjh9 zw;V=8NDtFA`%a5Q|LS+@MG5@$hOi&Ic#ojYgYCpTSUS_lGOB)iv*61_zpL+W3&cFG z)A)gZF!8bRrIGUrvy;wBsYXAK3H?-vxUsX4wh=Ctz=Rkl;e3>ThT@SxUyjv6J zxP|@bHGFD&@(=hfPV+s_uj=|jv%ba;>9X?62XXy`2swX2dud!B)3ob7R!+FGR=dSVm>hJZ1i#TDL80X@o46n!Y z&pW{LC&Ta1VHEx|i9!8O&F6jXo!NGW3z0jDOu4et`x6jjT$r3K*JaJIIDPpYmiuDIYjng6jCBN8RgD=t z(W_Z0sg^9C8q%N{r`5%<12U($%$W?(7$I%uSQfg_#0)5tG)V@|ZPlLQ%JhkmlWASB zSV8geFw@u^rz&eGSe|&4C(-h zvpO-3Mf;d~tvTtuSS!pr1w)AL+H+k}Iiw5pqB7rUA7jn3jLxz-c{X$AT$9D&usKLb zc7f7JOcxIAWa%+P`~pq9m6sM@h&*=h>XD(Khs6N{%ZQK19h*OEqWE6?ckGrWbYO zaMdeN%;;Q4B9)wg;ew*34TM8fCML#Cki;{RS7f;oE!oyMiz6v2!IENj!AYS{jLl(0m!l~YEZLLnu8FoWSt%L3eY}Sp@FM978p-A3?K5Rtv$8BX*4%7? zGo3cOi(I>Df+2RIlAVdpB^PN;$+9lCaICQ-mWR5W3_&z>K0OCc$%IhRRwo94Ia3lY zdQnac23MkzZcK4zCZ(iiSrVyy=lro2S0Z_gF%DbyMDmZUoz%7@Yqlj4W5%wirE`;m zsX<#46ZmlFA~JDE1|biVQSA&&C*)PdEs_wG`h*(|3rmGHD$%xk?beu_v`Ois;c8*W zkPhl&()n+fuT{0G6ZNomOijsxnLA}Y{>Kg!C5nDjHCJ>3Amos$+56*r!Fp9NM| z=7banhi7t$M1BPsxk{;`<#jp% zQDiZ};>xr^(dqDtEVngnAv?p7xpGso4DqNCT|)VN6hrhh^_azBa5^mxmy%&d!{ZTd zSRC^$iVFihF`jHGHeNC3Ivk1dqY;?!D<$2TmTH=3H>FVklRhTHu4-VS#pPOLw@AJt z-A4(PBdW<>#9fS%xWHnuQxt@%l}V{{EM^y%m-&!fs5^s~9Lpv?7t=Gp&YGV!IoE=S z$V6?UNiHSJslcCRQN&|PF`IMQ{zC{xEk_fwtBPyV1=s#zyEfCDV zwm5zygsF=7pjOMMDnubx^L&drkm!`>KeX(>OG`PRxvc+Gfh8w5`#;rEr;WVOEO-XY zOSRfW-jo-Yrcf_uTC*^FyG-d;hdeoGZ_yxhX&=yH6QYQ0@<$damLySpF*-GZ%}C4| z;o#=xVhWIKaH5SnmVOGt8x~zK_mA;p%StnuQ|Fq}gxgYF>CjsSVj2Xd@QP-O(+Mdl zU}W9|*SUy=`2fWXjv|~X2wN$S4Iv-J+_yD$MWc>;eJ&T~;t zJk5h}nryH`tGR$)`&778O7qus;F`bFJd+ zR^Bbt;c9LYJuStWhB%xS1`8aBd3~b!%GOjTiKuFf>7S~mXQ-h(CctXPPKzxjM=e9c zA_CsSkujfjsVYtymV*lGn?wld&jPVPaZy3gKVqnyx+X&{nr}}trSrxjZYN76vD8Y; zwj3yc)J(1bMaeg_*<`}wgxXy*9#bG<>s*+>pFzfCA_dfHWp0iY3wRS0kFw|vbqa=; zAt#OXc8y(f&9hQ`YF7nDz>4wcq~SJ|Iw+B~-$EvqiYW$2tT}`iAUpR{o?2Xb8Z^w^ z0X?hIyvIgIIcpgWWzEHWZDAoq9ntL638r+cDQZ1MGkSK4U6BN0Mo)FAu82CS#;~BD z(eXl*nof1jwc2YrD^*z4aae6AgT?(6o1(20N7U=x{RIDXCHpg$1(YE-+IDdkI_HPSxqpmqn=fKqb zKSDPGJc7tFCj-l5Kgz%)jQPKgJtorDlhp-}Xv8)qc`#I`Y
  • otCWhy3aT;M_^6mYlj(|d^y-RvtuOCE+=%L7C^BW zE$7p)nlNXQ)1zh}*2=LV*fsNzQO%5eEPsw&NjK-XvTBz?ZDwn^8Uk<*FrN39K1cg2Ib}~z?P!aa9&YvPTi`g5 zru&hYsYBaEjD$91onp~vnadXKt~7Jco@4@p3DQ;`uIw24K(ze@WHK$ZXP8p4eag1; z($kp>gB?(;Nb?DWEwnzKuQa>}M z4Kq1uKQJAkgh_1mne3T}>98B=v{2bjqZt^&`r{9y3o8SC(D#(W8qUOl!2wOQ%O(b-I0aR ziF%V2ic&;%xJq+TT<@fvv0Tb}TPk5wl}88|&pFszful8<%nMVn{<18@pz!k&3L3w zT52D3(eS>A_4+y&<=E`bMb5qmw|ZwU>^rG<|Hytr`$P`wofzLA{}ojH$4}|MgKAAx zGS~)f5`3d#qP=)KB7gFsm^09;zCHRhmkoK*2KF)lo(~}#c8styh2S_1W(T(oeWOQN zw}}~ry@XPuCz`IYg-pB06pXTc?AmK+TGG-cOs3NmX_{afWa@7+kuucv1qJ)0D>y;t z;sjTgS`_-!ge{r5P;w6Kx1)5E-G-PB?%AItB91e$r3QPOK^s+w=F#8uuFND&hz=`{ z{d}4bJERJknYwm~8*Q2plbC22i|DXUfJ<6SOQq4{PnOa2_Kxp|MJ-}s0tAX#NJ}2< z9w8*+5gWTRIU$-h!Knr{EH)&@C8+x9uhkbyinC;-m={rifHw!!9kh%fT_vE$$!8-E zn6cQr0!qZ@J?+amlx&;bjFm<@)?u;a_x*{E4prkGG99vV8lF}&Uh-t2vx$U5p)xR> z>~+eBp%!=5B(#9k~G5J$*M2$Z`4F&Ku5#Q~=FDE37Y|AU`{z zzQ$=94HrcIiH#XJ+M5=NtCQ~*yWoZRpc5~@`MYL%x8#Il%rxOW6kc$_kvkQ(OQEjb zu|tSSit-^Oq8G^s&1{ZE%v#jhfNw|O$(s_5tcOh*{3RmyUfAA&v&>dIet@ZeKWz`= zBZHjzkdy2vXFjGDREP0maxEsuSIa=DOUU;~KEKX=uASOj?Oa#?trgi;r&$#gQ>R8y z^<_KTL=k=w78Hlkm6(Z_eQ9ylR0qBUQA-%0wPk7QX1+3pzC@5FXFqOujJ#pMI*gQ@ zYsW?qJUjbt!2eiN0|EIiJ<6s8_VEUF5`|$jTP$+Yve1-<7dAdy)wCYQge@)@754l2 zj#*7sO^`uF+B5ND;O5Lm?Nf>=YDY7C7Ne&4bG4*9JKOf6DGrO{MYevXenSRC_8ZVI za>!uu;Su~v9Sb1~!i&-j7#GQk?YC6=b|Bl|mnrZMv^+yBi~&RjP>7I`VkaMkc+74R zYY?%p!1r5huJe87bn`;9l`h*yrvNFAhBv+i!+t4!X5-Uq9R>Mo0qtT^YkY4eMFdgT zdTIt$zdEMEmr%^&S%A)#ZR!JqwBClTh}0NWRhEb@`4Ze*YXP8@o4Zl6admR@1sYVE zIcu&9v9SD<*8fXgrusX2b*%~e4bjmFi2T*fc?!q0Sti675~n1@Oo*A7gb;?N6D*X( zLK`s$cN08}f@BL)taxY2Uf^LdjCUDq(PO7&tlR}P&V@|6W?qk3=t{IAEXOvPwsB%k zk?dILrgq7qb7^BjDp$2jymP@$K9;?FxhTc_EJnm7xivU>)YJnOv*SSG%P1+wO zJ!2u7fy;_GbUVwlbY#$&w@e zwyxH$u5W2PH4{swbafyg!l)f!)YV_D9axPjl42+P4|?DYAxA42JBAf^QJM)IE||V9 zO3bvTV_S#Kr*$JTzwH)p6r?)Fq~zdBBwmfSfn*1)bJPWuY2i?s4(Uw~?Z;rqh*-~x zFUuUcJP?4VWZxQ^uq>z}g#VWwz8!EoqwJ{m0&d1b4wWpPyg%*Ansv0lEHluE;Z~U$owDnR~;8i7s z1hnOhjX%t5d>dH2Sa({m$wwdBo2_Zs1i7iI)j39u(r;$Dt{P~~SoJ3>UNuVZD19DG zQlD7L*F-xvQ#lDN<{`OOk_odz?a=pT%Oll7Trs)I#gYwUgSzGea2h;*-3pb#7FJ^L z4>Hw_EAbg6HgK?MhQBF5OwRg@HP?aRNCsjX>;RV$nUm6?Qw~}0f);NnC>12!=fBBF&j)!(U2Zn}O{}Gn+tjP1?Dg{&E1{kwA^E#T3)iD+0FKtn*rc zrW$oOi*!XhC}4Jb~)0 zz0~kGF8E8pn#IEWI>Pw*2!*7DI$i)SfEUvIAK!s#3>WV zXUNqte?O@FwB_fgmZtus{Bmu(c1VaT$FX}^Zv6RWn)-vqO1B_)Huj=m}9N6oDn zgVNhi?6zt4Q0jCn*Wb+>X04sqo05){L!n#kUa8r9@UsQvn*_+g)t+1YHK6VbJiLpf zZ4~Z;@$EnUXkauHU(0+*u3A%%!w>9c$dUCb7;CH&>YSu zaAt$-Z-cP?Mt?`j<`1%yv_%+lcv-r@l7e?_%md&>l=!1^_zt&mv<(zNEWDWFv)aQFCNzQ@)DJq-y`OBx8CfPMPa0ziPWY#UN+sa*NNI3qL2s8{{_4DwwMkoW<82Kx9RzN>yJ8J`e)FYVPS zO0a@I8fQuUV{1CATs>UzYxs0?$l*WSLWrWawxK`Ay=A|>wuU^&2MrGGi@S`EVgCrtp=}_}CAeAcL zYasISTGe{EG`t@Cv;&^yTCFLUm(71ig04AMYif*kaM?IR|EhZ6Wdz~3-Tp8B7yN%x z%KwEVfy)RiW8gcywEuQrTj&3pU;F(3>-c}s&;OG5|4qG-2DldfS6$p}{+rF`U%&MG zkU`2_>^D&qMF$_#(rSfEYNj+AMIMLW-*hYeW(vQb+un*_0%@eQ^xY3u2I4no=steh zLvgmF`%RUOxKA9<-$2SAqI@9AOU8W{%il!lDe~XS>Tj$J5qS0EPr{)$R15-h`RX(I zYRa!Cm0wSJQpkhim4YwLkSA1$6VLPdLVWdc`GS4VS9~#-9>Z^}D2i465fxl}C0_mQ zAIeuPc$#%AQvPcaul`09$#ajyx0QIk#OtJTq9i^- z;{TN7jFk8>QvRV*`TUn}_)Wc(KTgVTl=wu6kC)1sBJtCt{P#+Hvc%sf@tIONe@OD% zrTi&U{)H0%hs3+3a$4|-l-*q^@%Kyli=}dwOZ-}i_egw+#D5@_zfmfGm6X3!;@3$0 zR;e8IH-YGOnZ!RUTexUB|{}% z{cR`W^%75GRXvOnFUP3y5|5u&@*UG8o+4HCNS62}Dv5KZ#8cd;9(IYJDk);2#H+t4 zMbEe;Uj5BB;#W#Mep<DyH?rRt6`N;iSI1&;S%3P;@e6*etOM!=p-IL5$8K1B>qkxi}5A#cS-zEiI0$Yy~KBy zc%#JQCl7r`yu@cn_Bl=Bd-`%KO0vY`r|f)3ro{L5v5I1s_&yT9P~s{6P!G4n<0k`s z$4ZIs?_(9ESmFmr{91{}PvZHG5{Vz=V`2XiKUm^RB_2Nk>pQkeJbrr3ca%vyep1eN z9FTbY1fB0Fm-rDr7XRILi61HP6%voo$ai=p{%#)&`fPi4T={ z+G|jcaEX`WkhT&(R?UpFPU2%FK0@NhNqnTlkC*tN5--OydWoMP8! zP2%GvK3U=?OMIrpCrG?q;^p{ip~S1d?x0QHaBEPAV_$(=Zgv4h{e5Ax*llY+$pCj>l ziML6-QR3|qA20FqBz~I2J0w0?;x|hDo++l z1rqO-_y;AvTH+s)_-hisQsR}bYV7}Ei4T?dLWvKT_(vqZt;82eyiVdDmG}sWe@x;d zCB9hVhf4h860eu|CnVk|@v9|1UgDpU_-PWqR^pQ-{%MKNl=yWLZ0B_^lHElEjxu{L2!5K;kz@ ze7VHGBJsy0{#A*ukob)f@0IvX5??LxuSxthiGN+ze{JRn#FY#L>ewxI;FY(C||AEA3 zO8i!dw@dtXiC-x3A4l2DeG z+-DLWD)FC7e7MAaA@OY`{!5A1Nqm?zjv^$!T*@CQ@rNaTsKg(Uc)i5afAc~;j1qrT zC2=<9oeeb>hHPltQ!y5lxk9|3HX(9PsOZ!igWkcd8{OW+zhNEqxFXCV!^(;qIJ&(? zn0lzPoZ?j)bfKq4vK+SVy>m;nxUvB3#b!dcvIvmodDKa2LX*46h>Gm2e5e4-@W2xR~J; zgzqHmW_U5-y9nDEb`XvroXqeX!rckSGn`7e2Vp(K(+T$^9LaD3;a-Gw438t+n{YV8 zQH1*tRu~>mxG&-AzghnijwD>ca1X-$2$wV5nQ(u?Wem3`Jb-X1!z~C8BwWI9W5To* zQ(4S#Fk#w)sdO{^R~z6VgzXGpB0Q9EGQ(#G(^gAmJi|W|9!^-#@OOkq5RPQ{2;q^0 zbqpUOJc@8Q!@CIIO;}-gJK=i>S6^rSPgqa5g5lQ)8wi&(yq<6r;WCET5gtvrl;Kr` zqY0NV{4n7d!o>`)AUuY!o8iTT#}c+P>>z9;oXqeX!m)(o8BQfUj4e7sf6teUm`q>a5BSZ2;WCIp5dPfPbaKr_&dTg z2uCt}gz!wlI))Dso<%sE;a!Ah6IK}BPS`}a`cKyXgp&zZF#HW!E@pTI;dH`oh8GjgAZ%yYL6|0>%4CM;5VjJIXE>Gc9Kw2r zrxTt_IFjK6!dZlM438t6O*ow4D8e~}6^4fswh^wr#`>SIop1%iJqXVuT+VQ3!Vbb^ z47VrjBwWgH3&JkKB@8zvoJ+Wv;b6k^3A-8os}=A9!ghu)5nf0*nc*{p7ZHwU_-De4 z3F{gDj`012BN;wIcnM)0!-ohjB^=K1F2WBGRv6w+cp2g9tE~SCy9rk?{2Jlqgv%LT zPk064GKSX?&LdpP@G8Rjgi9EHm~a8%Vun``evq)6;l+d>B5Y^aL3kzMWQOMu_7IL| zIF<0jg!K$hCtOH4lHmlxj}X=|JdSV?;c$kd2tP_#VR$&<#|T$nVf|0Im~aKdJqSNe zxSZk6gjW$RW4JxxCkU4^+=B3G!X*qhCcK7lF~h-xpCs&N_^+10PZ72=e2MT{!pRJu zA^bGqc!qx_ypFJ*;qM4PLpYM*BZQwNtYi2P;pYg4GrWuN^MnjoXLvo~^@Ph9UPt&P!lewaBK$Jp5{4fpyn%2r!z&2CLfFmlV#2QywlnM? zypeD+!*d94A{@_fD&f}%>lvO-_;tdO3?~qNgRqX_afII_9L{hQ;mw2Rd7;aDaUBaadw;=o;;Sz=$6W&6&nBic;?-O=2{8tO$ z4+z^CzC?H{;bex-5Z*>Op5dPfZzrs0_&dTM5{_i}2;q+i>li*n_+!H14DTZR31Nld z?Syv_uD;CrpKuxB3Wi@JypwP_!|Ms}B3#DsI>NgNmomJH@E*b?3_nbGFX3W_R}kJu z*bQt*nzB6zAhF*S&vozf_|FD;e%u}mqTiKR&mM0PCn!h1E8b;5ah~6dp1qzQ`yKJx z0gWE-j9G@6yAwUvvD67S7A18JH6qLY`d#siV%#6yHIy#Am%jrl9N5)ZaK+W#SQv+F zMXmb`ZG>gf!Q95iqGq=y;o5V^STw3#LL;SLnYa0~L3m=&k>R}*kl$ks;`t8rU(bLp z3h6QuE2_ew-m2#aj#@hyPZte3hg`^WC+vF%3GMxCeX!E+T&(BtY@_FAW8SaT@kz1! z%k23^h_+K`nh2C}ZBWTa2Dl3xa3uCVOBDxZh{( zpj$U8!aBN%+e%2yzw=r>F`13!D=iQ?` zGkW54sOD4fr1t?Bz^r{C^Fak&-c9R4W8SFH2FT#L!zV(kDLdSs&V{Z{-6)?`c|RTr z>!?&Z`5B$i7P@ahHLAKNVV|;Vcs=|t4CGi-g96fdDnvGH{41}uDadmIk}?+uDt)C z^n$XmHDzJ%msN!@k!S2SOf}qVm;y^nB|&{+&kJ<5*0_{V#p`o;~Wyg1JV z?-4|67!oAmw-~ra&+a(SY3~xS#)9v2?MBZp$i+Kp|4!6#V}V~ud#;_$%|RQOddlcIzMY;&FAPJweBJf;dFn1b%0hRUa7XH{Up`m6t1(7Roaa|I zIx36MJYJUPB-Au~T2IA02)!OxG^h`*4S9bDJ%L5paT#))C z2Q`>Gdq$an1a%xX<^vHAj1@!IyeNY=&3fG$FA~Wq+ z&jDnei>yY^w?;;KzA#?>CblR#(&$s;VWa0e$n!Ep^eOR!bEHICvq1RvKH-_o@A*ts z;$9dX4Y78xLOMSVapOE^qdnDCqYO+E)@J5iVUm>P6J+7z^4E*}p_#-St7^&}RR}x5 z7%PM^&f@l!3gby z_Nf_F8NQp9Nlc`gB4<^N^WFSRdo!Y{x9{d#+MC_0!i{G5>``lQXJ!3cA&4<=cMw!X zTKW;5w8(J7xR#=nK@Mbbk8IG@)tr@g5Xv#J@W^H1R`HT23EYcEv6?vQt39CHlNOPXT)?yzP%os!w?5h@rVN&d*8-TVdFzPW5;^_ z-51?8sIH%-ap5_T=M4&5c^<_WJfD3C8&W9Pg*Q13zu*0sajhZj{rU}7*6+HVJg}Hf zZ+Hh_fW~_E!s3l6U}3{c#;_g-s#;UQxC*;8~g1gOE3)kATbmZ#kFXWmaip19R34_`3CPzrA3R$*StZMn50 zvLPydV{%Wfv#KUcbp>S$J5-&{+Xs^>&s(y3Wl*~#dAs_pY;MH-2|X@4Wi(%b?d9UJ zef1+17-JkW>^G59y^QQ9GZuk;ra#d^Nrv+*B@4}!{!Yh#P@8*HTFd6X1g z7!rgsJjZ#sH1Ck)PM4e}cX}R<3*%!Fv?;mM#C@teeHh}<{EIS`%9qHmjSlj}N)9ojs;id?~R`4GGPqSo-Ipo;?bG0sKZejtLA-BBfUb2Dfl(4;0!enp_H+3 z4LyPR%G(cWHx{xi`=Ucilsp!)DG$u6JdVs^?=J}{DVkL7{YDf7LyuWimGTXhslG&!f#$dK<_tY}mUw5l! zdz9jB&kr9$Or??{wY*W6gZTjKid&wL-+&Oj?Wj);c^nNhc=nG7DF++&C~biS5HHsA zIUjKOaQb4vh14E!kD!cb&!4o?DjIYH1IZVxHD;pE=c1p0tkQ`4X_qQ`TNb2U^)7)C z_?mDPB4I(r7bI7`FTu5Vb|Z4_M4JdjqmH34-nZ%S>v5i|-n;R1Q)qC|}@Bd*m?=}>U-0vNS)tWy4TwxOOghhWt3n9-wa*cNMd|p*h z-t`-~2QURU+X{FbUC$m`j~ zda&R~Zd-cdSM=`odQcJDue#t}iXe+^RB451A`5XIPszR_M;797Dl(*|$VV{#Bq5^e z3SpurXsik$u3=dy3&GXksgTr=RU-lG@{iDRw(B4a?+0XtT3pS2jXwkiBcr3J4byRgvkl*3lSnQqX7O%TDn zWbCQrDM7mt`mV&Ku`t+JWQ5^2HhLm8J4yx*{NNu(&(|L8DzYJM_=LK?XlxJ$OVg@( z#=;m1UEl^*e$o(~aq;piVNdN0TeWNGVOMW{!%^o(D+~zKw=ddr!%I_ktmkZ1$G9R( zD8fKE4D6Nd3Z-q#XwP|!fu<}EZp9X5fg4t}G$aO5bpif)pouYWDJJxST$<%-M~mDW zf)v?sj5#CoZ@Qg*hO91!BpdsYgPkUd4zPRrt$*`5m*8$bEd@wInj5|-) z^Ugw_8$I>#a3YoEiBb4cqt+9j;EDYqQOLlGF2tr~j8Ygf2t-kQkf(JodbFr@C!9tE zFKvU%2E^3#K%pY+i4SmEfxx4k_TD&&+OdxqPP~t;6l`0@vWXj;fwV&xib}1P9b89w-VZ0aT(!L5L=r=!_6{_}$A>bjm!J@q_KJ#}>VN*Q-$ zH<59-3!_!a;C27U?%;KavONvXTd1&z`O%POr9la@57CSabJThP3s)k~GF|E{@LR4z zIHw9`CSAYjMO5;&cP=)SF2+<}GQMv3vEIXjit*mVOPMpJu8qRPomB1(mP5uLW(9tk zKfGY(v1E6;(h?TlS@`h=PbAqFDbA9ypo2;lhGnT7j1K5YR^zZMgf7@f8@K$FYEj{aBA=TEYp53V?Hg{*KlyWRjZxV4rRRi!qy+MnjxG%o^ zhSJEjaIDF>UI|zoi-*QHm|Y&;4NhmFRE#?2*5_nbyf5VLU!_oZZ6``h5Z&@Qb z)B2=3)~YoBdoia>UPkvSiHz)~x_&q2-H)+gX;Q7pD^a>$AYx%pug~e?DYdWBg?c9n z&DVu;Dddmbm8A+sofCAaTvbZYz6-9IJw#-1&I-Q~LbA;14Xzhy&$zT6Xy4?Iuri@+ zoc87Ny;C}j+0moYVm=)2G-gMB<$f$ugyxTKEv{Ug(E}G_qlA6^Hjc;%&A;z+U%%hH z!SO;Qv|_wB_<+*A27mawiu|9M5w&LiH=5RrRvg%+xL4MpV(1osB+#w3h&G}zOz_%e z9cT@c?nq&Mf7#4xaMmq%+YvZ}Zmh`PO^vP8jIuj)+*&85fOR)Ng zN)NFT%0yJxw=!7zYAihxmLh(FR?y!1(p_Klj#vD^nMFz{Ry$o_rZRc4O^jfA>~Z%L z5(amF*Yw`u=aXjonBwstBD?89;*iI%uA%N@Q?Npi;?e$&_&K@ z8Z)vp)pYa0A#HzUH;LX+wREMHlKPxO5&uSUs1hYEskCH+$s#Jw+R%#1_ce|?TH^Sg zCMR&nD5PC)wLa+N(sGGQ@Tq@di_fp@$2UckV=C$D0bBAt*lc-@%2FVL|p}IBTv-k)_FtH4>*Rh z)3yz+B{n6ZwbaIFtcU;d@GN7nKgTd*OE$PM>_+%mx{-mv-*4j`hnGm-Rdy;FGcqr$ zYh?#NqaoEh1HO{F1DC+{8fmbzw`!D(tATT*rD&zcISG@c`H?3XRqI!Y8>4Usjx-*u z1u}YNKW%#2=}DrcF0!oItOqa(V?JUDbCDKZciFbES|UGoBb&Jc;vUVsRPY@2rSJ>~ zw(x4Q%iQdVr7jl(1&j*;#`U`L{NI?ascD{Mc@#B^cMI2*=KnCWSaEl?GfLt*$UqX3 zMW*57W70SN$e>o?x%pp~Mn-mS6)DRKttj=DZmSpy+nb!W^q1 zrIDNR7{e;bvqgHe$&A|KYAV;@SQ^1{JlmMPm0jzlyN4Bxtt}*kEtRc{ebsBS!pnsG z;>u8p{xsJLoMi1ACoI^57z{K2ui7EX>J9x1J(l^W90YZaRwhThiNN1P$4`;ScWDK7 z2$t5!tiyR(wW?lEswRslgy*u45U9Y&n0{246At4q*jv0s*$t=d*$82#oUV@;Z>M}t ze^lnq3K}>)PH&+KuKT2palad-UKhCOKaIW{)aWaRW#Cz4zyZ#11*IOi-rzr}7WY-$ z2(2|jt$Nrb+bZl8dQHMKOHu7agC2&rU~!Vv%k5< z)Rg%0m(vVIP+arA2`^jhuNj`YHgnHE`9fL8?!q7^-bNLTd(=|Pg=*Qm<`(JqY-}MM zgt^`iVDA3v);;&MBJdXx5H&U)468JEu}W^0uzVjF7JZ+( zKi`UJ%Xm155%2es@Rk%vUh>uxDJN)vLs(HoR^o+LW{K0s?|I4uTRFI@rv-e6B- z6cn<3NpPna?Nmb4xo%rild7BT-rz2!NIz?@CAb*&&XHEXx-?;Bb9Re2KQ2`I|h{%;h8{n zx+HTut*E~)QXM9#zi(Gfsh+Dw6F(ge)XEpCf6uDS({;^c*i$4s-j8Lxf%F=u z2*z_7uBov`Ue)7FQ>?L0p_F|gJJ*G^@1_{3=RO6!Z5>K#EQeZjnUF5iI#K4~)H2uV zG7d)q)#(VIeWPfZ67}Xo@I7kAe6p&IiJXIqK}e?QlXBUKdr99cSYw3hM1bb_k_PndjtiJf$RZ%VDyM=yzuQZuy7qcA~JwAFhR78F`0C zJx5<%U);(go>r}QTpmTmQj{n!q(phuC2AO=F6tqzUCB%`dCdBS(f-AOwq>Ek_w5~% z`;zHh_$>|ZDDl3vxZg$Ha=hbSk_e{b?Mm{O`q|yn6|)Lc5?)l!_?$f=Hyq1fR2ts8 zWOaCZ*Hc61=2RZb26P@nIRl6?^V)6eXZ^_=I*O=xdu^lk!VwrB&*m4qn0nAJahee8NEV|Fe10{asXMGy2Oa3z7tqWIwn3-r1Yqk27l z44_7JwndV){+nbq`gSHceqBumA^xZm$hlG8nx_Cr%Z~X>gmi-wBA?VC*qpJnNcJf= zI2SYO#PR7iIHjTzY8dy1I>S_nYotP1Tv^Ulu{bf-sAXtWX0y0dYWBBDSr=3UKW>B5 zS}jGXe1SAT%n*pqpK`btdi}f{qq@5dGnkxba#x3Vj)vN|*h^S#$3@B$O(B()`M2K8K zZf)Ny4-^9XLLguWw4*3#iiViJ!!*YaS6r=2a9j-HN5V+j;8SfNFwhMQRsp7mN_4Ve zksf;hyk3jmTgu_0jksm6;P)lwl24MLWHRbB{^DBpAV(p^_ZaMB4&W0$Y}%q=%53XE zNhy|;fzpL*Hc3j9MH*-+ANL2<;ZbKg^@-zA3_rZVV-?k?bK-9*zpU~Wd%VE|4H#9@ z52M7Txl*|_cfq2BOS2CL|BOph>^wys$(on46UJ(UH~6Up>=C)s_J$uY|G+ofcv2K4 zI9bvoMSBzNe24g!;B1l|cei#v6|w+LvkJGq(FW_a!C5;`#|@P8(jpV%|#guMNZPN*Wm$R8LMewZTn~vjxtn!&A}pnMq_?6Iq=Ll|0B^4E537 z9_K2^g=gtAH7h5hX|i>zbk{j*j&Plo`WjXJQ-56jCZ%+x>?f#Vihw7Dz(_+tpLlcT z)iasbmQd$i>7;=o|+g-4cd;Ro~#Uif+#j0!3VT;?l!c$FiL4@IPYI69>fr# zWdvPbrI4UhONR>A?3)m|OzuHua(fwaoO?F2s>avbFu7*VWF}UtStv!s9|484liUdj z{|^1}X(#7S5)q+xN~5-D7w$NbFr{_Z>eTfJn9Mml>RuYIW0#BAp(jcw#ETxR<7-WP z7ox7vQE!?k9>gfTK}S8SqNHz?AYOtB7G17{?j<60_YL9R$y#98BYD-7_>rjKEA7@p zFx`kD(9w5GG?saYr1f>V(5#!7nj&32n#D!vx*2X4l#@u4`hxSZNhzK8{47SWV^!e{ z;_!tt(ybss1=x^>X`N=)lXMCUo~%S!Ho7>+qNAQpNH>as|0$iKxPG@zzngSQE`qcW z?xKC!Bc+w1BKmeebK6PZ=9t?)`gSvQ3X_=WZEzgb$E%%M5kzDGLmc{Tr-U1v1uA2c zWNdP31PLUt)#5$BZEor3rodg!OWek9(;cL`!z1a^9kFj^c_LDD7@Tt+f+GomyID0= zdDRw)fO!rOa%4y~eWu8QYWkMfRO%?BmomP3Vox#KWgNfT^$U2YLQapSI9V&jWYhH} z49e1+b#kv)3mA&b>ghIApydql6_ruVax7=rR<#T-4}{2C&ZZOsBUL_C_oKQ0s@D!V2Y0)|L8ic2s+87J0bh=}Ra zXr`4@0AD1oW#zt!R$i?r&5iFbF-n!kOD?s}AqrY~RD!}*>1LrYQZyVP_?d{zjl7*e!aFDLPq-o~mx@HP7x*Vy#w)uFItSk$d)&ZOO$Vin6|D9F$d% z3(u0(;z;2>6P2T<81B42L!k{EqL-|8zS_ovPPB8rXXRhOKLb6EQFuc#OBYdAUdx5r z2zi6=!**f6QjwKdV#Z#{1@|}~C6+m9shiuQ^JUuW4L(aoe1+!bCcG^F3u#3=)e8Jz z^$=2|@TY&K7^@8*OYPF2cV01|dn?eKKZ0-^v2Ac}5D~jbLRS(J`!HByPETOW-!sO@ z`AzEd_zov%n6BrlPeLUwE2Pb)MWRo*IoGNutS})+%2%3M!(mpxI`0#8@nJCX3Hoje z&uDe2lVzQ+bg%wXtRHNI86M}2m|~-7dKV(47lr}=G43Q@RU2vCA@2@ZIC4K{S zsN3*zwZ7DB-L`KDlL47wTqGwHzDUubv51&>Qksw&ucXV2F8mAa(7LdnsI6LW`in>@ zdK_1pj97S_YO*EPfF+YRv`cxpy*U@sg%s$m3dHdZ*{@Z`K*{yy8mV-)y9XC3T>7&1 zpWi^4(u7$(ho;@a0jitp^r{eoyY!_Lc|Lr))GG44&Qy1q(oM5Y*+FDmPFOreCHjcR zGx&?LHmX`Gfm9R)#|1u<%F zbq>;frPof|2^5i8W$)SoM}&0?NL6fXoAS(8O1En7e6k`aUbSnO{19YR?I!-IOuTu< zt@7~12w}5yme7kQewG{KR4XI8fc`-oN|ZQ@bg`#yHagEwEqO5|mHZiAUL?E}he6<; z#Z98Er$`D=1&sv z9uY=vgfY%uKPkq;@=8q91}B?3m{@p&vM?hW|0|f;-yExM*;n4`yhx1Ff3hznEc1|? zBx{tL_|-C%NHFv*R(FH7Y}Ao=k|duYoKw1YkFxr7ki@9@(zPna7=#@P@&@M)L!paM z7$#L5AZpRcd3~BRL%W4@c1yUyDI-0mSD_o6zH-w9ZePE+7LcQek!*)a%1@FKUMY-1 zYs_F?iqybkk-*~;4Ey4v6oxJTL2l?a!SkiCl1}gBsl<f5 zF(*D15Q}jtA#GTSMW~b&`P)>%t+#n(NnUMU%cG1jdFDvdxs$3B3Uoa;+9XsD=ms2p za0WV!VAob*m6QzYvujF!YFYRf{dr|KfFI)r!0LRSbBIE1x_&eU(inf$@wce^s0f0Z z0q;f#84ToXNTjgj1#OZKq5(Cz*deEex+t!UuPkEx_4yRz`||rjRSMo_3O0VITICJN zfz8+~ehi00t(%D0n;+?FSmAMkP*!^94Q1^j@$;RMdrsdJSAINW(ocWYXEhIHkh?|> z=CPBdeDKXvUsODaI?uu-X=B71^HonYGN+p#Ym`eW>(9nQN}!V)(?tcsmv-x+#{Q|I zaWEI*kwJFnd(td*I=&0-arWjp@sb&WS>;g)XVL9`M6=ISQ84KkeE|I*AL#hLQ^i?N z#eOLtSHmy+>UsO~H6Hh8K$m6eSD(A0nBRB3iH zzUEzB|8-Nv*-XYiI8(>pHdP*ROU5_RcENMEb2F#)MF);S_YF6Wr!tB98&_wT>iouX zmL@ug>T$0A&~EeV#wYrW?UqBapF2fadnk=)aNZU}5-I$8VNYl_I90UL8K8_ygHuYa z5;>BlIIkgh&XI&9<{`4_+C?6&12E=)t*T$i1jikG;olHxg|{NIn%7C-0B}b^juS(-MhQOYv<)axZEzR(9tKV=+b_T-q2Ulo}sP8Z(D|xxtnGi zy;B(11Gyz1cP2x;15X9&nARm9A4W`h*yJ#;G}y<@px8J5Y-DVhhH@`l#U(B zGqE2t+Fj&qai?^ZN$3o|)vr~nM2N;7=$&#Fn{D!> z^jFT^zf0BDGxdm+FFQxc4ontxOO!SGwz0buuRkk`BmbQn=c)pS>H^tpw$?lHevJBT zh9Rx4iSjhc>f4+^7S$*st`6jSr?jbWBR1UeBLtLa-Mj?36M6U0mN#~(f}d$P8w5 z!D=i2*VUOoo0&=$*->uPT4v4EqG*&Zsbo6cRWfhz3sD>Cc6kJt4jZ6u5RMbd?Q=T# zYK*ot`4Wob!!GGRwZ+Q&26mKVg!WUyCdvZ{QK3p|E*(3+rk<5}72f8~i zh?YW-Rq1x_hbC-HvGm zQ|u6Wnl?^mR^4Of&q~oz`tp13%kAzxb+p##mZ~dfRgD%HO--t<(N$M<-E`%j$J53~ zZ&213Qw8<^v+533o{=c68U5shL}t}>K_%?Xe+T-1q`I$(m!qtV2K;|iT^fbz(vp^{ zd)mK6(#}AIMA^19EzCRu{*m0hXvV*q|5C3SXQ~3t^y;ELjDN0Pov4W<%B1MkL8(O( znA7y?S4}l#XiMbXgI?8Yy_%L*Fh#F?nZ`tMK>K9YuI@KVZ7Bm|HTMjR3udG!T}$mc z>3=vduK8bS*A9e8i>VnH<>6vsUeCn7s3i z7Q^IRB2tFQquy4E?L)B#8pTEu_cU<+k^Fbk`aSKV6uf_C-1O)I30;-JZ$awdm!dze zeqYq0Op1O#np!l0IZeO!O@o=py9fR5tM&Wq=^5H5KD_J4H=EnCT{>_H&P~MXx0K7! zT)jlZB5L?^=P$3p|48Tami5%n%PN7E1y&w+V!nH_N-oN!pql5JWeQdF5S@0YR2s3? zsY~8^MWPQ8YgK69avN6y*OX(T-#L?Bm)@2M!-56Pwn*3)VWRX~N%+fae72FZY-=yt zsaB2FW%t0(SUjyK$NKvEqS@m0hHvat(!Y1N5#3WuEF+6OFo&%pIkCV*eydZ8B*$-{ z_;aNBs{3*_x`}$}G z-d>*19*6*tU0o?MxKq4+c#WYo_7&P!?LPgYj*iO949DPcd!%nt|LB%}ypU5(jSCjX zR{pc8NzI{Hk^syo$F}5spG*YsCkyX;i1o54ds!@JbQP5fTkS}VTJjE0tQf7Zb`lhf z`x4$DVSA9n`^lOX#*2Bu-6mh8Y#VbxnT|d>nU@|CW0!3!kF@Vf-6cvU5=$A8q9cfO z_M$l|LC?lqWWI1^qisC_34?BTIEgMq-JlyyYSg-kUxV&uK?J&kKzEgd?TSW?5JAfX zaxMLq1o<2!(m;+R(zyo4?Ga>C#{|ghQ$RLSHy~rAMy+N18j#Bgx*!)w_>VxI)eL0o zOdywy1!T?3{{iF;P%@lcOlG&A`)iOFk;*gc{2GvF2r$FRlO_B|AYVr7lAOF15^0<~ zUO*n48KiA}-5~++>=b~{iZ+L>I#Q$7JNz1e?-FzcaiWCxD2Tbukgb0(1G1-ybjG~& zAJ}&eBn2NK~|E6Y@k>e(PLkD z&~jh6g86aTyz+2GE$7c6Y1lcA!Y(`C6l)*0T9X>JHu1_$gLX4PLCeV{D@M57uBa`y z2hD@6EL>5!4H0{kQ_eHO<+u(N;e{D2Qq#oLmK>0&C69z?$zA_Q<8gS@THCq{$_8|d zd@ks1R14^<8Vj4hrPne3EVS199)A+Qo8cb7PXvrrt)U9rpz%?QTS6_{5 z5vftDhF^oLmZ0F0q3(SN?@>i2GK3{nFX4F4vxw(LQXQ zL2A@0;Mbr#UJw}zbCiVt$iieb19?9r(%ARt{eZmZ`8}|2-rfm-7o`B)&d>uzC_Sv-vkLK*w?>>r*JJbB-ytO5NXJ^R)MVHxu&K}PQq!_ZaDWTg=(?aAf)J0ob4QI}@|b*z9o08q^tr8HFQ!Ap=Z=z67~dyKkam`!Tb zx`$tb?r(y~^yV!R{-fU9&N!6>IS)K(oD1Iv$lIT336iyo=Hr=d>-$xMG|f?eq|W6&Ta;`M<%%6j0W!Pr(42J;pxrLGBCH$HW%ip z8s=X~jap^=8klDaG{e(VB>YD_eU%Y2$pUnJ?)^wBT<&=^xPGKY zt)uxh;CcukxW_>_>rldW#gBqd=DL}tAlEa5CV5v4fi&K29|^+mpUj9*E6vBe(9=M? zG6mvg)D8b8k{Y$1;MYK$K+x^ru!R4pgXb`EB|-iU$I?JvBp?T525DQppke?nqO{Ay zkEs`cd89_IY<>;E903InW$fK%2|(B8v^0c0n<1;rglzh~AbWX2OG8NCh6gdne3AMM zwDJ^aM`&mdks7t`=GQ>GN8kXBWn$}Q!ZvkO(!&+Fx?iBcEdhoZPm`=$1DDcRcaQ+g zN&~37wj(qQyg3whId?zR!nvI}0x!m|fwzXB+qK_G_>a0a#;BFVcU2~Q_xuffS3S{E z{`%k*3r5$yx2;MD8mzriuzm#zuwFrG)T-duU_Dpx!ODYS<>?YmF^Bf3wHd78fM#H$ z@GXs*3+@5z`;WH-%TT;JJAv+HO1hlvtI;hY75|T4gRYjKpp&8aeZuZg+;X8~5~Ep? zfxb+b`U<8lX_!(h^d%55oD*qqu{}@SaITEhsC5Fr2HS}Q-Cph?;Xmr->}DXR(4lFJ zd;D%d-uqZf#uc|5^-9BK3-l~*3U4(vpzozd7xZdIPC&m%YSbFXuL1oCK>^*B?ye>* zv$#^bY(Z+b%PcN~5|vVAlE?dGg1_`G;D7vRik3G+9~ znI)iO{2I_}2ny)K0R0_drkL~WilrE&#H=#|-X|F7l6c2KCym|R1aG@N;&tcCwsjj+ z4d9zo09Qc*E?-P))bjCb0Q(6F;KKo2L|6d-2@bDf08Apz$%OchJ3)N$_&ss>S8vC% zg}{c#pLr6HS0Pm{k3WY7kUu0fYQ4&@fjp6*K+Z3>t!E`{R{)vHQkd)um(}u^cv)>p z26lIE2L4t^rm_2jO2EJH$ezF>9>_H-6axP+Fc^-XlLFtX;pdVXwYD_%bm4C$DDaPj z<8i{s^*rQy;UA01%?y`GrZ*rFX}Et6fcvL$DY!GZp`)N`0DnRyF266QdiZ@UsZnbX zzlPs`At->w4Lw`JDR$Q=U`r!c$LN$qIxG{?3k7L^kT!Sil@>DAx}yYaZq#gEwmX4( zKnm(fkOK8AQlr*e{2J766Li`93Sq@@*3G~#M2yqe{NxD0kB+otv%wv% z05TnFod{jS=y#~bW%OpoMj#(XYSe1SuYug2pg`^nqq7L3i%12C2QA+-+QC$oWcAsZ z&^mX3cHzS*Xj^hw+qwzAC*bx>fqSNgdmpJ$>t=op+*=3=T(Nam6HYZ9d&WD7aV?2= zHhPxE-M)gi%bxJ27>-T55`bT#BA34tAOU~BBQo7lV89;% zxA!M(ruUXb-4mY)Pu&i#rprM^Tr{^CL9uhe*Z$<=4Qz zhoHa~Yj-o@Kd*-OG1w)!-7ynxui)MqPQk6LT}w6meMbWF4iwjgd!x@&7tR4f!G*)l98R*|VxCiLX)UX2x47V>!0e?P3;P#Gf0^Z@* zfR7Or@S=t*3IAy|e4C*ziTYRUL>lU1LER%2b*eZsUxJ>2x{C5HpC?i?P_H1B`4Ybd z>bV33s%&qbE@5{Z+0)#!eKUynWrFy^O+XAikiythh+--5d$%TFUYr86iQy8M^GJED87GOmNQ>xV-KsxnP|E>BKDwq`gy+ zzDD)%bv&t2Yc#(G>3sxUq$4E!$4J{XLmI_ir7`yT8$cQirXW>DA$4NUSYc*-IgmBH zeU!>v-fm`m1o8UKg7{m04dRsq1+mQd77<3b{_KqJ0;){%xLYRVtF8z6C)ImGo|y4n z0c8U^Ck1q|2JI&`YMsol0o|9NfEM%Ho3NPQKX&C`X0T1-eI84j#_8h)@4`)3f{I)&KLQE3Jc-n(^(4Or@KXc@u*~>u!l~nhyEB?$(zKC*D~Y&mCd6Z|1MzkD z?}@`HBgP@nH9U4OdM@N|p#fxz)Ts5_Zwbho2nuAG@i`Js88KYQzW?O8ozM(?B_z|> zU3V?uCs*wWe6tzfO<*wa{VDJ}YxsX5HENaeYdBsekby5gP(Q+E%=i;CzIz#clT2TU z@lC_Mx8VM5ObYISdtQR6`!xZ4DwVl>zXme!{Y_G%)^q$C;Lj5j;IffEp0HNPL1{}c zh>+SyFH;-oY4(p1E!l|TOpQ2mIE^?qwGqv%N;Bqr0b-cnJEaXTLWMSL|3%ucnqSif zhoH;+Zwdc-=I>^hOX9A@M5i%-+%Rz8d0z_io8fkKRIh&xhq?hinaW(|uZ9f32a+1K zPUY7CKaHRO7i)L|VPXEC)YZ~v$WP6LeCahH|9Er?@@8VAbd?`gR>BpWz?i-K9Rv;3(n(^O1FdnHgdwLJVurX`y@ z7#ov)@d|@bT3@u17OfeT(xPU4VPvQ@T(N+2X&VyF8BbkqS6m7ey5c8Nqt+6BO>>qK zl;#{mSIi?^YF8{MwFk9S;Qy=!Z!u^ln{ZI3CY&~uCLEpGgl2AlZCwa)BSIZgaKA#; z;4UIHYW3pR;O{vOT$gZJU97gCQu5&(B^B$oog`iO;Ug+t!rz39tbw za+$o9Aq}uElFE87zXt3h1O=>&OVxz`q^u97x+Gio&V<;x3d9TVO+nnnHIeWIQii1t zTmLtg4-qR9UGaia) z+SV12GJHHU1?82{fRBDs@$dLGDEkUBP>RFfTf*sXbW6B%8OV}wzh`VqW8|PKfLruu z;MT?yaNndRm#ObS1GxVpHEKQ0uYvmvK^JaB!s&2Zj?V`)gX_x#cjD#19d~z24YIA{ zAz_$#cnZ4xG`d4cjaqH_HR$#hM5fD|)(E;iZPZpVs3v(>$2gnD!;S)K`&|i8wzbx# z$}ne&ZR@3nRd6H0dL48K?yZB31aozep~(747h?m#I#|atP+3pu*joKnVh$R22#?wo zuH0r@6(Fb4XGE>j2&tw;3X6g0cFz8Qr*n=`Ewql33;B5iZ_&ZxFigOBlDv;jZljas z9JjSI##!--ZT6sD;m_6fKV=GkW?-Y}RvF(ePPJkNPTE1;17eo9cAs(bvVC$@t575bqA#Dk#F+@gs81l1>mVS&;I-nJf3+OXK z2GDx)yWF^gK^BT1kqV&q6iqRs;HwQ~i@}oLg0SXaL10+rg9O@{50!gEm zVs`#QG0h<#Ap>ZU6f+!pK?})ALW*;Haxs7=ORa}#KnLpN4mw!@$|0Nv=q?Rtj0~(l z+?h$Jzx>Gs6eVN;U6ulfcDnOIXYPZ z+SZT(P%jPW{UH=RFe9M(6w`n{B4hv^k^-n$1A3iQ0KF_118B1d!YpcqLl5cXYMrbA z-97UjuqmExxLgdNi6WW%Xh3ar zvPUN?K$})(0Ca~2blYDky5#0e9GXip4d_Ec2GHOXK)ZCGyh>y8qkS^44}LeKzZbwSuaoc9$qLY|gwp_BtN}fD5k+_3kO@#R#WbMf2^m1;DS(dFfVv1N+d4=t z2GCIgXcEnYLs>d`$2Tfj0a{Nu4bZQs7aVFUfNstRsD@%1&^v?-ps%Eu;n0&>Nd6(D zZ0l*c7(j1}?jEQCjnT>X=wt=xX2NNJMruI!Tu9Mxug}DxQz@na^&w;c4M_oHX+Vbw zDcd?wE(XvE0_Xvn35S}#R>|As!V;M*2dyQX2B-=3fM8qm&VDtVJ!42K-TX@HK^fL<9$(KZS$;AMQi|)Qdt#IgXI(dXnRvfyPa2lWwDFcW06F_$k7Y=z3 zFD9n_FVE$2$=j$dh1t)`UD2G`xt@aB*r+yH9`E6vFE(R9mmqLs;-W1f9hrgdW57168;gwzV z{y)j4zEbixX&*1eIbN=jyveGB^@OBL!HTexD7%5zG*kArMA=hK*)7tJu=Q6G^s9EN z<_LE$DY;m_=Y5$!a`iS5u~OkfVSYD3STwsvG|>5I7ccU&_9spv-+MaOTOwpYss{ZOt9Ix4eG+*c_Q|a!mlJcQuIkz(?3p^6HRZ>qO%yDh}g7J6!T7y)JS0)T|;LslpLoTf1p5%b%prw@zC8@ zve3Lobp=M@3wJ)HnioIPZC=SY(!8&am%@iCs<%1)-qPj51ch;VGbYet*dBaI3Dn;x;SVntC6}7vX>L}QC;o=fSM;ze zlrM5Ai)2Q56LY;)ER^Fxov+?15Cri^3;A&0hvg41RbK~U6ExR8&CdJwtzMpf%e)VF zL-KeB7I)Q7PQR!(vJ*ax`KTR8Jl^2tr1HUFpZ#7Z`cl4fGWIPJs<*fD2KObcJo298 zv`6F=ES9%ot^ZPVt|T1wjvXo$_v+^at|_qx$;(ZTllN+QgC`KrXQ};>(r!8a$VE@& z#5*cKp}IDw|8={(!Tm`0^_yL}zFA1+^(}gEw|d1DIy#O@09Yivzin#&|>QGBrV` z19VjV@xLd=dsXjUy52tjpwJ;@YxzGAH{{UaVjOxejPGmYt!mxV(!=qrKw-faz958p zZTnHa;c?H8&T-$}GwWv=GMWNy zH23SAjg3;=`FbJR3j2WGnuarsUve3!j|aRnL((EeQ>IJ&Y;iK2gQZctpKJ{RdAO%~ z_x_{zE~rh|(}cZfVJAxD%Q!pBBd-qB_HI048gDmzymKQUs{7_to+{r4;5!3|o5z{R zmvIH^_t@+1cIZ0FboXrZc#1qP@)gqjBF`8;9o5cfhsKZ+x4=RBtL8|h%@iAYVqcAW z(jZo1^5WK>@azIU!9Myk`Lw&d1^#3@C|;v9)wbI9k!KGB``Fg5<9d1A_qxG^Hjlvs z)Ya*`NR1UyYXunuuYMt9*!q-v;bdJ>9uoX0sI8F?)F#-XJ^?O{SM^$-b7pQUc?BN* z|Lv!oh3ntN8(d6g=KhhM!U%8h4Q_oyJMYE4PKrQ^pw%cm%7Rb0BV8RTnBI{X%PfhT|odxA1F*h!+3f?fz7T0_ zrK1-TT^e46Ks_TVQ=F^66KUidGLG+aH5dgBp!A87J6un;mw)54561zbJaY5+5}P+N z=RHLoGmB}Xe0Az-EG6&#jDO;EQZ+eQQca}jS~5X)q+F!qoqXukNNb!c(83n>+34q> zA6@;trMZ5Nyn2uNSz54|&y}E`gHcPRpO37RCZV5!<<5xD6q<*pDi}(W7XGR<>1*^d zVSfM|y9#yP;_UlF3w13~wK+YdBCW3XsmipvR#O>zkdQYjdU#xJQr?V{|1@Ib-1WH< zU~lkqkq<_2bOfhv82RDq^^XWd*PD~^yv+{D_JLAeZgUs5R@!-EjW~Qx{uko46b+=9 zjOhovl~<|C^Ul!KF{CQj1%0CrKG=>bFa>MkyAkx-YG>1D#ulT-f>8;T7AVz25GYZt z6%({M-qKHsJyBov*V(@OuYFb9chl%QkD|VrXdV1(rIhsvv0y@orhBK<>b8%c zE*wI94^@q5@a2EvY)1eDM0^JQJ*Fs((eYGz>#Z>oaP1Y~JKsh`yn`M`oa`0a&Z=)9 zZOPL1HCAt(Eu~WYVfn-m^TnhfB`lViC|0j6_6tZT`nd4=2dnrwa>~a!xrCQ z+hUYT`^~DnE39&b@5+fErq?qH=T8x_E$S4>^{AqdJ98u5X1^y^t>zneOwnOEo* zJ3W6>dKInpz^$D?1c4O?Od}(^r$iZQA>L!Q~Zl$dfx~iWJ%`#x_lA-!M1+xA?Dv3 zlvk_U)>3s>$>;dK^+kqlyrksP%M$*;|7f+Ntdp{y*V5#~!p%GLl@$w&gl{*J0KZ4c zMGY$NZ<0Q^46@i&stP4nd2i`# z^A(Kmb*9Y-X-t-|)Dn&POeeG0CAbTnY->0$IBz}AA6_lpz%WKPR1hh5vjle4+VMDp zp)LOKkM%ixC2HI#Vk2iZmCSsQcs_?+mm6<~eEaw|y}#eez*S;|-0xx(HVf zMqKr~ESxbT9>#2pWf6Iit_aQ;Xpp64g7JqVMfZ(`pOtf*ccR7(yXYw0S9awbx1UOg zifuq`Z0i()GXF=zF1D>6+~HK-L%+-mGfv#3E8$pXr0`=R)Ju_B=5gv+iXc<)Ju;o8 zGkJqJ7UW=JTlfU=n2y-I z3R>hmwwAghg^wo^OLXE_l31w|8wu-@-E`tlk~ml=u1X}f(TTTFmD67*E=(k@*{K>< zEs2Mb82d#gFs?kyNKl-z{wwoUe|VX!67nHiyd$O)lVqU(T{>I5;kNUPGx0jjC3!{G zUi97uCh^V&%?w|7t~dCer1Dnc8-G%>xnwIF%3lwM7FS=#lnCq`5=Nh@R8gI%d)0?+iys{g4~lhzN5&pcUjcq%;95s&f#E1bmIFb>6cWWn&S{bs zle2tFmiVuD0N*n~Y!dN5)|LOVf^~{NYSj#O(5&!Q=*evK3`S`^F)OM1ofpnyRbSwD z%nYfbZRLW}@EYIH#r45FK`njI7K@-DI^BU6|0nVzcmgl`ht4evt*Z}ODre&pRa~=t?4k zvIew0eQfX;q38`>#Bce~HL*Oo6L}~>e))@CO$3x$LrEjQaUIC36ZSz2fh=6fY+kAV zjdSR+NTCzV^-R-Wjf?&^F;Gm@cM_FHrKmko#}IWo)rzD%z$ZM#<;f>*0of$(4ilHB z@T9I0VUmQzyC$PwXRO58D4cBK3QZi()-^bHuTh`!{h0LUO?sY5pG4ax66XYFDM71z zicHaeY6Qhv_?X0agHLGO&c`(0ZboX{7FqK z@3(IBan(DT0zJda!%O_tbF&uJ7SttcyMr~l)y@utlP}#a*8MIqlb|Wr+SyHme&+ionB(3WG~RC>$83CcL;NBS;WOAj{1#|DveLMPA8lvQbp`kp|Zmv}4w{!TkWIeJmYnn(OVHPcan^^hj%p!Fg zbuPn}il9vMRo7BuH1M`_LyT4uHIZ8?cOI%0kLz1sSi!vSDZ@v*djZt>g2^dz5kt7a zso}efqQbJs#P`mwB!!h^Z}4j-7c3@8Go|MJ03vcUUS|*aNVW?nRUcxtRw1zD*|nK_MJHS zKD;E`HUzWRtx+O%F2^nR86u| zuU8bjL8i8FjqkNe#;Q3Yjv1^P_6iQYL`nH5Do-~y>pBtpFJUI2Lq7qsV1?bjBP|p* z9)lk6%#z$82ZwXlZ=N@JAXoMp7S^aPeSZw|D!rA(@UZX;=}*4D8xu|~8X%3ydz35% zwT88;oz)I$d7&y8wHR+)R1ZODP__AUll>zC@}?G$tfkTMPLWfjfnSCjEIEA+4(x(ywaL z8=RAcv>j3M9?5%+Y;IE^f3PC2^u?>lBcGw=`04<7@^t~Q3Up*VUm(1sAQZ!zfA?1` z4!uB3><98IJMN2GFFeT#1Anouq}WB5j{zq`&@k>8;SJU!0g+LUY^WHlvA6JR>G;7^ zh+SoPt%IskvdWA2T2jd4xLE>yYt%ngfrftY}gm9Ff@&-#-@p{Y!~jDARSv| z(LkDZCrfh79{=%x9%HW+enqXN7Kcftf?!-v@Q%8#pwu-nQMRRe6T=pUV5WWz? z@s8u2z2_qwtU=-hF^5igL93m`>s&8r#Y{+g)XKZ~dH#bOe8_|q_?W7JuL(0}=~?QEA7r4C1(%}Rh@XA} zm8rRgQ-DQKJ>-Mc_yMsysmysUs_=P3mvR>?m8h{q@sGdQS#p1O^8Ql<7Vjo$Z~tI? zzKx#ZdD{aK*6^!i8ETk^Tms}FBaz#ts8vD=9pZ6*Wba;CTD5uN4elbACET|u!ni4u zvsI_5(*2O0Lnuup^Crrrs6g(*UprYVMKcr?=I9I4IEz-Q>LM?QU7?z`6igV-?zgL> z8V$}TFtEPt3y)J(55L0oniKB+R*8M8lFh!11}L-Gem~BDhW<452388*$P1GQJLk%Q zi>kF9juz)+4xY(v#0q0s2;uH-b4O4%h9rUDcoS6p+|0knZ7LOiEAC45ZYd#RCm++q z$=?S;-f=!|#>@}^Z7ZYN$uFtTIZ#-3`n_T=_#!gHIiw_yP<1vJ$=BzzDmlMUcqDmb zw11R2g{u4r=?hzB#%mFHzUmnYr8g*2!<~Zf4W6s-RJ**vVtuFD>R;(0SdVM0V@NcS@2dGQYIb58>R*yJB2!GsX#zVzVg}Linsx$m|WZmmHCzCj^b! zHM;3*(e_iFO{_nV&|dI``LLDv%L7S^9juM^{vZP zAcw+G1i|97Uyx2@7s&qLI=K(e^W`u1Wo`6@=Cd03>st&avMCT|St25pHOrvSH_eqy z;Z{h8)DK_ZkO*CiL@RBK-7I5Pq;TEcnm>Kn#D+hC>ytty)yF2%3TNlLOv+<$i2`b( z!%hw5X#V#&(lI4Pk-||j1&S1%M2a(C;@e4wM+)ca_``IG4a-T1sr9462MqC#u$vHf z5qryAxt0dRx&k4yeWS}7SJ5}_F*Gv_;-!oSEUN?l$fcZAt6F=TbSY{=Y&0Z`v~YZ& z*olr<^qKDZQ7JdhBm9&`?%wT8*&*nyTmLQNx0W3nPuCZjAgAlFGn`ZKg(qA`olOhX z{;G0>^DaLVA7-(4+@;VgiJaG@P6yyAj3uL*>G_s4g5_>*Iifd4E}UlMlF%Q9AYZoi z0EduD?H6TpqfC7DiApTwE_E-ap70M}6k3B;uI=_C$sg!&<<>3%Q<`f)zhG2DGC2F|$SgW!Tt*AbW zVrqDIUWjEee$?Hfc9kpn5cPUrcH#1ZULN~_E?{6ZIoD^mzjdVePxAw(+eKFrWJG7Z z>;PZ?j^5x%s;b_Q<%^VXUZ8w?E^95?&#SIwrETCg^I>pyYVUGjtD^7;N#jWWb{#eZs(jkb3J9@ZKdJw z!`~p?*{dPL9zMJI>&-NYEgx+enwW$M;OFjuc=gdcXClRZU2%@ zp7#^`i-j*~dhe4iy#+bvE3!cB5s0PM9NSHMG=H4x8u7!No8F?YB8A;`9k)>jUv;LE zf?BFM4;WPAYgx^QRAcBzRX+)Anp2>V7G6hXZnN7-OCC(-hgQLhsy)4=JzwiB_oKiY z6C*FCic7&_Ik@xUZ2FeN1|cc$EM{{Dz#siv0p1l*mDI>ZZD{?llqxQljIZmAkLiq6 zI^&Zn-V+S5eXL=ew3+2lL-%)T){z4M?a-V*StA zn|8?gnkVBAt9I@DSl`#jYn%;)?Y_qUeM9=W^Becj8Ii(a{}ASB7uaX_cfMqtlj~)| zpvRmk6x4oPtUp@gOibQ$%KtyF|C)m@tRMYwN3Q2W8Xw+SGGiawWO{)aE>nV|^pxOX z_^fT;k*KR`{*cx#2ixDd#7yHbTAv&$nx1zE$XzEiytN{{+3~Z}DreqUfJ@u2U)^lK z-X9s%ibi`&*LY_6t5;@A$K-DgoWKay4Aj=|T~M#es3H#IQcHoV==alIOO?N6w=d9u z-u=4)gsGO}!<(I{F!TQe=#->C)eP4a30&P>T;(lrIfuNfe4M~OPDesx`ZDhHP(x$; zlHqdj@pFIpv;P8IRJg_I2E#6Y{%3&`rTxutMH>_Rl3`EywLiV7=5>Z&oYcM&k0NE+ z{jo%$Z77~9v_S*ybd!8A^#2YttzQpMkbJ=<`7k6E&b4=_eg)^$yPDx#)8IC)uUqI^ z3L)QpZev8kD9mVsa5rPfltdXe;B?#0(1e&rx4v^pO8-U*f4S9E)4pXjx0-4K_bB#s zNL118&Si=9hVC_#PvPq+ZlP;bp|}{dNcVf>^aS|9DSIAyZl>c@rmv*iGMX4?@>JKp zJgDr;bLM_w{B3n_oBQWtcZ+3k?$!6+(2?B6+Vi9B&rmnM4qG34o*x~5jvJpX@!u+c zvJ_K&f*XH>#9x}oe~25u3O_Zrj2~T3wi|ynBS`Ebesp~NLxtZPS|#yI6Y)#jcv{egEb!Hzi3{kt{7JBNKs7J2rfs$gwp zm-x8}e@2vJHKqO4bFxajQ*ycmYXf}-91*xXrFZT!bSf7)y*13h_bK@+7lQKbn+;03 zuDS5_Go=GJs=hrXfiUaNWwA|)VZ}U;HAVO9SVLD1wl#gL+ZXs=N``Kr^PI`#Geg0} za{rtz=MC*E{hO2M-)cAhF(||zb=&LQm@L1Sl)of-?~v0uCwZSm=Q#b7_qWM?ujGAL z?hi@c%XiY9y_5GF<$fniO9rpZ@|<|`UZ#T1isb!^+qwTVdEbLsh4WGJ{%yIRoV=eZ z_s=EoJ0O&MT+hJ|DQ1SGw?W@#?DmCc^iXrYqMNQ~7Pe#}c6#b@x7~5;(Bno7tJez} zEHMlu4?Rlukd*gLy=nMyH(zzz2wCYEoJ{i(#OsNMu5{y~Y9&aD4rk6nth14+tZbLI za~EN<5e0XfbhY!&*RG4x0NB$gQC1+cAf*Q>(s=zhMk-EF^7JkgSUNeECh1crV`fO% z)csMJEwj=P&5FOR_Cw!I?1!opJ`2_0yr-#G?wi;NeM!f#6KaQqQ>9^<_$tFB{7d*g z$=y%v1nUh#J-PoW6ud#%2$wa7LRUWB{m(l@2BZI^MUkQ|>gft5Npftb>wIBo{4v$~ zRQufWd8))jc1E!fR*zP7>8Sd*c0C=&xy)47qRs7q1 zL|~Z#lMMQ8f<6-R=sQQ>blREYswA{6#3_{w9j>d>3 zWXjLYm>GhsZRNbgp1VMiRT=^A@dn3BQG13U)6b8wb|5+Ub8=sVfb2qr1Y;nZbx8_J z7kK2IgsPB}{iU?fdY-AWJQjG(Lb+9Sl-nWIL_4C|W>1htnPRxk`%cJZeIgR-L){hO z?c$f1nI&$q4z<&Z|F==Y_cPQt3H&&FN;5m{NX1T67+}1 zE$8B!6+4VWq_PI;eGu=MVj*D$Q#pamT~1Iv0_rdea-~ zjRh?W?`FIN_f+bQ?_>O$lIj87^Z|*7zSMHN&h32mZ|#t%TB+?R>$lPSa3{?q6&3EI zY(`A>_r*WKJ_z$#+J{Mi5&JNVtCClz?ybbQA?IQYo*Js=`S+C)5s`-55m6S{d&c83 z3oR-gp6jWQRSAyaIB(6R1zug>20#H033yAQi;^I$!%#5O1>_tTyE`uNPTaSBZ+|{o0xb z<-^<6qCv`PkvZSsHx%dS=gdg0Hx zo3nBPZMAvig7dawFx&UNR;^rgzg%>?BHXBu=-QR2j8)mJg1dULk=7uR9N?T|;T_AR z+J>fu5^)vW7KBDB66-%qGR`KSlQUU{gE`vsRqGk+h2<+>P^$rzvr6m%-Pni=td`A` z!gW{VdMXFdspnj&?9EXeD&p>C7$!?;-#K|i;0--Ap6_F@Y#@I;GA1)TW1pSsXORk= zOJ$AzlfPuvgRmkTE*;&@7yixF%E)ASm{C@Rx*(3N{ti?QoR->qbEsh;d*3CXr2mcr z6+9QEGwXSB%;rLx>ON{J7N_gqMgLZ-oye$e^~FO19o0TJJB-c`Ob(F919(cI6Xeth zoej?KtXvX%kTT5fL!<7KP9nFq_04up7t{vsw548^y3`FlsFPY0q z+^MWr7u|XZ{rk$Zsw4TXJC&0+@l4vu+{bwRgIR~(V*L_ei`W~yged%*kB4dhCJ4Lq z$he>6O`M0M{>89)nqGVOnX_7-#C)oogAh@e#$OEmZkoP6ILAKpUo;9iIpcp`&3JjA z=QiP#H?%{IJ4GK#xwX$2+>el$iu*q9V_%We>@P%$zGsO-`{K*ypxCFW1{CcpwM^8t z1kQ?D(LpZdt{MpvN5Tui0GK(eiBFTKN z&RjVuYTarw(i^c6)MwizRS9!uuZSrws(eNi+bi_~|cPTuOU*5kEwN7VQ zP#Q`W<|pPnrIPFJheM;JdRJ56OyAJ;zRj|4hnNjYBsjdRauXqF5e zGT#cE>dUJ0^;>z@H=0ivRPIW=6Xuj`{kGXLV@=Q5IZ^u^U&ZGuKOxS&whHk&PBPXg zRLTBthVQP6{tUh$3;r+oE@^@9od1ju#&7o=Lkkp3<$VEGjC;|3{%q?<9;QQqud|D0 zU&vNk(dX=cb^ef`>xac(P5NP{eQNwLIZ|=KZdnO0I;WLvB;Og7 zzt~-M;!KW_uwC>Qq4OnuWOqI%g-&$}on@aGI&Ah_c)RSi;hTuuH7!&pQc}h%+H=J2 z-YMsQt6LH^d zRZX(a=Z(lZXH`=d!hw-hO-D#v=tbfvKTSRO(wfj~>hYacU?lG$l4sn*s$6F&cd7Rg zWI;-thb8cZrNk%|YL$Fn3*Q(BE(!ZkjgFfot9EgljqTHD=Sg&(WZSV{cBrC z^4;Zd8ziBle1JJDbW+X(+13TOis5kWiEW+Q;{MlxJyX&PYO9MHh_M0|4-wXl_fE0q zW^)R+F-vaI58L{BKlNC%;Rlw-eTJzP@fVX(mx3>`ew92Umhc=?POfnVsDb{kT3g)h z|50`(@KF@Y<4+(1QHTR#6cr>0D8UPaC=phPY+x4?!2?AUulP{J6E@-j7|gCPj)QpM zjn7-3Cmtw>fpBHPGvI}wa){7FP!JRlmHfZeJ-Z3&d;iam&xh>vU0q#WU0q#W%~IWb zy71jZ^=hQU$3PQ{^m^E7W0w{YXU1$veuZOE5k%q-=ykx3zsz`Hp5$70=tpVn_|-)4 z2CoSvS`tF0*~yxOlJg~Mh2zQiQO6KdN9;6=h1<-LahDB*HZWex&m7bp#9&}dX8XQ{ z_CwYAwf4MfR^Fn@Z|YTRQ@nhEKC15Iqu*~gxxcFgzZ6Qm+{F2f&i^*4k}7)d6@2T6 zs2CbUBC_G88bQw{)dA(X{~EiF27XAU4repC=iaM_DrxQ7y02WaiLgIL%IK964XeOO zcTynxgTYUFzNY6-ME2ElxFy(dC7byZVY7G-yXauE!7k%QA^)nbMB=`I_c0I&Smlr6 zo#4^J9;WA!0+T-tK)cf^_42fUrpBQ00h;cl2hhmpKk5^hFL-cxgD$Feh`lU7kgVjk z@m?Y~ad}7bhHTgR>S|d$?ZlN*HTol|PnN0<&>7ZF0wiMid*^5|{N>*OWS?u}wR0PG zN~-c_f!mIM4lN~H9C|XgtqF??y1biHb&mUc%`Vao^|R2>I<{mVQGD|3Z0Ao4*A?ZIAM^o`a&F$F&S3;G7uH1oGU3A z_7qtRA?6ZV7xL|QAN(5PKxa8iI$R?lZc?Kt%EY$gc^s;XLzQ{LJJgDMsIkGziqk(! z+0uy4dMon%&i>i`?D**Yq>a#|vuMM8SsD(zJyr44W@4cu19%P;5^?U&(d-GHiYp2N}%9gksXf;b$SKYOLT5S z^oc~%rBvsnR>Pi5SCF5XEt1O?GMFubiBaJ?i4EWuv;`F0I7bAZ=d(?Eb%DCMh}C z+Kyg;`V)6BRP2pSC=SQX;405N-A>tV_08Q7fBPCzBaZcuWLiCOK#$cd>H&Xst*0c> z?b}w}Fbl*mT)9zfLz;#r=|V~6P({>fUc`Z^Ts;o==`xO#@k_#P`}6qFl@(L%XBCjx! zFK}T>y@ag{84j0QXixC%4OM;wmAUCIl$@$X0IfZkP^GKaD^dO1qyt_ zTdLj$SA-BF-td+wwmzy_cyqDyC!QUpD*iF{EIopU67Sh2y+{^BY^6CvwGvvRLrTx1 zL_e~UwpK!}Stj&dvcyVaa3pj7FX6(?cDxTAa|>GY^h;vr#6Ep;+wf(_bBXmPGN1)b z2}e9DL;u9)Wz`*M)_?JnH3UCdb!;~xg*D|r6;+&;5gUfm+TA}=xiHI{q&Nfl3UL4wVz8hdR}{> zr|2R4RtP1)g_@db?Wdi(wMtqmcD7*W=D=N52kK1A1zW(|AIJswL1e|wrqWUM8qt}% zid;Lf?B?&HmGj$z`HY)CFWNaUJ2Un%bF@E(NZz=XmA`@SpIGBC^mew}iB=-zxr4J9 z&dPO7D}T$3<>8`@K{$X`wX1FUj1tvtM>z?0c8D=GFu# z`F^HBN%>cx>LjXU5MPt}hD&`1H`Eu%i}4l6=wYm}8`qf~TNBi&?x()G<O`IrDl)=Q(ux(CEkJ}td%RGTv(WznafiYWG%DQjFNJ?&jpN1PQUojiY3Nn+m&!A;^ZY%(lH!_0**)&YOJuQ}p_k}P#fDVqBkGPI za*f&&PYF>Hx=znTbW2Qb%pYY1FaHAesms#jYj@HcuizMlv#~_=)i2Vyg7y;KNTRAH zS^&LSN})GER_Q9t)%9d&Fhmsdp7jcaShwzt3Nh=IOD*M?QiRJ(iiX*7*`r2WE$gz^ z6uB^Bn6ul|Ng5We#t9~oOGyzXZpYc^WVlrVHDI;nNL9rQvbmvV$30S0uy_R*&L_D% zm0W{qrU)Rquoye2!-C2=4Wyh1Vk?196xFr-1}-Ti*t*t4&w;3=q*f;ARYUFkR9e() z3j1bRNJ88O2;F$q5y}x!zg{h^ zl=Z?+L7~)ew3KqhL_^)pC!5joVv+@&av9BtVQK;Gh&b9! z>^7t~Y)zyAR42~|Nw%P+Rnj^Ik5_`0uzTDYA@m0)0Xa&g;vPHw2eH3UBkzGkd#k~> z3u=sSPyE1fLkkSCRKM^ns2{OiaF}8O@eBXP1Y|hUd|*RGV?HnvoXcW92_Os~_^JF0 zKg@g%ySaz1;Z}-e^S4;8FW(21$!L7dBDT|7#MYdR(?QA^s?`d{}3AY8){G$Q- z0)w9CqIue-R==D+cVotEQZLhVO{G@&z{~piqtOEdXKcZom950|IYv7$0&MJiB-VnK z-P~XM1V>^Az&_+iY%QZI%*Rd~E)2yBjn>#gwh}{&cV0r2JR>{P&!Ij>^e?kyvj5jv z!ZfqJClPgI_^+BI8+SYLrtb7EHKjtxVV4>b#J?ZJXK(;5`FGQ0$U}%M#b?yplrdZD zRqQ#X^mbx=QGf^h*B`@x>O24b8O*kT8HUMub5IsS6WX@p6Lc2~I&jdLPM6_4mU!Vz zLuF5G${K-N5C#iTbB_;mYApV_H z{7Owio7At*X?jj9HTiObd{a~TW(N62kPqUmk?TqNzTzJ*2sK+>B1Xpj@jviVP6Q>> zPfhT?&;f>q8|YQk5*wmE0OsBD8Y{ z75Mj)SIu@bw|?pt2*(S3Q zF2<@GO*O-*CXLSA@)Xa1>j^45Q}RVkz7tJzx2K!CS90{FId!zj(aPi)kW<;*Mk}L^Az2URX6KyJZ5fog&mwEsgo!eNg|KZLMuj7EirjtGkJZ;*^q0F zz;KyV{fxYThUrTW;3Hw-hqC6}M=5vg0l2K7J_*42Ae| zQ-CIxN}h}M&2vjC&tv3)WvLPkt!8fi=O}K}%ab)09~h_m9YA}08rm(71H_*QFgvZA z@tK<$VAW2{0We>#f2R0s=mly2o126AefwAY&hP69`|r|iAT5xbZ%d94JJ?!R8K3jyAb;(4#PlH1WFDr4NOw!7=+ame+KMr?p8d;#4 zpiveni(IjmVct9JE3!#-`H>OlqklZmXgI$?X_+uA#Z#Z4hM)cif3YcwI8|%;QpbKz zFj0*uRP1b5xM6{-q3N;0yEzj%)>$4IwV-PrVp3C_KDk^`40{<~)*+P(Gaa3=sPLf9 zpOs!wDxFI`D>L`f|@2#=R0?XZq`dwZxtecRYKfT6Y)ck zNBkO&sO;&uu*I!Ya9-jhYE7byC0SPzD#_d-o;CV}4gkpUouidiMq_dq?%=cN@)TBw zjG~Gv6iAc{cbj;odvkP=cJeui*xVIYIKh| zE8OQ&6nYrWqR^`?|54N!9L&K_~w+MtAbahnY@m)Le4V_!Z=k8~PlO70hJi1Q}KQ zh;`s|LJDHETfu6BEK_ZgGfzRAAm_fKl%_zn$_%Ftn>Ib~PqlvR}L90mqo=D->bgpx|BKyH=^r@Lq#QFOd6lLSv zsgD$|+-uu+_RwFO?H@I1uk3fmWcHhKBkJohO>`s+ zg+w+X64l?BraJNy6WNr=rbK4xNVJfWGmA)wag3!SpEZ#dkrt87bR^qE$=QrZ>`lfr zCo(pXh~`8zCn8%St|cOy2rlT4*-s)aB4R%x_9J3{i8zCZ{fXG0h!zrY3K1=cU`scq zr9|Wt(UJ&;cTA2%v?U^kh#Vpgkcj5?J7*s-<*WmsbUhIHyW!rMnKfXWO)3;ncc{@@ zYOYeF|1!dcr8tSM3_qMjsDLBC=IN|Dc ze3VQUmgmqIBQl!B1EX`|Roic+k%G5R7BbC!fuCUW58Gz;=FbqAt7O)w98=zox1?Z+ zcU2omb0`HwI9?vpq#65+4XcC~1Yxer%rKC70t`)@0$bvppkP-lWWD5Zfp3kPaifM0 zk4Uk*H9ruA#=|C3dqwNTjzwMh4b@aGY9kl0x9eIAq_x_cv1d!u;dPVnU3BzNzFH7$WkBV4J zrJoeyVIsbk{bFS#Tim6H4B#)*X9RKPPO#o&+wm!gWd4o(3G{HK-oIK(RgcS9cm;=^ zxUWXESRddOw2g80f*{APe4|GFT4 zbSi#@jt{lKJAy&<0zsfVOOqO21y7JcZ+xD7*`qQLp;iA$6_}{uat zfiadCX-nAsA4Zm}_)GK;5^ee>JJ#o~7vd`t&vS3Fcj7*J_RfXbcH%)QN=X&=)W;cT z4jH#0on+XFsf2beL|63|RDz(CAJOaSJCxTGc9%0Hu8Z9&xc6G?rNp}1p zX{_@1`q)9}#cnwn0|j|9X43i!+AVJfCF^O$TSNuR%|_}t;MVgvAWL_;tmbeBIwQ-V zYRRf8%NY-HP;+T^6MqlX*dVXE)|owwRrK-feFEVvrOi~=u~AFOZvJUxm?r+JdS54g z)&7OER!!If99^temtM;b^+Yx*$gzQR=jK)pWJ)EinI{=3RKz%uLhrdtmlaz7p{HgJ zukgc^ZE-K-XhcKF8?=#Tkbhjm{HW9{8vVav)rFc(+li}$!p)S14%c7JcA-jmE6>P{ z=KBr(Z4F{iEys5UK7wlF0``I$e`M-N0RVXK9%kkj^feWKBk|6cq&z7pw-~tHRuL~E z!pDwtH1t0)1<8{06j`!#rDnd1u)%o;Lt%{6;3kK{O<&!+1Qa^YL;G(JTa-e-w)oJM@#Ff>wGlm6ZL65N9w4hF@UE zAC#$M@=&v08s^?7U~dZ6V)Y6^bUX+F{20wPZ#aBE`qIvoj6EqW(v;IEMu3N z4$eevm5@4xUXz+;CmMwW{5dZ-o*pNPfXaHDB+X-R)E!sSWiNF9m4YIi z*oeom@9w67CrK5VW-C8N9`b9bz|C#dg=No8$exMo9|6Yg`1^n=D(`J}0`^Z)(@ZYWVF&$w??J=yM1v;0{MKpG-Ow2VtX6RzdcW|5 zg14TL1#*}AV3e+odhEFP?NZB#@L%Bz*s@=Ym!BUt1BC{_jyI#$sOt%iWN2Xh)WK$8 zCjE;znlx0lw1{&;i89ne`%TmBKf(#Wt{NlNO?I54ybSf60Kt(a6Q{15U^Tb)Smuw+ z89jMYQc!yS{6ZxH{p0YYV9BJBi*+M%WYdfEi!bpX(PJID_Gr=En+dgNr0#DpS?~nL zm?W)Mos7DNI#U^215=R8Z!Y7)YXsDz=9mhS)(YMZNr3`m_xxc$fN+Nm*2r zFwAg&3P(BHV{|0#(`TeXPev)~PM27``WG(mXFpyO?UTqO?938cjtw=80Ze50+l70E zPVUxCSi|8mBX<$A(<(TyEd}jO4%A zNF9H6X&8pF|Jr9561PwwX*nHfmVeAP|9;xuX)R=1j>h&3aOWS#AH6Cy@Q0$Iyrt2( zm3dLM+`Ooo(ttU?;wb%hF|5;+6&liA=J#o;EwsS`l(f#sHv^cozNQr@8~q=9W^THR z>RP;n+%ujJ&L6|haW^f(AW1u%k9q@G{c>(3B2u_C;#uP)>A{6jwx{=3XD_tlw^0hK z+5PN05!LWySN_L>pPInAh->Qg73B$44JN5ab&nOgN3#3PY6eqd>nmE3R)t@Vt1Bw} z)7g#V_OjX{x7UMCuX{zGQMh@+;gPZxmDNoovZ!zg@e}upJd7&hPUoOW+BbCvY1Pf@ z9Ch)Ikf@$mrtP|Jy;W>5JulxLG1gF#!d>N`fKhEu0OwO9(VI6?(YPbTi_1yBq@ zei z*AkUmZiqNlmjbGOX-WP0h%7oe4~`I27TmMETZVop&dF4rp45A&DckfCZ^a}@uB$~H zOReiBveN>}I@b3D)W(lx;)dq)?O0#%H));rtC<<1^lYVumVwcv^(aa54_=d{*=G9@ zh#j~SYTXq^HS=;k#n|j(9!#^kKgg*sn)uiduww2%fC%O<#?@=}JqNxSDuT;{>j<*Q z963?i$(egmw~WHf*t-b4mDa1jFoD!CQ(yT^$m?-pNYZP?P-c|6P!h{RapLER8$upX znx;k)nJgA_YU%&VwM?I2yhM)9Huc%@)>IJjhM@!qC18O1N(l=&fUP9zIGAw&u0$VJfS}% z9U0{*?NLkh3xDRZ>`2p`V}aFqL{eGwZXmBZGeiD$+8n8=$5Dy<-mTc`rv+Z8SPtI6{ix;qNuYmO~3!(@8y;UpfNc?8>g#>tm9+7ewcczX;t(`6Ha})nNrZA;UOT7!#EJkxA)M(#UW2 zJH^wCvj?2d9&dbti=&5j)F$+)R$t4k7Vt9ao&xYIxaq@KpTt;$(4VlZ6bjjS35wo= z?s6w-r#wLc1hb65h!I#?4l>Fd= z#wFd{6+ct^iiyK8g%+pf#|;9Kx&yR7&BfZE=4TW7a(s!m(YD_q?@q~sxD(6D+3xg? zcsFFL?f9V=v3DcTxw&y_Yg~5xVs_5LH!@;<=ZjWWT~41+{eJkZfZ<6J;Laf={~}H+ zH@6>kaGt?m`G>85xU&5x&(P}5i-Zjo^g2o-Z=n2$E=x=^UcN)vBrOQ>x+Cr;TR*NZ zv2WCr&vgqH>4-B)T%w}U=?ehPDze{9_7XRqE%9z?1vPcNABFTv7^_o!w?6rXN#xM5 z?7p=yz~#ewUoKLqX4eSuv!JRi7Xlf=D_lLa65|zs^B0kUx4<7k)LNgz>tcu)M#} z4|8Mp>}f#pRu!S604id^vKwuA<^&M zHG+pBxUnr1A@jZIjz!!b%+1`H&-QB3ulX5~1?%%7`_;liOUa|l3zW^A(7y;vg@DFF zV(mox5bFruMIeenH%ZlI1mp(7KD?+|#)Z`I8Cj%$mY2~Lr=1WRiDQIu#EBo;;ZX)gA*9`mRlj2{*OLoMr0HF}7`-mw!SSj>=8zWp418z|gc|IYta|7HK@`p-A@cTLxS#Q&=QxA*_A@b4O~ z;jfm08b9youYbaiYn|6i=)JsSqMnzAoIlSIy<7Vk6hN%FLnwf^zb}xNvovNa>zk5% zlS#hraLkm~cmrisx#_UV`eqmlyX~XW7%yXdNCjVYgo&clZr?YEe8(HOm-u&xr_i|l z)iKpDK1OFS)Bnohn&4g{(Kuarjs1pMN96Hba^*N%@xQ^zu0U0HA-O0v%oH0-F~DT` z8E3XBGcQ0WT0I`I@|pDd>W>EZjqckHJ%=l4Ug#{!MmU0n%+<1CV2`$13gyZh*Lq%g zp#kJk?~_>hd*;M}-Z^_CUa@RoqXMp1@k zW!_7z7mn~3IkEsUu{RS>YxmV{6wZ{io;cF1ShN}z@#(Mr&eB&GWl?APa_@|4xdg%V zz|SY&JRBEwzEEckgQte%JyUz~67uSu!m*?mRrKYB!gxnMW#^eM`6_pp*^{^GT-*da zO6QuOcZ50@@3EwFMTtp!uUVt6zCiY~_#f2KA-5+y#QDyggo*m`IeU1Xc^-WAke zV_k_xi1#5((nTccczgoYSlubid&?Kd^X|`*BKB7d-_eccGybQCX)0-!hd5{+iWUFU zJJ>&PZB>x+7oRK@L;*#?1*Y804qCKWME<%@@Ly2yH4y;$4nK1QLPL7bNcwA%KF6fr zY|6P3|6rP)NPpd=4>RebN%zOH2nKZPgvku} zdklH^U9X(b(|D_H;HRu_j=0=*3T~D7X-??sebQZP_&(u?esFz29z~#aGfFozwBVk6 znmD<&-WWdXP%=Y|W<7O6ds{cY|L$5p?Gu*iRJkS3e%E@M_>r=V^@SI79SMnddhF}* zosSsF>t07xZ1`ir_u2cc9O)4F`kKy1*zfW&5+4INXuGh{d)^GpB-Z@@A-lpe|v$V3>`rDSy`NB91tl*guC-Ja| z_76K%pUW?9_ViDZ-Sd<@(YA&bvF~@hc5K$>h|=1b^^xSLtUfqxPm?vD8^-;9LQ-}% z$n`$q01>C^Nu5vI+&HzYtXB_4I$X+u>vP$%^K+i7AVb(au{g0aY!@ru1Wd?vD5$Zd*@6flPe z-duV7L9HArTx9Osh|Ns5myQoOMonS|`+D?qCb?~Eo!p`!S!NpVbC6t9JXfZ;yicH3 zoh|%|ivDPuopJMl6`@tcl4^a%Z^SO%1|9?w&6OhF%|p~M-9V@~m&b4uJAfAbC6!R& zsqKU`6WhqH?lVzQ9kobRN>E?)zClp$g%9{0VY zWXi|eVyncJ@$05!GSt&03(ZWUl$eZ9JrJJGc0%YAy;3I$akNjSuYC zvvwSrOw5+7GStb$%+#lon8GI|`+Wj6Bpwgq(cyDg5CoEmR#c=*GPoxDOk(WmiM0|+ z;4CL`sfq9R9q|t&UMIxu-&Tz8i<00ZhVhe{pQsEL9L^=t>Qe7D@mW}u9N0?eh2K1B zX5JVRXiFNLc&jKDrdM~;tra-~+o`#w5QAu@S8&Q1b)V2z5&O&#N*#N8sr$TGE)DXs zIz{?uYQE`sw@Sc}b@B$L$2?9Aq+T^PRnKr@X}uls8R)xQ%Rda}h$YPA3nF`D^} zc+I=>M^x}`!Do`gnIV`gah?<0vMo&%nTiB(j$7ISkaW!8Qcnq4&!d&|#M@(roj8#= zLfcHJvk8ftN7BS!1r%Czm{Hj$&(VF3wGu2zRf08XhCK6wJkOXsytgDwzzbb)2+Q1x z#s#FH_FfWi*Da@vS3&GQ?H2WsHE-{REX0ZS0nl4#u$9XC=j*J)Au42fSBtTe@GtXp}lvW~XMwL4pFPm+RN+%|XFL_>IQtph$ih zbJ0a*mRElN7||_oc=HsI764(cV|%_lUaZbyR-DtZ8Q zjtX;ACV#zZo38qKdZVkpPglLTr11N3crk}>@MR}1Bi7Z@NpO2bg&d$lpXpj(y0kiSn;S#~s*(l6Z-Q|{Ag9CkCBe

    _=7StTicGXJvl}E&faoAInPX@Q+moi$BZSr_zeA zdB*X_K0`bF4>Ezp*L4E>2U>Td+eq8%Du#R6KGxm?rj{T!luipKnkyt83q!h(Fi$IsSZa z_=L{aGrk_2&^j_Hj?ZX4cmBRV@ejBH@vWdGzAx@UGlnS|ci|yu*;iS-k+vv!wZ*zV za!p8i)x#$>9{5Ok&EgKUHRI<$Sq<#0wL*S1NK9S_A`%y@1Q@sXvxQ!@o3eL>R9*~TK0VwE1$yqJ$&|d+PlG; zH}KCsj;;b$zlBw&vg^Dw6F&`wvc>BoWo|isO@%A zyN2NM9{wght^8@7!~TuNTey;k&uM=z@IeoMGk|s%SaHKYr#gHdT*t%Ta;!cdO4U5U z{_SYA{57-qb&DUe_!2EKrZ)HRcUoVEg0-%5{CA(Ddx9VK@cDYQ4~9aSY<-{Cc(7)q zKH}LgC~kbDwzOF5%>Cd;Ej~-z4y_gvEVmYvJGK=VCT6$7WDm9Di{FntIBhID}RA^gn~<4fq#oNzZqu%8&3k zG``b?J$xyJR{m}NE^(~;e#a&8)xY;F{{vcbp6*@`|9Ty*^82^NPti7j|E@sw)_6@YuWQO)0zS5DF zu}iQ0gnH#mH1(J;xmGwNCsjve8nX^1YHP!=PX`8tMi?= zSbl`P`4BO6ApEmu&-j?NU$S_PhtsvP__)PwJZ%0S6UV3X>M@e(VQaVJlWC^KPguUz zIq~iIWX@@^SAUVrnvb&Ed}e;Z;(oOBFY_^r2Y5J3Hj5ckB$9<%Fvkz`@b!6VId|&Y z9?rtt3^VqryRCf`ZAr#k>kh|fRUI-O={8#2khVEk{l=P~im-ZCrqh^uF%_q@}d8S2zp-8^g^tUJWsvf@O5N-c>|MJ%a zcX9A5U}DVlyvM&ajdpO{e(URCr@jiP?&9~qkpdbI7f%P1yG$?B63=Zdh$lPoP-DUc zyP%zX6l5$zY1kE)>|diVfb)2`h{laE&2W#03mwK^U+~@5K8bcRqYd;DUlwE2kF=Cjys7GJaW8MHcIaf_?aJ_6Qtm3WJ1KsVz2a15ru z`g_Xu5m5+=6MDdszxen*UIE zqVcQfE$}4ArFB0r*Qn)RX+3@=OpZ}PrJuzTujswTThI!`L-#QLGFo3P{L>tl{tZ?8 zhaHz;ZMy%W(~ZZWGvEgumpvc7172;cI53y!8pq|dZyNt0{4_7-Q+Xj) z@mV5-4`Nw=vaS$bi`D)wcU(pJUJHLeV|@Dklc@HE`c-tE8^Fpl@lI?X_&LW_tE1#w zRP)4N^-k=qu+}Ah2U`_>(fD6f`}?ZnY8sz25Pi+~epKVHG*&(4HKhT`MDUl^*vKIUG;9ni*eS@->(LIjqcSJc0(f5rN5B2wumWX{QRNXs z-Ov~RMer`i4JV;m-^a!ajXyA6i#B9ENyj&aQJn|d&l@|#Rp6BQA4O}>pW?)LH)@_) z_;(?E46FPq>$p*Vl)j?MEBuXGVabQ+Z;l%mM9IC_`HmZDp0$WKF{gwYJ%=S8vGW`^ z<~)Q7!TB6FC67W^!{<8I=X>gJn`0W6FI(-ys()hO@m#F>xA?Wv`BMLv%AFHxE&omM z<;J(5s&Dact@BV3|7FhKrW30Ev&L7Ts;_a?-$|_c*W++o%|r1m>bT88l=^X7f1Bm7 z>KAeTw(_fgVoC|MU4m8rpBN88Ri86J3AI~}o@l=%d(SMAIwSHb7{o8TJOVGl^`z2$= z;|Kby-kEB zqIqZG>xJ-k>?pXlY^df5n0N-ScDp zpAUz+D=y3>c9GopmA|dvi;WfE7Q~~6@!P24qdcX4j|N!vU(fgsv><`9{O#q= z3EiHDW&e|(3gO;ZwI|0teh^EpB@Y;HLgnvZd=yptBaVA2Pvlpg(_c@W6ZyY2*5m&B zL!q9E=Rp7ahhA0S*#X`G>wGpu>95zn*wWTB99N$p_s+&j_(7EM?i_=y zgIPgJ$8W#-E@M!M46WJ>iZpXMhswvk`kg%s)z~ukyMS{IKz0Gz(8N z*8GW4Y`U@5q5UC8DWQIkV2M%eQDfyz;QO7vhv7l^Rge1p%V5=hvvDg_=Vyko=D}G= zzG|#E7KYz2K92Hr>;cCEmZHsJ)*h7v7eub)ktpopES76ouGUM*3`hVZ} z9%G#Y)t_g)*LW3Lg#I2g{s?8ylX_hBbx+e@{5;2lE^(av*jV|g@xCx_ZLE3Heo%ko zuZ^{y_KY{%So>Xz{x3AvK9<8j%kkZshw9HY9*L^{rN-Jf)t}>d@Gw;MA2(JWs=ngH zdnDGu&_2)Yt@&~H=3yjyHs!z-)p&{CD)qm3Q&^J)k zUu3NPPru&Te3&rGryLK*=hc7OSfcv&{5wqf zrus|F|2L}sm1p!fd=pmns~RisRsR{sBi5s;|D5pzRP_~C+K*_1RsCljj~t7t{tL$B zrdMCK{h&k3I*RrN2T#xD2*1^>-OJLdk*H%f{nT|L3Wp(TYzW{L7qwOlg!pVy_tAjPh7) zxv}oC;qdFmig$g+xBKdzI&e$;tDWEbdw}xrYsMNc3$HXjgtC{hRgT9hek=0Mq)(5vzhaBW1m&^V<~Kp(=)O}w zYX2p+BOhyxBNWjvG!N~?T#nq zL*?fmB{ZoDR{r;mJEHPy-{jZ&c9&}79^{tt}rN9C_&tT@ZBbE*3KvGV5$;eW95?{++;C@Oz_;|?fiKlZ8dG_*IYb<^L})7UKhi!dj2?}b>} z#C|m%fNH$8#>$UQ%y*dM`*iNQ;@_B~61r~)mb)N6*jRa``EPQ3e+1?H#+4V;zn{5# z-=FL?)_B)Yf1mL@RPjBX(OW1k! zK3}*E?JeIQ_z{15_#IdOLG26u#V;`KYOFkAyaz`cUv50dSoy3vS*EODr>c0HQ_;s`y{F&p2BPe6VA2ilD_27q$ zN1)6h+1&UEl(w-i9Z%DE#2|LS_*cGPOTz~pPyZLCee4Uzk1!XlKQYyqT=C|ob5Fb; z>5e@~{iBW_ZH?Xoe{D?sa@n8QH;!j2uGQdgjc-Mnf9!m5@q(`Yyq2i=7URCmcMARe?)dSaQ8?~l zPG~;+l{*ywAI|@T*1=xH&NzNj=cpC@xAEI3&v~(b94}~sGG0vk%6b+scilhnT;qpP z;u{Yct9{`4c3})IhhIwjg_W_@VK>3h!u~L4C$4iK|8(Q9@d`AHUwJD(`{RutHP*TU z_vfNQte4owlg__5g|e4%DeV^%_s|BIoE1N_3d>y>&p2NC99jxK$9NBV2+rsD+1L0X zUJLkK$1gF@5NnF(cf5>PMEN>i!0~H0qMySzJ6_4z(9a9v9gW$G9C9Ge9ZLzVVlP6h zHQvUOM$yDQ$z@tQC3Uko>Q{HF4#2tR+i&hgrd&_F!aR)XtLpEi`x+96ox5P!sY zE?ScI%$pKgy9pa?{{>v!|9*9y_L=(e%TVgCBUWDfs>bAcu>CsiZ?OFgS3l02DWP?5 zI!;$HK8yy&U#Gkav|q3IY5d}@{(8kp^Jfnzq4k5YS%1FkXTrhuYhbm%8dd#6j?;=0 zyg_*rXum=A0_`^_?rL8KRejEuH~zK8Poly0>)~K~txxSMxcVEFhnjyyWAzhQ|3-2x z*nXqdr}nnqjq@F+|G&R2XSF{F2gcu|bEEdvQRcr%>(Kb+jmfv*_?zy6gY9Rz`fs`7AbxMnhJ)?b!o`?>1FL@k zReRfy&AL|t?Kf+@K>N*>FLC85<8S^0%UO);o)fRb)`D9aE8dOZ#*VkNK?}oEj0d3P zeq8II{g!92s^7}^1bQp{m*cHE$LwAFjPV4Nz3_f7hW1-^9*B8d$vtw{^zlZM`z<5=xF#R$J>~TcmLjLJRI$dzk~4+l=UQZPU-I*S@s})hrWRMTJLAZ z`xx&>iCLUAQ9|$T!jjW*>WJT4!F_cJ+|}{+_fh(f-)8)+<5WJ!??h)O4?)M#o@GHLkoPVeGyB6Hb_&Kx++|%){OHswMx3S`;`qPZ3qghzWc)MP~oX2>| z8@~MTE$l2f;dnQ33Y`ll9q-k+<7sd4+N<@jNAUs9zpo%ljN=21A4IQ)b&lw2-%2bw z8E0J{e~u-W6N4S^FM?*_A;xvktKgx=8owy*|24i3&EoekC$yhdCO-{bfod77IGk9B-V@m4;L zGgkhHmFJ9iXdZSuJkjx2x1-#7@kz#W&@=F4$A@R4+TVMP-$T{k{l>?Qr#e28pFzU- zXFLA-eDoGr{o?wXeaSrr&vpE5XS6W!>tw9;U5Wnzz7G7wqc=mzGQA+68 zS6IbI^MsFIgw4WF2;pkjs_>J>%F~kY0^`}}HSi+ix6tc}N8Is`l03MmF<*PXpTQnb zLO&|6iC_E$qt88<^4!Jj%lp#^k-)erT5D#U(~OYzqo|ExH%hjIQB$ zXk~b-@glSyyx#Z-+63P2_|J0aweV)+?r0@g^%(!pcd$3|{bSVeUz|t19}w5R$*=vY z2=8$GS65W||E}>Ql=#FyF5yq{ma@Az-!@$L9O zbbRJEbTRya`TMfpF?hG*e{?T%CK3}J|C>Ztz?v8R|I1yV{YXSS4(n{|{3YCa!}?t? zy+4?sPf9rF8LZ}a*jW2?CH#f)*C_duwEDUEVE^?~IJXqsk@}yz`nj41dypcAlyL5= z*f}tJA`Y=v`guqEsPQ-Gb@Z=(@rM~(KVOOeZ2TqKkoo@YI8q$detmELm(lX@cjh00 z9)OQIj*?4(&+iOJ$&=h-^heAoVek9qqSV(ou=jlu@kmCD6~7A9FKGNMIvAc|d<&}l zJMK6^Tg5yv)3LV4o3GYM{|Rz0XEpT|SJ?YKCC);C-zj751N)cw$C$nH?(g4>)gN6p;sc-Acw*sz8{gA7lPkAvC4m&=#2TFb>#u{t>S>~fS5YKbfJ_|1u!n?6L zKe>+cC(!H2XUmWL4d83wnE3~iUyZ2mZG29+fbKQ+Frj^=zXICVEpXcLc`H%!J)wT_ zpRf3|f`=PVM_a?(k(BWHUt!tbM1IEwHLmh`m@%=>p-sZ#Ur^&Whm{w!FBHd4f^9zv zJpije#XIL&^;jkrippE!a?PC@$BZRfy zQE(Gudk!D@Z#S9}6XjFQHeu~&+J(&l#= zxuVx!3D!UfU$zeW99+)v#t*c6RPuG*Z3in z@l*6k2^S}piht?>)Y;3vdbIovZcV;JD;mH1PacQs%2CDmm0HHuYPCaooL|s zr847t{#%^CiuzOk&lnS1aZ=;be--uD0zdQgcn-ECe24Kyl$=T2>A32JXa!j3jP_NP zx9op1VLS>Y_Q|C2cC-lfdl?@?OZd-k)pR~IpSbf^Q+@>ETdfJKcnmWCMAUzODO`=c z_U_-i&3_23LVa?S60WZKDt-fv??vk|UVr1;&@1uZWvuzqXVU7|P`!4r`D<*3HQ&Cj zevJdD|9Kwanz?XbeKm#YIn!~S;`n>Ok2$WZ^^+TkamGi{+VBL& z^@xS?J^8e8Lnd_<{>hGS=!Y)HKihFb&PC*T{8Jn^c?W$C|F4dlDsE%_{cd^^=1e8+ zd^9@`&cez&hH6$3+Z7%wgcYxP@B_yC(3}1KnwN!%O>%_uH(!Q!hb`VM3cwv;5Q880^e2i5$RIBuu$h+pCp<2TWE@Sl#`=SOdV|1##T%jpV# zWW37wW5*pho4Fi_*xxKjqM>*qtK z7vRFwf7R-5L#x4x3-RuxeGT02oomB^`=)cJvv?SdQnY`K$N91gdmZKsBvv}^{v%u$ z);jsJXL)Q9_;q7qA0mens~z_~3@?P&IPNnMUI)M7xPKm66kcoG9sR^#-+-F1|MzR* z0X^XbzJDOO6MQ}x7=``!-@^m{fXV;FyD0M=sPp_FtaAY0RT+C5{GRdmXfyb2EP~w+vYpl4kXNgZ84^jLA&mTjy-^@4hnfWpYOz7aNvHQr2O+gk1;%1^9{szN(!Fhx1X#$2=q63DC~dUTzHDs zHUly_bI z`m?TwAMyDyjjQz~Q0mWOZu&flG-p6OTlZ~!zy56X8`$63s=tEzQIz^~6bJwJx5IOO zg2(&q=W=)I``6_8DD~$l9?T(G(DB?sXcIWU<9RosiMQ-4<*tN$7>Yo>&~&-cWa>}vc98i@CTJ#b+B1tNj!%r>e@uU8JEm52}d&z5E{l&9T+9WGFUNRLW21#N{2`}NidY@mx zbBy@uN3b>Vw=>rBOgFf-<7djC^p{>>ya>G+UTCa%cZQofUfLe5i_4P;wJz5*yi{LJAUmF^1TksnkeCw zdS2!}Pu}8qmF}@8;aiPgMzufV9KTL`{k$p3o>0QCvsd2tN9444bwRA+)!ld?+S`xM z>W|@T@%M24H9Ch`o=>_OUxRA>!;M>@f&ExB04_{@JO67|!PH6KWA%xJ-cL>vb4vJ) zC|3P(Mm<*k5YIGg^0+0I{*rx+^>`8dO&q_O4<&X$M6QlgPcr1Vf-k{ zSxC<_{tTT+d(D&j8?}$*Lh@nb4^i#kG{>8CPROU^bmM+#Gx#avH_$rpV&lKiUa;0h z|8KF+xnYD!I`4-%);yg%=q=i~65u7Jlaw z*#G}8!taJ)|MLXG@0R5Cc%1e+hs0xhIo5wZZ2R+mUHlnX`-XpKb1ZF=nul0<(G9l! z-uV$+6nPRuvm(3p6K?}E>9{1N#Y^8bIE@F&y{{pio{^Lwe+i}}6c>VJL$&Ci82dSUm)jv=ft>@Q2pmhiG;UIGl{QtsX@Aql8`SlO6 zH#v6&So>U<`D$NS>Q^(#m%x2@h`TxGfM5SGxtsH#&qwm$`m|q-(*DRKY~cHoBO762 zom_+BKN`a_p7%LMVsb0+`Geu3IzNH?>gX!C2=(irs;_(~?2mtx^X{7Ox1fRe{CE(qMgO~<{{*r3p3nCf*F)7_dBc29XdQv}C&s~QzaN!< zEw%)#eGnhUs{QqjPe#x{`;%E%?LRYrXH@M!H=c;9J#{GIlZsEU{YKdTyvy*(Be42A zh*JNjELQy;62c9!>aV7;&Rw9tpC-Y9{(gEER)0sWzWP^x$_x7YnSAj2t6^Lk4fN-I z9$%oppZnrhf8SYs^{@F_uPE(xZ!rF^`LMK2k^>&IzFZzlo^b{u{^E>_(7!GAeE-JJKkbKz5t|7wBaOP+Ro zhWjtf+LFpks_L~=;P0a&`dgmB|7RA_&&Ivy^E0UGD{r#2|62&FU)GfT$8oOms4A>; zpsDC}NtW|aNC-cMrB3PsW92dHPkERV3DH*H@1$4*B@)v4=do1C_&KyFT+nzsN`L9j zj>E)1aQ{TYP2kG-Z!!Nk)Zf2Ics~3Ze%)(~=Y7t&e?LbeGkEkN{5_mMnnkxUegWfe zP##O2=QtKeABE3%?ERfVxBuODk=PC%uNC0Aa3$)WYxN&S1LMcO z@96~ACgMS0j;m3@p3e%{QpJqlN87>F zp+wFpf~C(?abwLlFu#0?TVQ_qTEfgRb+xO1?v3c3{`}8f!THSKFXjCC6`$_Jv!mkz zS@Z_KegQo%FxRxs4f83Wd?Eg+TE>S^VwtY*_`C#K4SzY~JhTy9-uOP0HKm9dC34>L z*orVY?D6MV>ZYnYKA*Yj^;3#7Ly4TPey@Y880$V`ttp)=`E~wkz|6tpU0CLqs%NZw zgS}2Qa9l7ys`Ge*vCfmmYiQgb)p=GN>A&EM*m114v@j=9NLt&ESD`*|n*b}m^q02r zFBk!L_x%@q1~2frkm5Oq`j(#;@paBt#&3)=-i736?&UBs6<@4#&zVVS-tZ-5v3JqF zfbjy<|NoUEm;4BK^vAnY>*`JWrmp^_ub_;T;*3xtm;Q-muT$)~xUk~R8d5Ecm!bE- zEgfH`yzT+FGM|j z*lhXR-f>p@dpCaSP$EU@VpYF`@m%UB;F}#6U4*_4cXV8gdZBY*%kSd1^Y~!m$C@aS z;-6rRgL~sznkL{krFn~IVU=&T{?cpU*7%7LB~m7htqKo!T=p6C zxZi&{&Udc=dE1dP#50$%(uG|83d%2XEk%w|A{9npHU1#S<&UD|W~#sAicvIhA67U5 z>--Nezw)mzJkVI{3f%V<$HCe!_Jk6-mbvTmt5QRZ52Ku!)KJHjwxHxrYMA577om?c z{|k*@MZ5Uxt#TghzyBAh@-$3-ru8`ERrvwyzdstOs=Q^+squ2>M5?O3&dUU2wNrd7 zf4twXsPB(g^#uMa@moGsy9w6%$D#CBP5TsBf3<0FYvyyY-1u2*;Qg~m^>g9CeO+Dg z2s|Ixs1N%;FNsvwIeM7>xC1GXnw-sG{hIHXy-SfN;_K9& z`l*?YYu$`;=cFEWe7)k32eW6C$n}-6%8wTuU#I@K15$Gw*Va9(`(dTy>;FL&@7Iji zqj|9Qk^X8wh)YA>bRcf z$y!p%GuGGOW32LPkFnO@6#mXw{n3AFx8oa%p_>0o#qanQ9_&B;2Ug6mLd^KX6T4VedS_Xc@apRI` zEBH<05olj{mGM!O7^c{BN~B2%?CtPsW5t6trZyOFN3Vf58lOUyPn#S!W$(QD%#jjl zItE(-|2pHB(VDRGPJYE%`NNvU%`V1fVVxUsb!=7mU1QyA%izx)H@^a9j;SwesW3cj`@jAz`%D;m`xG$F2rw%#3 zr3$(d{=ry!qaUwZuZ79|^bqI2Rq@pPk2>zc-gxoSzR`b|PFU^7H^#Hk#qbHoU2CA^ ze_Hnl^}CM1?trCmw;QnB5h>Q-@hEH-*0}ONj=c*0(RdTu3qI+%dr7p0KmYFCVe&IQ z%=}NI#i{?RvGTAb{FCDzm!PHLpN%!2j_@zW3sK#tzZvUX6sG^7#($z`@Sk#go0Kz@ zI_>!OMd&v8cgH<@qiR3cc#`pO+=X{2`vm@|=Pj+DrqRj^(C{_dOw&-D3@=irB^e<@1+ zKAatIJ{K5|Mg#fX=Xp5r`k;^Yu_*0tcJ=$7hZcixGA1`X);&P~eLG-Pzm@SwRQ1_Y zN~EuzSF>;nA*_2-^@*v+Iwz`648{EvXZaf$Ux~`k8Kgw|H5B{n?RO`v`gP^T{~$K# z*ZraP^6 zL)Zz3Zy7k}EdQHlvCdW0*TV-47*ShR9~& z0r~>w>tO#w>Yc?s;XwWjnJPc+`=DyC`zJ8JAv@0ElmF$R=by#84+HIo>fQ>n?z0zZpH@YH}nWx)ZedRF*wkE*wymWzB@|$VNI}FkMc;|UmR%v2<-oVRFPpC zFYtWe{r~cT{TQx$I#gA*z=8P;*YjxL_529kQ-SeE z=sX6-AE7t}zP}yuFdTTlVZ-zh?qB(JPm1;WLjGyS|Df_ucYMz!=6}?<4q6}oEMuL&!23`4OojumN5@_YZ=(Ke zSAXp1y#6f%&v86Hi3Z-E7~cyH?Em=UaNzx{@rqmE^~m@S;amOnPbdcm@?%1a|F)l? z^W}fOZDhilv+92W2l9Wy8Mr3%eHrdGN2l8#ow{T$nQ`4~j`|6RYy6@`w?eA58ulW3$UPt)(4_)W*FUPj-7w>o}Z?Noo8@jz7d-*&v3 z+|A)NKw5c2|7*HoRbQ_Q#1~>!U$6VbKVWOa>m9#Q3gtOD{krizXeW5JvGPZL6h% zT31DQujBPmG>}j0i^G-h?{oeQn$J=AN5|_m&%y9<R-=0^tV~hkHd3vG9JQT^F`qM#VuKQ zF8*AvLS*YAv<>aG547K+eITdPA3NU4IJvALtvtfNtr?cPFTLORbF?M=rQ>%>qf6nB zj1^C{|HtvW@{C?s~(7^q=TMw7R|EKfs=Ih||zdm0m7|-3BcQxjt=NbCny%3+JocmVXuPY?U@M|WqyBI{Z(k-`?o#cJAWqX{ChLT5#zGP zVPkSp&-a;_@m*-(zTZ0=4&=|?SK+|(!(Q%+;C;SVc^i1Wx-a`*|33CISbty7vsmZ1 z81vD&CZ79V6#M(RZxM`Cyt*-}M(($1SP-2iNZmhhyA6DK_|IkZV?x>9N8vaV>Z3#?Hhz}3Is(uY)^`rXL zjg=Ri$xL0xM-HN@uXWJ=$SkbtD{k=7JF&{QQN~Z9UEy0De;q;}gJ&3P|GL4-8`^)Z zJR`?4a~yxu5FG(OZoCn_0iN&pTjfP3m>i)*zNIfc|73`p$1Ab&7jyhwepLP|jc-E> z!wZbZ8CN#eIPxzwUTu7x@m|g=UuQ}={-FTc9$lj3 z|Lu3=nBv_5KhI&5$Z_&P&mWnJ#@kS}uVef@{VN_-9G@5hcY&)Ks~?^Bu8vPKUvIyZ zNA&;G<=Arm_&@3Spbhn3lRGE!^Fr)Vn4Fo(+uYE9VGIOev8+**pDwA=B9SFWNVPm6!O>)W=>4 z-)KyKxmrJUD3QO(?_6>|!y3i^%*ATFrp9Yg^{2Sw|2K+N`{u@#P|l9`|2WFebA#7j z_oA3}=CY=Y@`R5H%{hT(ZJE}NbBUM!{v^YmQljB2u$pgM<9g^La699@Xi2!e@fq4* z1>fX2s&l3MAV(?DD0>kihcennI6ebQPG>qg*5}lOh*gF&NQrtsr_}m++~b{C&F^)` z-usp}{BOUa-rtF>z^`*h`%9N|KlX=vIKJ>0ucz7LOi#y|Jf3@Agu6Q~tM!h8wa>IK zI}c0lW$rTm7*+n<;kcaoReWwYo{E-$dl|osYW{tVS*u!U8O%OWq7`dkuZGo+SnJKg!-TNS%{`$MoXJO5Q_Vqb?f$xW-_0NNq{|iz44LJK=JQWx5Qe)ji zVl^g@2^E0YaIXeU9?FvxEl4}MycN$%RQ>6k$glm=eAgLo zLIeFb`5q4R-!uj*|JJ(tO*y+B69Y=LX;W-b{A-N+qyFpBXjA10XD0Kh`B$Lh;g1|Q zWAF6)5*git^w;butp9#vwAp6Zf4v-Sb`*q^zaxw7p zylC^|u-2zIFn){tSe;LeE9Pzu_TQqD82=Wz@#}sFjNg*Jyw}I?$cGSO#bQ|T z-zIlXv?XWFdp-HC@%yO%`aIh5U$_bN-*f&}jZr;+Y&X6a^}oM~w$eVSzWSm6);fQM z;T^`Bpa1@Fw6*$^UwNzgoZVo5t#yv&-z7KxRoK9It-XA~|B3UrQN9H7r|+d_v7QI~ z-=B^4ReZIceJK6+)wyX1?|0lU7xlk?iT3LV2kwu4x=%E}&#nGO)PKJ=+V2Ng`S*qM z_s^geVVw)c>(AK1eC^*2R)5+z`Nv=b>+QcB4y>=g;_AO25*?s;2hP)g@^B!Z@8x+Q z8u)(ep;Np*@n0WCr}yFY#CShnW*nn^d!J|4<^J*iemD9U`Ip=Ee|{=^B|6LIZ<_Pr fRo>&V-a0Ro_^+PC2K?b^&K%`E4=0k2cXs_hrqnK| literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/build_db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/build_db new file mode 100755 index 0000000..a94c657 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/build_db @@ -0,0 +1,4 @@ +#!/bin/sh -e +# This file is automatically generated by VCS. Any changes you make +# to it will be overwritten the next time VCS is run. +vcs '-full64' '-sverilog' '-ntb_opts' 'uvm-1.2' '+vcs+loopreport+1000' '-debug_acc+all' '-debug_region+cell+encrypt' '-f' 'files.f' '-l' 'com.log' '-fsdb' '+define+FSDB' -static_dbgen_only -daidir=$1 2>&1 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json new file mode 100644 index 0000000..3316bed --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/cgname.json @@ -0,0 +1,74 @@ +{ + "hilbert_fir_dpi_pkg": [ + "hilbert_fir_dpi_pkg", + "RFT57", + "module", + 10 + ], + "_vcs_DPI_package": [ + "_vcs_DPI_package", + "uM9F1", + "module", + 1 + ], + "vcs_paramclassrepository": [ + "vcs_paramclassrepository", + "hEeZs", + "module", + 2 + ], + "uvm_custom_install_recording": [ + "uvm_custom_install_recording", + "nhiwz", + "module", + 7 + ], + "_vcs_unit__3504648197": [ + "_vcs_unit__3504648197", + "eQdaR", + "module", + 3 + ], + "std": [ + "std", + "reYIK", + "module", + 4 + ], + "uvm_pkg": [ + "uvm_pkg", + "zr7M1", + "module", + 5 + ], + "uvm_custom_install_verdi_recording": [ + "uvm_custom_install_verdi_recording", + "EbjT3", + "module", + 8 + ], + "_vcs_msglog": [ + "_vcs_msglog", + "zQBzV", + "module", + 6 + ], + "tb": [ + "tb", + "g7hgQ", + "module", + 9 + ], + "hilbert_fir_dpi": [ + "hilbert_fir_dpi", + "fhaHS", + "module", + 11 + ], + "...MASTER...": [ + "SIM", + "amcQw", + "module", + 12 + ] +} \ No newline at end of file diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/constraint.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/constraint.sdb new file mode 100644 index 0000000000000000000000000000000000000000..bf6d968218781aa48217dd14baf421f0f97c29e9 GIT binary patch literal 8651 zcmb`N1yCGI*Y{zu4Z(uDO9&8LfvDzPRab}@W5W{Kze9Q&8Nu|$x=%Bbhjgh02@i4CcdYxcuHe0~*&bj0`V?nX zbd?PLAv%F#RaaW#Ca=wgy`}8O&x|o2)6zluAuPv*zHyAKx|1uhOV8faP}jJWk3X|} zx6=Mu9gwp?Z0maZ6A2_`ps*Joud zk5^AvJp-vQShtb4FSusb`n1VSNDH~_h3C{9@iT-x3SLZ^5N}N(T-WVPalCP&rB@yH ziz*g-+D89LyW;ITt|U^kU~Loj8QW}6x}vq=UCSJcHzv~okaBBekYq)ajt|eXH>6}W z7JVt^*lOn4zS<9|C!&mkgXmgYHeElhA?|WW5c`A$dEr_)^80w6m^`{W*)ga2F3nN# zv1Vi7aMQ4XA)eLQLDP4U?1x!D*|DqxQfuB4zZK2Jux6lzMxG$dn|w~%YU1`J#l&{ zbu4~~JAt3`OKA~14}&IaiL?}dmR!m;7r!F5D3z2K>q6tzuz=C^w@qo9Q@t2hLREk3 z`;>C_>iO}T&MDCS_!JI>Nl`4|K zZuJ*8?D<*il{-5URs97x=L?iYYBb#UczTyW#Rt==4Hr z8X~!h=EWQE#KSo|m=$r2&9{p2HSEn@(8UMO#+(;IN51gJjsq$gyFbM*tml=WX30GM z6ozcd5?CV_3^t3LCLTLf?;&bo)<}XwGarN_yqj@A=vRV63mb%Uy%i)uOGXn()?V9k z@!kE>lEUd>fN;Cr+D)MGl1De>Bva}xZ|vsaqMLwE(6y?*Y?-+&Mx4*VfT2C@g|HJM zrya}KOHw<&G$i^cFT2{Lo;f;q?T9QzzL@Tt7+M|5+{oPq#60rpL0_>MO!&7)S}1#V z*<>+%6TAUj3+{Id8BCRFC-&D@H7iqCfwOH@38X!BXSm0wSfg578H4C~7{Mpi2k)aE zcNthGLb>xBr0RDpLRcv)({i{wd=-bbC>&#NwZ6!6weyu(SOg_VSr$D{MxKV*GK{5r zPHDgCgW=f6<(e&P`$+#U=r8>^$;e6$y&)$a9KKy%uTRd+!pP4bNw43xr>UnIodk7x zhmPI9y2-n^Kg!ygkYMd-@4&l-as_3q6z~Gd)ZZB^{fn^|zZp}onPWo}s`fS{c-{pF zGQ!*;rd%)-*yS+k5XKOAP~J=1X3`UDRXtNeK{w-Il>c0%2myK5Br2<0>PqM{k7?f% zOH`!w&7s!4aqP-Yef2`9IVzs zA){vq@$n1)2^m$b`{XpM6-&nBvl6VqkxAdi;*>bvb)xP}NJW+KZ(A6Co=yWiji zf`m}ob`je|WAiB+Za9JMPurU}@foiXKJ>@gZH8`ji%|$}ZMRpyA}N;O8HsGRsN@qQ zzw{a7RPvhQO?gqH3N%CAHJKjk&Ob8<*X=vy37;lY0!X2H+chP9oTq!+>mJ77hbL`i z(u_>YeWZsQ`bnV4rGJTO7zwQqOqTOF)VZjophoFy9?p1d!FsIU{^&n0iHdMQ^iZYG(|GR$}o5= zer6W@Z{u*i7*WCFa=S{;qBCD$p)b3VpqZ#~3@{dJ;w`1lDBm7Llc7E(ibKUOuZZSa zMqXrp!VJ{PDb!mlkWV!$przL_-f#$NIc{083D_%rasjv6?;gy?FG@pr>%c`)6aVPj zgA{lD(ixzZR7^99K%XL2x@FDNHP20FegFaaiAZzvs;@EAh_Ycb;dol-S3(H^{_NC; zz6T~{!#@%>MP`!-!g3nd*%X4E&_!2Xfp^66WO8eZ;NhNXH>w-FnrV3dyoNey`n#h9 z1*w3ss(ONuIzKMH{1oo{Lme6BOJkSkazLTo9V%d{C(92a9xR)oQr^s~6!ym$RAH$L^&vc`y)VHSLiyYRMk>MNJmCtlIQ(Cas)9j~9JC5ZDq1Z=#4niYupcPAZ8rmoRTxz$AY5TtSD1aK%`7RtAOJpRJiX9`|%V)m>?n0Gu8~Sgh5q$MnQi8qezF59^?%D-eP@ z@$6=KDzp~d(O0fTJL;pHDX_?-T$G*gV@JnUN;QXs{CyS|`p>?^A12&B9v*33YMyR= zbcGIko-nu(*#7xEVQ~L?o)Fl67jr%96gDg`#o}RtzKBSzeQd_pxw%r()TeN9>0+$n!MPPL6d*L#eb6V8$JCU>^tTjNV6Jtl-sqxiI8g(4mLjW~38 zMc+@9M&^qG{kQOC!5{kKnjiA39?0PwHGouG0pSG?Itv zCiC(y(Z10Vva;7jaDEH?3_+!8kg`y$7lT*wThan7gO%2vX;0^%y|TwnBXQkqdKh4& zI^!LLD^=0D*+A%}w-@{UorM9U3BDNPV(#{EBSEOPsL#Ib#Su0`@I!D0;}$1f`I>lh z$OGO-5gy3QX>AVtpa8Od}tO)^nD0dleZ)QI?8^bjHU*5R&uQ z>*hil_tGb%*U!H zCNI17u>1Y@O=Ld~Jp6v%x#6{ML3d+v{z60nzv6Odd)M^n;EfoV%3OPo{&?GuKXEy+ zH69W(zEei9fg>TsFcK-=ST6w_5L0F_ge62@Y#nLpo7fW-6cn`|Ick1* z*QBL`>;nl$r{H+|pf1-{@(3NGo=}CJbv&6EGfPItJ!Y%e+^-+72DRF29ONbK8Q+mu zP}A06XndAz**wf8KkzvM9%!XU8Z)*YDqBG-B?t79Cn4X?q?Iv!}lEaEE_Y0~Vj)?TDeaflw|EY$sr~p~o%Ny8_$__S?m^j|g2iQhdN6#yAtJ-U%OZf&&B3w2^8X-7gLf^(MBBE0Hoa>wdepE&?%FJ$kJP*klfmS!GJx zzF#3i8Io%H%n zpw#lTB*Sgy#XGn9b974{w)@Y|bMQ$8*GucY5Nq0vO;V0?3%Dz%gjFz6U(XffA6hUM zEkEX&SD`r&`q35IKU|@{*5;?3DTO7;GQ){g%8#5?qio|`teK%vR^m0L;VouU@T$R5 zv$+RdNg>{a4eUy=?WT`1e4VgV?#7TxAA@6m&eJfr3(s?Yq+!+!K*$&IaM( z8e7cc1&!p4;gh4Ej^{>#?MHn3=Z%6@n-G238+EciZF$zMQQuRE;=P@ic!HMaxqL4D zx^h*{;45p{LC(&E+~tl-JRLxCgZ2YM1(t7|d(r3*Ovme#%9F{8n~)3ja} z7g*J&h=taHlBROprPl|0$LE7s2v;$N4-fV;hw{bQMb-wcASWoV z{WRU$$kGG7tcDH=R402uuz@edOIB7XEY@jcda z&~+w;=HZYGW4M5-=sa|+Q{{|m>Um+G3=3I$>PP@%fpc?{jdV{`d=66Z*?6?6e?^1# zUcfi}250A&h2Q7b+jZ8nSV$Iv%3lg^ALN+CEikJM>l4t+dG=-`?5Ns7?E4y{P#Ub& zW8az4Jc-TipF}?vFt%(yE{gWjfXw92*f+Vl3pJ;EUN@K&Mi%WA&aAlgq&x}SxH!_? zJeze%=DHf&VNMO$+T{~$K_Vn${bJ)25SW8M;4k%w5_^y?i@=8slA99OFf-9oD~+>v zsnZjcHOvXxdD0zJoIZ)uG%iV$oLg-p&56JLBW_D8C$4*o_rt+1Nx<+cyeK%*p)r6FTO zGO4odFk;_*LlJxv|1=pD)PSB~A%NXz)GZ=llFj$y9`*Wc0VniPI%MmeaEMm z<%ZaIo*AUFNffEwdrY)*ZNI_Ixu zj4O%t_KBu$%p%gp?8@L7AY|->Fg&fY^BAw(?db7gccfmkXhx@Y*M(1n6i`L9>+(&` zBRU64!d=OVsT}^Ywr~s5I?8!qL_M?Yf?Eb^J%zzXh(f*ar84)ImI%};*3JxyFalis z!(t_Fjal1Q3{@J6T$AAK>kq zTazpI^$mM&)<&g8-;8~lDif;BbH;=A-df9ZT73ozj&fknwuSRoeC5iFoKKI!d82|S z+yhlw*ZI`?ji^Qf{Ho2lsYY{IIq)0Y*m1wPa;8nYsmZX2CWkgO=taKZcg50m z8u?Z!A<6aNvT~%Q22(MC^4D&-wI;y zYzvoI-wV$U@3-KYM$RlE+Ajbc1`opJPkO@AtcxC70Yi4P8Fm^*JQ_U9@uwdg5ZigS z1qCp^x6NxAtP`5J86oc<8RgLXie0}Kv}%qeSid0e?#|DLp9Xt^!r}6fw6~WnQP;#4 z6xSI=r9Lng=wAQ z!6pq2-}5dB*Nfp4T<`m{rV_IB4c$GeUHP$vdyO$8288>YhZr}0}fQBqg_IIz6#N69`fEm#FpBWPkGYx z6)z;^Z$ycmCsh-#jJO8$zp)ftUAgSSCga##N6l z4hFtFA@^|5txUNR@_aMgKvreJgo-cqB;Xm>fohrv+bHp+&ew|mRh{8ucIhgTNC~Pw z7smeGYyF&Q)pzXk;(b;`kjj+K?bXr5E){C*XQy2>AMH?Rt;J@erb&we_;l8Zet2PD z;W@_Z-Uyw#m=F(bS?NrC5TB6$Oh;RCBg*PJ3qsh-$n-i9h3uCh*wyNLy-S@iZ4fqn zSgSypineX%jMFD;7ok6DD5uN@r)0T?>oMh-J+ajMp-T{sjc6oQxgF(B;XC*S2%Z+$+mv z{fzYGj&P$Gh^6Sp(fNpj%lwx_opVA7ThGm-&s*?9a^+Ph$_p@iKllLhv6Hw;H8U&D zB-K$g4PB_a>B+F#Y*I0JpB)vQu+_j@5xiA4LykTNZtSBi&tU)=P$;?76Gomin5u1W z0SeR!5cCRF8r4rqC}r7;W6&Th`KqIh${N?P8K2+K;!uY6P{%E22l#%bBJ6N{qHt&+ zkDl+O-NCH8MTZ!zS6Ep5j4dXp4WB+raBhU2DFY#}FZQcd83!bQOcVL&lz%LO>$B`| zLP?d()>GaM0OMPg=kF!OtNF#`+Kd~T5jqvwsl=vZI>+;flqNf=oNMVmI)#ZeHbI;| z^nT)xE4MijuM$=B?UqA)!j8%#*s|(80WQX3Fw>8wGmxGBTE1`)gQ@e{GgFdhE?uQ~KV>{Td%j%Os{8>HE3&Gs+@ycf4 zWMFo>BwAwqM-Yah&2I9-W77^<+z&R7N~lFp6DHxx-6hv9oT zaYb;qGJP=~*`;dH2;6DzMQlwuu&f=^w&a++V{>xW+S}+#f}QORtxaqoAP2CW z6WH1q3}R;ov9a^;^09MpvU9V6*q^#Wtl<7HGjjazGlE^s4V@euEu6vs8Yee94-W?? z7vD1w=YO*PH8~FO^IsGF&&-Sr9l#*2|EhDef`H7x)?j-JW9XfGV`XD#0^)A2k+^U02>_Z#$pF1N!W-$M<0h8b{t1|tyW{M9E0^Zyti0Op%fRKA5>huQe-PrWM4hhF-v z|BFI^$-niD91N7uzMOyQVPk~2@KhzMEVeQ}btDawz zp;GF9JrJgY>VH1bf7kmXwlP8VOVEq3 zIsVqezE`Lr!tUKa^21`N@j-J1{51z?2xx{LCVyfi8ffhKCq~JD-RnOw>H+jR(w`XZ z6dKq5i7|_z@zI|c8~S;`+@BcF9U4dei3!nQWBn6DhxF56TP$Gq`}}je1vDmK{Mr3; Y>jlgszy1~f+J*rKNBQ% literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/covg_defs b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/covg_defs new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version new file mode 100644 index 0000000..bfdeecb --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/.version @@ -0,0 +1,4 @@ +O-2018.09-SP2_Full64 +Build Date = Feb 28 2019 22:34:30 +RedHat +Compile Location: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/AllModulesSkeletons.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/AllModulesSkeletons.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e75ed5d39e8184d29057984acf92c00023d54cd5 GIT binary patch literal 1430 zcmZWpT}TvB6h3!$c6WA#VX>%9%^!AE6oSIFwzmnCNE5cVdXQn=-NwybciCCReu&Z4 z*epzfEa)YoH-jPy0u2id3VI12eFz@}9|d0uT=ITJBXKQdCB{b6MOE$UJ{>t5iJm!s4)kIY z#>TU1enhhzSzXKIG#E~2hEj#1dOclGllin+CtqSrFXpmpdQ>mQGa0pzO5_U3^r&Vw zaTLc>SmG?jA!l3qY5YhZ(uC?KtLokmOO21kno6 zPLc1w(AP&jE<5_WZGWTFzwPfL|5bVY>0_`4nBM#ngQy z?V*As&^IEq(T(@xCwz&i*GPIt1xcW9OZabpe)zX(U)~@Eq=F>S|3C=Q?ce^Cfhe-o zeh1Qn$a+pspl;&yQ`9Y-{)oDr)4xzRa=L=L`B1ZysKcDTfVz#-8fvaTE29n_)=`-- fPfHwCqj_?o7H(K|IzJv*8wDsH8H`oi14`_Gz~ literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/HsimSigOptDb.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/HsimSigOptDb.sdb new file mode 100644 index 0000000000000000000000000000000000000000..b0506a83d812f13a4cae563aa0633329f4c63b97 GIT binary patch literal 888 zcmb_bze~eF6uzVde>>=^xC(+-oE#i#7ZL0t1;OP=b7^vzHsumq?NmjfgM*0v6T12b zbZ~RUOiMlDOlf$;!(ge}8{Ft$hz zn1e3B1~KmX{XSF%tTHoI^Wb6{FMtik`mr<+4x!*-CVNTfCZXGeo~eSJ!??5}i+2Nk z!LNHg&uY2clRghSTsxKwE+cVxb|g-#+*5wt(UFjCCHA--NXK5^t(omX*s@d6< zmXb=-(Eoh89cr7$Q^GB!Mw5&I$`g|&bnMXat-5GhVdQAxp*0a`+9uQ#2`w` zV4zSSrZg)rmrZTZF_o2RMs5gDd5(Jx7IE0)dmO4850$Tn0>4|*Ac(~82He>{9%*jM zpHvys5zYE;?qAoc^g8&w(vKu>RJ<|Xhpv1dCe9Z>Z@*<%r-#5P(m~hPS;m1o7tY6L z@sWMN&c=i-kS#mXzifrq{btUA5np#xSAVSRx08a8Y}U0y^>beW50EIld^qr(9fa`n3{44bL9Z{5uV+;UTOR`p z1->26Y6Pw6!@hPyP5Ij=a4#lQzKLI*Zzl!bH;1Y(yXEcAa~XTLFGxdEzVO+49Uc$7 z?w**uJ_pyldnX2t9Qcs8gkA89O?NFZQ?l9FGQnqF;~_ak9~n1-8y>Dr@2*UpFC~my zw1;lWkeYg>0@xGuU)o(DFIN`TiCv!Udn3-SU%D}UTRhsuJu6?;?8+0y0T&|Yxo*EH@AaPjDp>sma2ZF%Zx{?1iVr&dp)F3!)% znLtmONa?UI^JN1-MZ9z$?B*YAZ(r_BDBsZXVsAz-Tot}QrR`fy)lro1xH}@5L&v8e>2-1YJc+*`+EMM9e{7$a zaKC(~n;crcz-978EV1CgWoqj1^E9`H=9%X2+ z(a)ulKf5~fs^EG!Si{;Xt?-`CLE4NFh?WUm;KRBtsM&olUdp%p!GZe1s71+;tqZA7 zU_-tmO#w!=H=s0jdhn)refO63Zo#P)SygPETO#L8*H!RY@)^H``}ehD7Aol8-w7TtBMQ-cGP})Ro_rrc`Og^(@_yEV)lDhIn3v>MdCM9TzuBF`S zE^=L-;ppRqifVDEa}9wDtI08g9{Ngvv*sTR+#y1t!t_%c&Ir+vDYlb+<052ZZ`Zu` zS&~Y_LDrQn`T9YZN13NXa}9#q&6>@g7(ab2+sl5Jo?B!~nNi!8#e?YWgwafwtH_8h z2Bnk>UvZnLZF2vsE2%tKGTP&{|-`g!g0nkZ{Ki3y;tAW~s&G@x+;R+K`({ZGTsIGyKMJ-(+G) z=dg*c=a(;+`_-|g^#ps8c}08nIQ<(MhofUf;>Dl~PevrPF^42>!=tK=+TgA$EA|uB zUe`m`iiC)5qNmOKt7g05nW*Oi5v{phWn*54pq7NSr8C#rR2KYn^@D$${nO-3NKc14 z9}dHibm^lS>6R>r6P9dL&X)MsM6)MJsQI|KFWnIw)vrNB3mdlg&)t9!U&Z=re*{r4 zCOmI!I;_I82-7xfcFvHxj8FH|!H$cl)SY8je%ulj93qyY?auX!>}q_v_4D72RTHe9 z3{>&aa>K4Q^g0zRJ!)5xCH`wstFK)Gqx$QM1SvYeji}!au0n-vf722um-vGi~Z*)0EFJy{*PHtBMv(!T{D^{tFgsIRP!73i1Lt%cZKa~)OqLUOa>t7t) zf*uZ=Vl%c5j-^bY%Y3FCzQ$VKa&};w+jQU$f8MQLEI2mhYtc-Gd1!eN*%f~79iJU- zTEFqXC7i8zw|o1t5S9z!`G^yaYKi1EUM}4v85j7q4YVGiZnaH3X_1H9q>ae7Ho%L} z@=FETP;}y7V!Dz`r=%cWv6%+w+KbKVWk*4ssWA}xvbs{&( zG2jkk}x&)EQ|7h-bPkub+@CN}mb9q@LQ<{q0oIj83q=M&SD zf3}|SSPJ+PkX0?2ZGDxKQsftwQI5G<+V4!-h1BH~6Hac{XE|%OO&9XFp-@+5*w6CI zn>8jnUR*AMGw@vfTQP_;%2P>kMidGQEbG{|;m%5`_k7qZ&4N*CE75ve;S*Sbfo_0QT>yZU(t zhaItr$PD~f4}Gx=jF7KE>ql4^p_*zGNNQyIUEjyBypw zK=^Y8xf{S}7gIgkv|-%`dr4QL?WQcbjqCWM+1sQUq*7P8#EXv9bPzV~32$Nuyp-NM zef(99i(vg?s2o*wHBP_MHsiQoj3d6NCSfzH0jR1fv`TiU34G!vTahX(YE`$|zYzZ1 zv(zkI{2l%CQmjC<@Q|q3rC?WMG$1X$StjI-rxK~l}jDfo+B+| z#reForrO7=sAf;wqqh=Xm7_ybqHf_w_h{qf!~>Onk$8vKC_NQpo>Cbw@h#loNWCgd zqeD3{!|5xkW!%ak#><)C(pEuLs>ZlqtvZ8pS$kcJ*|VS;uCa7(-emZs=zR1IHzzqo zpsYcD_oGBWUoZOAZZ}@H%jn+Mk(sMm36+Nsp98|R^y^Tz^4zhQuBv0P`Ct9@Kj{rs zf;y|D1JdL*ywawR8tsEo#9rGaBJ)SuW*&G~c51xvNtE|PL7zsu zwSqRj4lTB`IeBOMrD9dt(Qo-u|u?3teyskkvHXp8 zSYV@_OD(_t%GuyaT;Ivhjo&_=F!D>Vty_@HXJCl()7G6`OCvum%(L;|va-7CoVx0E z0*bSrg6-*C{-DqkKEZT_ZUimXUM5(E%Cs|dGr$A3 zQe=4|dV^0+RooF^Lucx19Pt37J${>9H6oUSPc}8KWm8=(qc$E@oBmK-zW!9&n%g;( zCeT>o-SG4(1wZqj+Zp>-)yo7B&eWcY+n+u~_j)Jr-LWzeEmOFv={X7L*T?;wdQN}` zT;R^Z%fnF@ zw&j18%BSIkGo=V%woZyx;N5=fJZUD?l4{Lnfwp9M{()|y&UXChXIhS1L(Mh#sF}A{5#ET=Y-Ff(uotgiU_$|uw=RhXz zvhXj0_vU7qbO?zu5qIEG;ih3q3I1;#?j>o$XbYKDbz(SkUXSEx47FFv}%ryZQV2) z_0G5L-=g2yvGZHNpX~eksaHSuj6i}{8Q9Xu>0!G=TI)MF_J2EhPxd1~)vL4IIZ^!;WCjC2r`|Jo0c%{M6S{6R*HSA-?{h_2+v8&@;H_fvB zYzCF_o2=(u!NCr?+$ZjLd>5V;n{ABpg^T?LP<4s&hLinfrg7h~o=dk{-+%EA%-$aK zHa3~?TN!ZB9Id=MsZBFGJH1U&mxx%&w$84cKUShT5vdT`W)^k|^f)}Zb)2nDaqVo% z$59XDT#amWdNyCPyluj2#sh6_+S)-_Zf)@XWfyCcu1m78vjePSN{mt3VVF%Q#)m3wcI}HvWabbn9^!#6A^NKDIqb{wVviZh%9|+QH{72) z4Dmrd=oJ?s!7q(^cnhmY%aDr+aHvcxz!eRN^9GyY`G%<&l9!MphrRQ}`4*o#)_CXX z@W-`x7Noobi~z)wd&H9QedLk>1uzF~hynHL_@g<*NlS48ztRIkEKwmXS9xUSsE7BZ z$AoTAjAAiT3BUcLb;2)bBDd^{aga6bva}MjR!NR$+VPB@sA`J%!HT#N1ka4ox5Qxw z#zTg&CLQ14eLl$)kzRPGFo8snLQPuvB+qnjim-z4_Z(8?yyL`@r3&ig;j6+@-t?cl zg=wDV@x!Ds2lgI{5V_R1!Vg`5MsPmqap_EYP_%rk9*Yi`U>A;c@gi6D5Q+q)u_e z#=PfdWv@`lP78jrkr?!Z>r-I%KvltOY&WC_FlaKw<7K@A^6Fz_g>F3!s03t&pL9~; zC=;LiydE6_Xhp9SDy2!7MYCk+BNR$>HHV8;+YAeAQFhsIq{$QBvqVXU_Z`QKZe7ya zlxZpCy<_&75R%>*DYnF{nBxL5%c1G$vU_->0>^TQ<9Nw^{Y7rG-{Vl(E6B^|5%y!=)j#QosNH6%xmn(TFvg6d>}Tm2Rb@;m!`E=jT%v_K`W;T+tC`PlPcU4Xi};om&dmRFr`Y=|0GIllyDX*_FpHITLz@r$Rd+EYgWVR zgj>$W9;mnQI(%U6YymC|9xN5C{ZdRSqXDzt@m^1m2>}JklD~2KeGyp-O@`fYBu}tL zc*edq>iE)Wo`OKh8o-@idB>f!Fk0}py{ztc-v)ek6MG-ZP zgY}yKqG}dop>FZ28ub|~yE^Mzr^EgsAvnt(Yw*$8PC=fs>MFe&ISnor%t8BGewp20 zsJEgkM-i&29_^jDyet{&cb;afT-z}##VADeYrVKN{*r1Dhc`=TJBfN#mI|41)~JlB z{Dc^K;8V>Bn_+mh4>NI*eOa^i$ZIWmKQs1qRA=je} zv%)EM_hQrO^Vnt;S^)S39mqi=tZA?of{qF6gS|T)Hx5N!<0tYaq9!4P7+>~TD{%8G zenx^hvH&O?ZyP7__BRYw76R3!nNN1tXPP{>g{E|e-Z!26+dlgVL;geS3O~I7pS(=z zrR~7ImYP9)Y41m%s54B87lEM7YkeYryC|A)`zdi?nTR&{D*Czo36$+3ZiRQ?hJoyl z^3o_(+i-e~xeL8)r)oP-zKZZwwqq~%#ebxm{LT=Hr2)uEul=B^=(dU&8|A!nG^sRM z?r*UGk=z&|Y)O{LBGY2EI-+PdfviNVD*4eXy&S%;n}w67gQp>^gOQmvqO%65*l3~) zG3#Lj#?sY)&02l9V<#&on>(SNoTFXyn3GUr8GCy~x;_26(?!d4wvWNZVVpPgVRw^h zGqv;(rqB|cV-#OhTJ#mw zy!8jOureWI2N!4gInh39(lo>fWuk@2mns_E45<>%)dnKZE%&vqK<@dm)PFKOya;1v zSpOoM5-mdtgn#tuaPZvv^C!B@!A^qfa8z77H`{p+$np>qgyQw#9b9IE&J+;txXg-Y z@!?Gmn9*jlmw4Zp@QrRIlJGjz1)y(-A;?ByNKO+{v308W%n&G`ng^aq5;f>_PVg(t zJ)r-?Ph=xj6Wlra^#1peR;e(nCn7i_PKQ;Tf5*-29)+O@yy?pj6o~;NWlRA0x*3>* zLZV5)%>U^HLnZApyCjlBE_e6mh)sm>Z$H8bAT3w$ldc|xK&3*r9-!WjV-EpM7gF`d zfW9u25Na8JP_*6(CkU{kl+@__y1BPH1SKydmkKwwJAKgsVxO8GU%VY{|J{{-Eol(J zH^!!no~(tGA;!@l?JbfBUJSLeJItW%PPrkJ2oDueA`Uy2LPL`@Ujc)PhlfSQlT;&< zeCOD%uCoC}`#!C7l6f&&g7_(IHJ~B1E0;~{b<|{ybUyRFanB5H$rexjD9US9{8j)F zag2ffp7`@lY4V95gHnprI_iqA^eEqm0+@AQ+wggtuIQiPk|@ar>c+)mkfTF?dlX&( zuO+4ltXJK6(D2(8EVQR$?E$F&^nOXW`OGUdd48Ue8-=+E;4>!XY~}#4U&^!<)q6K+ zZ$~^0(o8VTAEucl@l(QT*7GY(ctF4^bn##sU3Hh*00lI41YkcTh%KTDJ~1Wd<1C;# z4a7u^&a`U8wRHASPe!ev1xZ2rC(Y+W=oTGy&M8G(Q7=IxrE1l}HE3=)B=aiAT|vmF zV7T&PZr#TqW)%ksLE?wzE0c^n6iuRGtJ6BiQO`Felu!Z8>wpwjve-&^HxTj&YGRz^ z3motfeiN^3#W7tXTlsjO;m17jn`C~gxIn|Ep*Q5qAebNIU@I4u(aLBN>iixcf$PoT z=}|OKO=x>Qp-=wn&9Lnb4idFUCweCSVDX0f?B;u`A?^&dks|&BJmACp{cXn&C8kOQ zcJhfPL|}USv<(XX`YJ}^-6NhC?l*QM9xD8R<)|sIyO?#^0`Nb=N@KX&R9 D)LS7 zQsvuCyGFsexL%E49(UiLkN4ZuvFx>XBWcB(t5K1w$ufb|qGkhQ>}wQ;mQV}V6Ep4j zI`QQITtLDC4_L}oKg2?y-ykrWg7%`Di>E&@!R!a9IFU>6Tq1t_c{k|v{X35L@89X# z2@6xTV3$bMe>N4ED5M`0vkPq&jcV)h^zjqPCmi&m%hbpFXA}oPyWM)8+4TGK}; z5tFUo-6XazeTg&<| zQ`i{N&FJqiZ$~XW?u0!Ye&4?@gCp1+$1Pg`g=6Yh7E)4k?Fer5^9{p1DSc3XvbA!b z+o@be^V1>!qJSdl)fx{Fhmge#gjv%;B2@f^!?>_RFr3Xt8t$bss_n8YG>?D(cK)UV z&nMeUsSxQS3u&Hz*9e&kG~hI-0QT-(?3ip)f?^@gqcUcu+sRCiGpFKXblh5nLxA{j0z9?~lYhgfM=`_Jba zLC{6`l(Zr2MfkSMB6(FfV2rKIW}9Zn32Tmjam$s*wjFqC>NWkW4;y_dvhl@0razO( zd=iP}STgxIc}3yN#D)4Tn!N)RX_GIcr+&bu&xNO>n?V&HcquufYMh62()Aov;>(Ra zA=jb+#zDj~leh7==eK-PuOx>gMTDdjeA+uklk1%E1#+~(yu?;_SxQfEPjVh|>R3VL ziGNu7pY~n&RilGK1@t(RKtLVAp)3qfyf;u`5Ra6C7`iNT8LdT{#e7DS7aMUe>@bW` zB|VlHot<7E3HI-CmKhgJIQ*ao5?g(ybJ@jYTa}d(5Vxlt?xI7 zk2U=3V_XX7BVP$?KaP`twQ+xqv7>&BHKQU*g1yS#Pd z!SIr3KogbuHvuUpW=cFWhx`ZsqD=uQ6e*WdW{%cZPH=SusaO`mBolz zW{3ojLT`O3vK}`N%~>vEkw_A%%Jel0J!3ef7aH`_36;%uAHT4(CGIBuk(+e_6x2-V z*I-$W#5dK-LZJDC47k5sp{upZ^!lW%*><^j5Q~qM0AApj=T@6^QaPCxWXFu1DhJ~( zYOd*_NlG+!!8^Q-^>6KieCC_RAVUCOSktEXrjtb3c!V#kZa3Vs1)c!LLir1b` zo}^(Tu8&N1=2PEJBw{p4RAmWN6F^<8^CHBsNW8Kp-|O*zDQI_MiCjMR#_OF9w_VvA zmfGdi!I6K|FXp~{HAz2S1t7Kg6lpTuufOw9OLd+GUl7B9TsR~iM&+LYVqjHhTGTP*qJSXT5_<)!?bv-o5CwNyTD5LhrGbV1eFwL zM5s6ZWfRWcp{_EFQnZ&eCdXF2Zu zZkh7?Gcapy_Ygv<{l#`;B!+#(U8tT+e^2N9E04rRH56tGPZ7Da|MdH)AWXQc!i@N} znnDx1(q1n?U!NQh1;G_ridthvt(*3Y3@5|Y*fzFJtk;toGkA7)CW)aD7#O=vTHr67 zSZU)8LIJs2P)&wv8D(~!1OC&!;x}I@*oJ55&*iEV$CBA3Dk4EYE|}1toX{lZv>1A2 z`q`oICni5Lr3X;rKM{O!>H$0LgkI8!H{FDowi>sak{I9y$62)fJZZp*Jk}&8y)V74 z10pS+G+C_n1dM2_!j^ZB4lf@~_{P}U43R)Kx<xMxeCl_T+|NA_b z)H$uNxA8=-tj4R{0gBGs=p8~8shB`fs(EadC2$gA zlwevW3(#gwxD-K)%;oP}s#oUolQ5 zP6RF3=eWc;-Aw?J+rtAwbu!ddMTH~_akim2|DzZ3iYY&Rs;iL7SOAU5<{$*Oa#!wm zWh9hqy^n-1N(d|FZ%?T1Swl}KLGZSCLo@^=?LFG`k7E#0zl6Q%Jjv^G&Yg4y^W7W! zqmjOZ2j-uw3It94RKle@4RDyNm+0!}Ni{d_Y)X+)7in`zG$Z)$&-xQ&G32o%9LKeK5 z`F>Ch&2+Vqq9Lf{qTrSbQNe3Ze5+UpNv?VXjhs;=+#hW;o}Si0I1B~*vVmozILg0+ ze0@ci5LQK$6!dy<+Y;*d?mTI3C5$JGY9y3d!h#qJG9>3TgNnk)i|7BOTM1%XYG?_v zSe9EJeh(S#G#eIq7#YZxJOtu>pdtpCrPnw^xKW~WF=A1#gx3Ky|8Sr7!^8%XEC>h_ z9pE?=jrN`OA6I7*qmJ$atizSxBc_GF#3Ea%E>~WHlu>`#1UtbX_DSmXZyFRK2a1~g zF{JSDetJEBiK&w7V%TbLQ&>T{3@zE!TA!k|TigO6HH;5Q+U+4lTCn&z)ah@gXHAJj z)3YGz>8?8nLZV@;8zzQ!ACVtY89tR~G!H5LUG$7^8U@MZ&5Q zZ<81qN)^I#2=Kj~+1VEp!zOB9K9^$z^Te&d-5*QO7BMNIDD7?k$dis{qUq_KnxWPV zGN)!_2qcy4s`?~0b(97pT5EiE;H{{T(iDiw5|Ix=9c^_h_S_Uj{$&OCNo*M?gi7ov zg=*!%0Ue@>otD8#cC222kB;%Z&yTa0vp$FUIf|g*rHm*d(dt>VlEC&RmSNeig!BjO zNS;zk6S?_Jc^&@&v^lbUWV)+{EJFsRlukrKn;4gpEg_5}VKjpkrIcd3F|^+T`40ul z!PIAcVCXz5P<<&fclgE4LnItOK&~MB4_R?d{%gKnE!5%GeVi;6^IAudB^?w0-#EF? zETXe|E?=dAf?Z_e9HF{9*!WRosm~7LmjY4@Xq`#YvI$=9dCeJ9)>306?j%r>xJmx+Rux|u{- zoeSIVFru&&>;Fhy)=^d9Z3Aq4qeBAGr2wuwOe*$Y(MgY&69uY5;gst>Yc-nTm-UUH zO#+bqnSxlmD9)8YkO~c0hy?y0KVq!Rh`BCNTO^5+Pp9X#A4p<$!5l7T!&D2;P#+g3mWmfka4(>(4(AaIs*>EyG zFF1NHUmb8I9GAU@?8yDSt{98Bf^-}ASgX-dx@#*XAwx*8`21yzU7hE6&(M3CfAs=Y#0hbj(sx17oL8}?1QYk~p$)C_3FI?q_n!R?Kiotb8Z z?<<*V33n+hi@t6IT%ee(DE{41$U;N6;(ttu^7LVjsq0fByR(HR?G{y2#NptamxyWE zK5@Um+NQgZsO(?hjv)jQenPl{BS%jT9$alT#fzqAqO*djud;XogWEr&H#H3Km;xc) zP>jcs;5;S>d}@vZugqw31vPX;;k##NIBnrzRiAYT8jT2}%eLTKTozYQ3~J0;@;c=> z$ww{piEcf4JdGu(d0M#PYntUS7;CP%d0wwZ9l#QOy{y{!ZB|l5jJ)?M$E~l9rPImD z-3}nk2b^4)^}NLGX%%DoQ4PB&gnRZMT%DlOEIiS*CJ)xV72jwvl)0E%%%PsA6hXPHMcxCY3}oaN#Yr~%PJV-EIa!m05{ z`c}d21tTq+!8cjdj=D)+{d=Di|!;GV55@?Ob0TN8{`oWflf9RG6 zu;iM&rhR|o3MP1T3IrN7EUe~0#PAfOu=lMbJm`0$9G9rO_=Ws!(;5dsl!i9w_Ukxh z!vEdm2~u2^yM_#J17%_l0eK0vFNLdIxYOWtKNz22RmX2*cyk4f?z%WR(<}5SSP?ef z>IzgilNrcBzD^~Q13fR`CvK8w$=_2zLDWa}t$GOjufSy|vx z_6(7;m6Fqw|Us@9{pRV?0O4>MIH!&XYx^{fsnBvK5 zQqICbW@a+pg5Z+hU}33Zw~qT;MJT!PNIQOE=RTRFJ2>3z%d$;4<6fEq4#{X%fB0L$ zlh4L;K>v1craj(SYnvni0#$$RPEAg zax~gqHe{jv{EGKq5v`61AWt2O2~=$VRIVD&r2HQrX0CSxGC>=&pis@}J$kO3tW?K) z09B2*H8Nlei(Jjn-&pmc#v&kk8Onuz;roJ zF7$<(J=}Fw?RkArycYL51|GfO0r`YE;8dHwr+=u1kH=Hv+hmAoi`sfi(UZgwX}BHd zTV+U?nwU9Sf~0ML{Ym;|*2kUBkv+|eiL(Jss&SL9aVF4vq59H2%xHXP#kYTeF!yu8`-bRH#tItKj5z*ix>;rj8l`W1h}7cPh4q?mV4*0 z`>TStA=m>mservL`z-;q!G4G%_2ty-_i!K6-uk}@3sozQJHRb@O?6DoF|CHpsD_Ls6 zM$bHz-l6hGh?IG|S_j|_TZleqc<1Gd?g+1#p<#d!8&p^{T#h4c?48b0?Ju9LqYxXb zhQ(p+GO=%1x?1+(Nq;b9#PuZt_A~N=yAWij7tIJetwr+;Vi$opxl@+}XJV{}0ze6M z$PpyAJt$_f3PKzU8dB&&b7yF~&9xWirE=K94|{_iZK%j%Jm9MklmNcgA1B12WA##{ z?0Qpv()pMpv$Nkx2`q171cSDk{oQr9>I(~*(Z!rfZgZm^9J1l?9KjJR#I?Jnmq|pF z<^6QJOGkjt25(=6R($~96ZI!7uzh%as&S;ZoxWSmg-jtE;ReihhQQPt_aU-fBWbS= z3+a5N?DEEbYBNhfV6je6k6)mWYa}p1xs}51o`79@TCvJ-XjhhPM;Mh)ss&vm6Pau| z<5vy(A?DxV77LebcB2svf1}bgI>DF8c2hqi3YjL5Bqhylu;Q}*i3|}I>g3uJrW_KE zdC<|hI3p_UI=MO49Fp4{OC@DPAQ7U){IZMr@;?XJ3sxxv(l1L&c;ae}0VpMdvz0Cq zjeUWEezq1kL6mA~o>S}Sw))~|6{dtX_dS94p6*98cFgq+nR{&2^mYI-Vh8T+parPa zv<}PVs^T0IIM0SG2&jSqvtI|%68XmKQ%00KnV@&B;E2B$>u%9YBwb|j%bU=M5vo)$ z2NNQCa?A);IEA_mwMI4aW}z9i7y9#JpezrRY69PSyAiJFZ#QK@8FI$>2rag41^WD7 zPV)!I$Y?ThmnN@_RmfnWDT_0|+(Z;*n6v^Pe3!b34eBRX{n90l!=MypC3Ahv=R!Ha z_diK7SmcQ*0?Zc-2~m~r?-8$1T}A^u0?b5CY9R;;_It}#Y)JG)M0 z;mIy+&tM#c9AG)VJxagyB4a?b42^0r_E(9ze1h>5x-DbUh}17>O5;mBBhC7Yx7vvN zZV-gJUNu-et>&-u;@^!xuzb+v#2!9D95oz!b~t&X;A$UAsp3A-EW0>gVipf@Ends~ zqjlvXcs-_Q(^yJd_ddRkYS z)4{&mtyuH4M}STHk1#Atl(*53c6BKVu^a7Q6J^xb@FZXKy^8AjRx;SGkdULcLj+A9 z0UdE)f75L_auV{J1ZLkt7_T)DIO#S(v93`Nb#s|rn@)9Xx(yqy6@eMvZQEOhGn-4v}Sf}x8>*j$mLuNa0GuRmPpTgGe7x^+OD&R!x zT_ILw)9bvWzJH=-dX5f=c(Ks#X_q4aJeYZAQauCcX%QpZ@>VUWj^PT+Z4!yk?DUjh z4(#t@(nT_P@l@@>TBx?k@5O8NhQFjWt39g4w4a$%74E6&=f$(Yg(qx=M>lsK%vfqX zs4iPCgUl%NzVLI@ys_iLMWb?#;dc5$?+N$h3@q!UtJ08GPW5^C$?>>h*Qeu#LTpT{ z7xWlN9l?7>Y9_b0?Y4?K^1pscGdm_xGy`T+YDR~9;T4tBb{02KDw!K6*X)wwT(rTk z>~7Rn2({J0fF`GAvH&dkVk0KcZXvRq-EsVT{HA6L2LPGACwx_r7c$Ga!!L z3RvqTZIg|kt~sg8y=8i~;AS~h3b8+cqBwZ*G=H(xupikyA-g?JA$K~D5-U7zVI<>j zKTPC)8y?YQ_zAc|9P%>@5}5?ER?{5m$CAR{2xrUjS_9p^FOOa@H{M zro&}y@imsKH7Ac(NfAe;!8l6v$B`%SS+?J~Hk{wCH1#v=U(FXa(o^Iy%rhZmgEy%T z<_R|@7xRqsV#AtHLfi}D>o@;igd-cWa!Q$w{f~`39lMN;mX%yd0CwsdnPa-8-`QIW3+jWs82yWk&9|@X8zh-XWgm@*p9lqhD@NEuYNjZ zj2Qw+_IYL%$)3@$8f(em`ggip6t3H=}$MQ!{ePx`&0%1Sk3;XgP53fYj zw!pSxu@8g>mlg9&hr@3{sYCnf>3N;3{UQWB~j}t z!Op0XoPrlw4vuYD%j4fnHo_yAw>TgNb9?ywEY5+Sb_^!o*+;R9NO2Alj-$Cch3mKZ z30*73xi3M)Y2Ko2BHp3v*1G6n4Z&XM8*1GTE{!$I7vVN$KnQF_zR?_esO_1JZCQpX zg8OBE53rZT)92L<^~&GO)FoStHQ4$?cIr}N7M_gD1RioMWcz~8*@{e;11i2e@cInT zxl8Xn%+8rOaLElXk}CYntZ`++byt^e2r^-jUgX#R+2^dY5f2qlS|lX)YVqbR{Us@0 z8O@6(3G3`db6pX0%Zx6|k^QAWj3{f6Pnkj4EU$c-lYxI{2I@g-xUZR?wob3XE#m`j z0n1GCi|BCR+7G;{Z=M4!3YpwiM0Pr2N9SMyN6oD zXGlmKO>YL&KEhynLUi}MjD?ZHpf5Ty(l}qmokJ^i2=nKhw!49W{T_this&!-bAg7S zrmP^6wD&xuyWP!0*F%BIps?U<3)J1tJAB1Gf#RSrs8@aDbo>;0r)lTpcc^M~6Ve#| z_J9u?d8t39JS8L2u=Q8G(2AuNf2JZ!RXo7aHG^};7BlI z&=N#+da-Fq+tcCC<1US3ZD*p})F`J9a{c+;Oi1bmt;3=qj$u{;Ob^j9MmpDAnl*qh z0vo?Oh{bq7$MV*UMX>H}94gKZ7C5wfYdNQO)VVh1!^*Y)A7k zmp^FYO3VWhY;($r=2e~QC(E{EP6jXAx(nj*V6D!n_^lk5G_#T{@;appR)!U@@P- zW4k(k9xBL)RgtA=N+#Q1$>v^>Mlv9G={e@7!vZ8cxd&3}WNw4-SsyQT(a&vxqQGB? znUSD}oDiujI%c1JlAIEMGe#aikCrF;e;hpJ$n^RN$NsKCmr`hoISY!XT4>i!lEQt@sejGlWjo~<77xg&G6JdJuj386NU${Cnc=F z(ph*@hP=+dw30sc9SS!&wcUb7M+x}_sjY(>@HM*v8h(($a^00D-2JOjALc)wuLf@o zS&Y!i5Vf5hRBk6en#)LFF)^J>uJ+i?pW1k*zztL~8k0wf(4 zif)#9KSH<`(@8HWIp^e6&0yPRz9Hh9_LpNy>Ih{?f$6j^QqC}(%SMZoHJK;3#b4MS zXOMd@MyV&p$ZRwN?X6qXC|KR&HABN;vu+(Iv2?<9>dlY`c4;UH%`s+!#^bLnF7KRN zsmiBT@CObjS7m^}Ci2YFH@Bq<3W}^mxJ#o)Bc|-rzhX4JC@7U*d-!7p-ZcUux z<{J8ooo+^2*nEG#Bic1nTy|!9&+P=fxPg%e+;ge{C7%@HDgtHl+MgK7`(p#VN+g@j zeHazmFTX%mT-vekk-}X+>cG)bQ_LU@9tzk%W#zSK1ltUby6%=u(B0hecI2~yf)54# zo7iW0I^ei7l!SpXA0EK2T%B{ZOf1i7R&z}ZO>#aU3$fh2jsLvel4CS?@|7Kon&|)` z>KeG7DU6W0a*xU#gpd69>EV zr^5$K!pY%3nEweV1aFqcG^Ea9G6n8FQ^h6DQmeaP;1XblhmoPHlr1V$lLZ^(Iw#SA z#3{V2l1wg{nLtssi$z`1ViwA7;#$M?ZKgMSFxZ*YTxziu$^K&=gn!;_OJK5^WpMI8X&1uo^z;K7kcY)qFIQT`nQLR`PH zyc&I%r0^GP0T85(XAII`4gFIBRbI-g@?(&hkv5b0mWJ#j+c%85^O>N zCn0V`1V-{hNjZCDdcd6yCnd*D{FK3sRsk=xGU@^R`b0=W z)hm;+r=BjV&oxMhhb*j<(?o_%YW*9-T}B(F;2y>Nk*k0JkJcX4VrKX~Rbr`68OvJ8 zd~~k}L;n8&z(7C0WK^3bydIf;NHWr=pJAWA&O-M_(ovYjL{h*dA6mk`sBt5?I$cfo zaaZjz3!sB#(Dp)uF)~CK9oqAzOGnNZ2nKe?VA=*>a07ilkamnRAgB1_KD!g!rAJ;{<&I#_j>7XvfN!t09Z ziG=L$Ssk_*%(2Q0An7dU5`am1ls;J7BY$zd>-!1qJ~ zTj3!jxD}pjmkfZUv!YB02I^tS7U)4nSZX}T94sB#`X&;)n#T^a;%Xk(tV+{1=5=25L;}l25E5K4!a~wIud=)x zU5}^EuylD^W5ie=b>=h}GENOtEDZplk`Lj5iHd^PL@mN*(+przo{JSGmKxw*h>(Dm zS-$hvT}zeBQiRUhO9Cu{ZvQ24sUj!sqO<;z080p)fY^zBVNDYd#zf4dvlf#OOhQ$| zRfpT>^zu4Px)dZpEP%=E*8bITULvgNE9Z5X^d=0n1Q21cB@i=KOlK`7Ar|QVLutJs z_W!X~Ojr4%5DeVPFc`d-F-DapydINoW!EO0C?PXrBJBGYu2DZz>Ev~p^oJAosLX}x zu*GSNGV;#)OhPQM0VwvGv+Mf-qwPfjNa*gr2~Hs`IE!X43P3_m`Kt~)Ctx*UNqK!H z{Z~wyl4@p(do;Pu#*0ieojEovG&z~Es1NS|O=qqRh$e$s@iv+aXT`fln*f^5oEsJz zvdMgPcn4@$9jDZcucH?)&Ru4F0Z@4l`fTj=qmNrj4b2V$Fy+fY#hmixPotdfK9}R} zbFSly0FCy8ChU=8f2zY48h?jc%4?(Pk0yn!7O*P{H(Q7~3uqt8O_;*+al7m~#r5Nn zze14mI%#@i$)LAW*kCfY)B#{RnqdyGWP(aMsSaEIPRA52BhZ<4)kzaVNvNcN>M+lB z3!rq?0Rv#6J27Q7t(g4%G-+3jG%YBUXQMi7nKk|?G^u|hO%7Rdm=<7T$@yskfGpi~ z!LXpf!dSb;FUN(kt^rO!maYn8Ar_bhh{%G|0Exl4&1C7QeKv$bMggkBtyAYx{~nnf zvRG5{Y%DcX@?5*$0J3z{JsU!SStoY-Z*|+)RrQh(Ir@V%k z{#d9Cn(FWlu1a6KtwL_^B!jLAR~(W?fRX0T|( zlKt*w{wij?d1kVs7b1>pN`O)1pYj@GItUsQM=B^gImId34EHRr8|M8|#>A0P_DhDl zNH7EpuK}hX4CwZ=U|^>o3r0sW--H3@m{>6I6cZMVjx2l=hL!whCz#{hKMoLHgG)~! zA!QQROfF*qVF`LqAaHIOs)0wLF%}SS8L!;p&(F?}-yWa;^y>L>dGV(=$26#-X|#mh z%^S~_AnY#zI^mm;ShF(NkP5Ovd4c_vdx4}LdN8}Woyo^=?;RsdU@)9%=`lE%N%W00 z#eNiJ1}>Hs*VRuXwgKH6_i6+AGUNchM~-B=6V0# zW+Jg#Mhe>%gWbulo^i0bb)>}rB+z(4NNS81{|cbAyFQ*K6sYK)KNaB z3B&Tk;Kro5HyMXIUA6QAH6~=fU(GZrhH>0gCr?bnAg-Vo70dGL%h*y|09pY=GHUKQDjMiJrUD{3N4Gt``Xv0@E8MJ|kSZK5#w>b->4J+@LH^CHO zqehs9I72&e-4zNG9A6J*kPEgpv&n@Un~9!Vm=@A&pV~qMyXx5PXxvnXqc8CKnQ{@q z<{CDln%){5MElemVzMhuT+@_>gNUU!yg7RQFMCY7MtZ8dbL zaZfc1rO4E}H39 zG-UzlNZT=i6l7!env6geYwcl;6tMW*27*h^SwOmK-C_dCNg&v?W&vU8GyZYT+*~u1 z`G$LGM$I~CQ<#$_Tizc3SNZDVSgN8&Z+|S0&(F`!Nl40WSwA)GO%qvO9o(9RNOjDB zL7Et(^48PZqJj))qrwdM8Bo1^cl!L|)!C^hGCMid_KkQYr$TJ0fz4YFZA&pL6$iO} zaV`$wDt%})gQi1l>2Lzu4utjkkZ1<8B#}!Pr%4jkQ4eVM5IIblwnKF}uKH^BpFAL3 zVK1fVr4N60dNJ2n2=|Z3_IcIpAI)K-uGSbA8+7L-wucD&^N9G~gTn?#U)Zy4@w9Ye z(%lZRL1nQQEne7@aT*)k48?~4zHM?p$&qkTjDDmtD*9<5VC9fg9Z?=sw-LU&HfGMvv zy+cNXIhL8Kd)Ubsz?9dN-VcU|$(~1RP15)VBZ-sOlin8y*^3UhrDXx>DrX2xnI$Qb zV2Uu@X1sRvZYdpUqyCP@0Al_9fsFwKSYYKDvPFDyYd<%ZjJB&XM3=p#+BjDQ#zyCJ z*m%OyUUAzAN4FU$3gnGFxHnVSM3EK1Rc0CS`lz+?9fb;z_nl2VP?@0{?ZleKjGXP+!Q?U(QvNTC9AhKs;imRN6Q4|jXPzEdPti_Yz z3cG}m{tp5Wl$&-)6N^p4HU2;VQ7o5X8}p%4D2HhPcFwI=8o+}9Bt%9zbgIK&7LA0U z`-azKdQ%zrJAc?bHM5$TI1{y{ihl&! zFb!a@eY?;WLE1+Iy1iQsOnf3IBk;3QOogty5lN}3WWz!hI|mZiu?R*V@su|r$-TLY zV)uIHi|=DT!r$3w$ML2lIiyFMII@tkeljG4Tzd$cE*##7r29)(O&s>HCvm@5jnUYx zs}V^M3e0^%J&!TpU7hD9HF(2Qq(J38mQ>0H93GIpvKH@*0W;F;R*P9>5zm zbKg#9qB*okGC+{!YPed>b>NP<74SwO`N1HYIAdRW?pU!7V05Iqm@r@`G>aOz6Pjx$ zY5=3NK7k;$57;ZAt%60!U~%n7Y3eP!(MP^eVE1MOrKWo`2TE5J(Ev)ClTcFJGKd4E zvw_a3fhB@-=`D*m!ei-m3b3yk$s2p*jU}e6`v~WHaj<0FjXeTbpcQFj!B!*>3(HOR z`qk;N!x$P9P7L$PLu*TpabCG&I+Kxxm-!DpQfN-!Rfy=CIS2->|I7x1OEuiYy-Ee< zgK_ed)%(bR6Fnq+fVgT?9 zi9!t&z}8Oa#%W<{tQ!AuNKB%L9wmPC(e}HV|3oCPt9^g$M;`$@zaUJwF|XskX4J)G z{*#f|6e7C}VdaOjv-uB4vUfIrB2jHp^ikg4W-4|5bCS435|nNr1X2z-yPN;0B!$MC zFyw@hn#PvS`#AvZ*!m#v$tx|A&{G%U0?K5D?D3zW#HNgA8$u%cyO}a91p#OW3^I`_0(Qm| zJ}gM4*Z{US6(J^7gczv^M6josOe___*5mvs6_Ywcj4Sk-gNl$+hCuQku*9VlnU*JT zY7`M}Xr>fPXW&sMA=QNhs|(HXIY6DF77{-sRj4NB&EDs95iwtZ#q*O zS7S)-dSOjMsGEWZoQ=E*O|9iqNbZ0k-T{e1_(u8UKhmfL52hrsaAqPg1Gt$7S;~Yr z-=!pNaMg0;CT)Q~)qk=PTiWXT5_piIL}c|hN?ZT=MlEsOnk+%Nxk6Lga86OXEfSj0x*vNhUQ;=Fq+nl6Rj_JgZ zw*G^Vb|Y=cKzWEG=>R0|0y;=EV&O$fygK&LLX%{W{pyg%zvm2msEn%A0k|%o-*PV{)26Hv7`hg zl_J5FN;_CmJ77XGpdQr()c3-KbhWKpdSq%YHFBkSLzG&nIF)iLCjH7K_!Dt@~HvW-X=>c|d_? zg$)Ip6@pO)lC_vZ30vCa>psbzHaSDV+?rTZSSlMQ-YwDen@do$Y zUknbAq)S;L#%<4Y7c#LsRjlHw_TqUTmX z1PAg#a8|c# zyK%5oSPFn2QLIsLb|pT46da&Q$KpRSdRD>V>$YIQbYa+Jyk_?_G}kc*13 z7xVhrdjf$)YBmsDrN+@Oc}?sc`a7A;(0U|A1orMmjHS|5AA1Nz!0pSnkOj^;<#1P5 zwmyIYv(JUyqYkH^i=KZE_^hjD_7Dpkw2rXgN$akSpaGQ5I*0)%1<7GJAC9OBXok|2 ztOro6zHT;Ea9=kTRk||v0hA;np(IF`bAW z;MQnFN@61vUcYgk5*7-|2NMb`Dw|h-qZ-C*9O_A%FpMdwuMa~g1%u6aeM4QEq(CsR zpR3(SFvJaAiE`n_E-AdOp({q4kFLjy<@^&3s+XAivu9xj5g`Pwq|_)E<~0yyV+t*q zW9KO2R-(;xYR1G;1cvvh%m4;-`P)r*>sy; zv!!h$HQCY(Bh$YDr%s_cIyv3~F%cNfSD4Icsg{Tg&3a{MJbS*aF6R|h9sTFod3k>P zQ^!v)YM)*asMXWII^E0DhqX_iWbK~*#qrCdcdswXi&rPdXYVe^g`iy7TZ0OA*hBUf zc6&D)kWoFLj%K=RfTtjDtlF7ZuTjB6`qd zw8zi%u*oQhTD3+ck=KaU9}PVps8=%$*;V)fG%S_Zp$k34LNx-f4)X%TW{8{Digq|t z>S8(QPbQcB!G}ftZmcp4wsv}NH?k0nI1)x#14~J4n%dL|1Ln2t2uADSQ|?~8o}s)) z))ZGe`4FpDj=km$SWnI(4&;1(&J8HcUN=if7g|(aSs-Z58 zB%_hwS_Q|HP+=nJD&xq6l8|{63C^P+nshX6#<_p1To#g)M1nhg`e#n%{*7|=V@p{g zZ?dGzM=Uf2Ate)>-%1qB0e78VW*ayxWlX~vP48}e@W9-58xPKHcg2%{CBst3IOKt? z@DLpAA9BnCh2&xBV=Oq7eyNY{0uDD{pu)&j)R6RN6Y1;`ywO2#hJn%Jcy$3;d{@Z7&+u7Ss8vLl2<*>x%IKTm}IAf!SV zkXXtBi$sFb6;hlrM|jx@60vjz9v(_lNCnaqh!z_J9+sxSWjR6V3U!r!j>->YIhL-# zBM}unPjLeZB7v455ldCz;YkTGlw!vfk#bJpVJQkcJXGi{#jdM@wQIBV1V2A-0Sg-} zBt=bKgMUCY_iv7?9((!ecBKAt2P1kpOwP2^=JauhCnWM3&FlwbAK^lG}NsR5m zTiIU2dj69)ovdL`BC&To97S>DJyR0#noA%5Q8%Y@X)9)AaeGefbe$?BA_ER9Z_uwJ zsfmc{CRWprv{{#HYKR;12F5Z8M2!f@@q_@!27Q1)t%q%R(}>Co!)al}cGuK1HsnoH z<x^rZ{ggtVpyY4hgR)KfL&%~9piMUZVsYYNp_+grOfq)ub) z04A#JM&Pm=*A31X3K{Zdsd9-#j!nZoNLgE4>-LZSbRwsw)eJ6o+~ka2%OP*PDw9rR z15$y#KCEqy)hpJUHe|<9fgL{18(nJ9W{*mO)yDz>!fFD8HoR{6o^uBQC`wBZ*zMyw zHqDq08S*BpGN}|&dV;_e)UE^W0F_wo68`~_02etmEo4tkGh7TIZ_+B0P-H(_fg9Qq zx$(_}V(kz9#ED3Kp{DS#ITH&GF)-!OKJ|r&)E6S$vz(#S@uo5`#!UcVq{dK__TxB8 z!x(uI^5(2EDHW3%LyR;A*Y5IwQoO1})@%@fjZ`6G>}PNty=n+2-sn{>u}Bpn#ytr+ zDn!QvH2@w`d%)A}h^FL#K*m6gkT-OdN1(7rt)>tc1#;{p-h>dgA1Lfmt4JTUR*|D{ zGQD-Yd8_TV2km|$fMJim|M;qqH+q%JebjOavEw`EY!56zZ5)wSe3|4p91sj?%ZtD; zxsP-}gxCSWv2l5$t6E80(iaidlpQgo?QUHC{yZ3w>Uo6S;~Z(r+Az30NQx-zj&Ph@ z1$mI=t@P(RQaVLgIx(az&+*FSHBuSJJ%u^amgk>j@*3H?GQ_PbIo5^$1TpS#JAjN7 zO)>8J?zk-&!|g(z4~$8wgcMDSe1LvGUv7 zfeHn=`w6uBeIc-7k_mBF;(fuo8c&P_e)I8-r?-*?dhqEHr!~tXvjQRBW)HEd`PA*Z zesWR!ico#jIg_rjhaqnmv^Nyk@6(2Yr}PqmhGrx)$GY(ifdlzH?_DD~Zw#~_J)l8}=z&ej z2TBi!1JMKH;Lu|S*4Di^pwHGGFj`afTc# z1_uS3Gvh%cY_(x+(6D;*IP_XJaabLSEj6X4L9ysLb|^M`E&IZ0Ej8Qgu_kAlMB(Sjwd+p#HO4nNZ{Zj`fi`&$}$>Oe) zBLH=}ngI)tWR&5hkM00TXEQJ$7Fg|UW5MOl!gX$hX?pQSzI&1-h3$#$;hZV%Pt2GB zn|C(u9YBErb9*#riX-NP%xr|h8~A>IvnPNAdKB$eLK$vv>9{AG&7ODz-#uxP32LQe zIE=?x^}K=aek8G-6ua_KK$7f3AIp2xMx5h(d=^PqT@t-F;vBZ}x3S<>{;Z9-vvKbL z3iRgL8*z@^d7O>7vvKbL3JgNo8?ipTgN>La>-|=Jo>N9xUeo1>(RHu0S??y2LQpHE z@M@0QOp=ZoAp#(zm0w^pUxbbxe3K@;N$(Eh$IO}aIXy)*#~E?19(;373~$E!{nPpY z64)xxUh&m&i@zKPDgml=Bj_zC>7}$o6v8 zVT;We;o+{vxtmbJ9yto8I&29_qG+p8F&*ADXgruG|TF+wfG$u4B#=Ay z3mXv_T-nIk$@~YqbHGFqR4=V2$JJpwhQtsuyt&r?XkgD~ga$Wl=Aqe({EuQ7KeExp zIDo`Mvlj(GJ}x!3raEjb8%LEHfZ2lr5XI2-TG&vq@6|Qc4eZT(k^fN)9eoH4_Vf`W zteWUjbT>#Df`Newv}ACgg0o}_-XvvzG|=0J&|q&L56xbbfGCFJ?Gc)q@%AhTMX`%wc5(%WAhwrEp0dNBc-{ip#2OsB?Zuo^&2r)fsBA2pzWz9xHv zF0ijj_oDDdvmZ5}C`fUm4_jz@9NMt-00x?vMuRwwhlbT+!nHP8yH7XIOw}f1j}u|1 zflA)OD5B&w$i8@eQhw)ApbSw_wV^dlJe%DD0;K%urtBMB-dX{hN(t2nDZzb^n)icd zDzQ2CxRVpsT2S!NT8^hnkCqd0_OB+uGV5y0X1lO9d zknj|Mqqjen$LHr~=Oi4Zk*uFL1q&v$teq4WFE)e8dZs!P8DLoT3=A;Vz)A~D&A`fs z491!fW-Wf4HBZbn+A)}y?@pgzygEDe1Y`|$jF3)-b7`CRW(X-ZAhEiBaUlsB5}uv& z@F7JB2`R!!=no!JoRW}YoOJWxA;I~{>w(tbum!1EYkMG&U@RfBa|v-QA#vTlTSH=O z-vYXL5ghE|B_@|Vj14@rMj~3J++j`iV{^FoVKAMZOJ+b4c2W+mPIskJD@f|G&9d(D za&$eOLRf>l#d4++b{EV3WKzyYgV}tj4Gcqbjk6{{oL%RMkv4m^LB+SxbF=Utm4T4T zhiHqFO6EzB{0f^DCxA2EI_SJT3^$AG$?UqEO-GCOv*i{a#9;K_+*KbteNiKSm)}3g zA42iPLx5FAUnT_cCh_{3BG%u0{uMmZ(i#M+*O;MvmEU28^%(tY`U6^if{ri|NwxpJ1e(dN`W& zKRE-*5-N)?!?K?kMrRVlm^WNRtleTbD+h9UT=oYa=pH>LoIC;=n`J`e5mMPiuX$5| z5xK}TBY9qqVQ_*W?H3AXK5zIrBk%(~ARQtL75wViS?l0ymO#htKlF#b&RDI7Kku~?wMpQHSkk}9aA~W~Ee_~M!L}c_q)Px^G$7(o$ zh-Jq4?bSk0aVKK*`na>)Y22>6${vSMvU{N5Y8Z|PWmk+d7!7U)h|%z7;9A*hGMfLa zd*_@!6HEkqT%o)J+~bOq5br;k$T=+BGt;ROpsk^HY6();blI*qny$ja0E@M7Y%F-) z6#nh*3jZnNJi@?`9RgF+>591+$K-umA#c_+( z0ssx>qyBPKUfoRx4p4R!Qv*;$3l^)OT#uIJ^3(08R3ExR>0l3wqqyB_xf+iqL%&s@ zcCd+wB--_I(fgSLnhy4`*a!yfajm2s>|?Fg3YWo>2gzXDxrqK-aujtzo20%QLS$b+gy1Rhn<* zsf(K8{N@_)3}`W?50+OP(Ha}9l<3*maCuHM19pYYwE_gRVFuftU5;4O?~|(00l16` z5dYD4?QtbEE?gn@h2SbkKCGFzNE9G-gp0eziinEf=))Ga<}9^`wto~kjSUab_+qeG z32b(qUrhoV(aHV6VI%3L4}YkCaTxItIz(%jP=u2L2F}+XLjO_e!_DPu4Wb2b5&T8t)uKDIb zfB0f_HJ*-_iUpWn|WBYE4!_<9;V zefs-Aol<@MM16a6nS;6y)wT5})w4QWT0g>1>dWhk$j?3oV~u309GC~-L-k7K$13O~ z-%U^xx&60Cl~eb2HeZ%Eqs5|sJ$mx*f4!S6e}@lLpFa+C5NkaAUGS?Q2o}r!ay$rB zI7_{`^}%2r?RxaM`d$#sN6WkU<_m|1@*C@elFXY6sJ}ms=gYhPWP4TP(SM%zZ*NC) zeS_6UtosD|lm9!uQm;nw^YioLql@G6?9IjMLUj-FaVD{;DwuLQ`gwcL8Vvt;B-yPx zTmvJhqRqjhDn?T+tLg=>UbAs&Op0K6bG!Y50pjet|2%$vQJ%bedr|&y^wV+q{Ot7Y z#reDE7iZ_&3kJdXDtM&t32&;d8+;qs*d#gyW_wVbYJ9=KTK(5_ne}adZQa)Riaz<- zLQ+?K5#MP#lowh5xu*5zZD1hVu zb*P39e`Rur=C87>qLNiSzkj!!-SqX*M+O5)%;m7Z?3d*e`1%_E8&8*VU+FL1&sc$z z|CHqllFlnwonFJ2lbKu`tLOS%u=<|40a@QK%d$E}zoAl{^egMu@}IKbFF%YvZ7yiM zhO!*o&F3l zJO=oxYpkiE80T)GD@FQJ%*>xv6>*;ilTkT%KN@^^w0u8aJVuw;vH{#Jj6bZ^6ChOI z5)YvR^7<*N@2>B%y~W~AZkW%SxayI~No)QvNgf2-7rOm!@N2oi?uc;_JFvu~o+B^Cf%gJc?NFh5tJ3ZFSruC$im^~j|pUj4%$!aIuzD@HYDqayhsypFH@SBCy z86+jIR>yx@?@)@&!T(!*_}j(m7b&}@)7dh3-~Tw0irQRm>hJs0;J3YDa5-Ks))#g8 zPjUM*weMZsDRHPa>&I?qrm_6u=8dfO-GkZf@?i04I=fwbS{!^FES}!{a&Y!E?1}tY zuXy_QO?WU`4i4mNc`BZT2U3Gkzn357FBMBaTYP-Ke*UB<*4JHsB(^$$#R$6Zvt)z~ zr%1U41oM*sB)tT;eYG@eHc_?1-i)W^V*EGBDNm}u`@gLIUh`?S9Q94AtFN!OZn^wq zbCD;T)7K~8D7L@pFFr_t^+^2tL;{pQz3Q+f{hWl=X8Ei{)m92FCpYEvvRuyl(}m{4 ztDj5tuOSbO8`>{bmEnAr)%Ay?^V3(S->=q}`sBs&oAcx6Qn`CUt+G`m!+M?7o%kg9 zd-co3d!?M;&PM~O#0`Ug@88ZAzmt3Oe5s_>?fd>>RDC9O`}tTsq+D?e31uXSFp|Q1 zwmQdQ!NJRVt+>?+sGj7eKb6cd`SdIZ-j3ws&jxollI<$ZLfIW!zKs5QH<}Jc<)ysW z;h|E)tov8j{735rEbnxH?g-X!k+`qnL}f5Zc9VQHm54r$6k|zdvelJ!0ed-Kj^=CY z=ekrM4QI3Yll2FK%f;hBeP^Y{YSI2X<3g)11%pfZ4fVxmSL3-MjHDzTPezaA;f|NR8PByR2t|gzx zX~)vjc>a0yfpz~DUzH$uB!7FnzIwgTPva-Sv{c{Ttzb8|gDeT%w}ZIYGc8Y!U%YyE zqN(}n^yQfcF;)5b?r1qyLPjl9T1^X1XS(d!jC-~3R1cO*^g7o;w%6U)}LvrpM*!7IUW zHJ19wLV4}YFZh4ay4xvMVU&xYD+Q8d<;ixesI$*eyd4R^Te z>_-60EB4qflh3wY?!)4|o!w1`<=;kg)vM-Cd2%IXlqo4u1mo+eTztc40TkxdUvF32 z+WcTRmxj?ikn|b6pUtop zb+p;oNP3@37<6iEJg+2zGG!~>^KL3%%VeY+4N`o6yib39M%fwN*%l%SeVDCR`5KnW zvQ>Qb$Y89B59Fr?GpR4yx?S%9kpJ}E>(_72U!7hYpF48^I>!_x5=;MXvaE{GBzdJZ zqFf(4k`@~QUWI;u1TQ@Ny&`-FYwz?-+88J9@7?(N8tTbNn(Lo}{`^{d@^<{XP2s%W zrp3@2>jtHuk zuRjUCt@pNlURuk)4=!Gx9H_$5)~pW*TMSX->ayIu-;o?;y$UlX9exs#b%0=;Ev5wSVj)K8NGDIhC zcy%XJ!wSQmM|33GcM`>m~GZ2gzMoULT556kMB=BfHx z`16&|w0cV|-EL|F!@;v6_u!6PxO;&vJ-k5wasv4|fi6F%6R6tF7bxOG`f$0vpvx*} z6~Tv|pnDuXS-sFdfBVKw?5kg^Xo2Z~X*k{TyVR%+dGB*&u7ga1qvt=CFXRX1(K#7E zfXM{-EWF>okY@zChn>_qE zn8CLGukON6@X5OfJ3;op9!VX1JScDbpHwg%ctb_%zM1A>#RZCPRa-Xs3RViXT+h;C z)c*_C$DT?1Nxrt}Bir0CFYxS6N)fxs+arUn+sm!KwSu#v9Db)wH2&@F`bZrm9&cRx zwyC3@_BSfk@KNn~!M|TGf2S%8OdZ*NW^K;g`^2>z5)k)ZGs^kVi&uXH9uv!0t9>5T zjc!lRRFg27?0HN1;nnv)be%5wX7)i9?p9HMyAw$U=>Q@|GLzk!ztKB(A{?u3LpxRwcJezl}AX>fK1CXPr&E z(r$Xc{I>VY>Lkhb`oll>{;~t_P!nfoxku`5lvSK<7PQ)8GI*|FvQ&F)G<*!(FfC_9d@*P;(!knhFoiRD=(Kn5Spu`SJJ8v@^esG|$hJgBQgf^(U)#K$}-) z=3i@PTu`dN_37$euHtd}!zc2moXu6)?2UX^Rmqh4*|`rncVZ2rPK(9vl}IIOn1kx&1-MI z^5}78?EU>80q}l&?qY%S(-$va`xFV7Cv3QIg>qHOEwv-LLyRl$x_SfhrOE$k{CzD4 zcf_5jgnKf+ocHISK-p$S?F%dUd_Tjf&RmQ4ZXBn0v$}}QTUB1UI_XK!tIk8KB#pU+ zVjl zH0xRg-G&)Pzc{O+iHN`aSKDDO0PCe@DbDx9qly`7JSV`XUm+oSK^ zy}kI;o8!m-ESZ3)1(Fa@CD7bbR^x;I{1=?7r92aVj>4znu=f}Fy^kYpkbhh2mR>*G z--9)O=&S#Ief{7c|Bz??{qHMpzC89gcp5y4!r-^T_9OZ3|1K(J_Oka6X^F4z`VSZy zRP$UUN3X}aEFP`yB6XxKTcQX`^zb4ml0k2z%ytQztiJke?OACGnb~ z2Kk=dmh~ML-uzJh=ev_P9*G{?uj7|n<#+scA$Q)v`;qEmD*t#AR9O}B*T+h||L?n- zTirTv7OcNtA2To$JG3IQx+eIry7uOyn^IlAv@=6?(UhRM{#hi6SKKjsc&kRT^r(NM z#P84JpjyGXrJUAZftl^bmeVO&%Wi(qKq9qN?nLUGt59O7VYExIGSJ17USio z4TXF1=P+1~mlM^A^Y8kXHA@D6RyN9CR=(KPT|}=(obdYW57zfX^83}ovQCM}Q&e=% zIw2-cc=_)2YwLuBJVCqVz!~z@>X#^g-M-AlNWM<e1ZWX%9Oydm6^;5S~K zzIyw^MzpV}ynE=C$7qkxS2y&9E_M%n?W))#_{}YS;rIOEpPpSbKZxAaPIk-kPPg-g zy{fqW|0DR-W{8@e|5J2z{k!E~z8bGuNKR)fQ{8U%q5i8rIk{79u656(PeETrfTV5u z!;9BJ|5DPX@&E>pK8&a9q_~~NH%s-XpiZ2u@wM}iqR*ASZ~0{%2xxm|>q&TGrXQ`2 zQ6cAbtY=-WGh8=JLa}VO;Pr+-9_f7Fx$>u*)Cm3q{CT*3?$!5KeLPmzsxGm*%bI&0 z?~Z@$e5YRF(U&7x_3{0}mwrP}6`eXPpXy6$s}iRkKgrt z>ei(cMSYQAc135+>HjJf)c=Ohf|WGuPZl#($LMueuUmDY+TPeXFQFF?K7^!~UGd=C zyGul{W-bIwchmp0>M0rYhr_C?t5Qs`L9^PthQql^?35RNVsQv{pC^IbyVNYLg?`jk zkNy?wRu#4O-X}&v@fsvv*Z!g$UrN4 zx+E=7G1CPqOtUt;s;|1*?dCr}t9m1>SJ+fXUFEU=o$pSGw@t7-@=&?beK%0rUl~!O zadp_Xc4)^nLv|)^?$aVEU3E8B7g~37l)BewF`9oI4@TwEZmG1^vHjDfsze59P&@&D zZN5q;PvP(HCXH&|A1@?t={zxck0;gaN_D#@YWtp#Z}lVV9=xrRsiLrOoz6H>LuS-q z%n!gu-G00_@%}loRKB2oRW>31)wU`Cl-~JVMw{pVKla|dxosTX9{ztm1#ZptExX&X zwJ+{U%`00ohobpq_jGG|An1w*U+1`0nObyBl5&Za&5`6myGcxY05CCeG*a##+B2 zV+csTa8E$E?4Yti#@DsU>6|$DNR1t|BOYvTnS=>L?b4_>fOu_dYS1VocHJP!MUO}; ziYCYw;O^uW?OID=(QfR!VtF6&l>_GtqG4}*Qfj(!9yn-b859k%f*#tgX}&Zj%yLiR zMc9%xR#qV2%`MRB1X&~-aI38Vb!jGIqmC%=Eq(o5e0@nzlrBHwI{XzgRY#40oes9F zQR!!+($CI^#k$+b%K^_+DKBX4NwwHbCn(yk<0nOcb@)h{k2RnhU@u+GbbIzPo%EC( z{@p6+O$CTuw^0NL0zj6=gP%_+0}AfO#NI^5J4wq?+dbD*T(w#waej})dPMlCzAm$Rxn}i9AC%TT;yO9? zgkE5zm$^Kt$Kj5|1Zg~aVCh+)(zD1(A161B`>e{hhLXaocyz0z-b+6BmyG<3vzWMZ z5y~zbxSZUGw=_kCylM@i(5|l?+R_EiV&}&AiY*TKwH}Nu!3{!i9kNhlX>qj4vqovI zKN0FE__)u#Q$g zfVj#ak`o6`8a!88c6e-zz1vanck2zB;|>|kpe5&QCqzyTehnVl6C&yf%+!a)1+!!Uoa|1hcBj#%Pol`kNkYsG)`q$dgtYxy7bPQ$?H!Nk6AMLiANip z{OGOltW&x3@T?{#Iu$H9;=8Gk%L{!@ba@ePyI zCqhLGjbyx!u9fj0METfi~=YEIH#OudCf;9*w)XEt(6!|j{o zCOQwqIiaS5wyPy9c!alncO^J}NQahf(s*YeOC_m2MrX)9GR%CErTZ!tQr2oJS})ZK z&j&3-(B9>ZpA~O<&x?@^oH!o*d^tQn?T_z9=W*jY2v7{SiD3?PjDI=W3@iw9@^U<3 zA_{#Kk%vyV2uv5l%gc*Va#V2eaL-t#3A=#gHKn7%gI4D)I=cGP;{y!od))wP)waq8 z&?$m4U+VSnJ<2k}$dNt!X*WL=+B(okN1$+w*y0Jo&xQ??!oA*p*{hVa7CVLDuF8Q8 z8}1x;9k#@9%dKrJp5=SCIvIA>oYyS{0*hvQ&$NWHt?l}zKX`}ts-)e^AJ2iL*t~Nq z{N3HhosQH4+IYYyk!zA|o8}7Q7eHg;X{^wE00ZG}@CA?r{gdKOY=_`K)mF8sg0(A*YnCR+GGrGmgMJu0efChSYQ3gX zYSGDyRa6Xm;HRl!GZX*2i{~vA_>eUX_s0+$Ne_6hsl2TI4>UOVA!7p9HC#v7ro0tT&HsJe|f{> zz;*|^MzBYooz0A?eR$;hHx#*n_e>q$kzI5F#4`BYo4HN|2Aw5k^2P60WH)5MCUP`s zm{Nc8!AdwgZ$c^bW}{hzB{(g>#kJ)ttTNeQUzHdlSV=%Y`=oFS3CY(L+|_QAx~tyM zhEvlwBKvv~$k$mo=W2MZy}#40dcP&^?TD7)T^5wln7vAvpa`Ga5qcmo2sSZ6GcA0~ z2^t$4;v(a7!0wC>JK;x&c=BWMOc~f$lCV>&sicAdL3|QJFt|4PRoQd>2`F5>rEqD0@}%i3{naqdg)Gb! z?f+n&@=k?zyiyDcGQDKXo&D_UlhM33D*>|Z6Qe(45w3(z56GcShk56;8;n`nyOv00 zSWyYdwF<%c42Ix&Z#+1??OptJFd`QIc=-2NOETp8MV~+twF8z#!VAh-l%U?}dVrOg z%U_GTaym!GS0KP}*f1eS;;|weyxU)-QlZf=Pee35KZB`029qT!bfxWX5tyh}L3z{r z0G~i$zb}bLhP0jgV`NeY7b*=-OC?h9&T4&6L>-~ogsaMtQ&eRcgjEqv>Esr+ z;|IXKxtb9G?3?9wu`%&v-c08TV<4uz4`Kt&8xp#)RtABO=#Ym5Y7l_mbDazr;j=TZ z=vXYSLig5oMZ)hs9%XJCwr)@7&-77{yVE_GDoJpPOGt|3b4$~iem|(FT?E3xy&4VF zn0kdaLsuoN*DV0u;Oz&zdS9IW+8f?!x1&K{yLqRLKVDqK4FewK64zcrKY2?)3$FX? zF8GJ$>@5lM3LPx#!@#Nz%ZO&qpkLWC^TQ86pdKD^5S)RX#@SA%-YUR;Gprn=L9hQe z?e0b!T=z-+0aPSf9^i)DBt935xx5?z5rdU!cU3Yyg>b6VUo5Qcf)YmI<-!8E1GX+% zo(C2KMp=`1eb}=UW2LIF>vtQ4G5cO6cBQ4Fh+8Q}f+D^6^ha_r#*+^7NFJ4z*6nbC zX#8s;s?+zWj1{JVZB!KITAeBA_yIcO3rACQ%%h!|PMCyX2H0mHcL*`ox7f)e?^(?C ztyi&5iA%^u=-J)#krni}+ndqdv3HtdKWNLEL$nF|l+Gi1iw+N~078NPDo5!5kpH#{ zZ$mfezWn2Ae6u>dP13Hxw`(T6T#Ikk=HGUXq>;EpghXOwj`llS20-)(Z>umSjju3K z=(oEvlU1?Y@kw8ZrH<_i4d)S37&N@Pg$`mQO)(=14#bB=jtTr79T51y%fZ#)`mT33 zyt&5X*dNODN+5gWkwS5UBhXnHJl2)9A`_3$z->2hD~XD1_Q3O(Y=#=5jhc%Q&SmHZERlCq|w0Xp(fB$*1H z;AHCEyB;59eU&uc8F9~a9{sTBmw<{5nupO ztHJTRiMK>NLHP}NXTtX;oZ9W?QLjJzjpN@F2)3k`!|u-}olDAs60g(GhzUwSQ2SK| zCE6;;`g&e@{XkFxV#*gc*YAe!`{$?Q+rj1K#fR9KbTA?j(^#gCK%7o$D$kCgGF@Nb zKBjVu4vio@JQ&W#J%f{C4B1&5co0nIkG%GFMTLZ^nOCt}{s=2^ZrKm<(#TLfW33j? zXmo?fByqfYXnI2Yr}ODCigyk7{iC^FdlDJ@g;Cv6 zc>Bvq(lnz;7`>W>KzrDvvESfFZ?2%M%C=S;fbga5Acxe1YbsEXN)gIre;wUiztyrYM8zd(ots8q6y%1*bdsGpTdz>`bvMGQ3~3EJ7)S?uHPa;G1w%A7nNd4v?#? z4CHB$k{wm(SNhi0O$Wr2*mpC-nYzz#wzVnQiv|8a{D~bGovN_f5G8On*jPU?PRK{{ z(-U1+#;aRxQFZ55~2zOxk(DqkRHYR)(n&yPGI}MLA3J*#f9Cv88?DEKEGL zKK=9a$y(pcQ&^6piuc-86+SH%`q`w9QIRi?yt$C|KqF)9sskeNn5Bs7L`-i^2)!7bs3qJgh)Ik+42{oRR6g-pI^@RLKo zACIi{ac}^|0Uq;W4+<9>j>e;l)9ahj;Qb($K1fn(7;Y*lH1st;$hcS<8y!vOx~)$) zhE0peBOW>JX|Vq~N*9U{a;6@q9TCOGkj#5Z#QXZi}koFmc9T`GU` ze?gpd<48P5lW)`tog<5MCH{eUoT;FZyR~jmN{uFXftz5dxw$%RI?=eGiUvy`c%@8R z$bIYl`H(yASl;UB>@0BdwQkx0FphUP8-bEd*u)j6 zoQOcAS5cAHjuc-f(XA<<{#v!Xa^$J^7XPW2>vn=<_jozP>sDIyED!qUg~$=>1SMegg+3sa}L>6j-jx~ z^$tY7-*$0acHF~6MeckY8}gBO?4g!nhutOZ1+Uy=)1>Zsh*OI4W3nm5X|9J34KZk9 ze-!8hob7@b;|q(*x6cg6gq%3NBDsMR)I&Bf`{4`COiC4JY`#E+3a|ysuVj4bj@&x1`VI&98n^Sn%WHGQz^4;j#ORVVn2QbRYuLS(pv>z$-HmN9f5( zT*pTe2$M3ES?CMa_wY;8EJvAt(a0|g6{Iv&xInAaza;pS2$ekoF+bs{CE<9nZlMU$ z1&qsl)VuC$S2z9S(gpH{;bHZ0^|-RWtPo`;_auPu9}5QNfwkof0fQ_(h4+mH-|UCQ zyj@o)N@}t41@XC0Pm*OIqGv6C2AXvUOQ_ygN!&kOiOfRB|0&#Y3rT?^O`Ny`*Z73!g&YdQ^YmioIRo z*R;c|A(fA=0(hOKX%cxld&bFfmJ$6S4BLD+yc*nmygS9d_QlecD zjuR{h-fp0@h0wlv$qnc1AFBh8O+iu>x;`OrXaf5^!F$M)VQ*?Q{DH6j`%5jcIAz6( ziqLVrU7Ymbcak5eCiSY7Op|U)dAV#F5>w};F0Ho_XZ!!;&nHnV> zf|!8ubt69fPF|EmS>+*zlFcwS4VgeM3--3qBFCQuJDr3M148C3gog0?nMm{mN*G|f zCLX1uO`aJg_a|C8J+q@Y7wAdPT>fx&c0JwPsYv48RZ+j}6lsZ7L|_D<78$IV-f{`Y zD}W)Y^&-^yrDXg*bb;z%XIjPO5 z7>(6RF{-MyzYZ@ilY1S3!@bG(h&#gPbLMxbKsY^eW@S@2S*x~{C`_yT21ByWGt+?w zNHT+z0h2Pr5eMX_fEl&pz&IPtbY!i(t~>fEY_#oeB3#f72x}{Bx{+q0t?8efKWsx! zMo!?K2rSS9C*ltiPQ*WF@tvuf% z)NH$nyV+oyP%`0F2sXL(r8Ka;wD3(myV5%#&LeREq!z#X;w*psvs`Ct{C^UWPsq_$+cz7Gd~yul z<1e(UUUFU^vB@q`=!cUCzk^DLMuhhEB(@-KmW`%T1mM*aeDI(8YZydGf^`H0)R#dO zK`0c{tSc-+joNF9pPds8lEMeiLiIPbipu24tH*+vCbvTOyw@LJ^e&TQn&b_S16zSx z>wI=*uBNt7wyPrtzXiE2sD@r(N7}~cCeDHBAZH1OGC2i+Oft|VIhr&~Y5wuh95_3V zd{jWYRhva%9A%gV&6z{3Q$l8LZc6SrgZn_PE!V$u*2dJlH>vcRyI$LkHC4Oxz7c9Z9nWjR07#^V_z}T);i*K&iz+C6?4p~_15L`=c zuJ4<8HFI-ahE{V!WPcQEy{6)+)>^wUDR&{T2gPW=9F0QH^8zGF+^xM7gW}&@BK%3S z`p4y9$QA(rW}iS=q5=eHH<$9>2u zBv;!C>5W>e7@&<5A~vF(+nW(U??GkuX7ao-@R~XCw`vdni=7nj2)c-(@KNyw?{sc1 zW?o)nrNOxz_G7gV!@&p-D*l)R<8A4l=vWMlr(54AS`byGkVW^8E3FzZt~1*9CfZte zoXy;}whwd0?ydz(iFWRNdCkpTr9HP7FDvcw+VcR@KU!grQuQNKA*OyNU=x7Qn+zqw z@boXw>kJ;@ti2=fp9%a@(hMI*n-MQGG}rixvr98P%dv1_c3B_o@&b3)ygy_YV_9KD zIS1H>$3cf0j-{6tj-?-R$9j(s-(~PYBLA_$H-QJ3TA@K#;)kcOdISp%7?W61x4fN< zLFmb_XC}7F!2mV%WguKAe8c8>$sv>nhmg=0C5aH?Iy+?&A?e63S%g9sgluH)q!AJu zlsrPHi(v(R3*ts=J7q}d&Mx?%Ga>EUyAQ+hZErNVPTsd-6Za=GSRNpf39}lF=Mo7u zKL&~SM04X9i~F?K(+H`orV1LN5Exh&^Ya3@Pz+AUgHq;#6yk4=BeGKHr+TLV-IS8P z@W&Nh+bl*jX8s$`9BW}tpHu7v_t6VVzwMR^e-0ygfagT(3h^Lf&IsPPm+PO%|B(9~ zR3c)(Xmu#MX2BDjY#oDDDf(S?7DW;?^vT)x*a7QQ|&x;Azb-*^v-6 zbT7dBfN*P+S=2ig{m;YZC!h!odWzyr5#KKQmfVvaBjMz3=Z<>);cr}IOK?r47X%v9 zv@R;??{2FQxk-}9fA^j+nD%c+3MooyOLxFy>+%!=Uf89-Hy^YgQ15o=tB}l$HGa|{ zL~?>YGU;!2Lxbyn+*k<$i^LY54?Ca~W}%1=zB9&t5O!D&osDjTpF^DqZ$JGD z;=c%>Akmo@xgZ3k?7m;jc$SQ9R3TK9*Y+V>5$?W3`GxhI5EMRFB z!C1zg&AZ_>{v@%PEVKv*-)?CR!1IX&__s5+wx9qDG~?#VbmsW}?}1NkURl`a(j5lENITRjLtm(LPch1GQ$k<#Xb}}nJMmM7RZy|>1}6-u2%v&p$zdC8 zb~4tG6XfZRS!ncYT2nAqK&zud=;$9(5QRXQW^Az`a<|&x`QrNnwRx`*~_>`pL6X0_e zk+0ZfMm4uu-Q3u>s2J3K__tKBk*#iCyYqi+>iS_}P4oqqbgb7@46634!1OSK>fLU$ zC~|rBIe)!*=Q436=YM7EV*+AV$)$6pca=z#@c{-+~2hFlwsBGoq}rE_wXS*y!d0^cg*biW6Kw*dzG9z9%t#3V+!;8*53Tg^(lT zgZ+3!xR{imw8NS9#a^X zJM);r@ns$pm3hoet1)Y>J)75ZtB8^R_4>Wr#0krA8$WJ2)7M}hld2Q1oB`sJiDfc^ zhVKcYflzD`J()TQ7f>2~5R-CXB)JU70VWhMSwVTmHQVu@PO$JZf_LBhI=O)Ei>$0T z(xF;aAtaicTuV4FfyYEFYEzPz@SAvph=nt-XF~&EnnbEov@ORGP3=XslTCEqt#bUOA{AZ+s(_Pstes8~~yy3=ZP&!uot z5_ETi(bf14cXQJHBHShnOQ2KyAWC~0o52T_S=7*AufSAC0V!(VBZJ*}W8ozTNDo|4 zKt_6YHI?vayVfnqunJFxRXJkMh?Cal39xE=;luiFGOSi1WH7M(h zj`3(n9^j2`?Uw^si-qaHbDiOxX6mx#B@|vFkNhFI8TitA+|}CATuX4GuQ+nrRx>W| zCi)bW0_iRnh;x}!T~183oSR9u54&2whjrtRX;`-ucGg-UWJj_Vvy?Avk~Pem;9_R$ z#cGz`E#$6e*-@#XZ#9|)7$1;a9uMxc^TE5D(Lj5T|NJgm*_czjfLRug015&o>u09q zvY|`ya7`7HxOaqxgOVA-m=wdtY7`c+V<6E%)I0=#y@AyMiYcftDkO`ll|5&RqN2$E`|;}bTp@xS zI6fRb{^xeNh7}E@eZJ^6=@~bo?6whEJF*S`R#f6Poz9*^`w_X|1YRna2i_q#b88rn zc$RouI)PyRgMN45us=Q>RA!&g*c_T4cu!DXz-rFE9#evjqZCuHPg0a)SEUQ9MW{Yv zL^#(s_>Xa7&r#CK{oP}cImZK`vP}}{t7pIT^91#=b@gm*!Gi>zUOWxKj>k5Fxe3wO z93IgCfkDkidpq4&_Fq!+D3fsKD8*Jgm5#8Zfw`w?x^3&vpFW3;H&E02RIk0Mo>e~M zN3K{~K~+pX7|++;gJef5%6E`^M<+@OMY(4LYpX&;jy4!u|WLN+-{x z@;lyY+$;Ug7iW;N0Q&^~0g^iBkX;2p;ht|BT9)#vQ4K~wFE0Co-;z_I1`j_*WS|46 zD|1%Uj>0Bf&#ja+Tn%ye8@80rPpM^i-Mfm->Pl)NBHFtTWB9PLh_iuz2bh=?h_fMu zE5sp(4_GXT{QtjFS^&KM!p1h(#bO%2(N<9XYt~;y6j|J$&+%#iMFYhAmBf*~iu`*W zcb8wH68T~g=PY#DChT6hdX|a;4`Z$UD*Di+XBXr2wqFIHSd!ecX5&;y!=MDuU zHE2y7;H{}rnbdCWIcEQ1`2K?oILXq`iS1>vNkH+!G3+O7EB`tg-VL~tkxhUgde{6j zCA1i{%^5YC-!e0M*NH-my%o2K3$8pN=lfLo>|Fw#q3wD`pj_lT2=^tsTFm9>FT!gH z8-AoGvP!ipQN=g&${X=pI3#mPeDBT5LiiC*=L-9GRAX;k4x#Xe5Rl8rJAee_?92euM(vsk<8~)6tS#T` z=lzQhmn!hgU;~LVEFb;^q)e zR!U8T2MnZ<{ac8<7B<`}I$E>j832pomal{_KJ6YWQ-COV=KSayD zMTHjDrR?!7SlJ-)^Fb8s#O+x3oa{(edb+hK$`5xcU}$8g2DairO)6`za#}zWnUM7I z@+JEyO0BkPD)~nyg}Rf0hqsG%nS&*0IS&RNzAH-DGUEC=Wde(+2&2XZQDwn| zw5mtg=wB@0X5U!%Z#D+D7X$1x1UyB9b+!ei&nM^ty8_fsvDSp-hs&kCAjq(*8l~n(4Ydj* zKF*>P1)4(p(XY0(F}(7f%A7#C{C5z?gIZK^BRWF|&dG@kQEY!%sFc!^8OrG)ASH{w^pHYu~3P)b)EB(P$rhpct zhaJb7nxq+1cVpKiX=6b4rrg=^bC&v&LwKoZ1GHL2ST7-2f8HApPH%e`e;tfY0dF@R z{yn)!V*Clh>nWA((I(FuqUPgEKY{)0#b##o4~WH?(>XG}LJ_*d(Dvj=>s~xqvcU>3 zYHUZp$mvhus8pe}tRRuAw^i`&+qHIpF>`ozOPPCiF<`=h0dmOiqpDmo(#R;n$Q`~3 zyyplb`6F6K*|{&+Fip%A*{bJ-x#1#LgZHPTD252EC68Z8nz@7Pi*0U+ z7AUNxzxgpxG+(+_ZK#MIw)gBV?1vwKx(*5G0f-lI;E*LzLKP7Eis2E6eI2fKy#Bs@ zOI?Z4&GlXX=4Nz+{=#}qP+UT1>~ptHG11NKiOHa)QtMi)b^h=%p@wAL6&Bf@G`%lh za#z+$B;IB#dU}6MCnqd0N-lVeFLLZyTYGB2 z^^QSB+%^e(=9qBB2lD#<#aG7Hz_kO3Jkc~@u3B8AhtmAXqdZ=31B1iHe%2z+!TQ@} z3?#<|P8S?V+$pg$tOcMa{CW5oJxoi_e>5sR~wh5P3jRPT?GV?npTGC=WbxEXE#@)FgUq+?q} z%Xi;&LOT=KiX(z+wfAvw`vH4yh}{GF6~OcToA+q&+wE}VN?T-_U+yZD95gFMaG0~o zauV_&*RCOeJB<{O8GNfeWxiA=JXL6c!DvZ}=4mRl8a7EBhdo5X5JA+ZhRqd*=O zO>OP<69f{#T#RMwXIm^DBQ#GCs5SSq23c#ScA5$+c%z;dcp+=)YGM)ZNwPW=cCOXx z3Nil{<8>Av;WP?^Ak35KG#h^gI!QvAHhP0p;l*?aJp(0DSgiox_~woKCm5Fg^909i zVPsV*%Jkv6N-ibnd9@f74Wses0{AOb1~%6O`=ZW6df`ypp#G4+cdif-t#)7Ql0hRw zFs|`ZPx2biMixcUvFmrOQcXvMu*1NHP!n0|MBl38~;~YfsSU=qjc%WxRKGVDTLRm zEt<^qgHN3!aq!ggqB+QVCcDO5aR1M0_vh+Xt2Gtrh<%6KBdhKqo%)?s_p+uwdmK$4 zb0#%z5YHXQS=0h=9CE|nXlYF6`U=}CNPp7J1^h_PWlptjpZ}3u)>*;Mt9_qTh!6PM zAr}&g00x;rc3#FU6!NYXY#qK}M7*%ms23-yKlm**tY} zotAelLjDP23;S$79)%)RyfSO~rcDnD)oG;Xb4!3HZ51}C=Drb`d%|~#K;29My5V{a z6{*~PbL>i@*6)Vb!|?}gN1=N#VlB4tCeAw(Z-R6D#NAjE-k(B}d0z25Un>6nVP!eB z4NQ*7)?C1?iyLLNu23(~ZtWQbxj*LB(V*A=V-c_Jg%VZ4v#A$>oGslI)HcZIKovxw zc_}ZKvOTM!!h_7TEqBs5;5*V>zOsOK5&W1vuad$c4+@81N0bB(i0kQ;2^_*>zNBvm zExMsGxs$wsJG!K9KqYlUKGY4l>Dvp8bG?3FBRG;cJ4EE16B>Co>TOu1n~CI#nr1u1 z9+=QCZHy%1-vL$du(Pr+eeZ5trGmLgajox@ysu{(!SX4G69=%G-B*#k`X=P8_>lE{ zwm<~pjenxKVxrgj#33S%@VvQ+fgj~8lW7nYI%#xNs4kjCpt^8fnlJj|@w?I$e8YlK z1P5=Bhmx18zsxZWroIK*{#1`K?X(O-IT zQZFt%Iur5m@l8s94we2~KK@*XaZ>Y25kcWqx|V%9tk-_5SC3BXHE(E91=hnukWBp% z;V;oCh@GMz$p7CUSD00|y%ww}nU!P9by8{9<5r-~8m-;x9ZMMY4Sfkyb5%s$WDPyHcMLjuU~a4s@HasBMw3r%=C-wcm`jPrc8eJEFRn)D*i|^@20Ffs^XZ?TvsvflXpYeH z6DkN5R>4LkSxACh7C(1v!@6-0A@C!7TZSca3`py&o$CNJ6c`OWBX~X+g~6$-s^!uoC}kYubi` z8eZJeIY#(*A-qhX2lL6GVT-A?TmrXo=nQWU@bMoV{lPesdb%9*OwJwNsS z;QZtJFbR})HyB-w@35nsbmZJtaN1|si`ZC5_qcK3ib0s? z1`i|`4o1CJeU0rdW2Q|P>;h!FJi+UEid&{_SsOkl)l!)M)#}cY9^@m$Je&2;hv2>) zm9f^`AYfuwl)+ZS^?0IS>mN6mDIEfdpjM$)WjGU+;mmx(nMI%yh0x92XT7rGcGi+l1TlzywQ;7I!e~gGjEdj0Zx*Otpm3=>r`D`SnwNxCNEMuSVxph(d{DndjT_aCy5?d4Ppl zQd+O@NC!nJ{B!RO*gz=-tIF7vGiWDyNl3-Aga37_Dv|AG5lTgW9o<~N*LD$+a)qK| z6K{_L)5}^vpCNnh@Igwv^Wk-kFv*4>dqK+CV3s1S4Gvs#hvm>rUIIsjP_xl4gc?!y zI@+8ZPRgNWal&*eD*Q~fB0&&EN+V_KLVkik(IHtS5pIYdG48H>st$LtH?m&_ zQ&X|+F#efB)Ltuc3hur7T7f{Y)A-{)*LY#O3?8*AJ7Xg^nkrG&4wifEwl^AF$MTqk zbnQaz+$CUYt?tc-t!UN3%ol3vn#@a4sRC|>%WI~F9+T~2s z6~F_Di){8=K+|m~fSMYWB4qZ{qKODeT62~%c~CN*m7vK?($V??if5nkZ!-LZrVEgx zHbuw=&(QpimBUbzz;4#}Yye7f)8p&gF`-$3w8^!0H|kv^MixZ3#e16J0AMFQRVTQ( z2)$~mD93kmt^yU;oVbYS-zc0QUe@! zskuK^n@&8ERiIRO%ZYb7UP}KsaM01&S>OZ^J>gwgc4K@u`gAZqiCzU;zW>->MJ2=l z-^j+T*ebbf&3)tT_M^~zYdt2Z2&W>=DXTMQqK(XN1u7ccbvAtxO>**}r+`W#Lc7wr zPL81|`{Qt{9g{!MSh5TRPZY5l)SE>}_PVRq3$lcf8Yh9uVb0(d3VL_FI0K)nKjzLV zOWY})+D%pR`r%oKrtnXVPrxa-e>GODPQ!`VKsc0#5x1hovUr|C)KF!kmjMb4B&yF$ zCrBFOkKvzK?u4v8L3{(e{HTgtPQ6hCddMu7j*&D55I4oSsay2&!?QDky<`9X&0J=Pis?dNDkSia@8W zQgXl)q^U(Syt<|3g5>ZRUjYuphecZZ_&fR&@qw3vtHJeM?{0W=jqk+28G0pbAekOK zRGy@%Wntn2{3{@(1-FvO)^9VZpq-2v8{_Lnrn|s>&c<|!+|C2J&GkeH*+yJfr_7Hb z8TBPIMrdmckIJ1Wa{llVK8{NGcs}s)TD9{+fC*=FxEu*lX9@{px(6p^N+8EZo=rF+ z+8(IpCTT7r3i>zN@NRImlPVzuDF`=o?MFNzUFESe?9S?IaEq`LGg}yYu79q!m3-7z za-wxcDC9d!B9vYHzO>o$uC^0(7^HF5u~|3!{1KvTx3@Q$ry9vHNzuvyV|@w^tYSksasb- z_P28*!j{PgeP=*l)-(~FFhqZ05%rSVB%7TDl`smi2}{bOj?v>@8HM@h#u?mA1-M$H zy61I5stlbn{NcbkgNgnIeO%)e83qXzprQz<13LNPUl0lT;Kxt;C8Q*qCVQyFJg$Qt@Q+wxr%Ht4$$8^Q|dAhJxM%tx! zGLWGX9=KVEdI^Fj9b9PGBcOFC{qw~0GO}%W;T@Pt0#S7&fJLxX6$kJ%=Ft#(Pu)*V71y(0V8$xT!FM7 zly#`|Oh#2RF{uCXL@-Eif{I-Px^&?De=hX8GKW2p`yi>O`h83vG-)RDWfQe@u~EBT z(ksx$S>nXIN^&~gBH*f12h6>La42}Xz;LwC*AC^UU_`Aut5_4hSlE@&Sih-$jup0# zc##uu4MRM?8K`yFR2Z=vuTp4`hg43swzGLdfR*4dCx=y%hR_D0aA%~hgrF>`@XNmq zHMk}H=f|KZ#PMDbm|d09l4hd_aTfQNq%Ysj%HInm+ul{E@2fV8!h9p8P*d)xmx%AV z(QmP&pSoo#i!QDTmKdvIx4;%e6j8%$*2dgFRIoHNTolsIJAdTUh^jN}VP) z6zzK*;S7*#;3le?@u~2gb#xy>SK5?*{OCJ;G zBt4p|+5Tx7YL$*kprVvvC+RhTDL$g#hAXv-DR;x!9RrlE9^9!&hR4=d4<0$J zE9>MAqWnA>*7lO0xiV>fzFV7 zWOyITc>NMEn<|w^c`>>Qx?>kN*YAe!`{$?Q+rj1K#fMnB4N-P1qOmNwOm-pW03AcD z6+K&9yk1~;>A+L3aVRar`tboO!YR6mpuOc zUk=YtN4@L*h4!vDjKzp^2315$FQ=-nX4ca8=Nw}}HJH%7ZZ1;GrHZg8ZDCGq-G1f> zF}o_`wDoFCCH_-tbeLRPn@Cuuoz@n@`L(*5DrW9{Vz(bo??xA=s(fPUmb#Z6QnnzOeMzVc)STx(AnboV|5mL<)c`CIq36;L_DHl^vn{^2~D>otrJ zB0}e31iMnRhMnhqy)mwRmxP-m^T@R4|e>=S$4dZDy#eH4UFv-sBq_{I<|9xZe z%U!jl!e`cKze>*h-T5=N3KEaMLgeP$bM2R3v)xc&sBaY`n>~21-HrzR;l&-EOLv3O zbuYQy5Z&1Gqdu;TuWJIgX2yaCuUlBw<91B~GICPRu!FP%&6h>AW^EfY6Xqqq4=SvU zohmgB{a%8K6iw4@TYvuaIb2@?mh`83?M?Np@);lZWWeRI0lj2EBUppJn6u5?txWia zTp|T>>TmAJ(eJz@HFSXdk8nSKtI`KJsr-(go_opPy&yqz5km`ZGRH?CVeN5GP0bUNT>8l0SwH zl!^cY*B@N={+4(;MYP0oDM<*N9()pf${fifn_L7z$B1m;A*MBHc%>t_yu+yq$3>I8 zC&SglcwhKMyTKoa2T-Ch!;Ky}M^$Uzz!M1qFTiNB6M@IEXDVcM@VaYk{G3kohWfpE z9U*&zFW`JKB|1bT88h2k5@!BIBR@S{0-@l}H21A^E%9e4#um6WPsfANFN2Yai7RQC zDEO3`lfmxGG3+OU+fO?xlvS;6QOcRz{N8-F&>+e5eKcheX9!R15B*D;%L+}`dqO@I zAr3|3kTm&ddX!|zv#4tg&)0pXOSy-^dqWE5b*EZM%k08y#~Qoh1}i)*zS-5tEgiEj zpsbtOdu4q6EtNzt+m8xC`23x8&&{JfNXV?Ll_%H(O^BpSa_Z-LB`x-E&1H&Ip#j%; z#@NJs;Eh^d+ho?kZ_=4uMHfxaWKq)<25Z!63Z>VzO6&Vbbm7l1Q<883IYSb6u6Tl^ z?Pdo*l6OGN{3rz8HFb1j(JISPQH#rE=*y}KETCJ-OV^lRsD{_STwGo&EK|V-z7jQ5 zm%5?O*_QIR7(fjH${Q{ryqF?#4Hp1S1G2+hk$5)|be$U5SXnG!q1!qP!RG3C5blx_ zbOFpOc*N$rj6eoIJoCP`{+#aCD>fh9RR^UhBxNS`;!2S+#|hDvH8b+=>7nFkItvsXC~`F zDm*@?m>QFhnQm8Q%;c4A3D$Sse$dW){qf(fFXF}vSK}!*aepy>ic=xL3!z<_9!4-2 zu-*HHd_=wsq>a<-mvGv+(^aU9sCDzQ9r$@n0`DWOe}0M|wRSnYj)jB?(>bbfcvdfr z`wbyiL|&1Mui5O?yAw^V?HVpcp@R!^h0R^RGM)t4Z9*ai9idPPkI-UH)1kp=bE>uQ z?p^WeG8L0KH{tHW;G2`CLt|euIRox0U?&ph1)VTk(WI>Iq;qv2;S4!|0KyqAv=iV= z>sr~B^-OWj-MWIQT8Kifj7$4K{>D(O>MOKZf=a1gqqS!QO@DCy@qN|>=xzi_^ZFLM zuS*Oq&OczC1RUv(7?>m+)Ck6QBVyxj^9@rR@c1x&< z`=fs(4|X{2onH>f*V@I+)h!doQFg>J_6D(8&nU}m?3bH( z1qT<=h8{M)u5ovoo5eGs*72HaGh>6DQ-n|H8Py(I>cuto_yB6JZ=H>`B+y2n5XK*S z4EA^=cvDj$+Fjo_S(zmJ>TV&)YBPgFA*!V>PmDdP`)BB0M&S`m&qr^>yXeiNg(ndK zBL2+WX--b~Fu?ccg5_DiD1t%-v$0d}6ek;^D1RA9hwLH!p&)zNE<|N?>ITo9{T!5r z0$T^2>nqU097RH6zRb<(+|8yt3&tQz;%bzo7)|ggU^Rw=qL?zmNEpp+mQsLrwRXH@ z6r7rin5e+_JGtq<^N!fnaC~tU3*Q&quwVoHfSrewv272=3a{2vZLL2qEPWPyz>Thg zWz(rPMT7!=I4s{kF&{K|g8It+$pN^*H$+x467nNp1J(!+CUfI_V($nWG5YZg)oY&_ zYj}WU+dsow4~WS=(O693aOY{+ZwufQ*tc)-w~aDesAJIZG7DrD~AYmSZKO1bXSQj1uHl4a?q_StheN>ItiTRyHLkYf(LujWsdzG43!b`MrBal4%tP}{td73qa68dVl2(}i* ziM~Jmb#ynlxxQ4xu7?}q4yWD6j3{gwkr>1qYqfYjL5wyOau~!EJ3M09$<(rr!48^E zI7E7*qref=%#9v2d)_w^G(bzsHc06d3FjR_HhJai*v8Vp(H0W_6gwXp4~7y6Uj$? zxYewb^gv1}mRCX#3gC_{8*5mTm!X6|t1T8faZ(|v;12t=T+56$lT!6vlbaZ=n zadWGhqKiC(`}7%l0WW0F6e1NMZJ|~_qycE@87w8RZKRzMh-8YXRuysrwMN5VUc8}! z4o8EZ0Wfvq9*u92?X1If+5T`MhkM6Fut+hqoY>3LlVtrI%>u=rA%X{rJ`Yk+ zv@{*Ou>f2RP`;}b?9y-ExPQV*%ooouj7@4Nm7Np4rcxo+>gF}~!ex7d-v+8>dwvu5 zCr_*m-u1U@77xKA43BWfpb8#P^-H=p?fK+hHC(W#B9zl^7lE;oRTN|^B>&FF<{g5f ziHP!kf*ggy3MiR!h4^5?IUD%Ky7xc)zG{CIAz$%GYHW{DW#4M?Dgly0sN}hjl+mEq z|1K;G-%x^Ofk7aFvJi;NUa>57l{K}!?;2%9^=9ojmt5Cv58Te&XT$lW8JDMRJC*)d4aw{p=7N;xE&2HdUu1qS{OOhP@;vg#K!pAHb~VV zn-4EvzCwBdp|E~UB^lGM(A|wPJPogIsaHV`kEtqw1My*zbs_jW`b+VFmxHUp^K#J?GOCG-}VUT7_XFspI|Uj{j|8kulrVY3)+DG-H=t`h0g8-M)Ln@Sc>(W|?G z$Ei?v(`+WoFA!^v1f(GT1<tWP9R#Kx_&CJ|(IbW#Gvz|Ist7k@z9sR(VO zVLU3_BpjZY<`IsKz0JT8(MCzNAf#*8H+Nu4D>x}!i(_$%I6M327LQ|a+*x&RKsJ{U zX#tNg;}2dh^eM12Jd?}K@QgE8{t40!+l8WawTcQRzFN)8yA&P${*8uR_A7N)Kits$ z`FN=Y_Zxfs%QF-JcV*!3d%1HLT*ygaDoDXJa|@vE+ubKjlCH;}mBTLq^0kzTemVkY zgqv{&ZavLFt3X0gyZ=J7(l+k6TeJq}6qagvlKM|>zm$pS(2FIa#fO`FGFmd2;-7{Rc zBp{itHH(mfPfO51t}V^De4=I!8;B9g2KsDf5GE5T)8L*epap8Zw$fV661{~8B7R>8 zu_m1iFAO2V-ZIhF0Odd$zq;cvLUGMpnHy8zSTc*YP zcPw_Eso7{2U{bqj&&-(Px%}~)YH>2i#@F>;N#RulWt*M6GRWZ?3vBIbh|$I3(5qJvH7pWLnW*7 z3R)k+_q<9?RIM0fCs#vQB($sH_^Nkz@j;>6r7gpKelsEPwi)VwyrYW&73OniePs?2 zxur?g9Pul5Qu6UJJx0TCQe{)n?#+9PFOpH1!L81oeO)P)>g@;ZZq&ON#C0EE+!j1u zLZ!-pRBtGJi~RrXfkP4!`=BF*(vR(!7IrZ@<)~h<n0r#PBY z%5>Z$KV)G1E}A{#-OPk3`|K0ZyO;6GhEvzQf*<-MFidqjDnRx^Xb0R5uKU=;MWexc z1bLNM*&?n-BU(zxb>{|lNOb%kr~;TPx4yvd>j14ebTSYGtl{Tj3z{8_zd7@aB)ojl zZ%oUR$XwvCwy%B;LCtVFljElzMt5h3Ttx2hrRVKWo#p(;srCn56} z4{qO8O;Dob@<7S)T~Q+B5ZBi!BjjY`zC_1`Hd)EI+=+}6jxSMhs6@r(gNmzliUI<< z=#68!zC++2zk!!Jb!{?${V>XkavN#AKcPW7#0 zXvY0Ld<9Hc0ShwpU1>z-Xhep3qqHLt*WD@GkrAW6G$o~`WO#J$v?jw%N`n%W24$x7 zv5i_0&^c*A?#_um2*Bo67K?_RNK8YPz~92cw>GBc{WG@vp7aG?Vm|aQkv_rw%LEw@ z!QNz|2N?^A)T$~1%B|h(l!#h1uxn5N35mJQ^!1+)?~Y2NB^RSb-WjFkg1A0UWV!rt zZG(tGu+u<@XjfVZsI(ID2|INd;P{W2@(OTkWDuqZ>ai;FLNuDMQibY#Yh#1Ux(}jn zW{^F`^U>Z=i6U2vKJcxJ$8nK3G(LA6I3yN~V}CgzNS+gD4;VZAfvZfvObLM#fdQ#@ z8Y#^I4UCL035Rv+Mh z=A;H#Cs2iG=n8wfq!dT|QWeQhcO(x6D$)Cf%VdLj3F}@29~oZ+s{-(D6=4w@4}KmE ze*XK_dE#*+w2&fS5UGl>4F_*(jtRPXAbnxOgLLRZhQ|_qi1<_O!PfCk2GiIpT^7Lo z(ALw^5We$j(m`N@C{bHTC-$z6W_XAae;0^ay%T%^pv?quI7J6_EkMOAK}pUY#z7=cm66M|ZpAmbgxmw)4VfV|Vdbm=jyK zpV=xDn2X+W6y#d`i4U!@eQ{+W=68X$PNbPBz>KQ0$^=xaoj#HXsf$SG?wF?cE z;)PZbkhy!agnqS6wt*c?h~P3_;{FU)I5xbaO(BA*rvCG;aD;Fzyp`m_bM(oWAW6SSnQ!)xJTM0U zF?2MYn+q~F{Gh?!1;4#L!@CC_sL@ekNlr-f2!o1n+iJiy4BWrM?B~BMu?IN|Nb%iK zhVT*B-x;V!$;N+)K9FV@B;zxYZj{ib%q*ZXvyc;@mEju;ky-f8Qkoi+(gZ7MTG_C8 z0}wJf>vVh1Buo2IqotMlUKlJ&i#GZds?CoyEVC7OOnGf+5t5^M`i(`{RS{`8spTb~X) zKh~>9r}dg=`jW8Pr^Ca;4*C({@6ai#V_V?|^8YuedRF<2&+??(UIRw$uw8k47KiLI z$Ef2Qf}|0?>^J0E9ORC^x%Y&A|J^%wNsPTuCfsJ=Vv+zxA%qdHZ#2AuBwlTThX*(z z=+2c68yxp$z&)k+k#s?nl%OUq}tdsm(S(R zucD9VEroK?_uWq4juV94hLH%`IdHIBpvxgTCyF4Q2vCmm>G5-frvT3+&5RRNn}?nS zuimKSI_8BqNPV`7;~>J64YLoec6*H~qlB8r`}Q4G)bHxudMU-eUt^+BQtaVyQ>+rEv6)Eg$fmDRQuUxE} zl>mqkdP$zrt+n%F?tSGMp^6HWvm)jLxMV;Wr$dqe_1YUZ+;6~cibon5{Y(5?-B5i@ zG#_I9-wyv9wv$8r9b^v<>os(M{Eu))e5=wmVN&@W8v*X6e&@}6wn|G940WH!Da2b` zgmL#$^PDrvw~paa`+)^!t+UrPI9gv#jg*Hs{G27P*U@b&q{Ld4Vkotwm+zFzbH!MJhW_+tTTS7(+kjD8H}VuK{#nx(II>WYI*G7oPKF!H=yW1ZVWFH z)45})x1oXd*vzu*H$;3$U*Z0lnKtwe z3_!s)c7VeWdc0ZU-oFfFsFr+*|4F4235jzM?C%}FQkL%vF0~l9u*cZkj z{`$Y(lmCdocuKndivVms0e}*U^sw(Oc^*w{iB>U^T;q`F*VOMc! za6c7Wc%AyrT$#=sO8@BRkgW{iYa?nvv&fxJw&sGw*2T1GO~nwdmjL_(zR}0wu!h}8cU_M(mmYU%=DSQhOYa>K4!H)i68Q~*D~ zA}FynTEWrA`!){f?6}r_ztvRhyA+`L?Pa| z$L`Gx_(TamrHvj)u*5z=7t}LaJPQZ8SN~$pHgn(sO;0PPbsQ= ziVjRw1@~UfP0}^15#i1hwkc9r z>nJ3~o9(=zhin?(jrxOkJ3v4}M+EU;iU3-*_6YDF2(n)0#!?=N#oS*P9>C>&zoZ|6C5((7%n^zSqVZ>s})EF?>otA zD@W`W{*SA5So$mzHY)cvIPhjH6M5cI(kMoebL8ZTXt({Yuq_hY@NXmM3LdF5&{rREo{&Xs}^HVz=8O%NQ(e}M|+)cPFxMH?|OH`n`_)Ne&^6DK@P&h#^p?TfNvzx zR^RCsL^tW<<>ke@SXx?9H!0Y{+dq0F&x9Cc4Wegj+j=r*Gs)Gh zpm^{|y-`u9v8vbU$a&d~L{;yKenF=gMo)TSyP>4eJ=R2#h2s$af{0m!@5oL z_pAfT>We(8FQh$ER$(Bn%Tunxh?xatHAZM%j~=Bvt1{%x%IXYMR%hfR5Z7S<;5Oj= z`7O552zb=cs~V`WQ$h3sN)-_l;D9m+mD4u%fkSbAyUSo$G%toQivT?QW{@*f*~6L^4PzTrg) zhic{Mh_&!PY#fOvX&woxd!dtr;~6OgG@J#tM-zuInxep=8xxTUyxc1YytutulCn!) zEN&q_+(Ie5G~!58b_oBi}zj=| z1Fs?kbHL30qAwm*b#lV(B)Ka5J&b7cFw`%uOS{&Id=C`4si z?dq_S zpg;V0CE6ZIOy{7@u^Sg-;k7)zu=UeHW%ikPTwaFlV4leEkY#zWPdxj2Of^iHnZbT) z7CE0zM@96aQVdi-xXu^v-k-i7+@1Ds<;2;M;J0u~_W|IJI1L~Ten7q3A%P|mSYi;M z_L0#Xup?&^fjT5}q<{)AyH>0JQ9-?2JPnD#u_HcyRyz`oXvyMZFAtBwVZdpT9MD^D z7KPOl)`0J1^^`UBA`O9ZIWD9il(6l3abO9q=#D$9tP)P?)WIq}?#vPHUS^??aG70{ zBC3KQSvRjr%^-aI_Jelb>yQ6-eGxaxH~_iW#8c9FCRp)cWK*X&vyE;8!^jNVUS?Wk zvA*2bTm%bux(c-v?LvqdxsiobseBcRWj$L7jHEZ!&tjddhsRe4)UcPZwn)P_k6Bd1 zMw16u8!Bk>oCiqBcfS)5-l(e7Hs^-r%}L~yh`VB+G?oh}vQ%DW!tYy(TBX~omAqsf zGum#qE6IjSwp&_;tgmKhWv$+jkI0wg^X#|ZuFC4#YKr99d4Uh-q)&nJwJ_l4528)wV`1 z1s{RJc6LnQ6(sGXqZwX9$jM1*qk95UKsf>E+|qh-*}LEf-NtJTt9T*`eX%6~$LL?5 z%-Pq^C+>;0^~O$r#n@dq+iIy4cT`1jza)~f9f_jsQd5e;vYnK5Wjj%_ zven$gMuSuAf3I$DKMecH^^Exj$MAT`Up$=XpWEe{4r6K-%FOzp3Mu`Jc4+v}L&-m4~Cj&uAmWvV9C4JM3^H4U}_d z#6~JPH1b^h0nyR$R<{ToK;9l|EVcP;p{@1J{QH995oZW*OdtA}2o4I@fzjP5ic`+x zlhkMMqf{ehYwZ|iut!Ae({T@jGf?UZQS45)2=>YF^77*HI`NnY9==OgYq|hQEZ(v> zLFj^f9B>J#7f$HY`HUcH;C~#^jB#w1P=$Z)eKT$yZ|Hd8^)kSFHMKE9k0L@Hl z)h@TR+SJ}4ps|3dg{QS^OOyAaDIMCQ4k{aO`@$B-0$K%lGOjHW`~A0JTlASb*n>5Q zj>i{J@gQ7+!X@ZCe4EQ*sv;5Ru&OXnd| zM@faKy4`%0X}CN4nc0m?leE*(xxRYDb7mCjIB-t3voNL`5~~8Ek*x`!e*#(sa8Oi& zE?g6#xy|;V$kM2)AYZEa_I)Cr(Ll;5nq?G&ps(8{eBa*a)H4FPA}h#1C!{K!pXjK-N_>t7|MMDGwLj6)ad(uh)ypD#ulT zhibpwVHSEt7KPX0ZIX74qi~gYf@VVbc$zmZEufOm|&2pQp;Xnk3=Z)*|5I*oXBpic!O27mUqC*gcF}&qnsYqb` zkqb(w(Nq{KjqV?I|9&yAPX@cbx8+l29)&%>QxLZL3>nKwp`>4TNhy3y$CXip_p2!W zO`_3u2)c_f={?F|a>^(Gj`!(`@MmW~^*S{b5PB;wfZpqNy9G6@nvyh5JGWU_gi;{b zSVN^iue}hct`L!_H;PgzmU?+8{r5VcnCPsHsfpJ(64->?j0cgl(yHK7DXdh1CNy3p z`ke=*fR-r>Ia6YBWNkNu?t`V%Ak#;x;7OMPp9*ZB|MP&SGXCRe_w1#oW&7yDSf#gp z!gO5f+&bM=NyxSKU63c?&H|9YXaE-L>4)BR|8fw^ZV+U$h-yh~?e*is*_lgvLP%f; z*jNL>mcxI&n9fQR6u>suY+-N^leS9nY_$jwK|q=h&ri>Lf_)i1m``{qfjHLGS}p;9BeZ{efRFy@=nuwO=qm_qK(GdO`D1uv&b&Vz z=)a%gbN46U`*Kde=LUE@@Uaz?sOOhyOC} zG$aok@%uuzCj8X+j4>P=d2@kpW0G8zJzEa_!THDcq7`@hK?8WyyQH=oe|&hZLrn`& z=d*0QP|PU30_^n%UbUDg2hHXby}b+&SqkCccDo2=P_!p z#Yk!@a%atiazkzrid1VT{3RO$CG%|_%(vn0D2ZuZ<8>%S^E!%>aH=9g_g%%SFc#k8p*W)0yn zfxdyj;kRqJmN5gU$HH7aI>bAsN6>qjTJ{Y365GMLu@gJSO@%p45eEr`((`fgu!RvO z7GBlr3bF3oFk5@!h$LYuom47OZb;@XId~15Z5IaqX0`{ys!m5G`>^MkDoH-ve!%nW z;uP-}cga0hp~*4&CeF%R^6c3hthkf#(44)6jFA}M-hsLxLeAz4CY0xL*~GyLu#o+p zT0SG(sr6V{BM}?9?{LIkIRU}7CD}qn>Oar1-7~LWtyWh7v9(_Th)q>guA2#fEeKo3 z_lU=Y3W%+>Z)t%z+WGU->)zGrxEGrZl(EhW4SkU=*d+OCV3J$lImep{X^}>5Ro0pCu}V5J79PVETSB{9 zAsGI&`$)ijcN+>@9b>)RnX(cgQ`+?YA&|6^eeI<+%CuDuf{l_6DHB(S>+zHmSJ88z zOkGJ+SJE-MTT@cjs!U&@GJTa(`l|9rm97f};IgKH*lrY*=xXg`HVOUVc+!}7AVPX9 zV335qE3J_nt&vb~l%@#cx;tf4Bx3ZJc8Jst36IX5Mo73xX@Q{90!h~b5tDtnDH{jl zC|ASrMLZ8D7exsko(=~m&+z+T;IU&)@q~N^GHQ;Mv8z&0L95^Ye7LdJCnTXxXrHa^ z=IAHU;44zn^*d9pb&+Q+3k%SvKu&D?HS2k$QMt^^;5}i$PM&$;8n~kl7FBEgdqvQ!7RB~SVV|(5WuZQCgkfe-z@ z0p>K8d-gJgTuAo&Avw`{6O*mEfLjmM_Nzz~H7mtgc=m?&$cV2f=$0a*OdHD+MARHufl1kgDW3uJMs6Zc(xH*)0{}_)Z}t%S(@j9?19D)Q$*F(S%iZcMBeF_&?2AgM!1gJDrus!vJkzLoC70M#vqcV=0b1@`z zLK(hATrX!(0_l(SGHNT0+InL#QMn^IvW(H9GDe$EmblaSjjeoon@5XXCIRY=1F^kveWbOJ_>bB zjog4FS!8H`y^$tilW4Ldp`_3@z!n9SUC0`YZqWBLHD{N^t+rH>xYfeSZw4R^8ABL| zVU$q1vYwj)u~2S19$j3=^3RIJwt)sI3wq;eVQp=JGoaa0;Dl&2UImSgKfLgyqy{(f zb0^OlRmH%Y0_+CQ#s&qf@062`;(}1?szBMQd3i(u%PdJp1Z}Zh{?I#?;YWuQ4{!#U z0K7k&4nAl)C$ItFs&YlfDsv|2Xow#twA7e~?R+vn2TioF)Vkt%7S2S&_kxGfkKt=D zxia(y?ZzKOo>={-vC9&|_ZPIr7HfJwqTnLdx($UrvD(*c6jW%wT7TH&(TlVyvtjyr0nJyZ zQw$y9^ZvzUU%_$;94}GVLZps~GB$xk4+;8*xDesV;;qCv^7y)pucot+Va~|;xLspO zYo+io`Xqk&IYSy!snkZbTT>BfNm`DI?&ps#=wG7V1aGQLRFYeEv0p#SdadO< zrJ|%4yXUan{)tX09&R_!Y2?0Yu6zVfXh+@8X3B4)4HX)RdR4B=IU3&v1vYJGt)$Kw z(Oja@8H!#MW{ZH8o)oHTH}ACZ$BS6pkYuxnXurp8!^w1cZ+Dco{&wM$-t|m_w?4Z_ z(!6)OS>GMW3sov>54N>kv!NXEZ5h$aDWVtAA7xYzaUGswR4;Z0l##vAUKuq)W21Xf zjmiigDkFS3l^N8kuf=s?Mxt#znby|vy$z29$Jv;R1;Pdz+OB7?7j5Pc>vJGL1SdX0 z=j>32$=u*)_GB=?4Vj2@Ym40=YqfX=nH9WTHRN?&eX{N!zZd-+D zst{u6dnqZQ^ZV>SyYIkKdW<+% zV`6TQy~dBs#Ok0_|o`vvsY={?i? zJ#u$We`Vg_-*a>ne;>(j)?2}ID&0Bn^)Gtk*kCPJx5FLpj;5(Qo3PR7K4Z#X#Qx5x zGQjI)61vwH=D*2m>Ik$nF@s(lbwNinogwL+mv^huJ8vehKS_L6$;2lk3#CKc1V1l ziD9hJ%vjq7By?sc=p$b8D43EQIh#O)V1pf^v|N{XxMsbff|YJnUR&NXmYx;LaHG>@ zQFR(z!VNkY?&99IRvU5^zI!uEt<_o$6*9-%s(P~OSim2V@Ie4?9ZZ>Wy)?57O4&;A zMxHWc23;hJ9#j>g2hCbHIm@FvvIPK;`*E3A$(ilZTY1Wi3fI#aFh25QzD%Wr*6Prh zOvL=?9bG0*P?XXJUAT#k1uoc>?=5hM&N__L=`lq)^e%i{oqHke-dy%ZT$AY!9D8e55}3~ zONf3!=;MDL@J+xQbLRbtSIGzONbgf@=yD&rKLFpO^Qr!s5E*Q-g<{UWe$Kj_w%+Z= zg+xQ-9D9z>$PlS%lXKh}28b_2CW+6&3l@4Za1od&@#!m z*v7wzMGdfI{HGiE4IbgFy(1`ac~>Z!G{eWyW+d<&@*wz&vr8jtC>{$JW|w8(zAVCx zElzf^w{NLYO6^bf;c+mA4#(0<3&+wAxnsS@hwn1@AOm=B_$KfGvz6FNA@&~D*h&o5 zZSNVk9$_%Ue))a0C9;;DiTn#*xbL6gNwR=0wt#l3Y{_gCE<`9}a*H-If-gQEe3G3U z9{*JMyqbdH(dfL2I@Aym$7Tb5CkYc-KD*X#5E9yw2)>ShzZ1C+dZ{mQlY7I4=kH!~ zzr?m(6*abIs~F}aDFWOfLMhmie3KA&>dRSE`2XV0m$73#`6-Ml2&_>R8Bk9oUB1D{MfQBQ}PMZ!w&P3-tl zA|U9c zZC3Xj_1@1=W6plEv6kZa%D#6S9feRpvym{(52olBeenp!gWy`ZAG5A0)BJg)`GXx% zruh-q()<)`{L+UQrUcX2To$HpasW^1^OABM8XmIQH)h-WrYa2l%BylPJcnD5}d_S+?xZPzz=E&o{scmMy|`||F#jV#~){V9->cn;N; zCGLwdo_Ul++nQ(#NhO`9(ZPdANJ5)i1E6G0+TZ=vy;TKN0R<3cflD;x>2@fhHq>(K zuD_d5H&~C(S9>r=H7}Pn=;H9W;1Gf?`0hr$WCT@Qx=|qT8-5_iz_19XI3wrBw#Gu! zWTFY>AMQo^kOoV}GQA6h9muCF`k`Z_Gsx9L$3-TTFtQ#fv*<9$wH73`UK#|Va_?0% z_FlcZ331O5wLzE%^RV;rqT4Y)k*~+?%l)IvKQbE{7B#UeS$xPH|6JJNMkKmh$khVP z5;+%C*7O_@9O%XQ^>u@RD(t zrxkL)rAkS|uvV|tWGy1J=#L2V^E?@tgpl0|*r#_-g}&kMf}fXhX@E!R1n`1+6~HAY z62ZuN7C?=R0{#+Co~&M8KiAzqJ;O}Y$DPx*p3tkPNj7Shzzs(Iqm#RX{ISiFW6|9L zlg70xFp+uf9l z=s01Oqxk~?n@wDBqtNaA3SQ^Ch5$J3db0@AiFYorw$gSfV8x(xif+BR8Hg(RU4C8L z8X|T^9QA$?(UES!CjgurSaU#HnZWLv3<=Fa6C?Ev#6U@F_>ZZBqe{3O!$H0Gr|*wO zw&j8x&wuFIJ{>8x228OBOfgsLE`#%p;SrV^MI9HS>LxgPC%CI*kD-(+CVGpq2ukiC z3Yen^{bE>)q9(sD>d{CDeEh-^;pUdlHXa1PXGGc>bqz+k(OR)eR}mos97nfvdVbOG zWH!^q>rkWc?92VZ0k_DbhXR?hxoyIUFZ^@orJ)#!?!48aM%IB+b;Ta~8l!wcQe|BlBN1OP%hfFb464DO1G{Tr(7ba-HfYPym;2TR zwdbRa1~7lh6ms0DJKy_pBxw_>Iu5qg*1GtqrG`QMwlLhunG9SS8s&hInJmy4;3W#6 zJvuNt*5D!To=ce2x#N;GwtRyyMxJkw(ll!kU_7GofvPg1-RWMg2|m1J%$b`2w;ftR zaX~LHT&q;nxeY4y6;lM2UNTUzIzGfCJ$%nS5U(5GlzV%7holJ5{I?zoP(PCW!%G8F z3E`XaiPDZ!a&dsN?okKao2mRa*+5cUhJgeWVG;F)M$Vvh>1&rtV*Bnhi!x;y4lLu) znm|}XH<9Q*JvfA}a{rJKVSw!6E=rNiv($i0C2$g;P!djlj4qB%UM(kCBbqx!=5{sA zF6HKmgV2|*t~gOB*i*g7)X<_?Z!yEYkKcn*`w*Ru3>N4itl`i_bW`u8{rb}xD*bU2 zcmT*vv3#zfi+C)&IHVw8hxKXYs}C7RJQkWdmVt8Z6}mCW8JHQjlPLo;fEDvB%m`Xc zR+sYY%1yqaM9h&DZs}z8D_1HR(np3`*zZao8CF-MkCd1J;BvtGCvhY(wJ<9!G%DWX zQo}^lG6lDjcw2ITw5Fifo&}kF6gFYGQ8f6?S;1x*Yk(+KMy7g9F z1Bk0qT9@)5ra|ppTy>8+08`)X99?uXdzqxw&Z1TI@`OoXxg(_9OBJ2e!7FAqm8#Xt z&t|vNKK{e(Uue4f#H+2`?}sLD$AK9A3nLiFx0fqe)3UPHbgUNj72C5uUY(xC0^&QL zFpu)dQJ-x6zWMQ@Yo2wou60`N;AXhE^=$VMn6WVED0Eo&Ef-h{D`4{vqDv2-7``Zz z*mr%GgTUh3ElE^tlr$K(>Z@SP)A6Wo=dS0!2Vho;QZr!yso*kzmg?ypY6GkhO$AT! z5Fx%8YeF3`Zyq272Y^-@XrOTZ#|BO8&SDvl;jz;HyhEq>J*ZBo0uqIhJ;_fs$^f*a z>s4n*)SByxVTfmsA@`!$>vtjO;OOHg4Zbg_a(t^;VZ;LAkX^@qv{`bnJ9j#c1TOGg zn1sK6A~GCV&1_)>@CkXq94~L*HEB?s40fUeXw}$+kQKghUjX;K*cjTwfN@+o0MU6M zg15`uVAOsL91xmg*?ga~0*zDO3)F^6$Ax9NDa&v`CvzXR& z5UcBz70ciXuaS=@=O?|-<^q60k>!fk2-vKTL{#=5z=8b^`!gma2Z6rJgZ1Rz2Dfu( z1VfJ>6pb}1uh_>##UhibK0P_y@BF=Uly#9}RTSag>_LG{k2!TQ9C{Csj>&%uAr=_? z$-V|SU_nec8_urSM7p~@|F9Md;a-s%5DaN-5_Y8h@&aBviXLf>oLkp&pCxv?p(92y zppCemwDW7I_zMt~v+GIK)fIZuScJ~2ggQgNr@;D(3b`~yy)BrYetzzTPGa_Ir-@!!=n!v^w?%+!UrdLXO|no^pDdr1`ee0Q8r!G78A{~+e)nj< zeSBW~q}}TrP~2<=3b-4BXvZl<&@kH^&ojBz zU4!YHd1Ep4WZ(@Jb`w)k%UUkhGgz8TX`rxH;jdw!o)KJe7=y-dx3H*!6=bC_tx~j? zg7B-*i?S1;XuKCzia|5Ty%fY>#fVKsCt}g$cY*9T6GCo2h_hMXzlzh9@GgJyvKd+D zMW28oNju~P4JL0cY2@Ml`W9UXa(tt6R0w}vxTV6PiHl8$g<=)>uNhW>7ZrL~s;Q=> zqpoQ(SBy$IX2#!)ECuwtECNBl4$JX`d7?jm!WKPIo`^mo=K}twbi8u!5ioDf`QE;k?jto{`TkaWAk9+yq zJZv8?(oad6+-Md4%KmgV1RocAKl`rrXuISK#yC$%bz4k+kekJYcB&xK8k)jYD_s(B zQAF1V^XM=;$E&Wna=(eA_US1Arii04_-K|2ol>o7(C1Z4#tPLv6HAGLd_$fdI7U#bw37O9mIFDRQL z-WRB9#)Ts@lt`aR}Fi}?$>PjThJk|K3lO|1l#n}B?j z`>FhdiLNiG%?1F5lS8#74-`^YFDwT0tY%&(2@XHhe6&R6yAFJK zqg+*6rTd~$S;rn7tC+zOU83xggy{wc$TTh2wVtnUXab$w>7Jy>|f&Q$T|uhHf%o61}p9jT4$z_NZ2e7gxU^$N6= zuyigrtL~zTRXQWNSh5uy9@+aElH4X(vB9^M$Yp>Q$g*1zZZI>YOsO4T(unsf^OdEc zif=s?u=m6C3A2MnY6eMO;o!dq0c*&FIMAd2KpI=1ltqMSlQP7KMX0-eVu1`MlMF>j zH^bpH2Z!wxF|%3G;L;k+O|ZPL#Dn)E_{SLF36pSNzh>mIBq$^u_p?ePLzpgEC2ywZ zex|OTSD0?t;>*Ky!?tn`)0GGL(lA|lm>I)#Hv<_xKl|SKG;qD=fvjQT9^l6{(JRGE z&Db-pF!y}mbH}N~Qh?OsCca8b!*)~Jkf5AA5j}>^qcaTsGuZ+oo3Ln+#YIiU>DV*c z*euUSKC=A~Hgv@c-Y9G6jvLi-9QhUr%N#&&7t^14W+Dki)2Yu~K_sIabZv-k%eL;) z?H8JMQE*&Nd`M)+kV-n*=VmQiT4Vd`gEjYj=g|)Q+65j?@s!QwD@hF#-MHmVu+p4g z5CQirGsi3z=R1U1RV?>@&H)BPr=_K*tE-lE5CfVKRgV;70dkRH!rxe5DiqlZPc%pF zlL?j&F&>01Jk7j{3g2CpUYf?NJej*tDtX_{-jKY7$Cfy4GYk9Yb1Ea_b!B1dVgP$8hO>@BGY@Qz&h6bA)bA(KRHet2ec+QYGE9*p{O zCKAnUrm2J29hkBu?l7<|x+k#Sh;QpX+&2Yj|AF=x%I+GWg)Zv9Q(70Q+fr5Yhn&NA znS>s&XAq+F8G@irriM4O2hJV22;ik1ayi(Z7tQA$e4@M~^Gal@ zLx9DY7ietv$NKQz-m8w7mg&bFl*|yU*My&~AIUXBhnl z8|xsbf=$G4tcsF-!l1~>zqMhLx%PPaXb%HXJy7w?d^c{ax7^!M3G`1QP4A_Ze>oel zm>87G4Gn+2`l{0rN)aU^>((BnF2%jqzx^Lx=h}!Z#%=RoCKXE7|NM zsOl!0Yh+7lOrp8G;w4^}y@Y1pWm^rF@~GP(ce8nM*$*Y&*gzhq&JZ)unnbV-`&7Kc z|3k$nK32R2)7ne&_XlyQ#imo%#PEZ_2>ETO51e^+)$5y|+rM?pql@!izk3A|gDBC% z>A=qA-l1LUmnyv^Q}>1sp{h_ocxn1cYKC|FL!?_t*FY-odE9d?)>ClU#YGQhBfcD1 z9={V#i%?;UKu`j9dRWD;={v@Gu>?1F0RlJ;3a+*^Fdh?~GL!U+w_8b5H%iD2aX3n|C!Oya)S z6*e)MC22|o;?_C4?Ehh&eLOuj+gJUIu=SsQ0!Q9wlb;^YA&#IEK1_iO@OPIeMf6gw zWOP0V7%eo8g#<+U{dHZSu~aG5%~3DwDVK#PLN)|Zd0g6&{w&LXmWoSJEeD~8-pG0+ z?0Z)Aa=lfK+N}oQcfhDza1fE<`P@1B#r$~EIX&(jgv*dfMhGRNbb(SbIv(qmycjiS z2fpq|<9?R9y14v9=psh_2sIGNK!{ZnBZ`!A6d2gm$M)4}e+PXGs4N2=5yBykiY&3% z_MZ4mO>OCj&o0~D&WZ+&eW|YnQd4@HA5vaN*?^ILLorAXcaKQIPvlH|h4&~WwUn|w z@Mb}N&2sM(cZbXdE^0Fv+>9I^-yD0q;l#$IOOyWwcc<1IB)@)SIT!(@JX*uved?;P zGRbWk$mCJkKf@r|7kU^K6OH}xv#1!z?!uvBsF-r4RMX2QIQi{BpJELjq=^Gte@d0u zM3eHVlf1CKtUK3aQLoAL%~8(G3l@_3K**AQCLyMrN1&Tfl4 z+xadc2Uo%6hgrwtWi@)=u*KvCSEk&0+j$$ZS!c`ow^ z3hw_QoRs2)qkN9ugk!4!qbB@vQ^6m+uZvfnSuJ(aSFXdX8;FHE-vu)isr zBX!f!)}!l$OG>%wVr&T$-^{fKQ+F7A5w^?%KB)-jmV;d$g>xay1ZN|0SQs&1ijPc# z*I5=nl6i92!Y>#HivKZwBw@Eirz<`?1=ujohvLtMgU(w&8}HsZ%bXr#ljcX90@z3I zYiu6ikN;g$5qu3D(536Ydve}iAbuy`V^DcVO*`;2oJMIFC{wATi0hZpmC8j&8e_J;P1 z=8||{LZ&?W=v|5CsuM?#4?-_%T-i6_apNlm|An(gmqXx#`Gc@43b)J-lm`bB%Iru@ zi_^i~*vSvhWN3foKVYrMiGbg3_?%ozQz4xKGB47IqrJmJvd;MIeK>T07zqY0vVDu* za)-B=I1REjLLNu69WzkXy4gg)Cbc1MAfC5Km)Mp z#rf$U2^yQYw-tB0#9B$7K9C$|IvEMEf;4dAtp^S@&i!O6AQ$Th;DO@|zA?_I=sgqF zy~GqI$?CB{t`ZD12M37*0en47ix|@YfG#HeilB>Xdn(mK)YpakR`t7Q{b=$m4}na{ zn#-Cdw1@Vglxr6opE!7J0QYr?YzX&CZ-(KQ;1=E#6@90MsHE6XPwy76h zC-nUu6PnhiGW4UgEGT|d{lqjZ>)nZD0IU?3HT0}`!KJPyWc0(`&c?HZ0^fTxVT;SX zk`mT<%Y!7J$Cn<+?>UTdJ~Rs#K)#^I$Qz}TF$>pvp?8Zv8k@JKPv(=9r(wmJyj#i) zVKhC>C?eK^htKz=84as126rv5KLr9ybKC2;yQxspiqyD59c5N=7eP;hAO)5r7Uii4 z{EGmO2N;Cr5TVzi4l3l?81hX;urFgV?sSSg)(y)>#vBHX|1{(W0;+V7J#;?#GMPRx z6b;^B;BbdQRS+>TqG9qT3~EmbefWOo{VWM|>vgRh%j*iii3Par_q*ot;r?O!*gQQs z|3xDfDycG`hLL>-Q1OJ)psOu|J{z$tWcLAt9Ya<1<}>JpqNj|U$rlfC(`X?iBQCl_ zK+uT{qn1}(mC%6{S*4S=>~&;_O^8tCXvj0m^LgYq0~7aV*1#4L5K~S{FCTGuHw6I7 zNSz0F_j@rU<>h3`Xp}T!aO$<{`cXsvn9eyG1q7;aq!6COgxgaUqHY zZXyl|z<~gAI^WZMR6*71W<HPkIoMFdzEPv|i2-nqBM_;doQ%%l@BP7FNL0E9HhCp7!XEO2NxjcGSfj6iU z<18`pjZT8AO0%5f&ibz1R4OeBoHHVkb6mafW1-vmb+_BQ+<7gLa(}T<^OP0YhMODG z!eGybigotKox`h7c_%4j{5DS`f@x|gt`I8$ z5W4`X`;Ggw!yxj_(q7a_(Nk|NWC%id8qmY>7w{C|G_mKwHL~Rw)&orwTahiNU`R{8 z1YnwkQ}DBTpKf7kRJ$}2C{4WDmVYs}{7b(4OEi~AsTsODyfg=GeO;Oz5qSObvk7M5 z_Hin1ssz0rt-{$2rlYZjLyp!+2L?$ucMad08%Ei7uNB z4P5r}CgHMc0p|qj^u{vO>67UsK*8}{YvSSl$PTn#ZEb{donIo^5{O3B>`9da0iesZ znv!zdy^n)~Dn62)9dN6uo*oYibFSeACrm|=n5&L|u)cTwj~nWZiB$_@Yvx^lxsg@$ zgj9cVW;61tc`EDWQRGNa@6dWSb=08oE0}j7(rzWw4Hw#M8%*Kn{tgsp> zN2{SzRg5;uowKeDy3uzd9kXuP)0cT~krTr@`@pa-==otk?u!0lP?mNbDNBEp$_CR% zMk!9D5l$OC5hify2(dZH#}SCwtWIam+6LkD>ZXAUCbc5ZabqbUyrI@XBf(pXC0?e5 z`=@7NDkkAvs`9}^^+Kh;yk4ljY`qX>-^;u-)yAukq4vzoqDNR3CJEV~QSU`1O71Mu^SI+zL$!{~Z-q>vh-E%5U0w6}jvZLVX};#2OA= z&;}BIiu`Xr5lGnLeHJ7!TI~{+im9ouV=3Gl83F(C-2*2l5%OPt^15_O(aWy-D)aJ1 zkHFipkcp4&{CB|9~dt4w`Og_*?nypc1o z(fE+F-%~7+xK03Dsks?us2pNEqT(^B6>^W$qof;m<*bwslNS2BEWW>W23H3U(CSQzC?sPtjJ>E4= z0O*u7xEBcAV|0THl`Z)Q+umg6nSq-J8Ic?#s}Z(etCcrkZ2`UJQ>SnCFU-@6qhHM9 z_T_%B{VDTOr`AtgdA{tRcST$USYJ6EG$EyPAM-_v5CF3&k$0W}5$Dz!de8>$BI^8T z!D|1IMYET?9%g9GknEr;V+wZdFDCg-LPpY%pPCiQwf_X95y#lyi7FwORZ3RXQYa}rA|)5zdOL`eImGn*gSz z=g}+ef`$IPJqqXeWO?#pQ;(Outi}>?FBne`_QU{P8r%@ntXiMQ z{Q{xhF#`W(H;FjsBv49}Se!W7D5e3v)GF5!opCZ#he~kQKb1ZPMV#k+qg zo^4!NG`y9?c^yB9`X-#(yg2kT3O+WR+SHfy!Fsg8>}Y_M(I7AAOza^7(+b$%GS5Tq zw&5xP%F1OO(9%+KT{e7C#hPWgBpquu>tq1tH5d^_YeiPcrjC7h)mNMXLY%)!Qe4zR z1;v5};y2#!uH#c_goz*I-g|T`^@X;lbET;y6XmV-VhnJ#@SqIvkhVuv!)9G$KA?D4 z-G&aSg}&ia5dtvUK;uOy(a3tK$SEr}PozwOo zS{hhIO+w1EN5k%kv%G@=aW)HyrL-Hd%Bhfxlb9DRmvNJ+03_LHD)p3o6U>biP!c={ zbu8lRku8*I*GT(Et(j@XyygPgRs{S*Qzn#pE&g!PaGG*+T?oX>f^hiREagplO5W8LD72~{J z|G_3}KsX>w4C78QO#~weh%(@z26Px_^bArJpP`327(A7=Q3CTJfit+E69z0RdpOD8 z2p-tKV=xD$s)nATRHs`5Be{^G7o`!2uTdg;8hqTZYe$(_SXRtX|N!K z314=FBJl(1KJD=gE@NtXSQBBBHO0A90{;-;` z#LQVB8Y>2*U0H+x5(bCd=AjyHKABm7H&S{nN5N;48@%MSn<$G{{UiFgq1 zo~Z7{B};W_K%DsmTpHA>n60{Hp}HkHx$9H8uvArwD6F&~tcccY;|%25SjUB$--d=jP%p1IR9#^f z_;_-D()(=oI=^PM14L^CN~Dj@#PJ|8FZ&&4M({tRzXmI{Cmrx*Xy|X}&IpDcTOu8| zw)#e(33NW0K!DBOuiebYDqbl#NsxS%+-*dJM|Y9nFoA*dcJ2jhw2ahaQJq)0q;ZSa zORqBeexZXXKm+SzJ1i~yKISRf(2&NOWhy+tth@GL>JG^}@sz;-W)Zd?|1tQpJ;yVr zGkX$C$1XOoH}`Cl{t+&GL0FowIacc4p4hH4C`8xdzyJI8*|*6iKxdpFBH2+$&2MM? zDIqqr60F#@bF&jLhEd2f81zrKj_(y>b!hU`dQ}1uj1C(u(K>^Uc0*o6-96tO-S+Xx z-z8|s2xgmdNSLa9Wy4bJs^+@%uA+m(5#f>lo;`$2ES`wT5h`YQtO(dVEMxHCj4&5( zG>{~clUw|V>WB>J!L*&H2WRkLSR-&(dqxOh-udk_VR0=Vo6QX|Aw5@UsoB(UdubIn z!%_!Q)X~RJ5IVGfe3^QOE7EPpYVrvnE4UB%qJ!z=&bc@LX0$I)aDi@Zdm^G)7+0Rn zbX-_+cnd(0K3UMf?P95`R;f00GTXJ*t6I(JmX}U3fH4O*c!oQM=5Oq6&o&Sfy7xh{!{w%fRBfjm$N$2CfF#L zf%DtW(&Btd_0+)N828rPZ0-%hC-qo2tdW&xIoytk$BX8DXlVdt!E z#-+<0%(XOAirfq-ZNxVg^CXT1bcfpU5b%i65D$`1hnTs`JUb>~@Y%j{0Q2`sT-ru6dSj=_!k+%Cy`|NF24-$?Ox6%5H@k>41BWrB=d@f zCbLncq7HgrL?nODF@Ky2(xruC={B;JA*tk_Kiw;$M_rm z``#!W6mLi?kCq!$K;sg*GtkNew9PB9Zg!1kNF1>e>Gn^9n*?!0fATRI-@XN9qf#fS zCiJP2Hdo9UDKxje^UEGuAk2QZeUy3sDysoW@jUC^&Mn3yL3qHDGSwSdMI8fE$qY0Qvg2#=~Z`Fa?^e&0r*f zTJHBQ&yV-7&QH!RHTIygCZ7Or?h(OgNGO9cKyk?`nR30P6JyB`T7Cp^z_pwSIze1d zZ~t)x7J7w_R+~8SzTLx&!w6^ z54qhF#bObx1ft|Y(>9)ue236R60dPAK`L+s)*bu}ztg5m4pP0Zjz zs;Ach9o5pj>55Gq80y+4Y)0mrR^C6qSa2?ExN&$kH+x@6=@m8Tre*rn9xE@e^+aGHXA7>x}3F=j?urd zDLB173fJu z#uikEGP=qksVSz?30r)*dMIowQ9Yy_WAghd2N`+w)KOyD)L{xGlGRw{;$JlvVKjB8 z2xwVd3ZNxf#O&dc&3YxPe(*8S=q0NOA7wqETqRXq_?WCOlv_zq8`d@^;%L=SjZX~A zY9&yOe+|>Ev#`z6^!gL3l?oBk|m|WdH*J zS+|(*!U8%#{{x0(3*u`d`aUrw3;iuf`XpFCk-R8sN-#cB^<{YQ$dS5b4Lxv^WpG*S z5n8V%x`fuNdH96ZtKaJs$}t8Pc!QQVL1V@e1a#Zy$KRD%AW|{Fc_A0cbgarPaA!HA z+vkkjn5idAhF2k;~HyGUA5Q$axDZGO2E-9a5zRJX%8VTvhZxU@lUbu!DK@rfR$DYHXFT)dSgh(2 zP^=JfZz%{?jamel5g0$QBbr6dwg0(maLY;W(r~?5%-RthVgV}!B~z>(%~x?bB{(dA zWh{^>Zl9TZ(t&Y^V#-^O2Pse+Wf)*+sxcz+#nX*K0W~T*Ohs{B$V|(MOu3qHydUa= z#$-u}8Bn?)N<0O56-B)j=aEz(4^(ux_vVUWo<5&^`W*FByZmf+&X3cURrFF5OOq9i z9>+__hss?EiX6wKK$E!cM8iMT4rjryLc&&Bk@QS9q=D&UjmvK5tlhurc2570Ru^33T|K~tDyR7*(mm94KHGHOTV&vx9>i42XPt*A7;)?j{Ibwgj2~pztmY$l9;PV z)AFqju}hfx9UacN-fh|8nk5~G<;F%NZqA*P4z~xU9Dy&EacE5dzNwq!+-p$KG{#+f zpfk0HyXZGKpeNtBB~1V+fkH{X!SO6-Ca;#0o5y741%Zjsw497eD?)T#t1s|uAm3jc z{@4ETN$+xjUO;5tl-0;&=V$7p>8FVFDPOBPnPp0wfJDoT1;3qi`-?DxRYnH6UO<5Z zqk@SCBE-sp?n!Zz)J0`Db;gQOV)}zSpCGkIfI_aD3*d&0D-3`aTLhB_-sX7`(@a(j zoy)dbUl-o(GShY{QU)v~b7w4JKwU>xc@_C>6VyV4Zv}iJo=eN~E!PjTycpih8rVXV z6dFr4gQnOAn>MAWxWV21p7`@pL*yDI9ki3ys{uTW9Jy$w+$E*xP;!x^k_I2qs1(Bx z1JL*ul7a)~(b$3*cFn6<%^*!<00nc{KKccs31v(eL>di{!@&zBO@c{H&A4Z54r)xJ ztYd<#t&4L1)v|N4&%z{~(?{DK0ZyTmtrPQR3YSKvzFwGxlionA4{NE(I9oLxW?>U} z^JOy&*8u}?5PZ8KBOX|Dk4Uk8WS^wKkgGp3t3c)?_@42D+=VkS$#AZno4vtwLIxCI zXyl8X5#uu|X{Ohh9=x>8_?>y1=3=zpjEvuj>8DcD21N|_E6@AgY`n^>E1|s=v4>83 zhv0wUfd!Stcfm7YqPl0l8-wS;2;+eRuOH;B-g?;ryp%y)o_$Y9-0lj(`{PViQzzMJ zqgjpRerFlgU6%NrL71VtXrKTQ0m;C7F;26TzE`2aFX!J7{Ty9WR1UO?jydz{!`g%0^mtzZP}o1kS3>_5xT z{Jn8GzjZ7y_1214OM&_woJO1C1R^rvq6HIeT?-oEvjJd>2x;?ZjhrFIr3GrBAj9L* zQa4HileiWQp}e|kytCDN2*yCBUWv0{RVUP}QdvrR_u`6iOT>VK68sq(9{-4e2~xER z{F$X*Rjyad*j-(&){y^fE+tCB19iV|1LO+Xk(rss`l?lODi=~AS4dX!9IFz~De`;w zq~DP;i}=C~yNFM8+$3!;L)HBtYgrQ;isdIM@+xqqVaXUEE<)YXN5+9MpA8XTlloYF zFf#U*(j9#xA~C<*(bZj;6{Vzsl3S{6LLNJ=uzXp9ZmlFp3IH8YvB0ShG%NW+<`~&f zbGSkop>w{h1UiSaG^t6HDn$+F_!>*W_8r0$_41_L7tl1BIcN6#5LHw(_0wLK!H&mN#jS=0H(uHZFW}9(^eCeIDD*2=qf_%c zF~|kD~>F+1c0xwmElm<40yM4BaHS1rgLRxx$5$4_yLPB-(-x z?hUN%T|2|C?@Bk{-O9=U%e8Eotx^Q$mit?%uoG@IuoJdk7<$kNx9fyXfDuU838^S2 z5f6;a&CLEeWDGMj+V&XKT(>r7rpUE7GzL=_(p!$6 zca6b=J@`UzPKdJdr?Zfj(uhrRp;DNG!cJa$D$PDxt?8(W%A4Rpcz$7?rQ=jdBtb0B zUzO#*UI8jq5ZNP4dNmaQC8|ehZpOKSUyeJM-Of>)P!f&PrK+e%bw7qh2$SBi4ss86 zaur@}MI9fb;<|uXwmAs}O=MLEuBl;> z9UNG)qi%<=!sf+gKQ!{i2J$#{hNyQi!P+U9AY&h&#roX^K<*Rj(K!(-s^X-j`|l}(RvAo9D9|!g?5=OR~!O) zKX{$+A>L`i0K&IrM<<~f-t7;OZY8@asl4ZLu|C#QK(oZv4rU|14p<()6Rw!t7HrG1 znGYS#zIU`uMaUY$Ed3CZv)x zO@(1v`2p+n(}U$_uffx3_^xTPSBg3d#P%j;sbVAJxUhyuiefcP)1;MfmQ1MjCel6l z{#%y$#eNQ&6sE#788(iIsVuzfp8`mD=dM6s8Dt^I*ZU_0^5~zO_jlP>azgl5a)7?Y zoDfJGd_|~@3?wX{uwk~l2OOD+AwDK5lBg3ztch?d$W%l4BXWj}*js%_83c-bI0{-e z>IA`^5R!IQLEKGzkBG}|7~zD5WkbJ>VC4Kum^eh-5WB**C9@<=i9p9XXP5my%(IWD z$7cJge-XC+(@&6Y@!90(1)L*Bmf%t%oOryqJP)s^xfg!^oBp#vuxzyzs zAgAcxW3k#YU2$7Y9nYlNW?-`BtWjfP3V9`KKJo*Fmq8UY;08o)1Vlv;^=v4;z(S_W zEi(qa0!nL`D@YnLwA|sI(X(x1I33J`%<&kD7+1L+9MH&V7OTRZ6?Sq1XIQN1tCe;9 z@|&BGMQ~{XPqOUdObExwwc`GCYItMFCygS_fzbisH6{QBFq4*VjBLnI1g3s;|A$mT zm@$B=++XTNnULk2j5%`?;QmG{D7;RVCsnn|8kUv{^DfxN-fv^?@lEP!3h#)FvhvXT zQmvFt2cY!1%IFNY)ds9X8=zc~txCXIIZ~0rf6js2osN+iD1mt%hJd z8iIO#6NC<6rw-e_&i-Zl=$B5Hd_OjOC;vCqbRFZzk{gH%B`hH#8eK%j3%!Q9d3#8| z>@v`5`|H5AhaPny-h+~aYav?c8JE$^!2UBlg&$(TipZh2H=%cHJ`M{TS+anf1m_~hy=>QedJ zQP1pm@mGhR11T@okrz7+)!p@FQdhNs04vq!mGYD&Q-e<04 zeylr#;TqA#A-I-49zBcv5u2#*vcr)FgR7bRY|_ynvl_Sv>Db%W#;VHp zwqEDg^N$*4HlciAUF&hHT6k4Saz^m893eshiskHSK=neu!4;apO7*4&PW8-; zSvk+Tw+~{E0LcIj@4wxX?%@w-7<~2YN85G$=imog=lWmtzc=tZA2=DEcU`$*QL`BL zc$1?*8qgQX(Y~eQlU-^xv&%+cz+x`65eZ3nvXzt8EGG$Tv6Yq#X|b0eEyZ^JR%S|+ znZ%u$Npcb^Y~?3oD?hDC$6tOmnP22z0}j_)NeU;4O(|70VmRx}wJQ^w^6+FrY7t)% zvLHU%GAe6kRK&&Daw>+j+DqV6;(LF~swA>1(%#I(t4KAr%!;vPR@TF;RLid|JK@#j z%VhdAF#tNgeM^>*>3$?N-WO*wlr(sGY3EX-7)T`z#awA^OA`TO>2^M4_6!k=3;ZLC zsa_8p3t=90aJkkCffIFCPn+_X^K(@7+2%8Km6NKZhki6qVsV|N^l*B>~if@1a5I4 z14SlG75=7V!5EWuWg?w59Ma4vJjZ4XC@Z|9Q`FYIW3{$7rLny!*Q94{ZUVA$?r0Nj--mfZayLc4T8b>O7(owRBrSlJ z@dmCl16T>qqbjKB#74;N2~{IN5~MG-;n@=|P3*1~*T1EcC!|)YZ%#U>&fhmE?KZgQ zNci)2Pp17fbCRY;r5++?K=y+|xAUvvhcDtnibit3lV=4#cmXW_Mz{cP9dfm~&t|*{ zHsY`)R!nG3oDQkQfi=ORiEYURl3%m5Ht&(wruDCDX#QF&#$Z>a>12S=zqe2Nn0wQ_ z_-OX7j*ik+K>;Y8P=AH!(%#E6V5WdbHySz#-&Y)NecU;``joJ+oxgYXE1HWb)LA(^ z_~qwzuVZxne$qGkCug0DtG=YKr>~m(^43aPIVc-{VyN1M8!(Ma2`5R7ez#mI3`I(`x(mrp( z)%K=ycVJ@#mI23B{PjmcnX-c#!|*NW@aeeen0L6oA(Uqc6Mwt2lRlR016~LEn+PRw z*gigL_fj*EOE3~rdA_5V-!V*&!RMLg9cSO!O6SC52KQ93(%#G-VA>}Nd?@YwRP@Q{ z&J#&tKHD;AS5`>p*X~k2Zxq^o#o@jyJ(#Q>WKG&CEZ=rP%Nohkyk-CINu)cVSCrbo1K>n;Ub?$ zWR;;a@TU@>RaqyGNUOF9?ySFeFU~)i{XZ_VgPldIgh<>|RO4E%ePFl`o~-DaQaEk6 z7GgOj1!d_{oRDEu9s?nBA`oO6ym_T6YqHUFICMOiVl?UCjxz%+3lQMODA6q_lqM~b z>r_!ISXfd!kRPF^#l<6?q0ozoK8bLR)e6(O4-zle1=EJ*B0g=1OU(FR5P=soA{U7? zBF`(nz;HUT3pBScir)rPBJlRYLVm)VJy{MRG*dTFiF)CxsGlV%0v;?6OpZZfq}bG} z3M8#8z9M-oxanr(Vw2u77@$-j=?%g2B5B2x>ol|NFX|fjL|J9-Ck;?6A5}J%T6kb2 zjSpCDOcVX4uWzVY>AFxUzpIpX_ABMUe2i0mT_})8#yf*NH1>^3dB^x0{`=l29Taa! zJCBwd)L;OE3|o=(;}uv(yHF`8hqXx0e;VApgM<2$56k%WEhsxCJfv^4<71BO$-VzT zstO$|oTpcve^H$UuJdVMivg4?1VlaXDJMsbQEr8@Cg2r@z=aC;TPWf8Je?nZtkRk?>#ba%E^%ys}^RLGM?uKP4zG|Cw$ z6onRCZh>1qV;Tt)XgoR=dg;fqFYKk{Ux0SJYv*P+>c!7gg!m@8Z?$q+XRFnln-QM| zh_?XawSV3|OJyBZ$#~^@@r8w`2z2X;X@FTqme5-uR^ri_jx68yupC%F!m`*TdZxJ+ zTo0`K$#iH7bS3;dS0!;E+mg;7ZP&9#(k7^DD2W>zk(v_9f=5{_Z^2>-BB`nhtBP8r z!gaoWTO8i-#dZk=Fo1v>R(Sj$cw*)d9hv;7n|FnNRAEBK7eu7m1+=?-j0XY#xgVby z=~k*}WPvV~TUniyafQaDL+hUKI_!22yxb&*<@BO#2W*{}*W+Ec7ot@X?>|AcPUW?52yX&BLvmk3({!1h;SfyP-^dd4o z2uLfHz)DpO%+FfuReIfgM40uro-rlT$;g^{RQCeEkU=K;t!r}C*rq6KWv$4F34*}B zE8XZf5mw06;YYSewsf##tLst|Mx{9P7*0q+mra;UX(7JTdU&xIPef!Ro9pSpo}e5D zR3$k)!hdk5^ZN%iY0($$=;gM@cTn6b3?c(&-u0K8<+zK<5+4uFicO*HNT#v5%A2sa zdYxZSPY(B~z3`;pIn%Qu5H`uUu4f13-MMb^+)gF+Iqb>*|jLs*{&`zc@Kjt)d~;H5deH;KyZfN3OmY-X{5Sy;%_3me5L^QIQ)= z=Tx9CD_UVovwVRAoui zA?=i^1`AVetk`g}Tp|!Xwch+zb#B#5C{Y8xAq?6?=;@L`Tb4-*RPK}HqS9F9`X)p| zg4*B9pD>Ha-Mnf~>vP)}zOV}vTu#h`8D#22Wo0WkGmr6QJORcsE1~U*5 zKXv+M|H3@IIQqprZeQ+SUH+maVkXv?mCDouy|5#nRc}6nRtX=B%xS>KqRDj%z6oE< zp*8!l(BF}-_7|=rX>(uEk8HToP?DA^n*hj@*J%yF2JW1Z9XVIz4x_g`LlmY0zvMf$#Mq zX(h%9P4DhJ+h>V?cXA8djR~zajeD=bK%Z%N&&k;()oA=IDL~@jhER|cON1vR&*=M+ zf~TFc&UwGxKe;$3BMApXdjb@sz-Lv$DGY%MYdglj1l+><&N6@tC({XXnqa_#DxlAS z0n!o+^g6BTy12omszhUv=wm_i$t>P4m$zdU?^vqyaziI>t+8U5KiMylQ51kx>vew3 zN}=TPVWN89a?vx9yoog*`Jq2THe(K`Dbixmk@=em0CT)S3fU(3qb2fyFYsQ>kmSDF zO2fm5YT&AkSZFS++h8xdZAa}=Dz^|?cOq4y^}C(+nU;vFteeS_ z3XUDLk|5x6Qz{f-CxTo{h}vHjX|HL(ac~37k{P)9K58Y$&3s7AC z-@V~@<0f!Zi)$Ry3yCa_T_=f54Do~J^MOG_)24^2TMNZr5|ayX}9hBgKz-Z}R? zt}wQK^arY9oESV%6Eu;I$CQqNx9pyA6gtidoHF!0%+1p}pp;?1q7u5QgHhdLc?+V; zgJd{K{7?ywz5d0e*;NRRv6jReQLe>@-6J*LyC7V7J_c`-$uoYpXsjFae||r7r?VMZ zNfxFJ8c7775~YzB$aujd(-kKqP?qeA8iw;qQ9!^Ja!i0LGEKMv*(ZEwY!i8TWIgXJ zfJA)5R|!iSAJkyp>lc0cr)Ma>9CuFJe`pClikjpcXEDvw!h7N@@31{Y?F5wEqR_*T zj$jh=I-9Z(OYT%jf-P6lXm2nxQiyEufVymnFGe;`ru`!A95p&vrL->JNZB|+MBd)T zRrjc~-#$9(^m-TFtju*vWqQVDU+w|F2^BXqx||T0xo!Sq>P!m%^m3JADPXE(tk4M< zt5r7%Q|8GUefcg#8M$Jn*uy6l(JUd%yf57>De};W1s^71%wPcGKUNk*z^5*feL_c@ zyyEDRC2Es@aYmyI%4BeS#sVRP#==T}R5&AEmOv^pR1D6DcGY zf+xZRMoso&azQppqUF9M8}iHYpDmiF;9sKYzq%7`BjyYcZ6i+Iav*Qy4FRh(t3N=q zR@$6fLNh<)%NDUz%wZLwk!4&`-pG2ib<$C8f)R|%@sOVFTq9khvR>4o+nEepn#@&F zpFCQw1H%f`cw>|9D|R!XgW<%!$8>Dep=mjiKa56J80qMXO6yv`Bw_rrdvcyRVMS8S zU;@DC44S(8a59!DdY39?4GVIqya^8S=NIN#C%dzNSe(Bq^P^o?g432xqO}S&Gt?X9 zC?Tts(vkd9YFKzaZMXWi2XD8#&rh7~8|%vO9Py|&<&Ko0di*hL{N zG(vm?#%`d|uH^u>UCMn+9{~g%5)wIsPQ zIM^}w&Sq7^yjd<+L%C4&>Fle7L)ncpmVYh1a#rk~gi$Ev%a{3;%JSuR`jsvk;!C|s zBSLT%uhLp&#i|#DQLT4=*+cuJo@=+V8qhpUfbdfLRCs8h->4y2i^pxP4gWzTR@oAr zO7to{(dq2@FO%g|3p%>B3E2xTKbwc`V{qRca1z43j^reG;ea6D%eW(RJ*=UISlK(;qNfIl9&60V&fF;hy8 zU&sLbXW=JMK^4d|NGe=t8gGs87qWhTmgv0Q7Qd0RzR1SR*hq`@vzuF4`!%o!( literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db new file mode 100755 index 0000000..c1ef5f0 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db @@ -0,0 +1,9 @@ +#!/bin/sh -h +PYTHONHOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/search/pyh +export PYTHONHOME +PYTHONPATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27 +export PYTHONPATH +LD_LIBRARY_PATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib:/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27 +export LD_LIBRARY_PATH +/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcsfind_create_index.exe -z "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_sbyVvx.xml.gz" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_tapi.xml.gz" -o "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp" +\mv "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db" diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/check_fsearch_db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/check_fsearch_db new file mode 100755 index 0000000..58be4b0 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/check_fsearch_db @@ -0,0 +1,57 @@ +#!/bin/sh -h + +FILE_PATH="/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch" +lockfile="${FILE_PATH}"/lock + +FSearch_lock_release() { + echo "" > /dev/null +} +create_fsearch_db_ctrl() { + if [ -s "${FILE_PATH}"/fsearch.stat ]; then + if [ -s "${FILE_PATH}"/fsearch.log ]; then + echo "ERROR building identifier database failed. Check ${FILE_PATH}/fsearch.log" + else + cat "${FILE_PATH}"/fsearch.stat + fi + return + fi + nohup "$1" > "${FILE_PATH}"/fsearch.log 2>&1 193>/dev/null & + MY_PID=`echo $!` + BUILDER="pid ${MY_PID} ${USER}@${hostname}" + echo "INFO Started building database for Identifiers, please wait ($BUILDER). Use VCS elab option '-debug_access+idents_db' to build the database earlier." + echo "INFO Still building database for Identifiers, please wait ($BUILDER). Use VCS elab option '-debug_access+idents_db' to build the database earlier." > "${FILE_PATH}"/fsearch.stat + return +} + +dir_name=`/bin/dirname "$0"` +if [ "${dir_name}" = "." ]; then + cd $dir_name + dir_name=`/bin/pwd` +fi +if [ -d "$dir_name"/../../../../../../../../../../../../.. ]; then + cd "$dir_name"/../../../../../../../../../../../../.. +fi + +if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" ]; then + if [ ! -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db" ]; then + if [ "$#" -eq 1 ] && [ "x$1" == "x-background" ]; then + trap FSearch_lock_release EXIT + ( + flock 193 + create_fsearch_db_ctrl "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" + exit 193 + ) 193> "$lockfile" + rstat=$? + if [ "${rstat}"x != "193x" ]; then + exit $rstat + fi + else + "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" + if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then + rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" + fi + fi + elif [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then + rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" + fi +fi diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_sbyVvx.xml.gz b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/idents_sbyVvx.xml.gz new file mode 100644 index 0000000000000000000000000000000000000000..7b3678d904bd72750685957b04e354da16bea026 GIT binary patch literal 113618 zcmZr%V{|6L(%wz7v2EM7ZJQhWjjfGs+qSc@Hny{|{l-q-e0%Tx`^`ChX1eOsboWd@ z)z4J*5Jf|O`|kmM*<+iG-yTQ&_6dTO+Kj#3iio^8e3WAym#6&3%2ur>_=F!Y4=#})jr>gn8RBbW`mMpM@AC^(|JAnM zyW#hE_SVpCxV6p5#TD@OHr>wD3p#gi_4_KX|LWd$0C-&YfzB=5^uNw7h&(px8SCPW zZr|t_F(>F#-lDYh{XduA+}+%o*Z=7q>?W7Fgsz{6`d&Z!zMi2rCb@_JfIc4IH;X>Q ztxLkVNy~s&f3MdAE+Wo$t&2}5FPA=@JBKM!c+qt=dg(zQDmQu{?cXTV#Q#`3CBPjdiM$m?76RerDki)(wgKR3RI$K>>rqicSP zkFf8`i+|%y3J?hN*m!(;F;XF-eHbV`6{6=f0%&<$96g3V zK8~)joeRGsK8TJZ2J{JD5bw3NElnQH&({(EMR#!^mdJOQTush^R1rPqJ&zM(;*9Q6lQVx9>se(8w;(YxO%!^#G4m zqWJ<;#46d~wjeu9U5exJ0KN4Na)S&LtRJ?C4=Pyi*cAam%TpADQ|ddZHDw+WG*$~} zbWbfLm@wnzNQS80C8L^Y=b@)Q-8)wRGP*B}F;zPA2Vb%8$*fOXEnt|MZ3p4g#%H=D zCQJAxb(-&=kQYfyj_Mq&Rhew(Z^;I6RQ>@7G%RE(?BxoOl{^W!8X`7XtQ=9fk_vMo z?1E4Sbeyc9#YhV(Py{t~k&U?caz+H|WONW}r6jqkIR$D4*2NhhF8?bvgp{^`y$Z!z zol4ep075j2Jw=9tG?|w!dAJ4%rp1+C_rE#dIQ@WN#8P1kl*J96q_WsZe&1yfyh?B>TRjQOHxJJR^#9HB@ zn3@ub=t-u_$5vn`S}snwH1BpIF{2+t?5~pSsxpWZu?NC;>vaZzy8q%g<}A~@6N8Vt zX;A(?MhnBw_{m)&EOwVjax_fR)q~pVP=|r56&F)Y6$keREzWLk%-igcSBRAWx)P6F z(txlSrfCRszzA=o^b+W78vd4}^JM%{?E+PkvL(_-@p{$=y6F4(H1_yt5BMzr+8BB| zaDTpkGTPqw@+SVM2y-KkdMeIBIkS6F^H13@KDdsY^U5ckE02-(BO?pT-zO*oxKU=y#9aiPAx*H(2JVXVeiy@PFi!>Gd zz$z~e?rc5*?M6&H8v))n;ru&YKTLbFXpj)fdTScGtB%!nK%MLMXfmfi$<4b>5?F~~(+?zi$lq38nm1&tv%}e)}VPxHUyt!-AFFdaG@q}sK7{q8) z>6By=7toe${sZ;Zh+GKkr_rOWH%ILrBP279 zPZBY*6-v$`g-de#yAoe*dj|lN9X=?b5XBZ9Z=TvcND^VaRez`My8CF;KE8T7t%!Gn z{hmO(dM!fpHo4{e=T)HF!Wc&NdumytY3)9l?jI$cMnUcN@v8RLQg>@zdno7VfT_8t z$LRP|EFqD;94`SND%2!F-*jUc{slJCJd1x&90@PW=t*Mia$I}`fsi$coCjYII{YpU zY@_HcN+>E7wYt>!)v-6oe!yt2wHu7A4ab z~rII(U?nLb&QId=(C_r1lqoul7zT zGaczL+8R}7@zSM8+M^|3bAn%Fjyh0%bLzA;hBo3oOEhpPlWjT%0p06Y#E~dDg-F#I zxGF4eMNz*?W{vb@nr!Er| zVIdH}VU;=^;w_bOoyaLrgXz;;UA-70nVkiBWSIoFPR3ZJ^N4ieOX#RdSr2!bNxF{b zoJ(PL$b#|;v29av=4oB_P0}gcQY35SEX32NDgnlTr`!Jw#V`}4r%Fk`8j(oz{ZT;# zZ1&BuCM9}seZr*pc?yujwb4|GK z&U#O$U?1$}K;9b6#pdQ9tkicAx(n~8y(oFd;W@Rs3%6~VLI7NCZ=bwCA~Loa_k2So zmH7kuF=nJ|fTGnA#2)nnt!$Qr_T+U4#ce@Kpo1$-p)rCgXWfZPpWuL{m5d-G_E2a< zZS1n&Y#ZId#-t)K2Fv33p3_AnVw68&;Dt;l`1Y6m-CHI;zB|3_Vtbf|i0X3@dGxBS?cu3}1@6gk`*$xZ;hKWp zq5WUYAs%j5@oYebws)T%zYa0+p9pusDIIhply1VqDcSBtr0CX%&=HX=#|N?ePCJUs zqLIVWAePkw*^rUKrSi+vhzvnetWG2LT>0OVzZwEiHnQBO(X7u$_x7Ujg(XWGguSyD zEtJ(vE9!p3n~vSGxQgFl`M;Ou;}1NtAUM?_?h7k>1F zyw4d}>lVQf;Q)vO{EA4h1&@UuhT=0EQ5K2H5S5NBL`We>i)#Exk2zwHMW{vP6{SaL znbt|GHt_x1*P$G(g2M>s`!kFR7Uk%ELuhmBF7822%AUZwLbGTHGm(!)wlbt;<4NoD zZ*jpHAic1%9AO~NntIF!K`+=}pooVPhwC)pcpvF|Q$+yuAyKPjoX%0$3pyv+r<4go z$_%9)r;EENSk8LGBTg=+MW4Bbw+gbe@2;XtqAhH&NQ~*lWcm5?H)kKuJwLx2sbk)H z`gRwqlc4zu!UcHwg?1sUb)7aXIubd%6TQkroh%*X`f1lcjaEONYoE$1<b@8dh~Wk>^Q{q#YP~2rcEXOw63f=`tOR;ZB^r4=2wNxk1*pqsh~=P z0P>%q1H^<6gt-OK0Mx3bobPM zn`7!v&~|?APg)WrX|P3JFUFKleKUO_J1uRO+B+qa1Qg10x-`!Ci34b{4NIl^z-kwg zQnjqdEov7$)KQr@z&))I1zq#pTi7QPHwu%cb+b^ect%{hnd4Dr=Lat%=}=}Z8KyoZ z(r7MEJna$R13$0|T6N;^HKhe@?Pq*N+);0$_}^fxB%a7Ig^X9CK4|U{%+#x>;FzY2 zi<3W512HXloJmxpbl_Zoa?Z^pH{Sej2%Z9ENP|DrfHqnZ}Ek4k&bD=*K_Te^irG?rbgfm;O4w5=tIeg9*mysV{Nxm)%Lm zk1<1L@kvN5WjoW$d-z^lEyfy@B``?8m>yH2gj-p$B6Drwe(mBBG5+)Ma$qF*yUcU# zL{;cnGY{hE=g{M=ai8J#wMSn8F8}YlH&*=13W=KUtXA9ZVUF7UGOC)Qx1XM?%v^#Q zwTbQDm|OW{E?v}2LI56p-Rqy-pRHC_kC|F<8jm~Is$C|49-l<_yV#Zh)^0qweV|Je3V$_upd|`x@4l!B>FfrnX004zp+{IshoPH zWmP*b>a#u6y(bRw7Oe0&ZF3UgVk@3C2Y+ivkc%B$zf*m|ve@SQHbNQJVf-+~E_`>- zjnDcKI`S!9YA@gq*@zg9)>d9{4Rah;p!5a%f!AddUCG)9wtB3l;i1mqExn9|O7*j1 zFtU`YfWe(!s!n{u(TpeYxEz^9*oFH`-kR_?I?a&IN%1Q`=RksLAPoTwC-y7*X0BZ2 zU`pI2_|QD9R&?2OFOVp1a+*M29Zv1a^Am6n;G!kb_8R25LI<+~q>O~+$cEJ(G|=ma z=W03i83uej_V#AVjHula<8$3VrV&`Zg@=m$bgHRPb>&cU|riC>Gl*#VmJJ z>m)CxLIQ6I%8wP)xX<$xkp&bMt!U&kF2@q!lN&+ipq$EAf#Y(9HB|7=1?!3LF*@AL z?LDC;m(GX(xX1n7*+DSVSvX*Bj%?DJoAAW#?Zp7!dnG1B?OsN}+>$h>_}+qK(eAbH zePyL{dpoY`8DC2CJ3)yAu-7n zBqFX%Vmd(5qNSkDeXyf0J_V7Y>V7=YGk5!niM^Cx<3)S!}QO zfe5rZk@y=dFD>+~;4_kv_z}4OduUDF~HAL~)rkav<2b z!ZCxg=tb&He@+Gc-=q2BgUE#lcA`*YR+a`s2I}acLDGgAYe;{0gg#rYH6~Hzj)>p} zop%tGpo?nk{WMw=5bwjDt>5EZ#dActb@#;>wcNW>zzABLA%mX94XOWV`CHs(|Lg7O z&%GtnzmUgEdA)shR5=foz>dun7hABy zBYy94^2>^F@1F@D_8n2oVB6WS7@zhX`*>grMj-?SYqa*C@`L#&i)IgxtsMe~r?@}R z9Mo#L0F9ruf;SQ*QUm)T1~OX3O!pEZ=~;WWEoOlN+$m8Nyoeg?q9or3+Tv$Ph8tyr zGY})InPpX4!~lUcnB%5;;s$)&ii?G}%<}V)p@(1_+d?YIG08Z@UrU32s)>wj zqI~a34Ct>Uek_#SNvFsg=ACrp={V9OPc<5*d0CTT?D zt`WDUQHw+~E%rV$Sp(9qBw2_QFLef=9NsaG*bKS#d>>h)i-TS*Qqc$K6vX&sa)CAM z^rJtdP*GIc^9;1^=-Cott`35kb8BK_OL(WKm)%s52Y=T|AouUevR{_0NIS zU`di(dQ@K=0Fdt4)wv~FxJ7qK99FJ1k=(PK$3#eRXFY%6IkzERe3o-iw#H@j{`9n1 zbH*YWDvhG}0U3PlKDLSkWfS#B!=iuFlRL6OloamS{o7B9_#P5|!rPERBk24n@E{z- z7W}3-3B0H(%(&(hZRR*3#=Cp(6aMti@>sXJ+wiKjP&??S6lOgfRn`?7X_o8f>?@R6 zLvHmZ?%os9HinJB)o2-I#M8cLg49tbrGE z7y$}Ci=D|plvw$}G3{rfHU?vmyVCcCEJ8#?_HuF-ezzD$@n;>_Swm*vWG+Y3`!qt6 z8OaAQ4f?|vWgSaT-gMj?QQ7IrHJCWGlABz-Xv zjrj`68=x^`cp`?7{Ko>O$t@vYNOaYLg;Y3nm&A?IvdB}vmc*W8(I}$NPSs)H8M1>1 zbV=&P4pb;vWyGm1?^~km1_1%-sqSk9%9Hd4tv>Xmt9FbqUUv-)O%2~t|2R-)8a`A( z962W8SfrFW02e zZmo$oWE$bqTBKqsXpImd|0{%@6Qvn))_`^j+XD%@3~H}>ZP9(`n~Um zC|nWmWAlZ4-anBX!fKQ2Pj1nEHS*O6=`e=-NJG;>doAWhlv6st!?Zd`tgEjqmaYse zKQ+j<>BAJAKk1WG`cq+zNko))A^HyRQb~7r5QhnOo&hW2+Z%5^JKK4CxR(gZVAbaG z|GwJA4(R`OcdF8z_xF1ulIx5A&Hs+%>`f3=qGD*s|Mg5CSLD>&=lf)@$*dd7>RT9D zumhZ-We|ti^C!bpud#G{k?vX~*>n(a6vM9dv_&+&jVP^@#`F%r{?~yIp9f^(6A38t z5KwGM5G|vyfAT9Y#x3=|$@I<&jxnx=M+I#3zPHb!ZtLjhuu?`K3YHI zC|6FvvxC7+Z4~g4tvM$zcsQ38L5Xcl>)+U!|Nh~qzN;KL+kX7QOQyV6(LYx>^mE=? zo{*)qU#xe-POBYfSTiT4XsGJK5nkb7B0}y@uv|oy7`fSP*f74=-%)QX6QrOuU+%Zu*dnK)+n^zijH4g?n78C82Y2dN30~py z9XoNmL*e1YeXp_y9;}pj@(<7eDpT2?EL#&JwYeg$TUg z<`xzt0;*{4fxkIGpDyK>Kf*STEP zgUTR?*O!#YN7(Nn@$3Z^3}^0XyKN&i8O4nNkzJoF39GB{xrrAau!b0Mc0T_}i2I{z zP(;drftzHz1AslKg_8f%G(4`smH*F?wqo5i;BRu7(uIJt@EaZmzHLaC$*vHZaqGTD zGJWTU{nnOS$YPZCG|d)Y$3buPmQ6f48@}^W5U)*>53{^J_g)2s8~whoeMo=ts4Z7l z#zk)4)s_&nE6O~~vB%gRya3h5-dJ&4oBqM|rT6bG{VT2aSwHOR#TUopJzi$xYMLm% z5XS`-TWr>7wt&q|5&V)`q1a zxZtT)oV_HeO?+z*hILQ9fFv17P^Gv9bspL?4q589{(`th6A@QNVhp(NjQLzb8S-Ut zuUV=v*0s|io?+}uYQI#n7rA;kg39$pWSNd-sfK6NowfO}9*vc5Szq1;x^GT*I71WO zeEL|vpNv#6${8q&Y)3gZRAC9uIED-1#&6`#sh};LtAGiojg5oxcZ>nL_7DY0rC6<* zsT>W7$QFP0ka|ZPS-bC=M4;lsDCZPppw%qZW^F))D}}*w zx_T;Kvd)Q21!th7ig3#S8YrWiaWwiD;3Y)}50hMbv}fC9Xjz$f2}jp7df++^6ro>$ z>*hGpn~BzyK3;jOt{{!z5Ls`ueO)un&KQ2gDpvN_?tD0Ufwr>y=4A*e(}-F;W3iRZ z&UCg=WeBY&HLd!3x;>vry|w2cPyf2f&ALF;ojTf5E_N`qa= z1<+<^FFGn}%Xlhhcr9a|>d@_ZrB(5|p;bTI7vPqLW))owlXK0;7~uO9c9JNMDRZ1?6XJUNq0s9U zob~1Sv}$*c>@T0)=kMYLI)CSf6vK9y378_!)u1JuEx(#I?D=HbA)I|Y(mAG0cZjFj zXl0u547S`n$oYIM=SR%D)xt`jHO%?qFx4>Sk&B*`Mml~ozUJz##VMl5j~qkH9J}+F z-R|{m{y5t|dcEI7E$FP+Lw=WKv<={w771GueDS!#HawFnfrer5(Ch zC^v;&G)PRSDXF2l)gUC`^ZE8M>m^d+xH--yes;D*kgFc^)c|U7he@y^W+XdsP&dQ~psX|C=|>%w#m!hJ5f}p+3)dS_kFNQtDq$PpkK+U_)Zs z47wmkClMmmc5Qs)9)Fj_Rn2XND1pIJwcZn~bS7stT@N+dh$&*M(=7t(#HU%Eop8cV z7{634&aVe{Vd`}h73(F?XfxJHXecQqNg4GkyJ@^KS;+Nv?-Ucm?VwcD&Hm*^`_9SQ z#=|8=j&cCuz5rPbOHp%=g2Nmmz3C5dlYhXIr5jYh2^`#fJ}6wA?)kj zdNmf-k3HBfCD>&i>Dir_`Pz{iDVn);!tGwcuBmB^i(^?-+U;EEmrG>!ikf}?#%*_< z)rw*BFAIeJe-v}d|F}7bc|tZpd&khsqZLtxv;+krRO+|qrv<#+qPA(i8S>wMO-QUP zLl5D6=0|ZO488(CmR~Q3h*g=;y3=7u$EgJFc?YxO9e-wjX@dWJ>DVXf#Ti$;2R*Jy1%I!Y%7(bDXA=t+gR9mL-6&@lppk zEnJrALV10(b}1z&I7_RuwU^y~I=C7Z%C$dyG}j{KidiFe{?TF13-2obi;{?oP#J$b zT$RW%Vi-wZ+t0IqJZRQ1?<+pYyRu_X^o40tvS*jNs$)kqBeAbkMFa8Kp*}DD={xP) zckD%+>irDLV6Ugu<`ajV6scOa4);dS+xDYuILlYqQw6|jQIC4l#I8Vq*VE4^D}W4x$FnCl#`<3e6(dY?MGo=%!PQgPRwh5+ zla|M6w#wi3E^%1_M#Q}?GYiMRdpgda_p3%Qzn84;4(wAZKKkrTTAtL)HDR_p!AwL? z-u)0#Rqy-sv49%4B8dG-z!)>Xs4<#D zO1fCAB;!mtWXzl~X%f{|O2`}()lM$WA=)&-6SlOQX!vOlA*22;dhq8i+#y}4=uf+) zRJxd`zN7PK>1tP3*YXqOCD6_XN9OX`z`^h>;UN0xKAI?C%1hZz%K*FxbhPj$T-*^e zEbs+d{$mdL`+Y6J-_D1mW!mr3->+lGeu(wMv7d-QOt=#_#{c&Esmtig_4eG&!g-1` zEb!a$E1g`^R&6g2-gP0R&kN{sIdjXq)v4)L5w|HhA&#Xn*~&whD)3c}&)AG=r?;oY zCn?gvu`{}_$H^Ah>|m6K!FPT{3Si<$z1)K+cAF_MT``||BgFqv$+c2fiPKzl@ERcg z`E>byueGj6N?1rptc|7x*iQKDoB55l_1V4mt-)`WeVZkgcG@J<{iVBy(i5|88h1LJ z^yTAqUCC=zaTH%U;`yl@D>T_Ly#?J&)-g$EK!5T^idPS>-Eob8ke@N7sK){F!Od?U&$cyAe)(%esye`g*! zu@90Udk=P|P@OM?JtlHiU#(C~lAe3U*jneRT0TB~;Pm)BO5>CC@CV!$(#g`qBQPpW~)m^6%ag8N9P3y4NjAstv^e8+`<1Kx3{o^7n zKkg3Iq4%V)FV2LDfr7!(2uRC&H(bNt0_&0;#t!RVp1@k4fm(zjq#^E=j7Y_-+QUBu z;IiU=h{N87PkSpd6X$`SQ!a-R%^2I!OH`u30(Mj|7_oW8P44m7K+MKYeb%&AdudEF zOtW`kaig_VfjVa1o584_6m8+z%5_ltW)FWcikw!vw`NY$US>{pa5(FPLukW2P z{Kg@!Uh{KDpL#T2xH2L3I)&mPJZBOOKrb=w&isT_x80CTUmus|#^NIJ(6fKN!|oU} zQ#+_}=*TqSWT%iJ4)9zWiI;G|i-(bO3XG{63img+C6^vovFFIb1=;j5Bc z+4SR@Chfu=vtrJM`a(3i{^E0yzH zgK$cBme8Nzq7(=rg7xVV?iBt&CgafpBI4at7IZBmGGEa0AjCA%eDsQS&~2CheIRJS z&W7FQf|FR?0OR@m(Q~wQ?1+%4SL?ov@ps*_jEGPDm)wNzqi503;&&z|C0_(wSh~%- z7JUTmL2}M@w9dd%LHQ2ue+=ZKAJ?QcRF>F83yO{#J(2BnpH%!Z$~e@391TGnfAi^_ zrAt(sH;tk)X*F^|HN~2zhOqDKmS;riTqWsrPd0Z!U9`6vRY!SRTd*OnQCy|`si%k5 z`$wW@_)-#`d1zu9p05GY$b>LW6H+Umq%Nj|L>Ag{>yZjq#VsO6 zH0F#W+s)$V)*e)(EG%zV6uChlA1WVu0Sa94f$iCNS6X2LL@D>4b8c4$?lC3JP41>o z5AUwE{aaT%OKJPrNk#o`N~N;usE@nGGqU1xcEsUdI>Ii*_N$H8}*p2D$ zB)7l?b6am6QdN~LqJDCoeM_;!j~O6%Uc*LhhFE}cWupo zJToilmY;vly)=XitcL=-tkx-#b>a+Kl!Oys%0`i{MK~!?|3U><#C2BZC_p!zmXHOc z-V+wNcHtK-1iK*8Fcn#BF_OFd88_VmZadVm08V!C-hlJx#}@I8yi93p7_QoxC|$(5 zVn#(>Z6q@W3Y8ttBs_)oJ2{I!%gLg+P4t2zUfJACQfEh*j81(1^H;Jj9 zEHknBxUHr~1PhGHpa%&c;x>yg_RlT1WO3LfAg^(TCc#eohA?*3fY&7ze%}*pHzD|z zkmV3TPLP~^yD=!EXjI)vTE-*^d=hxq71n!{~svu35B#JnH zn@~0c&d77W_;(4)XFAx^@8_BTS)4IJkzyNgg5egR!mJ&v5%&?D3BQh^45!=?@dL_O|6uV5j_eYvFO7^jOdxn5?!(%=S?zN02Re zLI4oesT7pK^CRsZ1kUCe8PXf|eBO4Y&-RwTS-OQLh%RN@O)J~B_qdHWh?~;O=Edl{ znE0%6egXNKdPJ`BjXoZ@nyh29dOOEBN9aAmd1o1CD^?JW^DlUJ2wiT)@svtv(;72n3K7I3(D&*03bPKS4L`t0y2H+9Dnj{~g^=ucw@S zY5pW^=fm~rXf3A7$P)~U0y(A+#!)BFx&^w;bt49al+IgNID177uh7z`t7>{Gm`b;D zF?)!l%Y5VGuI6>D*=>H?zF*>lNxLp5X*)fBk2!>pF5^%*k!cw`ZRTOG@GhgAy)RFF z$+0laK2IaEh|3v^zJ-Ew0>;8?a2vOGuSYH(XO|B3jQ;#m3pM2W9C|HSSPpxmcU6G+Dqth*poEuKZ{zA5;CF8Xnk0TW#|@i2C0ynF0It7Iwx?&3!>sDV zXZ3ZCQGj)}InSkaEGBVk29Km$zRFS7wT7AH1f-9*SQ=z$+kGB?jM}ZxT-*(rT=ao% zE|;UzB|+E18|I+N42r~6H0 z6ecH|2Eic!VPJ~GN4+gh0#^DRe5bfv!6SbrBjb*3<~~vUZImR-Hi5B%1A64Gv|Oot z_=cbUJaw2J*c5;Ump!eT80&6p$J4XPR7Lvh=+?1}vA0`4{8Dw~3N;nWWmhnCN+}$@=+$iu$DW1N3)d;p z!^-$mzKQ&vgGb*d>@QZ2VfY}5>_D|UG#Cl8pwD0@_1pZaoX#qiE@M`QdTMbY_ys9YKh2B6c62M-srIDzYwI_O|Rjt@=vfj(}ag-&(C4CyZ3X#^bC}fH@vN z{>s($W=QN27ouf^E|PNnmjP^eYDMboJub6|)@$!l0aFkvuxYp#N3=qis2uL)-U)i&4M?|&=+v;^Dfu!L?~YScDrCj zgC&osnA2=P$WqGGXp<}=^QIbVSN@i}vvm3_1^(PoJsARt%DlHU zI0;DE6nYOc8SPCCs(~&;FHTQIKf|nT7VRx&!|+#oFRmf3_K9*6Tm9!+*st zK{!@rS%Eje%MKi9g!~nFc)m{)3u1V-A$W+G08X|!Hk&DUa{635NYtE4i(kT-W{}j~ zG#13W(=6cM%%gCishg+14QHA_g3Um%Av*_*P77PTv{?LHJEO7aUnX9C%pib1m}&t3 ze&AsV1S@OL*+IieRxs6sgKQZ!4b81)7nWngc9`CPbJxfzw$!`A|BD&gxO=34 zWT5C)Bk5a+NJJkzk_dhvJit7xwgh9eDyX1L5*dL+9|qn88bUr6+&WaGg9+iyq&Eun z7dC_n^1cx|7_p9Nf+TUjGSZ+Q%^cSAEd95LFk}D(Bnw29Q#EcYYJ&3i_El)@H)(pC zNMd|ZUl>?uQr*HC9%Og8B>dJS_;wTAU}O|k=>If9wG*^^$cId*5`=OD*3{yG<_(>L z9~q+grbYh^;_=CTVCn7kDEP_d$xT(Eh{asx$yKqK zX|t)tlwxiwX#>xl-E5TPYzXgU2rgS;-VMiNOuaRvks4|9c*44PK)bjqxXiiNuq)&D zQYyBkn+#zKtITE~j6$J#T$#L~oG994wY3F??3r2enb~5w+TFPCzL!hdx=RWBElbvU z#>=c|DntT>>EFr4NYC~^LXh7eMG5W8(d{ua5yD)6EAJay%jcZx!!kZBIDyT5H}XuG z@$f$slb?3U=CLy1JuWy8lU>_7e+TAbIDT(8C}iq1cLf)n%znIjldf-ad*(H^lc$#R ziS*&Nr{|NqjqP3A>zW1$PgdE(l|8tpI@quyw;44lWE^OUb81m*(q46CQ}A1?i*A$hs|;)g?Nj($tW>9I znWcIbX#kECfFDm^qZjH{P*2R6rr6m^rN8MtbYEj;O~5q%=Oo}m@ewZ@=WSJfwa=mx z#Ig8TpIIC*4fD1-wa{k~2cmhZKF>E%Dh#MdNBLAcqy&k7!sX_c1SD=8ykY4oE((PP zLB%ii&FztY!r7Of8d2H(lV>T;_szLxfhdaDbiVfWbMmsm5jXL&!I?G4C}H1N0)=s9LQx_Z$xz@BkUOm{)Kn}M z=VxJ1B%7{{wnK?yB7|g8t-ximr<`eptROQ)W6ZQ+yh3#c>_niVcuY$}e<~&@9R>Ls zvd)Xkh8<~<4(_ejV~No(Kzi&5^JKO>^>>)?5@>s1> z?Y@W+Sc}2TzALocGWX{ClkMZAznY^$?~>D5J8LGnbW@7ksyDGMnjYCG*6^!@pSfFT z)^s}vjL&>@Hab6&H##p(W+-?~X#e|#qlVwvN3`arVvW9lTaEs7L~8X}^$Y7IqZ|Jk;w-v z)>>JiO9li7gW%Vsv=f+k_=@hcVrG4u=doNPKWTo0B}WVEk|`4qE(c^03|-((OQbLJ_X>Ts+3-M-kz)~ zP2kD1Bo8?*(7Al!j$Av11W(LA0KIZr%QM8-^*>wQTDox&W;s-Kf8D)0No;?8H(?zY zgkBJADW>E19w_1(nx{Gg<+vn?+m&B{YFv{3P5-Sgw|lwA2IfNB1FsaK=cHUJ;Fv4Y|7ok+=^GWCXL63h-yfb)ub}Tc*%2~d1*gU zcGZ8r`dnvTT0xSmPdi0eNahgbA9yOw4sVC411S%}7mFYgH_%$V6w#GWihh+#!aT&p zXbK3Vt^N;~rHd{_uKxq3O6UJzUF`ZMQ{?(TSX1Zvi>EOD57rB3<#7vVI4ZmX0)B0A!ECTlWpLeN^(YOdcKcvHyOiKP!0j%a}_1H>gRQ zBxnac$;~2vXu-pYLmCn?C=ezCbbIE_`2m*_vkP0B^463>1dn{y??{z!|Kn41nMs5M z^gR&{2|i5A+3xszqzT8+&h@a(pu2mLw!-GzlZgb-%C8@sJk1lk0`J&3p$oH2_=tJdFxDcF zP5EdFN`w3R;?0Ly5=7C}E%D#b10H$HS&)xRZp-R9Me3#<2Yl+Oiyk0h(W-qlR+8AT zPR8o`0zq72_LNNb88f|VqBV#nC?Vly|Og(6)Y zFF4A@N*S*oq!>O?Ub1Di`4&1T0@&G&q&xhhV3AuA;F*i+qavix=hqR*2>%5`uD7bq zgH=Q_W#IzP?EG_Wh{voBzhftmFV|T>4qn=XX?4_T65SHq7UCy&)LgZ{lxILLuJs`- zia94abj5L`OsPAp5%_0*kK6j9uh(jC*Q&mV=Rq%qq^^C5HCg^vP5-kAwZUse&LfHa zvb(|BG5!itri#uxd8*L%o=%kB>qfm@bi8H{kDA8u#qP!{lHl`w=*{>imEFZ=+vevm z8^IAa9@nt|*iZTjp%Z6G6fuxmo73jhnhRIovf~6|_7_4q3}%4~aYNgSrnWnG8Rl}6 z?UaxN9#xT*VU|2+xTPgWM*8$q!}cQPq>C&q?Q3BAzXU)G zk2^FhnOSX*ZGHI20ch{W*bHKbS&~cKgn8b>-wRp>IJcIXj!)$AqphWz-G`hFyc2@wQJj6NXO|2>cY@&C!R5kgP@uw_uo$!4JguF} zWeSiaClPhpk9sQ0Gj}*wyHpUE-Cjz*LWpMKYo_GLJ`0{>*QIyblyA$Qf;&RCEHIvm zqLdajgM(~)Lxez+z@blO8U`z%Kn`jE?pEL!@!`uj&%Gh{^=Ln?l8p2i1fAxs5l9@~ zDo^S3`X~J@_i2{)jbOKq^7M6TlLB*raFFLku4tOwjKd2_+46yMhCi&FVPM)jf*uaL zEXQWE67b4`*nPURzKds?$}Njvx6MK=p~@`NEo8R?V|U65v%}6VTl0QmFO9e_lgTSk zK(XFtA1_}na|J63T!h=DBTrZ$ALr>r*PG2J_o`y7d<&6LP1agBOF};j0Z~%Q#J*#X zlUKeC%g7?1D5$zb4P+nix+bSQ5-8@B$TFh4Ua(jd4P+k*x-PP>`XT??Kr4@Ak{^bv z0vQWD_-AULZpK1J&xoF#L)KX_YEiHAri#36!*f#jxSodWa?OR{ZTVh4V0 zvJ)zK>>6cx*9UsEr<^8T^N>$fY(#t+EP0x|X6(C>V$;r#yiG?dQZ1a~tHlIUCZU=( zu6CStSIVtKb~sOJ^?0+vV!;kE``QEqCAgD956B3M%VDDb)j zrGZ}rF=%9lZ`2wppZgxR{Zz3}8}OM&d7s$s&_!DLT;B($JBu?{i?`VAIdr8Iwzn)FR4 z=%ny0??NnQsl9ZcTR)}jVQFvN%1TBd;N1FfG~S`3(dDlVJF^ylX|XrP-?M?g#&hNC z^UwGya;5z&86RQY_2V&JN4h~DX|lpaBg_GcA@cFehr{Oz7oU(uH6UA#_hVeCV;{7e zlt*dOpah+1(qu-)Xwa?`t2j+S3QRB$3zp+oP+1TcDR6)%w-UPZYg~{S0*?mN1C!gx zZjZz<)COg*d&{z*9Gm`~qA;Dtrn5x0C1U-+G@_WK45Eh~IyIA?QcROe1wP-dlSP73N*S4^+(e!t-dDh z(+L_S^MOBn{}ctv9Y^xvCQz@9#K7)v^TAF#OsHi1E|fWR4!f9(!CCbBmvBz>P$W8& zuUO21c`VI=aVuggwBSZNN4FHs-IcFE%Yo6DvyFYonj76*?l1hOnU?dY6!^`0@ zaRH#1?0#QbvXw)9Wf9hrt@iJV`05zKnk%#)KYRhPt=7I8EZ@hPtNEXT^y-*p0jTyI zFgrl|sSaoaEeC15k#9wN8qLA1v<&)3{!FD@_O)n`P4*UI(=I)+^h_a8{LFUdo&=WW zIXJ4eDRFSG1RznyAsncx%duJUn2+0eFv2XH-X#u=F%deW&EO&{6$diVX zz-wTL5=ChIewZfqc|WjmY9FgviR-I4FqbA zP6M*Vw8UJsb7I<;IaQy_30OndB*1%V`)%+y=A&y|iVj-4rJ-*8_z7_1VMW|*A6ZRZ z)nXOIgyN<~Mry!E_FTc>!r~4XwiJ5%0I$1fF;M`fL&|9fkD+dNA>Em}(>iD~@qjf{ zg8$>{8iO+nnsscv!NyKDHa5=2wr$(q*tTuk$;P(*#&rYs4VCw7MtGQ*72gxqoqSy@3Yzp*Gn0*wo8GOI#e5 zeihccSf<`P+iaM^cZW?!h2&rc$ogYwoTI;@spB3HCjE?HZk~AC+eK!b9~F#BMc5qv zI<4|BJeG6@XR?0BCA_j!5reG)GTKt{APcB#$sbA1I#;_hD>8AWHOewXpNt(%Zt#@$hZ*>gJ2*GDYxn{QG6Fuz-NpMwPy`V0KX5P z6FsH*aFX@}o-}|gqs71^(AQkES!8PG!&$#03~e;93^rZH@@Mp9mwnk%yW?Y>aVzc7PU5UF>8U{ZIN8k>L#$-?pOEG%zt3!K7a9_hTTo~lrsomVa5scbPBa|^&pX>@u$|l{{VqBI$IP)6_aq(JS2v`noEb{|e zf2vegej9+?2j<0?)Mb1{*bj4D3lp77pG2~!P!rOA?=ozGvX1XRrVWLs2{~dQK~9d0 zKtZBZRwH+Y8^J*6eYk~3Ky?8C1WGcBPB86y_PRB8R~dP1#HLXfHhy}W3!8I*nz%YV zOsE4Sd&ihM+O~Gyu2Ub_N|zEjXGg8u6ldsEEIXdUS0fr-c4?H$7h< zv^lcFJ?dH#P%9#t>(#R0xg^_gkay4W4ObbyUoPFX>DeGZcS_I6hN1z-`~nr3-MwD! zr$G80El2~g4ew3ErZS1~MChjhne0N=M+o)lEQ7LX`IA#$=`064eE6Q$*)kj3z&q1c z{HJwV<7p=JYK9EwTLYImURt$W)y$2hrOT)s!|2-8#O(J&9~;gF-pNxDB(Hj0C9x2_ z!j!M~r}J~*7Zh1{w2LP4t8?C)R!ysIStj=6`3nQg7iK%%-6s8=>TDOCqoVYlalD-& z^|m}i1Gi>E##44NK1E}>+bXaWxfS&lD_KktoNS^Jcv<>*XW8h2PT1fsnAdkleYuXi zxJ93;b>3NRmolP*!SH5u%JB{?otlDKziGViMlXkV8A?1l*NcFW~xnlJyaTxk|$GgcxvC0JeDY?D|0w&-v&LFN~bDw z*lRtny3RE2C9n5NrYkv3bf?1aEls~i4!Tno_m)RfmFe8Iru|*R3+lPea+8$-689Kj zjVx7#<@M)dOyWm-iYYNzb1Q#J2oaRlP2sZqiijFkpdR0@cAru%aC`!_&E;cOzI`8) zUSNO%Lx`~aZlXxqGC`n~J^i(&gmfx80HQX6128J$oQKm2CN|`H)?2I?vvAEz>S%zw zmYrM5;?T1`0u7!p6D*`5zqtzdjKHdtdvmcv>D4ue8VhiAL)Wg2D%1^qqCT{U#$u94 zV$DGqp3`;;)}$))bp(yXYP-V5K#eh}6I>|Ev?xew|GT$3^62-+tx_-L<_|i%YjoHz zwl~ehi3eA3SjJgXDdZp(%GCTl&*)?P4ygt2RozR17WUUa5%Q>e&Z1oDdJ>elOcd&> z_LWG&3WYS{G7aYF&|LTbE=jnrsLPwM6X=`_p z9@<7UdMvDlGF4c!Q5q9f#6hR?t(Cj2ooK6WH|I_x2Q`7F=5`wpF;ks~z_U15_pvLw zQRl_X$IhjJY8r8$#;>1|l%bWP_7jSpkgep*wXl*1r++o{c)LcfbS$;+32SjgoT2`7 zFG3vK6hW0lK5(Jc&-@kL$7H`aJP0?0{H60n7ha(`<8u*BZfpAgLjKgPnEXH2B zL?gzY`HV-5gQz6Ne(W4^DtGuC-}ywdSjUY2NdFj7i@Xt@;ua!Y z_!imG{^uxjm&vg%UWZh+wLD9g$)lHaZ*6x}f3#nN_-uD;2DJ&|9RiY1jBrBVB8UW6!hXF+ME*Oit&$!|QM@R>Xy zdkx}YIX9Y2iZ!6lu;*guDPLd*^_o8(Oh`g0cdtidXVASU@|w|GEb3%vjm?!-)l8qP zJ3~4{I+Jsig7NMe-oT;@|6j{UO0 zbiFFLe%bOSRBekfG+Hq`rV7Y zLv)`%yRH!;prdBtBHRF|Cm_d-f7X%tTGg;(2kM|H_tY4D%E zi6QMt7H-^b91k6ub>?C3Oz8fM8|c1q72avl1N5GNqV{ zF&{>w0$eE~qL{09*g5a7=F!G{=A~skud8qy8bmi;VDipn4SBF9M{yeZRgty>d~>d< zJ$(N6*KXO-pM;373%JbunT`!QnrKRf;c6>eb^R@CBdSkf!~(W1myP0=Qb~U-En4I1 zIc~-^%IuIpKBA7=a9Bto!8b%UmYzr^mr3SvN5(nvV`_nMUbqS2T5~vMpJmTG(0pKw zBRg9eS>cY&MEVOzGQP54CLIVN?j@4Ti%N6dv17Cz6T_3K zcy;xZ8O!{}o9s~|f0$eJfpC?C!A^BVr6n{3*|QCP27zx=hja38v(9^($jSWUFqwb7 zMnEEKE-8mKRw`|{ggKXzbg!8-TB6^>;SdB8tA;dS&zcFAH;bIy5ha?TZ~z=m_q-lp zN(SLzuP1Qwk+|7^Sc(jV|Lj#oK?+4#v@Mi3ba#(p$6EFC4YeJmv`e0hwy1RlO(Xxf zQ80HD?hhEv1B7ash_ktdCczc)cK3pQJXXA?RM=5Q>U;;jC$Xe|2p3}7Mq z4x2b3)T`+5MmyXBqNYWnXzO@xI=c&Fg~Myk8_sqcLL{8A;FH9r!&kNE*iUJE|N2dA z6O1$C2|HD*>**KE4vojxGPypOl`oWs1A&6$g~)kcgUQ^%<9()?LVkfTkSJpEZO1b}2qCe;lhXD!v5n*t!~RWYLK zaBd?Apse#@E!!h1M*b`XG|RUG1gQ%Ss0PMJEMMsX+bBg7U{~!3S*9~?knIRH5boXZ zql$6PJFm8k+lYIe!`^CX*WZ1JcWA&b6^SY5pNKQpR05rFB>>|3o+SfVG-ul=3&LuW zdaa?mQ6&t>hSxHGe)XT=@x?Ge222+{g(`#q%uTe}mzVn#_{eGK6Z>CvR8|V&hMF|T zUYQLU2~%RF&<4pR6jBO(kNh0>>g$6CwP8palGTu0GxJxIf+rF@#p`nV)WS4QY+}ms z)c^~6J?>DwS#GzkB2lu4W`p*}PnlJXxv3GX$a*;MDWxPcn&I>z6%7|JPl2mbiBhuG z;@Gcyk|5N9H|_oVuP1|(TRb5*3)7_1Z_&^xIfrgCtFRs^zzB+EnFB-FzIKM?Qj2bK=tGjo`Oh= zU{SmGWq?b9?#KyZohT8#W2Dw9LnqT>(opob*v7Zm<+qrvP*M9P#%_(x;K-(EeXyT! zLrXOG%U21lt?|9WFi91vUL*RC)d>3|hoyo_P%dNYAK7C%d}02$-~0V{q5r#|Hj@a0 zt#KU9bwn^o+O_?-qG)SwuV$F4sm=HGtiG?e45x&A!(^pU$4C@kmCO{XUQPv%v-bbg zLjk5V{^SAj@E{>zJ{IGWQim*wgKHBSv{k;tXDCJCAPRCa^K3c?`(ewmMrnq z);B^y35fqw7kE|kJAl5k*9sYI2p^y9w}TFeiLqtb$0I>ZqSQ*_pXMwBLbriMrETb! z_5HJ(xldCJ9x~oKfZMA_GbjBweI@S1yS@7vj+y-=EXN}($CP(g9ZPQLfNbwBnnMO; z?FN+@`71$|e$Xur*tHLO{Cy3Pd|d36Be5-Z3f!8NO^pd@=pR=#I0vO=UJUG7*xHuR zuhUbozt+tEZBT!$;r zms4wYLZ|p^4neS0^eGMRB@ychO%Z@op`(*x;qN2^pCq*+;VUB35e+*f-sq$WnT|3^ zY|u-jS@ZKz%|R|#4SKBq=XEIh@P6 zB*O3Bn37gv3%YXT+ACi%80MmBMQW%j;1X=sfz(yv1=?WDVy$nT8yK*Z!?6)Xu~m{( zr=$~#l3eeKMTLH5eh)5=cbC>-@Bx;^FR_w6Y=n$KikAXyS0pd1UYfv{Pt z4TFT<>3^DvdHjFhL41uWy^>Pgi^(3x(%y|&meihr3&uFKMd;#>j{<=clY8=CAEQGDhGRx;qpOMb@%3Wg4+=dwl{OM8uedCw0SnB#6*HPzvd`k+V&QTkl z9WL^VyBn@ak54SaJJ-=K?4z@9+x=o_+u(xaH+ldLQm0<6ZN%ji4bNy-$OlD}! z-{dkwuil2x_e_;nc;QI|7%aEU&#jDSRMBc!a-c~HAoXGt-BhvsuX371@l%Kq`H%Hy zM-$W0WDnGKW~amP$s`&UrJ70UcIL+KNeT^%+J7f3hvU+5Gz;6UFjOrb?G>HyHinZ3 z%aQaBsb+y&^lCr0=&IgJ`|NXRgSHGyUD@ia`j_Y~mW-dO=-rroF47!q-`;EMFVAia zUTMOG*iDe#B4!R zmq;Yru{6|mG&Q@#D8w*SSALa1jrOmF*+9t&(uyC1UkYcmi2fZRkY5;mGcX&^xo1M{v|$l z=vK}|jP{u<#zt;@)$Pm3X=zO#tP@iqpylXe&62iiC7>dkc47Berzx4imgzxi96uxI__^n9JJ?mFvY2+W)sVho7V*rU?X zLO*bI{2x5>ijPzT9gpjR8jZ}{@6Ap6YcyIgRuq0QYT#CymDrlLCfwGSso};xaxhL@(MnM zz2s3-@8V^eams1#VSR2&v7w3_{?)d&h47m(XPIXAx|Z`Oy<2;ybLr8c^ z%}1VduuCWtm`ZaaB0rJYxATV*_JCjCr6q6O?@FhE!M%I2kAv}@0P3p21FJ3M*Z?)Q z@5dbB4HKf%SB3zi&aP_*woi3ACZfCElDmlLRN77(e%HjvDi}|P1u;TVDrX$OyL6!{ zquG)_I@Hn+2-urL--kyrJz+iHu(OBmti-IbM#q#+0`)6!oA&JYjCLY}_b~Q$)bk#_ z&dr2FgTMdK$I(OrxAUatkszElGlR!fFx3h+xG02Ep(l+8%eSs&%wuCi2{s^#Dwpc8 zvM*GX2$fr*vU4ZTtNc1$f`A|Ztkm#exVP(c zPDPiYM5)27)VvNT;A=rRitiM5AQjCtE=TXP`SsN5U(X^Y|P~}1>tHIw;+Uw-= z(zPP}2nD?C*$1Nv;KS67`0HDU!UrHzsXcjNfz?C#@7yn{{F4_zM#UqB3lcNaCwKEK#RtHoxH~8IPtPrU2uRc?Qj)(v14O#KXzCzjtYx#r{wSGv#_-8t{ zeiSU#i2@$dtb?CeeO`yEZxp8ml7<+1%&Cr1ABXyMlzKi$ghA;7w0r~r19Uwc%f$W* zi^IRkLO>rMZjq4$qV`Q)BkZ|P{91BA(j1lT7in>fzd(JZy`B)HxvlTT*n47awW~m( zG5nV9>!G6gahg5*Yj(hn2Eef(sO?^FK#)j2=2i&*d@ej5Zd!p0u!X_6o23fG1z7FX zZbi_c+e57PPap|;?A&_Kh~N2z2!@}6-g?`DMWC7|X=gK??Nf(&GS}9EsXU>xk4fl;{lOBKK^0cjz~_ zDt`6sj>hD-P45`PszK)My49aju5&XCRKSO@HykH0xq> zWY^{4*oxDM>jMt*A^Yfx`WCDNgrn7*dp;pxj7)d0I|S4N4GKcw(*>N)4e+=;F`(S2 zF-m4FQiE^1f*R72mm*Ibn?s*(?{lSH*AO~*jB~79WH=drcjmUr3+I}chyTuiUwkbQ{;G6v|HukizZMm^TmmdFeTCTi?a+5s|C&Nm))u99h&e*eRD zAh;4^Qif!lRn~Xy4+KFD#Kz9KgsT5LY+YNw6k1KYk+0!uJz@$ z4F3m|<%e=rUWlC^=W5BF9Fg;q^*Oy%W3|aXO_T9x@f*s3&qvDO*G0tc0nL*;bQw9o z&Mr0d9Qf(E+~7(w)4{Fo5B6L#fA@C(c!|RzF!5z5g&ZJZj6v2*9Ncg6)l>}kHam<1 zX}_HJZBOuugZ^2Bg34;kBSXaSJ{BUA5d$t8IJvu6GFG+ueVR1<`-Vqjm!wYoTDEG>da<1NA&(P zf0_H*R-C&D>|Z%(RR)Mc+(sf18+uYVtq!z45C{-_UXy|qupaUCiYJ(D)F^s z2-p-LpX_oy{-wZG*0-O$&=CvOKf-R^2xSfKvw{>nA?~Mi#37w^tft=}6K(#Ox0~kc zPW#>do${u*=T}|Hfx!$Y?7v8ZlVZ>pKw)k5+nYllo=Zn}p(t=q(83-~1k5^Q*}Jv~ zGGe*iGNwhJ$KZxFLS_j-#j?Q6HUWslazv39qx_pH`!tCGO}B8o0|y9PH#T_U#KMrl z1M9!VE4=O{N#z3#g&B=2tddgA1aSB|X4UUU7{kni1&FMZK>ZI%puseVlOfBgh?w9U zX^65|;S-gA=5CL<_Zn{wbHgVZ%D`%6n0Gv9fN*w{&I69s8@k~m`etoFgKy3eCv{fl>Jy5GK z$P0tZP$FhHJ1wnq*x~wF`;gik!4|Fn5+4kaY1;8kvP`(EJRq4g#u8-%up%EqU%3#tv5B(L>biymIqjegIDzM zrTqrDTW3P_zKpV-&H8M)WOOx9f;PeIcKfspdB0;f@_U%Y> zegBRVcA3bsbf6Q{pl_&CLqRbI2J+S%k!*vH6&*Hnf2FCd>u+`p@BjJ%8i;d}tqd1( z6g`kxPKPkpuK`Z#+c5P_uVh;YUkPR6+I*s$_(%wwi+DBumoW5NI34HJujRLQby|=@ zH?5yVi~P;aH+P%QFi^$s3w2<4VgO+dNw?ToeR92*ONh~Z{m{TZ_DWx0#EFUvM_^V( z8sPZCMogbk91gQ$hqL@}jZ99Imb%>}H-R7kCfb$Rl80`tLJCl(hM#BLvJ_yPHW!E% z_|pgQ3Pj@g1Zu@OF}xQb#6q^)1wrShp#30G0VyQskYp<4XXC-XSHTdN+*~4t7>>*@ z{HZsAlst;Yn8a`+qYeA~hG7UO5(V{O)Ke@tDFgRUB!UJzuA#S`p~h-Uz4TH6#|kZd zo+KO;QdYA~G%pQvy|Et){>+!|L|_xn5ztaY2A?ke^i+;a@`g3N~{?B(?ob+?KE~KA=H3#0A{VA!6u5q^M&T%fHe)>{vpB2Fme3Y zdH<}5n3??Y?|E}~#PRP0u+daE=a@1AeDcu9ogE>}w*7ZB1cADZl9$$jG5y|kI9PPY zw9BK#Wc)a$gdA~#VJgAdb8xhv(KOY_Eg-wqdHydQnV(>NaqSgkJQ2(Es_Xm!YQ6() zvj>H!zWunTbbmd_e)&~k4b=?>=4EXkz~5~e0Hb5oNGdED<02Z7*& z9Ks=(AM8ee;drmVoN*XQBP7tf^EOb&ex9>cS(jgI%@9#MumQ34WLVEG4kic9W=^{5 zPbu|-kU@@i$<(XPck?@SFYW!h0jzg-Z*bvdQl$O1(+Og<0<;UgbfXq$UElfGNS zjnVfQ)LNNFS()5Tl=D%0mUYnrkZ@gC)^!sxVK`@0PK2Vmz0qc z%gj9&c>$4f)d(zg{E!_*DDT~+>o1VUuU(3GR;Ci;CI{x(ItL`NOk9c}d%P`+_!dAIzEuVXAX_A5b_W7XL++B2ew7~aN3NX7_;(0<-B+i%oZ78 zX@O{VP*^+*GyRs9$wd0XrU;N|jPV@ci5we&At=~gDb+79y#?}4|EJE% zf6d+Wh2O;M{syBqOw!DDH~1=;v!u4!EGMLUGOh$a^e93-%DetdAP4lq4i*Is{PKdf zCez-7e7=No=9F73 z`_M~mAAJ4h+B&pi?uT8iz~6rvs_z&Y+81fFd<_kE4uMi)=!s?D6(r!(11_?X&7AT$ z+x8}=(+ermnGa!A(3z8Hmev!NyTv1z{U%zr45Ey+_7Fa?*!5x|H{8BPS00a}9<0Tn zPapnHlvZuVzQV{0)LR9Wux|0q3jV2o(TBqYsXU3zb%LjEG-MDqf=ir6cEk+Be~_gy z03j4{wT#ly20oLd2C@Q5 zN#?uNc*L2;h%chn*K7^!+ps|z7I4JBS45U+K8-CHo%t@=@U$oHoo@wWw4zERu$S@A z(%D6|vCD3VdZ*``qI370V|g;)An)*5jSA4Sn+Yd_nnM<@5Qa&{>o?M7-_W87#%unp zNY>GSAh&6}(9MU;p-!w7X-m3vlCb(4IjDVdmK?sI6sIbtv-5q)C%WP*34v2AB{PZ#(r19-Gl?21Co!kBf)xGO0$Jw}Hwuq&Dixzp~OOOv^5>v%^5sJ6nN2)aAw z_8x(%gZEpc`(0o{kg#nd9q?|R)wB6P#`8PrQPAK~gf?#ek=T4k4Ig1`%c#@~Tr{D7 zX6;QzJ{|BX;i||ZK;Za~AA-6R5zu+(+=%p+Cov6S4bC^H(d@y(`O@kfgH|XxN}%V} zoac%LCg8ZM+b$L$Ztif`{U2tXQ~zkTHvd8EDVhl`_5#QtJ+z!8Yxu8DV_(pZ>vE{) z5{AGC@=tv}eVjOu2tk}&Rvd~TFg5-m`e`?SRG564?@@I-@a}97LwUNno}X+PG~0?0 zMqRQkuA`z~3#dI{clbVUfN)+Fq%H54m#$WxIXo5AxdcbXa>WGN*Stq*7pt*14YQmV z9u%^`)1i^NuEHb9sr#Z4O`vLHeBCZ8T|{fep?a042fs3W4dJ*uj&;I`4bJkR5Mg&9 zCW+1@r7b zHKPEGMf;wGl~F^=wnniVA!eCFB|2`j+Ovk_CxLGDj7$Fsn=iy8a|SuGZ>{C-lZM_h zyHgSZzjtly_H^$-fRIN!PFFqel!~Wumo)1#~5{OSldM$wO!Wn zJ1eDf2PwZJspM4Z?66h*UkER37(k`CvJJE%mpzK;D9{VfgUW&ID_BM>m?jEO4 zzSN`E?<^0kT@V^yrDz1Uo2JJ*e$_?BU_ms~*lJ*WAT?ag+xf*1nrrz24nJy*E|gS6 z_aR;N5Gis|oG)o!A@ab3sNScy;gbMrd)gixjOE&Zh;Ppzqu1u94Q}h-oo6~boAgb` z$54`Jz8mT1aJeWW5dFK*Pryo&&Ch2PZ_4Js;bbQL2qt^B>5`BiT!>b>UQBcsv z&+M54pOR(;$f7^8tkq2A_2Yy~x!2e-moq0F9Va82aDxwDF9F6Dv2&V_O+@P}?-^AY&A)|lGSbPX{o zad5jThC?u}#5MP&ur&ME{MS;pR(mTlripsrdkI3`S$=FK^di{&)(T?OFHv(pGB}i# zgZ_d_Lp*oNvV(R*)L#Pe-&(bdEHQ2Iwe4-owR_7; z(fLyq*z~uU@ZCwgBR}3}%Pyu#Y`-oFTVI0-J0>ZvYWHW>Hg(jCEXoWJ?ds)OVs-;8 zy$uxp5zP-z{?BNZ+OALKU|wwJ((N1gniRNFmG&H&JL^HEEvkyANDdmaXEZED6>evv zVnQ+f0ZkMg9lcs)Xv(4+jpuFJsF&|BKeBJN z+Ro&0zh!Wb2G(Qy-M_kLn~msw@s?axGLV3x?xL-(q?W95rIuuyHJ9|--w1x}7xfy_~H`R_??*%1psn8)z$8-))M%p$@ zDt2bCCXaDk3E`}1#i{tF(uO&M=^L+|khKkN)ZfWg?2o_8c=?yO;A8LXgC@>?-V=R- zp0mU`0snQAODy&Zi%K#oRT|q$F-CbLlMbhE{QDZ--hO$ zc>8>~>u)|hNM~KY4(q0?^|$gs6o;3-CU(gV3)Dwf%V8xz5fS(YyozmJSa$p~Q)|_3 z$xdg`l53Ocg{SZY6ve};XM9QvGg_Ot&Rwx<+(;!sGvJGO=#WPufKo6?GayK2VWOEz z)#drq?X&$T=;n>VleV8aad!yYd1;0k&n0PFvgj&$7WnQoimoU4pM$kGCRVkZ2`D<>jL5 zL8akmP>bHmiGFm3(+^7==splI576d+o?%=mt7%5W)o@1$Z*IZm;E*;#%=W(BGA9Kb zow|J6gcD9#kH{@=1u`|wUQ8rZmdcvtoT_h6c>U?q$mF*jE&L{(-@`Ej&*IFK$mcyk|A$)Y;l^!rEBFvu5upY2Md$q3VVQEL`oIa*~BXB3wp9tb|>C3|(|MO#ABd_j4&CDb2nU`qFXRqFgp z*WZ6wanFLP#}U^ypa>OmxCSolTxD}kl6uD#Mzb(8dFcw1@YJw*O-HFRipSu&>CL@I zv_fpvLA5{`FHT8_>agMKR3s`S5E{DWHxzdHRXp-w2P)-CzqFsvqQ6<&0!LbbIRM%w zgbH)IH!q%_R7Wa}SB8$Kg1qJ6ELGEWJSopcU%j&FJy3%pG!We9%NKh7&WjBe;qXXu z-XBp>)&*kh{lW9d3Rh4v_}}WDp0=aRGb?r!av$l_j0>QHi>h9EJJ28BSw8r23 zbtsb#d50s6J$lQ*T!z$dyv-7M2csEigYaI-LH0=Lzh{rnojAF2og(FU;@RG2Q>Zxn z;1MI+bl4z~`r?~Zy3L`VUaEcfvDeol+sPJk$oTfnsi8>s+S0|G{#>RX>Rg3p<$99u zC?v}WD1fs-&j@q58R9pRqdoCwzGV56I+CV3{aB)dSn$V(f2f1+Jz$EM^?PMwv>yMu z3Aejbm^54zrSO^rBhrPr;D`uflzSM$nVXoHv@8;|+J30UqqGMLq@_w8U~Cm zeq-U3{ZQk%ur{wn<9b-vWx%#Wh?RwhzIe**r4pj>m+haqm)n=Smsb*|yxNg%0w3Pr zf4n;r$P`d=9qDp}?d!bk^y+Ln$MpuLw%&jK=Krlptf4%t*lnl58C=O0spFxZt-lp3 zcihy#hysowyR`&DJP$O$Psd{4UQQ~jTB^Q`rBB_hAC2Ry-%zxl%qyC+1RSK420sne z)OyePkhq1?p_qq{tuq?<6LrFmN_Vf}f8b#1%-7`bMiJ_zGt={E7te0{ z5kbp|gBoa5#q2@YIx|5PM_#9Kff7m#B)u~JC{X%mQY~M!)>>yQ;!U7Qy>S_J72N+X9GOPqtLG@&8 zw!Q|zjIe5Reh47BcHLY0>`_FttSB*wwY)}q@&0l5@_y(;P|~Q$ito6#+VS}AX@P7T-Qp*d$60p}(3#>?n&JZC%)j?%GGTymhsOqgc9I?~Ao zaCI1a1vHRf`P(9TMN4_myjF!1=Xot28;q9#jT zec(EbDoRz{n9`JX?qLYIkhQCk58Y1TIPEYnm|K$HT6(ejkm+#)&X@|sTauNu=E?t4 zt{qT4|KUaop}Wp)YZpv5LG+gQcr;F99UhGqEs$SN@L?#mD%?SC`ALp5T}1}CpK3nd z%6-$9W-!PHjiQ~Kg=$=;S_$i|f?e@Ml%+2DbFaABc^7CN=f^;d_l$7la1`tnKq4I?9r#;o(PpNc5I`inJ~ zLCsrQGssW#4jj)A4Tlxx?wSLvYYLc*35m^Q5f-3}h#g;#(NbwWnT;4wD=)%6p$X{7 zkl}MaAvY@P{aV7(MoBLta$eiHJQ0Vtl$g!0{#Y@^!~h~?F)^7F6XW@2``l!Mb9UA_ zztpQUlvOvcGO5FBU6Xn?0)0V4kJpuGvRmhE&XE=l5hS>Qx$WM;qwT?I9BlEsDdoK5 zqa~!b+BjJyrCLAhQMhoS<4KlvRucagCSUSJnM?Fo4Yw32sws($Qqd^WbNu|5>X-zi zO{(}cG?z)~i&9+05)JEz25Hj{&1SHaU>?hg;7G=$#v~B=;_yRtkVML#VX;-*pMeJU zZ(O#DBD}`xJI?S4VE>#hO5t5J_^?f5(%){8@n|!Fif|S`Q^Cr2#8kNH&9sS21sE0f zP?$OQs=jk?svHr|!CDK|=`3KmHQ&2DRo0x#<|_5R(K-1@XSlg)QbX8exA-*K@eIuF zoMfL6Kz6%iuKvXDM3_74oIF!@NhO|-y<+YWUYmaDnyBlRIY@Yb$2Ur)YX*A;7fMNe z(W+yh>Jh%3X0^TM*=7rKFgkv%S?N~T5IgsPdA{h9NYPBf`%@{?x`+g(p%+vgMkJwb z&WivvpxS2h-M{;Mc)EFj>|PMu@+wz=@EHIT^qG%Okl4LKQ&j#k@%nI~cpy~Zjk$s;Y z-QVQ8ubLMc8iw|`$$Mo0k+=wj#@OOQPvi14gm=T1k_5vu3q9GvLDOwHisD)Y+Sn8g zb0o{vxz`N%3mIYQ?%n0IR1FRLPYxdsPQ9Mbx*K=ofn?-ysk8#*<9x&e z#4%H7Zf+$LG1Sr%COeW@2;|t)ZaQ2X>Mi}e9;g4A zlZBSxkL)`$2Tj7#e~Z@bk1b09(3BK5baZAVr%I4?cwLqpBl&yHwW=!-!TNUHMXU!~ zoWr>!IPBi&s+IJN6rPwbhX{UPF3lk9^KM|DdV`+EvAc*OkjNNY$;WGe(-rhKRW6M! zt?qn(uHA3Xig!&r{QBYJp+Zjji1C*M^4Dr5UO>mV8PBhpUme|>$8fl)a6eRWLNKVz zH=FP;&KaW?VU5d9%e0`-^JlFF&9TBhKvB*6p&HGnl1&yeL8;L>L**4c zQbiIRjVK{G*IllXMCG20^b|X51PBlIO%@%wR^t^j0w|&f)C_+7Ik*!D;+&yDGwvjK zyx=DCxJTP77Pr;tRE{u&W|MkIV3B7H>dzHgwT3KP&_l@~H9;CjKg0Bvh5$*1Z*XX- zWXS1Qzc<1DY646jc;9Uco?<3+|0N?jC-UI@^urpy7dt?tD{1OTqHA#Uq^YY(_5gy2 z=q@oD>zJJAZZi5tQk=-KZYYBYR+z69g(MesbiPsIB)2dyqb=+*lu|$uQI>9^bNt|{ zROaV~l3v4G{(5>JruvzZ@RhXEADTNQcvf@?GKmb=>sGLb8WL953rado#2XzMBHLgt zEzo*IP#q$Q+1_eKKD|k}rb4krCTXIQ;#a!})Hvr?aHPy$JKTqd|GAF-O-9o3O+{r>>k>E7x^dzy|!@~HWG-j)v<_P=JBy66jyj4F7N_=9dF z6b3^I9GLH#N)_8&fW{Uxa;-oVjJ73JA~^HhGWI6H@9)N8T%va04}UhUSebh{eY3dS zGF+S@NP_x9I8u(Q^kcorB-TdH#z>#sXOD#8rN_QZgCq}MYDma)TF zjBS&_wF=TCnUVhO7)r9q4uauoZZ~wBn%%Oqh+IysR-cp#Dah|r`rs?-?lN-vE;imp z=)>28y<3I0Cx4Z}P+Ds?=4#zSI3bX|l|x5GI4SRgUGnP z{orWOl#v<AB#7v;nBmMzYug&61+SZXBbwoK)bSn5o4p4 zEf2wx9BdD%BBvP3yW!j*93Ibf)}F6DA56w|$$Lt z_^H-+1m`^Dl2mz(7T5d-9jFzU*26y;#sz;CPQ7Tjkq!qP86f@hW%l#Z;=bedR(P{| zY%1TDmyt}`;fbw|#^oMy7t!K%RZH-_^ZtBBO2H;gvz8T4P;ouZ9HTAO3|6y_q3tF; z#Qx{UlD&9aNbeKMLV=$GxdI;5A0o72nK?d9zQ z*h%%_0hcKuOknp1)%{^g<>; zvAByYliwG+N1o1q4IEv%rv<+!5iU^)z5fSjK$pLP90w^9#8CLPDIFG&IZl)w zj@DNfhP(Uh_2K#Q{$R{QJLY6+i1I#N1lMUfOJk_CMpM9OXCqF;0}xMZ^XtRomxq4< zY162h=nOayPfwZ770C>h>6}@`M&{}Ca+>aQm6Dk|AWe4!k9FMA zNazc>u+mQDN&_cN_vFbKQ<-^i%5B;MXi%fzX^el6G1^7+p1H*&aZlcJdZ?xOs%rsW`nIzr4_q@aShW z<_Lrm9;wf(7s#8N89Hu9u{e6*RdVKzH3xT!jELV2$n@fzBCqJBFQ76TON;bjlY=Dxni^6 zn{nA48P+a8nFehy^1cgLnC}`Z8v?Uwu zu6=#d)=U>0LT%Dq8>o40(p*?3xw~)D+@eF85v)lImRTnmrb9vF=A?B4w!blHi!iex zR41)(sCjkLRu*@Uv$)>=rn5Ms)gC2wr>ZwHBK?@NxZw8%K1z*o3y9l59b}_g=oq_Y z%|tPO1JkypLO_%%fAID#>f4fH7Rs$LdZ)F1nEt+=AQ_$P`(WP-i?ic?i3&0rPUi|7 zd57?IIGRywyW+q*3aSUkSP`iLEP@bGolZ;@apDQFoXH#KKCHJ|f8V(ep+SV&xerS` zpVKulkrD#ONTHYu9b?R0+u}E-L43cwe@t)W>-AWckeHq&m`Zrs%Ohte7`fa@1jN`- z9k+hmq-(9OUD?-L>(i}T#5-cujrMo&K}4(bL90{r)Y!-C-9hI^S>qaL;%@72G8n>vAFg&{{*j_g|P>aZoW-tXw1w{OJl ziy_@oS+DZAiN3X_FdHY{X%0Qj;lLBd6ye8qCh!N(E5E#nP!nDHe#L5Y05Bxs7#qH_{5P9 z0*qp?70IGDTJ50QG-%?3%mv*GsKS&{4m9wA=VGvGzDkckuDKdL8V+XG@I-~r>$H=; zT&~)IWo`}1w=}YrhT)hsS9nP8cz^4C8Y&^uF)PPmY~qKYs60DJ_9c}0oGLf>&?=|d zet`6WZ|dTq5Ssi9+7$_Pv4S|H)!72eH-;R7suHRPM^A-1adHYz>&+ofS ztiEObIk4b8U|`g^1=VUj>U$c2)}vwKABwP3S;~RMTvcb?VL{}9^Lq{?(IgN}(HbkT z=a+m0N8%(HcaQ`oMTVkQy{8S+cZAq;mHR&O)rVziCXkhnKdI{ZuqZRkfpO_#QLg%X zraU_RUsE6u(Jz}Pt zKBon>KI9sjidhAd&gF69E-02d-f%F!j7@oDHD%dQ zl{ZHaNDO7!M8wP~8}kbVh^Q}P-&sbeA$vXuk)S#Tw{4emH|`d@b?qTkC@z^b!e$qJ zd^w-W3Ce^=BJ53@-LN{_>?vzcf%vv5ho#d zU1#A@Nh9e(Z{3wc&K;nW`t|t!R$2crwif33?fGJ%b>yvEsI33tqLZZDDyRID4`Fgbs_(QJJSM1yT_2bJ6?7Bi!OOJPZCq<9|U`+b4 zFdY)*w-LDQ=j-e79ZbI>iCa$h({D@YU%b~ME`xLh4oF>7184cg%Y&{dkP|CD{`T;X z&$F=0&r;9|vwvtQz@y%{Yq*S-fEz77rpfOhH7%I(Vd!s)6F*=d@ zv)sx$Ez8O+w0OhZM{8RKXNivK)ks}EKN*CL3nT4#>f|4wGV=6KR3LO9(J;=a(1`YQ zDU>Y5r2N(>Cnxj4ho|W#`f|MD!EY^LHOz$)aKrxza&6hWnkiSBhqCz{{Jq~wpQr@O zeR=xr^e7>9^$UZIMv5^lT8SIOxH{l1pOuQ?m+Q^XDkehG1(_w~<+H#U7K@>A0kn7l zOXe;zC3l$Wr^z8KC8)Ff0n&G1ix&M+5Ejq9k~6JlPYuKKp;Qc|VrwG(Uk?$9Y0M4Z zE{;H$OR_mo`HF_~rZ<#oKg}OsUY4dz#yc!`$#DM#y||n$NJ?1%OQken`=zq)j9qnc zk@oAV5BFW2y_MQN%t2*4VmIXjvjn^dH!F`DtP51bYPk#dV8sIK{Py@>n*#gF2y zz6h~bCbuMqLcO<=rU<>EqZuhg4OQm$G#9sUx~An>Fl3r&X}W|?JM#I`u6U+l-Z|GOuOzIR zccs~*ijz6v{FozUe;9@W9i4Q0ES@%_sCtEd4R>D1)qPz1Y?j}(ze|{pXkc%s7ELnm zTY4f%z1bI^eZIU;v!vOdInT0y(08w={L>;cvKMCW39&a#N?Yd5rJH)taqJK1AlGb3 zLp8FiW46LQ&rfU`-sKxr$OTufd7*`Wc$o;7$qSlF8J|9TWkBa{P%~Aql43CuHFcFE zFBZ80G+W^p?Ng(pPo*kQN|lbC6@5qCtQyp3(I4h`T{3k*exMDBQNansE`B@8+2^88K=bMJ zeEfV-d^7rObL^;_QJRt*u(Dq?@&j-GVPFt@gD~q^!->^?_1dCPkD7{6XG>gYt%pJ` z)Rv;>ExeMuO#k1{Pejl3a_F-gUZr~$$;yQloAPv2WC1foRCHU(+6WvB+^2f#fJePHO=YSWTcFvtdu00Mp+#IUOdbkeaB#uqIrB zQHI@?vVaK;Ymy|Gf(u@p35LZ>5{&Zdx9CfjU|im`9U0eA=CD+FlKSFWR7464s{>51 z*fKqIA(YI+p6A8mohh);&^IO+*AWOCx0L2Twwr=&*Q$Z+{Q{%p)&lE@TrDs@y{`r46#L^A`zsG##y)oLgSyO zMWM3v6R0EdBUW;eo0BWU7`G&IWemYjFuwh~QpMGi!AM|A5%3=JEB)2HRd(M%yzhS2 zbT1paljR_Lae)GMCJ?^j|MjiBlZ*c*$O|*O1xlA{Pard2N|Td0>O5iZ?zKDc9r1t; zCGv&}5DN%}!|8M*OKWlxZ8*v(dC7?+7SlG4PCTcIy;l(av%;q$Xks3=|52gxFBTws z9^3zzP>JGQg24?t$kkjw??4`+6n*8MNl&Qd(^3TXw6Q4sy zF@do5vVfq5hcL*I*yzwO2>kN?{^CF5i_=ZyfX~R~1n*<-sL=fc3XW*CBVBa=O!Cvw zkESBJ*_AtG9SwR-Cn=K!PZk6Fk)=$&zy@~A8SuVoIMwP3OR6y|56VSk* zk!bY;u}<`t`@0kWUY+Pkb(KKe3XW0&bqpE_1WY7>1_a?l&CPX>0fd(b*Kih)<5rGa zd2Z#oRp3^ETKzqRe{u>rIg$dJ9*Nj{0f9&;pva&6Z9oATKJmTM)Np znc0I#tK;BuNuU8izGeBBC0pN7tAB$|Oot!NodS}a?ZDs!1V=(Zkr8`(Mb}jIF0iWp z4*#&`fcsWXqZw-2>`J_Ejyp5`y5ms``C23!L{L;pnmOBYr;=G3tnP?6yVfO*%1}<; z^{zo_-IZ5_$I9$dloj>pt+);mZfG#$+HUxJa>_$xK#ii1GXvYjho`d!ZF%X)=T z(J_qd3u$!PP?VX$D=iHL_9;0Qx-AEnGF;y}Yq&SPlhUeMl^~O+o4E_sEIms4H_Dhg z*nvsO$;@25ilu=ZJvu})!2NJNRj@y1WeyRP#8_DvH++`xt2!ftx*L%x>zui8TD$NRK3-=v$mF!@jxiwy>LRRxuOWdm^tyDk>G{flBO)!O%n)$JtoR{|Y z@XfBGelEe3?z6FGf+^iM-GG~233=-3KJ~1I8J%@fl%%!$47D1uz9g1|mG0~a$PPQN zg5*YsuG40EA$hh98mXj^RcV>|ZpFu-*J#a#O}%IK1a)oHsHI1%-ZLwI=tRqVjh5P@ z3YDPF)wk2}$zH3Jo1c;Dfi{9SmR$7dY&MN;Ywc(yyiz0J%qyT0u`7ta99;52T`)h) zIGPEuD@S*)t7qTjj3X&CM$mj#dkG@bmvBN}N>ne%$H&Lh_m8FK<&G)$Ryy0hS;NH^ zB2SkGm5zL`H*R_PZOQFBlPRi!?z%Es%uHykX+rii9>1Mmo>WQ(BS?c9a8O!@`ki4$ zq0;x|;_M2R?g&6Ajz}m?Wumr}Eo;|wy4Ia96XnZ^$`wfW!i|QsgcU3wK3~O`c+SFS z<>nE@H8;~T4fo=W1zsu*IOAxJ%xN+~2h`-6*87*exTja9*A4hlkt}~Pr=@cZ&E<5- zzkNJ>zg+YOZ$EpW?XXg0L5i39xabT^AV_F!5&GI z@m8r|Ei7ydG!-B3$G2;i=pmn8KjzehpjHB(mpw@Ru4+0 zuq=_%OX``X7=i5D@86Es(-zaa>p5z&CQ!%Y0~1FYazxMwFCgg*K1mX+r*F3CXcv>~ zAbqv%xuj@2m`@j(jiuo8ZZB$zf-lIbWlv^8X*)qB1FfdG{ z0T~tEfqHoXc?Jar@g7DOJh$cj+Jl&yB&Yk~`SOm(9+zYq(&}WX(jAHJ@%f`vW89#zg)e>_NQwTHbsuZ$7l%Ix=V`5N|%uc7C^7k1j9uBJ||eq37`kd2unq%%{wxg5*cME=xK$YDnJ{2f zhQWfd-2LM)^}suRBU~l>+2;$L_XbOtd-kI>VTxFxLahN zGI2*`Cw9DxJ5!x5M3x*dyN*0vUXSNDns0^G;q_s{Ftd>XLl;65hWTO?STEIdQBpyO zw=*oskd;T;`SrVfUv=J?O!daXV5gf4IK!kk0IFP4!2l}*UOIP6dY)b1j!*yZ_?WbP z@297ec>7%5AKorcb8Qoq%*Y#eC3F3JBqIB($Misw*K%7*u&Zz5n_T$Wkbu4rf`%S~ z0>gSw0<*Nz(~pahem|!2gKCFEIic^z^Yx^6v>$Slk)*i}@ z2kbDEyZ8EgDf_1SwyPYR_=zs$;f4#9ZH*^~a?bu7?lhsvYZ>i20(DB*DxY#D2Od%jNrc zKmKxhr!&-gMPB#3e_E<6E3&ke=|6pcBz44RsUGbkW=!lQeKg+i>(gHQ%D@6=R@lo8 zW*xI`;L|DWp^l>{6;H>f-yc4*5wy;nd&k%JZUvzP*34q7x4)VEmeFe2Z|zQ1(%&-DkLACeLqvD@Y{QIfy^CgHQ@{Dl z>_$;NqpNjxhcI!`Uv6NvB~}*1jJixdXP!;X+hUkn(`8%EO|v~Tb!OU;$G5L!7r^?; za@%4pdx0rR56^eNbbIr8^NJ!BvlXQqU3tDz0rR9mQW(3YH#NPH++is`EPSMRSQlJT zUI(rAR<`W*ZmB`DCoEgKP&z4St1)|r_jq}Ioh}KLu{qRY^ioS5Wfu@P`=k*oKoZwC zkm9`^loTnQl>s(cC}PolsW_Kneq{gQl(w9=#C2BDP-(yVpOtgU6O%?m_Kkr}5?0lu zLH%Z0Vc!1dx4f&8651+k(Pgh^OZLHW$&Di+-f;2Sd0QWnW27 z?S6l-oNmOfxtOeG3f1n5urM?8#^^pC-M%}34tIjIkZwXi_^6SBp)G+h4NZ6O7%tjL zx*`G%^u>U-_z4t=R@V?>XL@M)m5I;uW4$OtYn^s+d?-k~KB$X2-IK>qjh+&q*wI zRIAGzmKt=i3yPgwX}@?8bgRGK9R_fxF?nkU;jpAt?sQM;se!f2!&=o~>)#DgP&m+1 zOrX=81yqEgKu~5uvH6>hb|L?^Bd9S>Yb%EAYf^USjzKRBrHgkCdT}qG5wtrB>P3g-nw(g zpck7$Z0{WOa`a~eZP1F#o_!XVN^c869(1rwnCZ73yK{TcVex8=AiAp z92WaaR8<_Qg}tmH4EXI^BkY!1tSJeh-rrzt!)`4}G$Uhorv?_Y>JIC6^7nVP^$U_I z3w!>CBY(_eDYnr{?w{LfNz3-wa zb6D~9=~>Yf*xX@f?*1+(aw@-lM~4^ddo<+*E0QxkdR&36bl5UdChQKseMg5^wrC0m zX56AY$wGn69X8!K(r-O}`*w%@;^Py|8{3M9PY*_4U@INA3`yy?h~K`W!;2Wyo40Le z^Q9%lz=ExG*i;C1x$sW;?b{s=%dzRro6?HhPS{<6%^eQTJ^^7ddG&W+ixbk~anhT& zoaLsGQ~{yDRyu4dg}Sx4=kD9LJKU|BB=efHBG40dEU>x5o#j=MejD-IcXW7(ChX0d zuELnQ#bDN3H(h5czPc@JvcLDH%e8>!NN)YyNTFm-egeMeLyM7OgF67$;18(%9}nP7 zK1eFwQnZ{XLuw?+ObFU61>~Cpq`h+o%L<#)z0S{(ZpQ$2e(Fn?TQW6BDi}aB^726UBgpmIWh$1UtT%1#WVN_i%fl)Y`jNH50N(vwqj=M~*GW&!REy;^>WMxAa!G;GPr zmi}%oQ43`AGTO$3iCyF=r6tPer!S^{P8DRV@S`-6CC~M4ByXqRtl{)D97n^UI7%2` z8V+X&7_ii5>bju^%Uy3+pKyM^T7BmjQ1Y?_#ewvloGfF@FMrCglKO7wq0^JM;SKcm z`C4vHbOO*1pJq-|)2s@`WwjyV&4xmXE@?Y(8%%l&dUXi^s~Eq?y7yx6WEN6D%B{BS+L ze!kd!Tod`}eY{DOJ89pNF3cee>zIH0ct09T?=8RS+_9h$qRv>R%KRhj!*8d@pY^WP z*Rf+V+>zSu0-0ovWmkJL)@HYl3LWZ-heN-}Gx%KHao zGL2|&k*G`->8wcd^fA{cAKQmZ!WS`xVSm85f)tTl`C{vpFHRq#!J)JC^eGaCOdrnl zscxVeCLQ3V1*LqWOe1id^$~A=wx=evK702q><-|KEINz z&{;-$`Ef}CyyIdYpL*7c)VwJVG=^rT@72VcZY&8rT^>?&=24?lxhR)R(c5{xUS57V zJ$yO8NoL?H5{sjKKX~uU9q@WgC3+sNj~63OFkwX&0jCS}`TX?(60W)?dsmv@SC(_8 z#6hD%tnxV^q;PmOyzxDkg}(U)A2Ln4?p!tQq%nExDwj zE`A9M>hb&cm*3Y8pFTdc9ThB3++<{Q<=)64&>BS*8wB`0Hgv=H>>2GbiE4sXM1~2W zwY&T4`QzKe@$>0y&i(Y@fZJ74xRkjz+rv<~727737nNjg`OYLPkGpKN24OY@?n*S_ z_sg4=9WPt9orYN7Z_fEh=Pdb#EEPQ@M}-+^oUP&wQ8>R%FY@~-xKQtdjT!jvEWT}G zw!^6Gm9@)Kal`)V*wa^)Vpk8}&Sl0;!Syv^+&3m+wXBr>1uI@E|HwRAFZHC;wjyxS zkDfOI7Gu(>)&xx-OsZTmNhfbbSfn2#-!n>0Bb~67H8}m)xtsmADO>J=>Brve@?3~W zr)gz3NrLd;>)_UE8 z|65rJM3q^9bz9{_GWE)nVDRNBJzu9)_{Znz?U84GEn2D)0{$q8Hr~&_C{+3iTNt2M^YC>1IDP>gPM#BHo+DV)(zfUs*0KT0*Q_(XANZ~ovFhdWc*KXX@3@Av z@01POu?MKfe9QuiR-A-f2_w8@ULPdK1shSCob(7x9b-*sYr+VmuN5cPskvgKv1+g7 zH^0ZzUR4t`oMOw7S>O`{Vt!su_ z2hmJmr$ovx1Ze&;-F9Z{VF2Zebzw}GAA2B(mbOWj#Y!iK7B}tu0l9yXUk?rQ=D~{oOFx3ydZn(Gx1H$oMLSjut{!~> zR{GP6g^AmICoD;?cb7v_oo$a3O?xJW4Kg!e5+^Zi*i#f58baD9+Hv4Wr`^WbvCeEI?GC3rQ-;zgTGCJH#7l3|?=TGu0L4lrwgA7L##MBfwhmi(( zE4Hc0LFqfBr3B~v!7v_r@Y_svJFP7wzx4&dq`^ABo-Uubh&|4@fQUc$jt+7Mo_wx3 zm==*;Mbo)MSTratphNapiQN>M%ZqDAlPn$*paA@InoV%pn?M7{`gqr~_-!WYmM<;# z^|?cHhR)0P%gg2K?<5pij8NQr%1t!q>7B+VHRC=ZpHP}!x+|lVUM2;~`GP)&(m5+1 zQIbfpcctvAp)6HcXB6~B(NN+DUzu1@odc#1S+7Z{U)M9m`Bd2S?33Ovs_H$x=jk%J zyjS{Xy}_C_+u}y=`};+bt12qw-O)5fwYg5IN|Dvsdy4iJAKQk!(2jk$3|nf(xSoiEun8!t#!};smsFW6pP~tCTTIU*F&S3_0nH?+T zu8eZ=^H^s`s$Xxjk9TJ<)2UByD%jh}gY5lSt8Xn>z$I002XDPEg}~x6yW2kO?9f>@ zHlZ+#bSU!-Ecxvfq%k-HsjO+U-@27Pj9p(L_i_0sHP`9#;C8t5&OQ#59 zv}C(N#8k?Is=zuWzjm;6pfcD#&|q)xlr{2YJIUwk_m|`E#&%6}q;QL-r!za6^|lg? za;2531;x1UtKwI!x~FynCe0YUiaPLo+gbbDxrp0-$d$DHNsQ@K`jx!>39J0bzO1>{ ze$A8?)U;)Z)!|lO(5y*qLrL==pU0Q;^Y28rQP^rtD08#UbfHV~=I+f6$@AUxLa9++ z6M=U(<$$DBwmIiCgtnknxp@;Q`$V^E?#j^Jx5d`-W;4Q#Ew(twApQCl^RY)PIIqJ% zDlUf!eHyGP?;4Dgn;@17BJNy4;?NrR{v=93Je%;}K;l;9P_@YXthZ9rOJ~BYc8r6X zCu?XnKLr(iDM>S<8}5mfy|SjGoGDoDiN+WPd8W?oc>F?Cj5|-Lv=OLGm!3h!@+V2#dFhOr zwrpx)W!@S@3lIxr-tN-KimZ^|+OZ(8-|brSCGYU^y;Jr%pX5ng0B1c>h0K!DbS9Iz z`t@OwS-yTfz0(LBJmUlem#Kg(FRzf(G9_)vmfcMhn8m3UQ(9@6+H&h|R*#6BaAMQY zD(QwOJ{GG(3kahelWB<)V|83i++(Ic+gO z8iYXVf*nNL_fb8e55F8=KC#3KdR_>K@^uJ*RA9(#5|6X_hFi@%O1D_&#qKO1a?2S) zzY#HW(Mf9?yvP#g<%E+xxNv07%Ly09BmQi<`1kQ>UEJo)uu*6gs~(X`@&B1a(G zl=Wc0O+Q$X>XNB;7SMauB<}(x-1%{k^3_;=b&d;^Yz3z$tA?P<$!`H|dK&z4e%i3+ z@B5uO&IV;y4@3TUxjfMBd*|!JYNyg#kJ$S3Tdn=_Cg0jG@xDsqu_+qg@??;V!?QwU zC^M>SEr+7zZPkWkFJ7LJW1YG?bWPFn4(k4}JW|1OskI!6mN(~R4tU?8+|{AALcU}oWvF+X8oN9o_ykQeXt{mebpQ6i614N6So<7C;5l2p5a_0GIZNwm$I zzwJRsBq0n9@V5ku3~S*nDliWo7U@7o6Qs>hAIvk3HV20@G;*1=fl_fL5V5flbIi&n z<_*?BG*|HSz!H#I`en>|XV?PSsYrF7OJm~81p}bc0(Z({!h9rHt#>0&f2DUh5Kak> z!)O@^j>AlFBggxVbR|q6&&%v_O=U_PpM%CMkvDFy=-onaYP@l)$EML}dzvnd25LnG z6)Oqf_);j@w8;Atm$n#<1Kwnoj7SOnTkHus25EPv93wg)9qv`R9_UE69`is83`;!< z4CZYT69cFh6;QhT>3rOmkbmTqfg>is6l=0$W{PTa6NZUCHQ2COD|R5HHq9=Estwsc z1z8!(tSvHTPh|%7AZ?RH7O%y#b-O-kw+-Z|lcdU)I&9f+<+DS16mrPYcl#o>GE5{| zd`^lX;90RuNWzpFrnSz*_aid}OT{O&7M0RWYjqsXF3p_1NpJ%3$>42idX1;pePSk` zt(_=PdpsJ`amP%sqK>8wiGjxH#iYdRqc2J33JRydk|6>ExiqnbjzRS74DitjgnTW5 z2yq0xr@U7|>>WFjQ4$Db_T5Ww0mZHA;vRHYa_?RNaiZ{^XkpBnfPm=>Xdpz7*~;VQ zF}ni+KNHYMw6I9JfI6BSvBdQ}1@C5;3miv+C zblm(YHjsm<2@U4kogghp^kL^&vID{Dr&f&EF?o3Zq*fz>9K3!4+1WySfSWiZS6Bi8 zix3bjw1B`ulR$w$fqF&5X?Zy9mX>z|VN_{INfAnrLtEkm0XaW#X=ed}03UXBVD}*U z9%fb+P|siFZn;3Tu!P$L@;DD3ZJ|NDWF3P#28{#)`>lZBE=!<+Ks|lYIqE3}9Sexb zqyADrJtK}ZAm1>EpUCJp69Q)Q4*?V0P7v@ro*=;gLdfbX06C(KQQx7XliAU>?dWqI zAGwa1O1G_+CU${td=tA++(NzTNc>+Uyp|ttmP?&b=vl5@(w>2eO_z;O>ap(B`)*#k zaPZ<)Zl!xK90%`C{gyy)DxDwWV_j!Wm@+2ujz7vNG`2uIKsm}Dc`c>N|JR>29Ls%> zA334gR)?qEZma)#e1C)Bhv^N0ZWzY<=HADQqCLF(f#d(ON00Tm;PZ)skb1S>cWu)p zs@y}J>2fYCtg+vwl12?yPI+^ZdLz%jqE3RclFIw+KU>uQ&+fhYP`T?>^3Q#5{Kq^l z{!?BOcRm~bxzhigqCN}pio|}Oc>X^wcy|~c^p{AvYb70K;Lk^h2G!K{1DCuVXl5o5 zRy!6DU%W%ZAgt9as&u;9N%gO*(i=yb(Y9gliMGMaRs$zd$mS9L2AWp0X}iR|Pj{(k zC4E4jVIPR62CPTs18d#>GD6(}gop_;62zfXiLMB|PsR6Rh}y1Sk__#3{gNYP-uso(c+y6e==8h0b8>5y4Pu!;lnq_=x>_POQHj9qQkF3|cw#0CcclZvZ5Nw;-w`8Gs zR!XiVzZrOhWy=}6FgUB!nV9$1SlIln3GH1b>XOs3zkfM@aJi^nu1sq^Q?#Hfh~}8G zIr)obA@bWJNu$>}5A-hIZ;-Qc=cIXa4(IpF%jNOs6V5RD|BCGs9eE_30xiRU7J3m3 zu<+1e7h_1h3}r8+@}Be(g!sYA1esQTPVlj=?wdw|+3?^htLqj=(Us`e_v07cq@EIx zbi8=WvK4Ok;w%i8$sVK}#h@$)@7YGgb;Uv$EYk=8@9tp9R6 zzlhzhaN!@Af>)z)Rg!S}Y8LU1NXy=WQ-pM`yw z679d7u&mlNgS#c7OUW(+)}FyD*Ri+qP~;zR)z{9Pemj4#s?EcFl-$z10yBlJ^wpvGYAPP4 zUZYF02)G+6(nRaWk{=)6jxWDoC$9YKBu|u%+7kL#?v4$jknVtEZAC`4#!bhKxzM2J z$TnXIZ4<&EE@@JwkU(|M4y5rf+V}6LujlK>B#K!J(_mfaBr))&Y?J;Pv$mJ;?R5KZ-f>i>2N2i<`x=Wuz5uBUrWJaPQ|xa2

    1=lH}JqqCL-P+ba(Cy%pn^KG=ua zWo*i=-4ID^T;CG;_BL^2-;t~=oAGDJPo2$Bz`#3FzI1l_k@U4OXkV)juXp9nkP_|c zQz`Y)bkIzz6yfa2n#w&m$rVD=IJLtXN)UThpOJVUC^~ceL&GpMmxKdew;M$3$}kjN zsd{e@hoyYs(!B5_m9ng~mq|667_lWh4Tq&>(EbY_?+@ROZ%;4Ast&6rYC(EEKTQuu zeq}|Pzjk04Ntr_>PHFmhU(U(*%k@M1I(lVBsRqfbjM0Yjbu&;%{My@c=sDA5R^2=u zztf)Pa1vixj&8|6wqJ13H3|FVveLsLvMM}+nK#8@nBLoB$Sx=JTK{H>Dq`uu%r!1O zn!_*mUy%FZb$XIL&U2^cYfH0b@q}T`vc8|Kd+B1vwDb<3xkM)l0b#txu%#!_`UuQt zVt>cyQ%*};Us~^MK9X{dG1Zj$u1a)3flh`^GvQ5(&M--njux{Jd^8+5HD3{DFAXTs ze4d!vh!zwH&md!o=6m5e{A08-no8GwM2@|va8S%fvyo^`S$A!#_=hY5?mnh#H@&^v z2Iy@~lPTKT2FJEn8*3P`yelTz7FPN1Go)=0GXM=0}fb!&|vsvf>ow81@^JPzrE;Gq)`zXs}Hl}Mi@*a zsWGlZ?6uxBT%}YG4RF;&@*kU)4O26Snvn0umbE1<`GD+obUTgYkED^1E{_9BQFnCN z5RHZ|*P2KvQg->DtSlTx`&-F76tqgt;Yz0}Sz#FI;UU*7c|Mm##FvlhQP~c;( z(vIqKv~!^i1eFWFkX9EUt|^E_kbm0>hvgEVpLU1il1630G}GY3HG9d=RobqJhPJ*Ozg(+b8CzQTDoTG?GjWf@9cNW$7aqwewDoT086sY6HO z(IU-PHnKMVe5)bEhI*8h-X?-8J7gO+ZX`O;Xvcx}&@?}<$5JOe_M1DnMrA#O!+N3= zD$kl&-#Vgy17ReD&BRsuiaGED;T z=j(=O&gj@O=Izh<@^ECO#cj0UYG`&JyYh2VKKgyS^e(UG|29wJ7|V|(lhkdjrK-hP znkAK{n_t_wGt-G+xHHu4cB5HEB9R(vq^hE3+bo*KaaF$7M762?P_(azzoQu5a@YEH z72^x;n@W^`0WnDKEklkGlCdjDCO?3ebLj*i?JQ>k`K|Xv1Jn4VzJVWsz&bQTCYUk? zFf<7aJ>N6T;3{KxSo8SAVqx{!R-4~?&@fhQLCqf7dyH{@=rxm4#g_Q+nwdYamsdrT z32{NPN+Xz27utITMbm6)thBxiFj=OUpW;b4l}&GLN{QTY1?}2N9HoxMyoFVLjL0>X zhvnEGW*3zO`Tg|Oefca!P_gZUDLUtYw2o$fm>nw@suM*wQAVm*CLqJuLlncJ6U>T6 zkny&@`25#7-KjN!ZCiFX>k84lljlLnkqOa*d2U(-X1oT{3c0xl{T=69Z-4WEGg|G0 z+nuT$aYp*FGv2QbJqy0Jn;ptyt)(|iuhX(a`yH+{ck`{bG~aJsY(8CqhdE}YcWR|F zY2mSmfnZrRiRDM0G9ROWaN4c-DQFh=-E+>Fx1S7Frk1XFXgKg*m_N zLgDG8QLtD}o{@H@;O$B4c+w!D!9rzuLRH*=NR{ensn8~gU1 z*K7BQ8~j5@xXnKpugX8{e%1H~WBr(gESFIe^yZGq(3{`16cSd=P-ymuyf#GC3y`hL zf{hSsIm(F`EfW#-;fE)6Rz5EHXm+IPbrWPTuDoGR?XjP~%iHt$>*qTNo$g?rETJ*` z6Yr;0O_nZgTkf5wNgY7dBcP5zt;cl4vP4c#G#w+v<&#g^ z%k|5{^xh&en1n6Cl!?R4ED6Sw-uFze?|HF%NF5{$9|P?Y3G~fuX)e1Pldt zRQfV1b1<^H*o}d|L9lcj!26cV#7OEXOkg>eEyHsJ8z{pf!$u7k#pdR)7T?j%(`@V9 zpQpEnFXs;K*B;kFmamm2HSti+i`LxrIUBy{mh&-@+|{oN^T?)uHd-)`QXxz9HM% zO6W7pF*LBPCHcp7vH$G^Z#;qt=4{$yUkXaem*jD+WUpZ?&)pg0>GXVlJMEEn`@K=* zf~MhXrfK-BG>RD6KqBG{NHM}kn)pZkp9wPI}FfxzDy5X58@-+efat9^6Q&2yNNm{rflq0n|>RJ>HKnenO<}+R-9i#3mtZ9 z#xkF>p0;3mcBNP3m*3(2rG0ZLakc9z>OV%)TQwzFBoQC*HxX9FZ~2MvdKNF9(-T(+ zFQi|UoGZzZ$9SR;D~H;5L3#UVR4Q-b9RIeXB3dS$%2=D+7-=7|54_}OV@YQf{#C+# z0QJG?X;Fh=56yaNiFdStdbsx|UL^o`FdbHf% z>2!Da&Tmh@%nacS8Qt<5p6|G5nPbxV2Bpp3;;6Ro%ESHBjPMiLD3!;MsRT`@z9|R$ zQ(pR?XzeR^Q@ePouS6cdogROlCye?S2EJvYRbwFysx4(Us#ZG|+1II~udDZXUS;%SaYW0imbI1VRaxH%5*K&XA3<^x}669`MXj|VhU3J9NEw^u?V2>NW^oRALBhPywHO7&X5}1?H){?Fhz~F;z@d zZW!f;^8;Rl;Sk6(G?2fu6eGh7&I1+L@*+VJgv_80lspMU_5yJG5eQixsH&8J$QS`* z76_HBLTBy-q7rTQRgTumcK)FvZU4pvg}LydTJH0YRsqKCa963W)-{Jvld4uVSkT_Z zyIa~vW{y}#N%)e&OF)8ja=zH`)tMokjocz5_45lRO3VXdlcKk*6r5WAvVG5Azmap*$i4_D)+O_^m8ve;%~!K$sHkc7 z9=AIX*7-~3F3`%PyIk?%iJ*!~Fu02n3|2AYqOVVLSR{XSkgMXa807CCrCWq1Ws9_f zE?5%MOM>^rOR(I|cmR1tFoRX5BzKfKj_rU{VHktwLz^DGJm3-6P{OrPrjnR14qoaS z)Fep63_lpc$grq9HhT1Tl?-So%F593w96%o&qje^spRp16*L{YdU)iS=@9kGDF)4D z4kWKFZ*cmm6sa0j%&vUl|FkWrX6H=1mNznoD!2Ve&88O&i^^Stug`GktPrKd#g=fQ z-B@?ZonmS$WQK;Bp_V);%FBqQejiv;!8DY)U2P~mFWcM)Vj%5c`Qyl8^X2i*PBN2d za@$2FX;mUAzsOEbV-qfIj9V>K=q@zqP2^QI* z7a~(*jb@R&ZRy4}f$zV%+YiPtB+Y<*!@3r3*s6;QI+&+2gUr~Xm3%t;C6)3CyZgjB z4KY&nHL5*N)J9pw7)teK>3XDMjI0o@VzgslZr_?GOOgr42Bq;YpV!|(uxe3bGb|~C zt=WlV*^i%6BCd%td9t8eL-G+pOV+^Z*93zHW4cE>mS`hpdK8%DgqXVT;d(JWXp%>d z63&s*Ia=iV)yZ)tf6n>lPuVw6uCbrYC0jDZFUVrGk=|jiI+WZ5hRICQ`K`RxMp#GP z*C)5+&nYoC4hv1>t}0Ib9Ejisl%=Knioz5WR7niCJetyrtR3i_J-=VxKAtX@cY2pr z1Z%In;Z;IeZCnvpeb-W#z8oKaUJ0p4k!6emX}>m@+fCMnU5uvNPBS&|4HyIClEqzM zieoa`@3TgO6khyvcSCJ4hH~%bWNF`?UbZw>8d_+}nHSU6Z6xPSBqcLfGCNgPKZHP6 zMbU>Hp`h5CI?X<$EszorMq2QsCit@pw17GZJK~o^P2>$di@e%oWI~naM(EfqxGs|9 zqBm0G$b>fhVon08u_~@tX0}J|tmro@w-7!VmZSWj<_*Xg6)rabFF(IMzI;BN9v&~h zoZgqB?dm1al=GIWblye-C7qm!+ej|b&M%PmS58gGk@89a162u$cG^m6nZLq1h?~P` zVkzrgk5Zo(2hea}-Ign>xC<-GiehE{RF>7RG*YWfPo@M?PbOuGA|4T{o-zr+moGNk zRVF=Pty~&ePcs5{w;W@}6yz33XfpH4B}Ze=?QPeXgyI}chUMv!+mG13;u|C0EHfKy z%OpEm%f4u7m*5pA6<@TiyLXCDhb!9e9Y3_z1GQe|p({vM13iQ--BEfrhk`N)rW%GX z=a2RFDv~mQ)mcVE2<7}(kG0fvzz&&ByFh)P?yK{=I4fH@+5~SdxW4Tfcxxk0a*F?hSD}=U#^xYRa!YPHTc*YxxlpX{A7WEFgGgr1j}(n$Wdc zQtw$(=A1KbH~PAyC#m2fvKtiiw6CmQrOS~qWjbj*VwY@@Zq8hX%_|b!gyB0HvnkL` z&4a_{6?tyD*$#0o#@Bl_QfCZ@(b8)t^u_!!qf2p?8dFa=%NuaTxt`vCIi4)Jo!dFRd`gWi`#o+WuC~N#*R+x^J;Z;jfPN3jW|!4)Nx`gnVkOa z&$wkb?%2nIHZ0GL8SQy`w4aWTI&(<~%Vzdll)~%j_458Z4Ruj0?_dMCo+Vfu2sV-- zQ*678J4RHXPB3I#?m#MEx69w4jdJzN_G)spG~sBVOt1>g)f3%s5z0A>p<-Q?aZpaz z2?jQUM3+(k`CPvTJLk0yGwz^#W5B#E(iig8^qf^BA0G;5+A?M6Gu6{fNgY;wo+A3R zB^dX&x8#C^;COLfPF6-!e^@=tcJ23$DJqUHH)KLaP`Q`ln z@p*h%gW+m@FXzYBhvcc|tY_GEB}VGISrS+qy5 z=x8agMoZ9`cuE`!8d5~((ahBD_9;E~HU7SudDaC5=AgP`Mzrj^T_PN95{9-Qek&qp znvS8IHVS*uaK`d0dJvBUUb2%YV6R8tB$sO=0SLU>1cbIq(H5}m zfe|x~ebHP_%>y8`If|)*xaSyXrAN$4Hi6KDI)Ttc=5p8`-j*CN+MwmwaaJjl*JF+V zf#F+DVCb$QFs#dx()sXh-lB(;z%V$$aW4J@Qw~lWxzAK!LtvPyIeEMDH=NSWb4+iG zIsOC|(3MtTXkZo?N3^z>xjaR2nucMQORU3Dt22dR7zu+E8FDaTqe;*&K8h!5rdiDG zZ56R1d!|rY3JUHZoFT=|b2Kp~V;i@#to3NAs=n{h&e2>&SyQsa#gS#_R<2@%mWN&a zL?8I3+5C`Il;{aXNfS2a;+*CJjgF|8n$YywhCi$M_d0T!PJD{@_8NVne~s^vH`zhc zcE#^;x>h-zkvU4hVh2fGYZT66O6x$uKNk5jKgEHnxM$K0>hU~5O&Pp z=3un(N7?R=HT_4K%PMmcim#Bp+I_qbO>?4ofD`b5NxyHB^f(|D9qbI4krOQq7~5R zaRLQy1-vMM0!=&Yr_gNvlRHqLDWxEohC($%icIBJH1h+^Gwp_!f_el5PU!-|;C2B8 z0tH%Y>S?R>v;~VpT7L@7cO06JIZgzGISM>Q0160Qf_-*u0T5&$6E|2iR=MT?SB&@$ ztkKW9(AASfpv3tL=f><~!i3liQi{tK- zjG*YRln5|qnM>2xVkt(d0s2|xQlrxGYEX}p7KYQlVVRP|ap@4Cv$+@XveKa;P>1xe z{lL`&(%hn6F(|zk7-nM^nGEkUk;%|UKkSO!?PU+V&yqY+*_xX^W*ey$kDn%nBYAso zAGC?L@zQcUern0~0J}tMo=;vR)|Vw|6ZJzPeJPq>Ou>?_5ru^_$KzWHS1f@7O`csH zT2?*qi%%8+0r?@*4Hh5}jB%}8_TH0ICF zgMFy%NgsL7D;m#~ij=dxD#ACWk`R>_ZRQ`M;&puLIp;>=kGQoHjQFE|dayWG+*OO*-!vqFVU7e( zZg+WqA}iRovA$(n<%TqJTj1VHFiZUz=IAmYuDd1dX2Y8b+^|m@$rKH>xv5^2AA5z| z9Qki+xx-uo4iWEAkcYVhobk=NMsJswe?!%?H+a*(Rn}Zt7DEe8>V=fiK`B*c`XYpV z5fJ*`iPp>4#HW4$<)R%C9p;={a86@Q#2w>E8q+dxR>e{l^d{CWt^D?_dT4Ff=Y`nG z`&wvil1*6Ji>qtJ*R)<4q zl@wZiMO!|7oL`WZgMMGRw44hpXW1V5a&AyQl?6mSPIsuiC7tup?0qe6S3VE@y%9ny zoipPHk{-RB$9p1S1#N6;$KHW7(8g2)Si`QWu0|*{9q~Ie61J<{UZLen@YXO!I9^I1 zY^XScgj_&vfAQ>tQuhoN)w#k<&6t%W50*Wv8+Zi)j8`{;S8K<}#|GG?Y0M3CT86Tx zwlP=Q_kr72jJbj`c$y5;G@DF>G*am^Ahdh=U_VHm4Y7{=}qn=fUw=$L5094F?}VTx#}!8}?p_psYzsur$U zE*!}^Y|5RIk8KeQXQDry-_ENHE9$JDV754NN~kof{-6ZVepfSl-#t@qjakpX>zyVM zro@z-+b?KIgE*CkTC_28wlPOes7YP{(ZOw|18x15@VI$27>|@!7^V@+*2;`=9_`lj z3W!_O&~k9Jatg9KgPCzEW}osM5xHWQ!02t3rU#gklg-|KV;_m?>*>`x)Dx+Z+Fw7v zm~Mz^{`vw%Zs)7SO}#xDRe17-ZW$|mNO_i~o}sHoIw{s=FJEXQaVYx>l8^!QD@kL? z8Ys-FpC$oq-BFTu**kUWdPC#?l7hM>&R{POFq29ywRE-}EoL?-DV{m4omEfNU%)4R zngoaQ^Y23EA#ZoX(_fO(hXVEW;X3C?RF`3^uc!5+X&Z&uw4*g*Vdsmkt^sUz+Xd&( zWZVDeI}BhE*#u*M!xmGWCzzsCY%z10wdpaYLN+}{J;+H^`tpaX2Ix)>cVGXQ^>*w; zpMsa0R!k!(MYRJLZdXgHkW$2gp~72-O(WynauH`aWz6RLSSz2Rz!$`;;{&wp1QD5oU{}GZPHC zbdp3A+(^>IbZ+^!ZrHzkg7Hm?)dn(*Zc^v9TTU@TcRZWqT@k2s#RM9-RcksKr59VE zWhftD#SD?`;;1r8BFY_erfORdVn@Bu0^Nl1DBdn37bs-6?!-J4TP(&&rFspVinYg3 zTTM0$teN;BcLz;Y;*w{oyo2RfGE7;Aw#JNl-VL%59zI1|XXkH7xC1{UPj64>;~Om@ zijHxPD50gHXT>z-+M(jjlLe%fmxSh)kt_i zG7-L_6u&7|!4{Y^isDbShUd5E3-)(pNsrR9nhE}EJ| z+q{_5CgkLCD4!@#9JA_Q+$Cf$ccMLau%oim7jtHZTsX`O2(M{Hnb;FM^Zuy>eW(zTlR`bIqJmv(1w>#mTqJWKf?WAPmbef%A zq%v+P2Y3C?j)0f9O@5zNJXwa*Qk4Ta>%a7E(S%iG=5y5sUi8&YwR{rDt_;x)$icS9^ zxoJFnzr36uZ5qlU{4pAmns9ME>FbUpQ`5C>M1N1DzZjU83wOatE*y3i8K0wQ_ZVpd zKOH}g55JzDEGNdK?)3%YJ{4`{d+oT!z4teRdr}QizOJ+a94j-{zS;DS`dUk8AW00% z(TVAlqvK?WtYhhC7gr!so0_I+sJ-F>;LO-2zt1MO(f9?4xSXBTq|qt;o}C7^Wmpw% zDv343DwEhUIZ$MPS#vOvDQ9FYGlf(d%x4u316ypjGPO$T8*G-CJQ%J}`??%se6)D9#TanUR*`j!yCiS7^Z%`+RvX!>VjUDjHYdEEh+=ArX5a7B_bHLnjh?O~5EA8w$ zUsvn;-2YO4dIA87^`wGW0}=Hjg2lq;E{IaRY#Yf|xq znI2#ua`Xqx+C72P1l?LPZY4arS5oJWX2OqDo4wrRoZ!{7r_Urz;7CS(vn2;%y1+cY z#_*bxcNRV4&{QcmA9_ZLKu{ZNDEBc{@!Jm>{pS46#Y5d$$~|DzjBcq^Sb94szN}^< z3BM2Z-?&OW{N9V140O47#Fq=2bHqQ@NM+IQ*efs%vLlvi&~fDKBrv5I9zIbs%)Ym> zt0Sz`?HP#7dT*3eW1SKED>}?&n7*Yv1&7Mp$+aN9-CvK*&r#$COElL8;{emzl6uKe zMjV0R=J$$ox4_u^)Ou85H7q#iTHb73@6bE8B`4ri_016oBPpcn8_^1iZ(hqj|ITsR zR!0#mDW?JP1BdP?3Fa$Xy;UTSHR4}h{PwQsO3d?BK`d5P(MwbWf7gOsTQ{^xPD~It ztA;i|CyK!KmFR6jaXt0PjK!ArK4AM=^tNCGvZdX$VG3FkfNh&L%oKfFwx(ELNHpVj zN%@doQV7p|_8WsRK6z+I73D)v-@OQqN1ZNS0eErdsdTia&iB9=#dNXM_ZR2QD z+<`Rkmp~-+v7e_rs-1f`J6x1VbM!apgkYoxrR&Xa3l{)x~aSZB;{G zp7FZ^KfCC@Oo#YnRi+L(om-lbvFcQi+PYA*y;XBlGJ+zg;!+DyW`4~y- z9nyH1rz7RzSx_$M!#_!p>{Ht)*<4!oNN}s-fImKS-SXql&qi0cxDKCN1JZ<#~B7bMtlxMf+E4aMl+k z0bJ(8ajs^3`t9`iAiwWP^vOTLq>&w7cSM$cOM%u>brF*~xx7%NpX62A+vfX4hJ^(?aq%(B|J~( zj&O0HrAcQn@bu%X`(N-U*7A_F%}V#CBN1zqT-g(oO1ma?RmqeC`hH0z)=gT`xHU7a zK!aN)T9w@TEY!($1-Tof>eC*1lsD*&wQVr_d+bO0dOT~JOwMduqcjwhY$|GG>Vt$JR@a=+IpjkO_;j)zz!*LmZ15^}LEcf|Gc`u+5# zi=(N%3wYcEWn^@rzZPSPSeWn?snz-fq5$gvEZGuEnFmP0g&W)@;Q=_9V7BA~B{t#AP>)^I>^dB%4=zx7sx8r1 zQpT6VE+qCszHM3N#cw5_h|&I*>(p;eV&TW}W#XBii*}lE*OX|fCRv)xr^`dy`qO04 z#)sEXnuX5p+3Iz*QBS1bC1$$Zcd2z_FV&jSej#PARrZ;*==j;YWT7)n)Z^)4J*65- zm{lloa5qn+@nv}>x>*h!mbv)$WqPMvs2Xtd|Ji$!B}sCmP3%?XHpkxp!|EbDP-cWe z9>}@Ofjqf*M0jTU-?6p3M^@K7Jq@rG-={ENA~UIOie8x#tKBArRe-J~qH z!RPjI4Be+6SClY0NX_Vunc2%tI(Rsb#SEoJqn9`|n&j&1aaX3F`Y^vtIfLeWe5v>G zjbiFO;nWG?>mEi>7&^$X+LG_H$TYDz~*eK+q1XpbqZ8C2V0sks(2 z&3Y^&P>4d;!oyu%9Wj%dA#K{eYXUh2t$Zb5@5g<{fc(o4S)Gty;-2~f+*^JxCxL*i zw>K0}jR8@eD7`yA9L~oa+sg-Q=>gIwpn4})r47y!TU(OykFJFQbM{_m>9A`JZ&UWL ziV^jyPuS+Ebko?rzMZ!n>37dCMntJp^Bbtr2*V~oBG&ia;d%G)ycc<#WI|Vb_%too zlw3HScgOjVzS84-FGT9ngHc*{Kst5Q)8@FMaf@J-)O`*?VJ z`klI{tq)xnEu-=DMhhXNVx&$@Wy6{}9bU{CJC$%UD>#io+!3d=ioiRWzqf3umLLg_7EDUon~lp>dibPp zxGde2NrbI!CHnQKoaS)fJ)bRP!JP&x^4p=OPLwuddb`$>-TLi#D9?C0?C|z2q#z2=7pLU$jReC3RWnqKui=yn(juuOq+qS@>xu{t43;oViRGAknF|S5gg+rQ z)ojwOAXHKdGbX!g%cSK7&6F3Vn^C=L+?d|kl)4$~BP_b95wNZ8iPMikL}zWz!+V9o z;Onj0F{ONHMQV{X4$t88HE33gfLW#a4K&IbR@0?D5u-6rMUT;1t^h+x$ITGeM})An zP=0KU^%RTCXFTe9()5Qb!X zLbJ|mT{%@u9h(LyEy+S(r;68(wzmCGJ=;Jii_^ve^?9MJ=s@b%8^&1d7;n!fn^2{3 z+}J4{U0;&tltC4XkL%(bH-20z8ht^UQ*u;Oep?mhl->mWib9c|Q3jdND^aZO`?iUI zuP75;$GC~m%c878$D^0m)ZM8>FMJ#$T|}#Gnp3w{F}_m2xIIh#*1XcxZyZ+;e}TTj zo6)A;ubJ$xzd&ES#**nKD#9d-_(yA!*}$0mRfj z8wE8ARWl^bEk|m(L`|Ri43`S?R_`JxQXX}F#DMM#O0NvmqBGfChH}10!*nqdf?@sL zZBFHHp@K-71|Av+pxAF|0O#7`m2e&-DkIL5@mg5g0Cpga9GLQT>AHWzB$Mm@?*D8VQeS79eTxF$gsdzVJsDvy6DFFG+d5Y z3=`fH(rB!6xPn~}43+oD(<=iVjPGR!;eDdOR|qv#^Gd`J@QXq@(1 zPNHWRoILc7E5}-#RIU`St0%=YJZ`W&20ks8?yI9td%Dfkf$$BM45EwfST{a{Dob+~ znW+YP*O089peU_+pquYDI;8TdHvJ z0yA&$1;1U0LWH<5({SNBZm+wrpf_-$>^l)kmD_;#__OJ9#P8opkM7G$?{yk?}srOwmm(@Pw~=a&MA z>6F(|i^^vK79P}al8=9Y^U_Mvd!u+lY`V=2nFSj zLpgz}B&6bc)0^V1>R6e@0kpO0%X)Akm0YO@_YgX zLLw>}F`C*6kam|-5hHg&Uh?K{3KY0?c}X7|Rnoi4$o%niz1&@7q@8ZmyA{)%_vH1N z+woD22|Lj;r(SCD+0=_~2o*A^dAGfSNklqHb~$x2dUir5ZY;{s+6y$Pot30cM&mwq zvO<&E8sGIY>7;Pbvz*QfCVmvOB_=XWYAc>e0qaqyf*fwhAuYTHn#`h5EJ-MS8yeny zMcM`L0a3E z-PEGy`7x5I5L%GqEuEeB%nz!?os6iVtv^<>7C!LUy`#^(S-Hm$Mc3gIU=i#-7^NZYJeJ*QxCO z)G-;f)Gu!H&GOlB?pIG|%%d1q_i*{3l@k@$q_gwjmE5~X6neceA1v3Y?QN-w@~UNq z5{eSB<3Pm+5FQ@!XJKHSczfoFd_? zain$#lV#rfwV-mWNni@_LP1du4d<_Fhr9X5wk+C~X-lPJ#g_1POCr>D4dFSFen_kd zE42($q<1moRSlZP8a|8E_jav#f1%O@Enm@%73ExBGa^#~VIg z4H~2~hqBm=5Ry2Zj~|cc|8nTWIjvq_`n!rQmX}yxw%_;1hqu$={ClcybI!HF{sWr( zsd;|%c+ou7UwM3adOp1FQ<3}A{%N~Ae%+qAkC6LNZSUr$E@Hp4**&KFRAZCxyW{xX zz|Y)t5~1O4-1&d|uepL7Hff3`S$5W2=qL%`mp{*`)wouZl_&X77)!#-ot>*Mp=sZMY;qzNn7k0I57;8h?OKx|*AEBj zG;zOsskVyLYJWQK9-h-(hi;wu8&W5ty_i8#_hSXyvH$nC<9YkA|9W`co@>g{_8UfQ zwSRqDZDVY0q+S_2d$fJ5lTXptYC*{iq%f&_dd{T<`npH#hDZ6EZl~qIcB|XdUdnxP5 zTyLlCTDxtn%x-Sm5{^aNPL!VOjcrqD+)Hh};ZUxU8?IEw%?*8R#NOwtHj9;JU-z&3 zB{$cyE}K2d-#B0W~L)9E{Q}f!^Y^FH0GF(K90#Fimh~0 zZ>0%Qg&L_hN~7)1xrPj@J&>ExXxoy^`9aX$^O>(~i7ibjO2sO&^n+^Ua#J5*ofd1# zqKDc;>A442;-A*Y`m!{iH;>;ZyHNi=)m!U7H(EBIc5;EG)bI;ZJ-P{gs8U_8g1Z%5H zj-59KW+^%KS=3%H&3%o&zbRy>-dU`QwB3v;C1Yn#ow<9OShYPok^G>>rgCan-P+P& zSccgZ*_%x7fJk~|Ns^X`CeUv1?YoQ;ivc~%n^6+l;yb4qCbdN)XXnxyqH;Fl6pg5z zo4Vz*(;dRG@#CEPL|<>la+_f|d>s^Ub+uiXczdo;(GOyi5WW*5de^XtYl$yd zAF5mYnR7z&P zgr53dpgzMe={v)O?<{&DiTaSBpQ&${EI}9}Vn$F;eSy$QF*ZsYX6Srzu%JGUWBS6> zRqBt?x`F!g#>`W>q#lMM)oidpg!L zgmELfoIS$?9nyH+uICNPkL5sF=1tZ6adXsX;ElB5?d%YzbfdnqToVeLicAIf@V{VK~U zZ_(Y&tE^z$h2_tSz7U&`pd{Gp{QQc12s2a2_0GyD;k8Irdqp^p>eb4qQ+&T*y2M~X zu;Q9B_%+Q-XGiDfmt_q~v5z%{8v8i?{#uLQrZNUp3%4LxwSa zQNSe%+nXqOC{gkX1ivl{H0}H~CJZG^4?sqz^P9zu5F^yr?`ELnr%2l{E!e!yGh8zl zVa-TM2|PaC^&sP5&>KqbfD=|CvfgRsK=Ev-enOrJeP^ktLbi`)ZNhQQKK2vdemgup zVcLY_*PyE7#Kse?Rz4Z%V=Bobs$0OgW<;;;WxS0x_L9Of&%1{` zEgmhYZrZw`4m84^C56PK?VMmrg^+;}zO%vx0c#v(vm|ZtykLTaaib)cjj&NyEY)gw z_zE`?=$s`HZdV-s@%g4Di-#zOeCC`6Asb@n&7C-iVm&!MzP<0)#t`BNZpHoAs@!Tk zeZ0JHAD?%p)0hYI@cUXyM@pL4LJx1^4eIhh!@r_uIGkz6N|gp?^pT z%kQNPNHt#2-X+)y-`nW~ZGAi%i1G~FT$FhUqeF@ghGfbx+R?!@Cc^}R84TAY7}}KtYu5;?B*MNNUO!IjgjFkXi?;Per-#$f1?55X z;<*H=`!Qv2?tUo`oy(6A5{tsUYGm3e#!%~D;{er{Vsm$Kt-Hg^JE4mL5H{mhUL0Ub z-w+4DG=>~}6V^w;XS9NEYK3i&7q&fk6F0Ag!j`043#H=$$*raI&nOEg9m3}0pDA5}%rp{J8)1X1@V@i)(v^5XT!H=QB@qf_T$fxqLb&EfOjmMN zMHTcDCczx@3|Bs;fMm+Yzw{r3(p%Gxh9+OW* z&Bl?j?_hM0Y^YFd*7#MCZXNBR(yhhsyXOyDa;B#*#Gcq+Ol#NS^)bcN_s7@W^ERb` zP^Z-=%I-3sM^AxIevpq&EQTBS|q3SB-kb>5hoK>N=YE{pH&-)NC~at%}rIY8N%S z@wQByE>GIZb+mqjVh?fSZJBP2Sd3A>pc&^1_ChzIL{+??88>x7j`JDq+{N0xnmE;V zTQpd?R}=dZ#f;;n+n8}q23#;-x{cWlfrmQ@MuCVp*Y{n*D8S$s)r1JGFkfG_S6wTU z8(h{DZnvr`b-dPeguzuA`N21FTwRWP@&0C*Z@SM-E?nRY z{o#r*%<2`S&`V$0Z0!nXn6vmL7?0p*BiCFbh2r;@g^-%9%3u|#H4&snH%g%2__93- zp#QYF_W3^hVvOMhT%zD&Ov+|Vu=+`u-^5lN;%R@PycH~EocnHs@#;>r@8g2Vti#KG z`|*09~~(87M;u`Vx>-8gPp7_SH8dMRDOTO zHBjFNo9pdXu7P&^RO@Y5u7P%qTU%+onl|4xoECCyD4#PMA>+vi8BeP)(Ev2W=gZeh zyJq7qkeaPuEA3jEvSnZLq;f$t4a9I;>Bf@}QDr3t4Csg-kHIu-3sOGEwb}4lTNZ^jVlRhLB;0ak>nv9rmV#^iguw z&mL7H1?#X3{}9&WSb)ZpuoPR+war_HRvQYmI+XUuRATMx;dI`YIjy;SL@sq-v&3EZ z&eE*MzVq6iP9k?tPsj09c&U#`u7y4hPuRnRS+gNpq?+BFwlak;MYc6YBG6EHDLtnA zaUve7xYna8dwhR;U+b>v3vO3?8;c4Jy+Mr(0kv94M&~CWiq49H8QfJ1J%Z{X#C}np6L8|I6;zi3I>rtDterwhj9eG^&IJ-`^pI$A!*DAIb%kO$O=Jv zE1Z{E;XK4jQD5oy16}(_FvbrbS?Xaz&c2Z1o5?_FAR(=+14?}g)}jMS&7~vh zMmmyi#A8t5rdj`zGXVv{D11EsV) zB^@JC!e$SY`owKT50nTZu~-R9LI;%2`lZX0TFNfg;*CndMyS@l=@+V4)M{maAiemExi^6~u4wI0#}S3L#jj|Gcv=L<$}tJQ(>B+I6Y`#qQ2wg!eh zZ(llJYWEec3gBe4U}2;?V_J|N&h%6p&InCOuu@_ykAVg4GqD8=Z-jh(Bb@jfp+76& zXNA=PA1Tc+!K1PQU8wYbh6$d7pwM2h5nkYjw>-BXUGouATY}(GMPXHlf^CU{X^8@@ zqTrE6fqtry(xw{eY`qa2D8<*bGEg!$l1bK*NtSe?0}7+JLNbwhExeS3E-dyzNRz{g{{3VH(n?N_U0DQ_N5d;othhKzTRCAT zG_8f=nDH8`Ti*DjL50l!>DIn9=#*tX40?Qdd)hy5#S7}$n6oZ$cqDbp48+Wz+h8l( z;;HOeIS1h)cb9UKDHHZSN`*0 z!i`X2J#MAtxDi~l8OXfXQ$W}a)ozv{SMhsSd`|^-QXsT`BCAvK;^hwN=dF4!CcEgM zs10-xgYigwt%Fqzrl_J&<>}(+W9CfRIOT%Ay>@o!V*A*x559`|l(r;-(w#_J=SQu6XP^J<FK{7FV z%0_ega-xU$^`$hA>JW9d=;=ggXmUF5j@?LKwx`3($8*ltSg9_cbM3N4bIRG+z}8K# z)JxOpv6Z2_xyB-%$}LLeNVs!uyM~Ta7ff z{p)yjnY`3^Z3LLnlG1ueo2Wgy3bc+mR~YRaQFH zdmluC+9YOo)yTenwc+^6(W2pPC19;)|Ge5rmyK>K0XsJW4&7S(LNlTfcI0b7uP z65s2I>T?a)p@-XRz%Clz$hv##W7~V`W6zC#5bLCDbvc9mD&|pz>{UkHTMc{B@J2@6 zQw`hRQw@7=^n(~BT*49W14A-tDvfEz8`xmzVAF?d_bO?CUC zrO!_TU^+BT{VlrnV?ag?Z#2DZk11oFd-Z)exVcw9KdCYUs>2NDBl9r7?GESt@gxtW z$Bp;cv(_2`3IO8?!UPB&0r*8E01i7Hh1BWhe+}LIpN($e*U&Be+2|I34c+3OjBcj+ zC3KsH`P0x%{TjNdKO5cjuc4d%Q_wAp$5Lu|>S*Dcp2ur6%Q=PVMTx%euqbXqeGljT zW8W{^ug`A}yXWou+uO55urO`P$IhLGzw2Y^VyyZQBlRZ0l*&IrrnJRz*r;I6K8t&q5}E2>`&kDvzB;_=51%IwAm@Xq@a+N@p5ISBX3ci~WhhVt=8q*dHh? z^Q4>bXBD66vAGE_=D5v(n#9dtAfSo(g}GWtXR2$M%>-DHWB(K7*#AN~_J7IozqK64 zpD4%i7s_$`Yw`Z+i?>xjPgtz_b;2@?^_Q^xRAKpv+XDLww*~gEZNV=PmY=vSu)lCy zU}0PE^0fO)Sbn&${6yc|{zBi|{z%{ZFJY+&%TIK0?Jsn2?OzV=&leW=6CGUl3mshd zmxKEYgyknXxb7D^xb82*~|J-`o8{-`o8alK=OXwAxz)>PK} z^sOm-KOVk*-5Jm!{mcDcO|(8UZTbKwN zGf$m4)78~=eAs=4Zuv2%0?XTLF=ub!OCC!VEaKXJpC z#)Db^5n|NDNoVF=V$_tYIPqvUXmh1H@rWL|vRRpSksWEtUt5`;`J3JOsLt$Lszb92 z=IXdqK#)ZQmvQqaZ&*oYCHZP z`E=(0$iEX~)&Gy|dpL&dtj9=E^RB)05IdjbNy$lfC^t&w#X4WSXiPeCN!&W~95N)C z_t`(V{y+&3jA^d3NaMhKAWk$MN?N*cMGX|%JNi=Un|O{sU^0Tzg7Sn|xnU;5WmW+^ z`cfUbl_+E%&S-;aNrp%x4%5b0BrBaFOQ(a@Ca~Pi{WxpTA`21hbzMypptY41!tbsA z0NZ`0bzF3O${(nSWhW}%4#{)0y^XF*QN|IBO`Y(#cRWw12pG?5Y|+dewZ4Z5;ey z{>e}JXuX8R$uF@MJ4&;L6c~4^KWRHeRbVbjt>oZAI56`7giwMh+xR8R<<)cZa&cq- zMwK9M$J&+7FEanUdxwSr3K~8K6Ouo~F~$y2=K1c&+VMN7f(@ih3E~6FZrY=-CAfh> zn80_BSJ=n)?3A+~K8-c3Syr=T=jD>$1lo!m(s1}EIF6DnAO#Q)z_f@vCHhR%k2An z*=6?GWk#3SxOmP_Q~M+p(WxMP?yGEcm4xPtAIaxeI#=uah`u@``#CRWgfht@zO@=VA zLgZMegVkuJL21P#Fi#={Jbf#>q?1;2P_ILK$5mfKV`jDH>H-SJwZeY-ViL|c8WTI^ zcEj*(o#lnK)rHo}v0AL0#yp%I;A^cnG0W8q>=n!Ub(s~*)i^zBHvOH;VN<{GFZ6pt06xQjyvM*)zRC}2Q@g!8rz)9cQe5b4%ps*wSyMTw<4L9mX+}nT0j{Ga*2UN$+ zC{Qgy+QT<2yJ@pBLX$cOZWnZe`%YR?itT~Uu^l?YYk+=!tfpHcbJS8bz!ZB!Y=}%! ztWa5fQX3t5J+j+8R4%PLvI)vbwn%k{N%ZuZXbvd16At32se zSLUaL-dpKqk4dtP?ko$NQ>x!ponh^1c$X$tExBdxAuwjeVG1nl>!b4y0xJC*vg|Q! z!DY@BYiZog?dfF)@tiCvL!Aj_#&0_5+;QHlDo4dnObhFSs?Jm@lOM93WZP`43qFZu zhw{Z4Z|PS2y{i7$Dj(Uh4^ONQu`TNps$WvYuRQ6eSLWs2-;!fCt)-P`yrpqBwr7`} z#$F!`s1iJ>7b9KRo1L;*0*_oWqU%C}ziENJjk1(fiq|$dS&8)6g zUdSp}5!91acBZErs6|dAndz^sz}e+bx8hAgrHssPQN2l}ohx+Gz1&612yV@a{eI-L zHFal~xl1G;7P~VwcGBg~Z{jLhUByv1=0;HOv^29ccCvoch?T4aP?a(++1H2WdB~(y z+IiAm(}8HQ-#7Rwnp$pevv*!WGJ3YlyRqz8Q>O9|DF7PX*-8RKr+I(dk}6~~RYy2rDeaS4rSu-$QH0AjTv zLvXB2OVvE*7({4)$TO?GU9qY?eXlR`+;SrK$hpv_{@)klm-n2O<0Tg+lV-r6GuBnx zEMeg6eF6(tJIC(1tY?u6A?d&Bq7}8}WhinJe5-Mr(`CV7g*V2H#dk|a_2P-n&_po8 z!^RIoqMkmGID5uA{25ybV$U0E2PPyb zY9k1oD~;DD5vj)IQ#en|d zOD-E>znHhH$wHWF0$~Rz%CR0aynXkv^*d@leFvgPa#^9eOSrhhS#AT*s@;Av>=B_n z{X5h+vn#?9%~U7JVXy>Se^7|mW-g0XgQ(O;s#%-c@xP!m6HlUUSlAGLYP9GXD1X0@#9+&F;lNZS_}%SFozF zxPU8kAkzAtBb<}WQkt>Xty$sgJg7~`$<^FK67`7wdmS>dnXwhTFf;f=1H94`9$n5a zwV4U=`gim7(G3=5@uyTLWX@K1Y%|l|r^GE5Wu@QuNB6I&j!?^<+&zW~nT6chZ*}4@ z`@_ghz|CC1^?h#9>SIa!<4C9CA=js>_~iq>YT*^RRsAftUH#0U^M7}N2W{Kx)s?SV z-;Nh8_{x`+?`0kMamVoYP@$`5_Wd&yOY<&V_t)f<-ml3T{r}x_?E)`2n?0TEa zqh7cPdko+;@t41L1Md#`;s}1kM$>@9=KFD&U>-d)2Hl~2NpeB^f*y%gnjHTWvMPZZ z&sig0ttZ|hWfFnye2TmKjx|_CMwO5!LEJ!;rT^%LJ@7Di`ZjC*zMA2K!GigiDTUaC zw1aShG=rug804lGskk<;HBlN8?@n%Ti3mB$>9I2aO-V3Y%FqS$Ys!%$%LAoiPwDz2t>qTf%OL7Q)Y}-|_j@NMpLx9D9Ve zt)kK~x~OYd6d|-zG*Gj(;O)a^I(oC-f0B~oT=R=B3nnw! zkRow+T6O<5UWUI!1uM!5*GB*e3f=?57zv|-X{0^dpaL5FNv5SyL#_;o>F))f3@qzM zF+^hjxV)YV*n0bXpo!QP@yDf#*ewgXy5~3qE3`EI1y&tzji&RUGN}GR(WDVs^%@*i z^$HHO&9bXQgzVem`FXLpzO>PQ7s>+JkE&_sO~WNzVv2gz`~eTL2=nWc5O$g)^TzB_ zG2*@9>+R8DbLq;;Rc_3}1x(c^ZN9V0#ox!fJS()?{aD&3uH;Egm99(sv~=LSG(-daNCU zM)b7fmGReWi-6tMltk@Ub-NQ|nm8LwY0Kd_%}Xvn#g|NRA?L-hS~L~RRQsIgTEyDp z&fYjS^)FUAp_D7n13z9tS$h(alI-qR-~AyAnZKc zU4=EIR6^J|eolHXIYk^y;pp$|y9;x-rQ7KBQb~!0R|=xpp-M_n$URCgA&U%iy&n1!GM&WJAImQUlvb%yVw{{kVu(r*S=qdc>T?a=zq4AoI z)p3xiq?@=tNWtxWd?zX`JOO4PRl1%#pFyAXvF&LW`$Gw z2IK8|kom)vdt56R_u!UDb4Uwy1PToDwOnmpV{P_*ygAjG_1$bNIoa$ia;2CxZ|Ot8 ztG&s0ozIxw@u%99$^!4gh{^&RY*0fAlg*P30P116np!Ao_{b zuiY3vEnyEQ2oS;n1ZEi;6yx~!V1FOn02=vj^sjLaaB?rJyrWOzEjQv4=c8_lz}3%- zYuYzQEGuS8!Hf5v*dxL{rjxc_?Wx1C97NfG*CPE>kOel$3E)5_9yt$DyKMb>4nGm` zQ_0+^=P$fP#H8s-Oyw%^+X+CGBt4A%C)CGJ+#t4$bs0xeEJ~+NE*fRh#y&T80{9p* zy?AP|zH*}j8&3C2Lp+rwGHhI_OHWFz{xC_4CXO}5e~;Ruvt77&kHhnpN?00u_cV^& zc|@NMBSz1!5^RjW=U8tW!oc^NOFr&iYxC9y6dP9fE;er{f(>n!Y{R8(xM4IGAj)1u zeyHU!Lh)R7Q@+&1sHc|NmLZ4*&9nTxvp<;U_Rm{8a0lnVA~ro5pQLe!`YDlNC?}zt z>)VENxA)<~f62pHNZi3;<(ZWWsQoV89q;Np_+y+zidl4&DcBV~gGMYN+v#$3yw?&H^``n zA(9H>xh}7kDRtmPl(FvEG6cm@u$Vzw3c8dLZw~Ch|KNL_fE|3n!Y6-O!Y42EcNJfJ z)a}`?^;Zy7#7O03I@wAw!S|+F%<935G1ZKTl|E#u^FXB>yT^wCP@-?0Rb{e_=!>Z( za3iI>atilge=gjT%iO88ArK*kHjGsalPX)u^ zwqcQ+yt2s6EZyz6e!Ws&Sia_1A=O=F9VxL4yR2-?%`x*8QNZ~v0caBm!Zd>*LR3qR zyyLnAPc7Xyt4Ig)tzaM}wnT$Ggq>r)hdA-_Mm*@Tgk@Zpq5c{ZZ?5Y4!CGstL|?5& zhtFA%KuEluREB3+g3VdvylC{J0_GY7*m4SfWkmItOO{U?hqK^?$jmuG9re8nQt(($ zA$5&msT*$)K~p;d*p|wW6J9^)pfMqCU6@*}7j>0I!8vfV>Y(-|64kd=j=3x;Fqr>@ z{Sz4P!x6srTbUjWq?z?M=rxjVm`4cpJ@L0GIx?)pea2(@qT zL4O~WQc!Cn_7CkNeC&stPrCh=GWyxMVZWcIQC{^z*=YZXQ48Gw(!Z2c6g)xObCd)8 zt(*!N{btkv!lU<&8HfPFWA=c6Y&4Jooxp`N5b6#>(Vb61cP+U3#);j9d|a@;{MTUv z>5wv|U>v&8H~N-BljDsN1d`B zX*3A$K)MH9;jL*iy%hTZGf7dGQe&|Vi_;;rF)SW+j8A2ZbLGZNfe4vD+p}Uc(+)(f zATXV0Zfqkvi8@Kf6ewrOAtJ*WvL!pR%C6d}`mH?(a*?2`tDbe1 z&PvDY-TEpSZpMJa)jr=!B*kJyAP53Y@99iFR^vWtgNd0AJwyTt7h6YH3JDPLRDX?F zHZ@+mTtDAbXZn`3<`qlqsk#ZLq5h-6YysPXIeV5q@fTM7wK0G}8CCkm6s9q#(I>&0 zO@wz=UnO2SsYAAX3OE0+`Lc*PjNvhi@a!KcZWjQ8l$^`J_e4q(As5?pYxCSW{nl9J zA9HA=2-C48-H*GAOv4f5Revn#WUFwDPkSA9~z_wVp+=jOj@@Zr2JA!G#8*Glk&1@ppEX8&Lu zHGUZQMu|PK3Z8Z{cx~~y@Ldv3g*PwFje!7anuW|7KEd8mK@N6wz@`&0as)q=6u%(0 z;acCZ-%RE&g<9tvKl0W@cIDgDZ(QFl{wfH)4>#}4-TDpsV=yo@`HW3=5zB`=ccxUt zKHcBk=3D@$nO3V!b=b0)0ord5kmBJN(Rp_i?(gf|NQUOoYj$>R7%;H|HE4%{neIR z$%hxXUcuf={5$e%S90Lh+g`tpIinx;%W5+S{DbP?u#F2%`_$W&2qiY^oQ>eG5xt-q znZ6MsEVeQjxdUs!#AWMatyRgY=ibur$ED>Ox^BLYol@dqv$1(0BO55(fe^qLM~B)l z{(hn484Yb<4_-JZl@m(z4(9HP`#{v9JQ8|rkT$NhewBo=UbN+Z5U zGkZcqetx1GU{$UT2pqD4HG&EHun4{Hoorf%8#V$4;eDKtMI|jY?p+TcBCmV+e{xmT zlYbYuKwDAZ%*BI-y+8X2!4#)GJ4u?vROc8K8hPxM9}ZV& z6=Da2dAs~QkG5~s{CTSzpD<-_|2>m>%gspTz~o!2K~!L7>9>YPv(%-UW~%u^H6uw` zhq{Ny6|Vpg^AIF5L?%@Vd`rUJFY_Kb8O_5IB0)ov6Y1onuTG=d_5#b&UNXCdFJiG;F&W^tvQZ>vXD!r!$a!$mLnF#CcQh>qk0>@K zh_l)3Y^ya9k^8tZQlpepGTD0w%m3{N>JtNnJtfK+9|Lgs$42bo?a-+^Hf6>luHjky z5fROTt(ynPlyWTG1??NK{enq+;>8WR*b;aoc6H8^)F~j{(15$m_MRL2i)DXScsWwZ zo^zkqc<$3MKb;5}8;Q+5Y#sV{`X?x~eht`JTnLt@nG$Nrjj-~NjNrGA1MwdOEs$}$ zd-oqiBQ57>wei=^w59R4A(t zHxECrb;4Zfx|9a(UxF3DT~`LCpWrgQRW9^mLF@AQiqiobCf&P0D3?Pj!!o_{5j^8% zMUM=z5=}55%r55S1imuz6yBa^bq*o7$8#0*%}_6seg~YV$m_A?-Rz$+GHJkN)Wv!X zBKG@q2i9qr`;Rp4S_lRK^bWh>3hD9o2H1x8iCPkehJTWq!cjXx^;kuf)0nHHJ+P9{is3dLL52j`!gbpS;E1Arf7* z?^lN?%dhFBqb;JRmxQH$6zk0#etmtn;Vrtt;kSZ_Gjc;a#0X`&x4pHC%NI}b7J^M& zZLGcRAN(=8Q4+)aFILRACu?i=Yqus6IP(S7&~lO6R#G&MU3`rM_Z*9a!ElTBN+tjtkKjq5hWX@h{L^Rmq{XE z-kCmyTjVeC!aTj@t7BauU+=fr$R+k`%}eisA9wr|+20x?2ZMNB8)>(jW3VNT1=GsM z8|_i^Q}a=CP5ueq8W!1!wh5U(VPwK>x)*U>54}?HfNf_>mN)Kkt$|MP1)3&WFoa{1jC`nw)`bXmBDuuhO z+eCEZVp2;99Ql*@-A&iEyC^GDt+;YDVD|VFGAL< z8IG>oKPHDr7KDFZE+UvTXd@gDq#ws_feC_vT|v77e05=hMNu;a+BgBxWkN8#bic1F ztHLA1^v!0{Ob2Ce8pi@@GRtQu#@`<7j_*v}{obM4LyBy*PL^WEEoW-(~NY%o;HsIOyBcyZheh)N*+Frnn|jG?^?WzlFlO zf+{v}RinoCaib5SsI)x2yjKYI>5eOw)8!2WF-8a5(LCj4f0zJPD)@m8>NevY%bZRpP8x&^U`H;IXByE2_mL znegfcN)TVjiCS}RxPj90i?Er+ zi(+o&sVW#AJ8|j}*}BWg&E=-=HQ|}79x*Sq^f|P8O!$!u9SMF zP`oBT3A}-e*7()2%~S*J_|(UNXZMVqS42HcX#&OIon#>=-jxY@!!lpaY7#Nia({S! z|MYa!|7rR5+;LHZq%mQNFXg_fd8oRNQ@8iGuK$^uQt82$S&OV4;5dmoVT!k8=CSHu zBRj=r@+>>IE#9c}%;dEg$kehNxLo{eovVM@EC%3793kGYr9hy+S#g=fV9IT~0GB~& z->&$(;Wur)`K(!WFGtR5<!)3 z^4V@}J~gs}$AI$4Jgm-g5`m(EI3UxCfC-mVd3&)E5zAXJ_Jc<^fYqdz*J}Bdu=|^o zX=Nu@5jNL&37(Gcc;=#~eOHBTg7A67lSs<9cqEW4!|4ym#ali9@`wyn#C*!Sz2?Fx z>@bCa3k)=N_u6F>funIa*`bVypJkzfd5##>nt=h8;15OC3Haqw6Wmj`C{#+k(11|4 z+#ICQcD`$9oFG!XJw2#7vWvNx4&CUkWxLj0nF)Q&_{Z;L{k3`TDnG6GZT@eq#Zgpq zzXQW!-DG@*7y;Zo14@g_uX<{YW{^Pm4WlZ_c8?21VIx^z{CFJYPx-e!_f{8Qt6-jX zH$Q7@B8=3y$q%RGa+ zmVCVShmtkNUMTWb$<^1z!-dd#>V5O`IOLCh7qXsYkU21ffn^&eEbDJ|-Yj0(0l3m% z%F3S7&hRU~kBGCk0fAP|YfQC(ObMnPPa5(JfCBRy@Tb=g0%ln?*qwitbGt45Wpxok zDP|}R!;2Gk-=Pizg{dRrAAkr|eHbCXE6+>^dAG(x*y5Q5h_9W&kz6_nn937$4w2|Y zmt-x+v)d+5H*yjS)a4aB3=6{tcDJSe{v}ncEaBGy>*96pqcLNbju?hMIrKBDg)G6j z5Gob|Y3~Y3WxoEjj->#{u(+ghf||*pnNkSsVFD*n7=}_Xsn42#&*F4AUkWZ25n2c* zcLWLuz&b%^nEi>yKf?-f)7zmD9BYte5S|07Q2`{M+(TT|%7AA4?uRg2g=}HHmvv9) zwk7;D+`KjL?9T!lDQ9ouY?&YqH|2SkX>3!re9w`f0jo?38OQfP2DdTScMk0RHz$pV zeVQ5{+AU~>?=d+{76fVXNu9_d7e6S$wgKWkvuR<+bnAdo2t2VrdvVTv8Yu{JI8=wtLG5doin5f}{4>U93G~P7CK~vkxL5_2p&;N3p zsn0fGOyWio8-C%}9=1!E`B)NL@&Fy#JuAkYMH3|})cp~>h0{`dPgAoZUsHr|M}PHl zBWm~&Aq7FWi{PD&gcg7QFb?Kc9?p^2<@9c~69ZptP>iMYk+l4R*8aPnX2W!q@M*ys z!mVqDBWSlT?_aKGMw}RIFPxYRia&WCv053^mY)D2QTS;x4$W0}mwMv7=Bo3t7d^fH z37&Os)f1n(G~uh?dz1hBTE{bNXACB z4DExf;^M#5adV~w+Pi%rvYE0#^q3YHI?Q9**Geyufd?67aSgpih32}T)>gs0>6Fqq zWuB_2Z#Q$S_S;=GJt5!Jh%Lc+GB?cmjS34;0@!LW%}rktS}}aPbM4yh@5_C@4__n_ z3B(T869na*M~(5ew%U z2BNHAxQv%EU{67m5z)4O_I(9OEWjT`;998ips4mK6o!3QsO<9wZaaBlDZP$4J|V@` zZ|G7RfzHl%hI7`UQBMOwYmUhk$x*VPOo&waOJQngc7B2OvDOWkgH4Koz-#^-r+C5! zgeTgujU|w@4tcjn;8uzt{PB~-_Yu*Cghql5dfg$BJ*KwEU_*9gW?NRUkmRv+L9lfr zAj!J18B}tansNO=GIFRqE=?(zA@dc%QI77p5npF;Ylkh{v}Ox8&euQN?R#> zQmgJxh*+F@(WuoAY(9NFvKoh$h!pw~M-y3IAyRGL3dK(P73B`>6`_$f?wELgbD2-p z^T(hFy2XDyiv1?oj}>l1e=E$d2MWHu zVaJuDV*H~^Zf@sM@SIDfk%2WS4_Ee!l{_0qW$Z44>UbvwsbYlA`ydENZBwR@LHdrV z-R+En>Yj_4!!@F@9I{|qxw5N3t2r%X6nkMIhn$-fqdZlvdKp%@@QQPsi& zC6N&4@Q#wG+&#*#k&WLiF){*5V0(hHx(to098=Lve)9XW30tH(awwQZLYLqtmVA6# z%1R1{9^*5J1G7&`(~Fnw@v%fYY;vF487p8f9h=196?^zROT(y)bD=b%Gb)OSb7-+R zr&|R}JEya}pOmi%z_Yyz;7w_N4J81@*gLrmQQ_&E);_(gzut{#F`IJrc&rJ)2PoCt(GbJ~BQ6((qt`j0}!CZPa_JXzaB`Uv;pf zKf^wf?r~L6I`(KNpGFFKh+jYM3jQ>m?+#fCNKvsjB!t?H(W?v zy+0=0>WK^Cu2>I^4L-J$hD{Nr(1lvqDU`J8A~ z_SXR~EgIaQb~uGx@us!Egfb={`w3YPy$<^k07NIQ85|rc)|bz=YVqIM;?i!dyj!s#=w# z$p_Twa|kASfHf?Wg9)-PSCm6N_WSf0mpFGZ^Mu$7LoL6PgY15X>9SM+?+AYROl1N# zOwJylT7IIw##Ix41L@BxOh(P|kkDVyc6l+0kbcxMerLDS`>OgVTCue3G{$J- zp6uu}B)evh)|fK3$sbkVMCdQy;RmVh{%XUijLTBb-&7`7h4B^^y!%eVK+e+Ek*(tO z@b`Q3@+f>sx%`xlbUT)brEe4H$my;zfRwbgnfWeV@m~??(X}}YkQ$};sUaqk1U0D? z9{lq+(`g=Ey=&m~CT-J15tzEnCMoxzCTBczZ~wMcW68ewLZIEr_kQHZ#eLAoq;Ag? z@=5fbU-0>Pm6xw8qksMr#;?)@|w;c>ynSnRYl|j$t8B5*0E$8kiTC8NW;OVu# zU`}Hon`Z1?Vx{@xJd(=LZ^lB`=&fC@vA?52ex%Si6vG5)?5&|)hvZ~GN%a4jb3_F;X`{uPybhl6C-^aM&*ZVy7-_oDestRro zCJR~Th*$3|Ba_JRWA7D1I(A5f zE?~IQ<0~a8_eSZ2)U|1fR^geFgp9->2E6N?huf*hrZwCSojw^}leov2&{<14disvE zo;MRWZQz4Z;h_Ti`&Svp9d!SWtGNQ&cU>-SXI9jXjIgIVu0_w<(mvU5Ug?ByWcYOH z%`l4R(mpY%UA;hC+3)?-dOC;>v7(iKtmnie5=)1l=QbVPTm4C!#pZxI^Mz^)OW-Gk z@mJ}@Lw!}9hg5&Cp0=bng4cI~b7n9XhY5F|G^@PF>Psu2UBq>tgz8f!97ui}fN+Ef zl#YZ|)=q_>d7u~SxugVw!#tc*pBX`GOAub)EQr3?FJtCnMB`TSzo70U4uPddR;w)<_gy?+j?V2uol+lCL$-ay1%+GdmC_TRGjFPfr!Z zsq4s)hMPip#ey;e&}DfUS<0m2T0QGpTr!%x!W;bMK?+Iojl^a7S>ZD-UW}Fy`cOz7 zr!bqK5(oW~CXR^qe%YWkTe>x0v?aAM%c4k!@e}P>Rz#Sqpln&g8>J zs>EhqA##lkkdk6Ttr(q12CEB7zZ}RPHTE?}9|9pyG3NLvz?qgE13@e^rg(N(dd&vm zpZ#>)7w=hQ+o#`D@f1ckou$TA@#G7gKTV5%xW$gN@Y z-Xz+$ip86w4=y`x*#4~~?&xFg@df1SZDJhaL_wyVE{rR2E|rsH--uhA0wN+pk}q7T zB82Vsk7?#19-iFa&<0BG{!HJ^`lZBb7Gej_^cN;-3%QS4Wt9w^m<{no8mFymYMLn4 zvZ(TkC@Y8bms_{@wKi%ov`9&xNNT%SURMbn(zi3)PipyH5PHM|ryn$UT#i_y^J8Tjw zun%AwMN~+5VJDe~w~rx(jC=HTcCr~VRe4pXvWfHrr@Usf3{hl+1W~r%HSJT{z4GKY zaG_J9#G{nhC!@gx%sKO7=L=qFQcY5%I=^sr+qSo!4}LdLrVQciYED!VEc{hK?#t52 zN&V|5e>}>A6AvH*y|cHG0Wpje@1W^F4$cJ{;lOBWQECT%JCOM&^r2?fHt;!!XL;Jr`k)kn(T zmizXAs)r}V2s&d8xE2)<&XDmM4G#1X@F|VzxLr0%BzWbUosQObS~U2mvac{0JaT9Kiw=?Yktz&(tp3 zl65dZd2jIF6v#Q?@~zxmVe>TwQII91-C<{?AQ9ka4jt1H(`UVn zJzi)C3AL!j6|DeTg@z?a^`_1+AtKgvg(WZrE_thap?h{}{c+(DuYAp{^#5A+p9ese8W8R)6gae_Ombn zY@k**iarev&M|~}m(JJv=!FxVmw;k*Om^gws2%;y9A!Dx8Dz=aeoH;D9mLP3JEQw< z7@Pc=>sL8V_BB(xh>5b~GNM685NI%U&1;{37HE;0dJLbQTLdCV29k{s za^%1oxr}whJ#RVTNQwq0s@NL)JT+yuG?S$uNAvkg>pT_ih#85H@B9TWiJ!i$CJAOl zF4jLL?YY79@RDywpJw^C7ywWba?)!vp)f@OBrW{$2TGB@=8((>2yo=&@e7X{{r(SO ziDqZBZLwk#jMgpU-?;<5g)l_mRsOMz5c%bXj3TnrLU1~E=kW{dzbOz_T*xAGi63hkw_h=}Vd8AIKVcVG- zEm^*?ja{&|uo`?L`0A79BMIi8KJR}`XiW4>V63hRcW0O7Q;c)nkyB}oPehM=)g%u89QJ}HCWt|=)O}pSUR8BxOq6HyjHK?C{YDk;f2qFO>bEM zYZl;pJag~wJ2(US#2!{={2WGI`jLy{f-sx=tWk!1Teybq%PEl;Uy?5XbJR)+xbhEb za?esTp?d`>bA*Zgvnao=vnI8J6LgA|UGGl2t^{(#%BaA(s?5kB>KJe#N zIFy1gPT0(_m##sQJsO}G0tfm>3N|wN!ZVXTcsyD z{+o$fF2NkcmD(%EBdpgu=7;zDI&s*TZe;tlyS5>}de{sO^Q{ZvVVb!(3N+@pQ^iR| zYBWxp2VdyQru42{T{OH;@eirg81kan38fO(5v59vy;cBmH8NI= zJ-tJZ+z5kKT&6(1atKcW&C8RVqXkN8sgZoe4e?a!N$tW+Eu$i^wODkRZEo)I;iBV% z&->sgZm+@z=4uUbJ>~HN%2pg|Vg0(PJ3@0io?vk4=Cbgws1>QvG?%T6sX>r97?d(W z*tS}#n;(~Yh75IQiM~^DT|}Smav1a?`GlK7UMd?6&g|IsGu^Eon?9W^DCcci17$9p z-5=LCzBbU@1alOfyB>Lm?NEZ6N0WVA(GV0N6I~vZeA*xj)VU0wrC41Cw2}igsBxKw zs8^5*{n&$zFc6mW(FnkwH|R|z%U=?jlVG5Vlv@6Yo9~ZB)6uB$oeRF{bqXCh;||jJb}^L9EuBSh>VwJ zq%(ijS7#HI;dsnO0?zQ^&?zt-7shBT^N**xiJutT?GV?fZYo*8Y8)w&vzeGPLW@4C zSsFE{SpBHUK1gpEFlkWfzNgRAvT44CNh(yW;4Uo0Yp#}|-@N8Y(;}#l=vi<}pO8N~b7d>Oj%fHpA?I z$q~|Dj{GYG2$zs+?i20GC(GUh^?lpqnJtRN~=F7WhoJnP<#l3^ij`EoLRU>g0exKbud42%AYN z5;-cV)e+hlAfoD#$z29#$bS^bC?%%Pyy<3Dt9gV*Dh&~at3Vugc8kL13|$jOF)@NS znCf$0)-s4jJ_7C@x3shR!u=IgKN2gcPd*-8V9J(A1q=<-E*FZ22nj1;;ejpmROrPw zB@w(_nY@p5e~sJ&4Wg^U!AJ`<=_J$VE747+Fu6fpxdgg`pus$lS7()I#+|)<62A?{ zxj<|&=CFIJd%STnqMkqR9vt1{R#a7O#wsB3WNgh{)x`Dv)F~DS|ED9;jz4&Wz;LBQ zN^Jb=XBEUQ6Vy79(>T{m5K;m%7O8|D#v-3qP--pruirL^Yz$C!`AkV7#^IZ_1JS6xcRcljaS^u2{ZEFF#I>p{nkQN^CEDkLhZo*RN}V(JJLAg&Ju5a8qk|E| zHeV{eEMlM)k~C2%Msdl?j8)S;yI>a0cP!&5UMGNP|)-#lG-c-?(hxS1q+h{FhmcNsKr{8FN6ao!*F&&EN zWPDXV4Ibe0LVg@fM=&9DW1G|lGkabAEwdnEj=_ky ztz?{4o>g#EGwynHapU6&LY|=FD#5TcV1RIax|Cd-RwO${*FXjtaWXZ-~DMvP(1MoKe9maFVH@h zul3>IVyW54T-&r(_OSf#;)}P-mpx^BSMWsViqz5rjT+h}OX-397CA2|b3vIH*TJ0b z(Z+!ZT{bJAbXv-(L~%{5+PzQZW3*)mta3%4K*wkO@r#iy@kwtUEGa^s#1Nft0yUmA zcKYXNi`5jCmp)0PM3!qQD~mut!|@AT{&l8Qn^&pD>u-uXkV8_XZLutfGOQ_iKK$cW z`Q$~?f3~*9oL!)e{|)V_SCWDGb|boxzdjEw?ES7;qk4(B{x1NNKy1J6^8mqqOV20R z4ea9+1VWs)*V+OCFK;G@uh{VJH|GHD^!n=dHH84W35daDOHYd@5QHWY2(vjYMgsF3 z5nH$dljm3yc00bqG2?$YZQtJaua(O1mh0Ww{%y>XVzqmPX+*;#K0d#lRz~zRqC!4a z?TMdC~lVNN-nx0qBvn>?|@Q$^biX)-2RS$gEe z(rZBJ8)6C1(co(jX-3FyQ?2qCZ1ey{th~IzgoAjxO;q-HS^Dy%xBHNHR0(Z|pZ$H9BfXmQU3!U)vVFu3c5l zebZV}J;O^Tr0hG3_{F+uc^ES1w&qq3Pb{W!^mXnVzsk6Thy%D{fK^FO-$MYlgFyJj z;{yS?RSS^VAeOO|uk_362iH|hF&_%JLiY3b?Xnsbqc z4dSq;{qg(CsD?%bH_gq}@ug8iQ;vGtccUH7zgGsN8(tj<6RlmRT@B&@YeR=R_?+oU z;uWR@RpL3gTf#!*`ovs75^w7yB#Au%QNjm}+^ljUlDb6lWq&&DzE+pageeKD#~esE zA6HCRc&I?_=%p$*KUX4R+LoAhOn-PgjeN&+`h+Nnx5va5Hy`B8XgQPwd=A55E#ypO zWX)7>PMnM>CsVUxOT8g+@R;$L-X%xG;Cv(_hPEYCBN1*sAskVCKD>&hXflq0aKtB0 z77w$}I9ikzD8rX=tofD+N3go%b1;`kO7!!%iA?CCb@I%8$5Mo?!m2!g0pC4NacPOn zB*s1>PkmV9X<+fI4(5m=3fUE!l~p1tag`V>&3Lk#dA93htGaMBs9`~~&XLXbWY-&7 zS_uMvR0qKe-Hu*Fa&^CDe0qIBg^QaLeyx20!2#lCJb%>J#S8lebLVlRYo{=AGwn*o zC}*|MeoS``3UNu001&K6*NsqG*qC+=04T$331DCXwH*66zE?3$;KF+qaRaY?#SIvW zgr7o)#z`sgGGe;oOQb+@wPnmSH3~4%1_7-{URK4sA+wZ*RkpQdS|ZaEM55C zJv>(`(WV9$U29af2Jj zFefX_AS|rWwQIq~s`R>iedf^uRuB1glo~fnuOvYX5gN+5B?uDA*3QfmMjkMtR}Po> zXy{o(Y03`b=o_eB8u3^zkx}7HTbg@I^U{&}i8z)a`WUFwnp#mmjz%x-Qi246cpR(s zIF_TiJBQyuSXD)gAgG9Y%LJ+UD4BBs)#2OW#3j2Hf`)_?L=WzAWl6)?+1lHR8LJ3b zoj%^vGKy%4Hl%^JPy6HH`@VlQ;Z&KGMKWqd5YNJiq=uK$6C@Dp71^uWIa4CjxHiw{ zF6;Kt!ijPQ)hfWo&6rxZ=>PDveUZ=P^Y^4|KYq?F;k1fTZO_@?Y0f>3vXD}_rWnrl zx!Y4bi(62>NYR1tU3cHDG%Y~zq_m;L6yLe5minoM^!#+Pt;c%+(*zEC(M8M12OI$k z-zA792;pTA0#7Jp2+j}BC_O#t>F2jM7m(U^zH8qWG#G=g+pCCS1(b2>ULiVQ5;BAc zW|95i@Fa-GR))x9;aJ<#aC#EX`t!qne4w5LW=VyYryWX)W?YF1k>rBZ*;7AW6Md`6fPQ>2T@v?o zHo{8-c><(oB>b39=;>uns(_s#IF^PQ1Rig%WDJosSY?!sD)U%%Q6ICWXJT#NI(i)s zPj&McXaLO+)Kbi*Nf1Q6CC<0BXxQ2gD9Z^=repf0`CxUP4l~jp-4gc13W#(h2wA39 z;WrRR%X3D2uv(>`&mR|Kt(_ z@RmqiJ#9)y{b;lo^Q&8KL_=FdUR&a;BgchSKtp6Pk+?{v1Cx)^w2F!$TP7`8 zby@;7o6kVTGLX3;g*UXOSfZgN_rcRF>WSVdVWgng#S@UeMbUk=Zn&Ewr)_h^2^wxF zTq-SuAg$==5>wvXhR-SSR0ycQ7;1uGdh22!X{NfI>4j4yI?z=3@U+`Zm9Umm#Un6- zXKUzlFZx+b1iMjm;;sx5L`CYSp|LFXJjJX8qU9X$Of@y65A6Ew=JVUvRNSegP%99P z*r=JY1gXze#L<*EPB)}kf>le$viO2|LJ<#Yg>P;|(UvGyFaBj5NvCjA=4jt8esdd+ zqiJs2lGUPqD9%TMfK&R2&Vz+->M|=JsmCna)|N;z88u!^NLqy?(v%t`d~=h==<(s2 z_R2itp-DMFtT~e1Z(|n1FhFs|yNnBVU$>Msg3*rd8`zj9TgedWrzJ~AIWvZNfZq24 zviOL`Z?OhXdd7k#;>aGi5pilcGsBQFVHuF+TzGcGrO=;rCoY-kHXJ;OG30YR?#_?j zp7fv_%LwQ(->zo*@xi;Z{4O8qx^S*fYGy zl^_N&)DY9^AdbEPr>uhnYDLt*(z+c)5k%uGT0{L( zVS#e2!hDr@hG-m1g5X#J#8A%$@o`{~!u*PqDD@7cKEH;&BJteq;@p#XJVC^<1B`vz zp9hzDo01MHx5L7G=C>GHp_o>0VW*)r+LNdK%iFor^)I{gPCf!iq@^*cmBvHw_lRTc zkH?`VgER(-Q>-nIq4!(FG18U9@f2Ga#Ui8p8l%Pwz0)XYT57RB4b{4D#Rq$M*mEAOCav-~RXi`QQG}$^h_! zTGp%;scIW(fdBh{{P+Ls|M>rEBane~9wph<-rUXYSz8`HzHYxf@4kx3Yh4?o$avA1 zjuyXlEJ|5_dK=7CZLw!#8EbKYFr_XUJq21%@B2r7e_3klinfQR8=86?cJ(|vwFod6 zdcsnhShhKBA?1$R#LZaQz_iyn-hGP&y*offjD6GJR?Xe_Ic7PTQ;buMAJ08^5L*W0 z(>G;=HbVkHrUw=!geGfkmNlqqIi})>-c<}k`g$yhGfp^_lUnT^vG4PpChB=6ltj?Qb4zvi)SrO6{~5>AjmLH zAeOZjx$1e&hQ2Yh@_6E^B7JX(Qe-8(L3x2I*Gro)L&mcUa#B>fp)sn=n5kS#lTBY^ zj4OizfvTln)bQAFG2oZC>{KdL8Z#bB{gH_nK%{@+! zKf+vf{kD4i{C-n)`p{_7u`O0X=~e-i4Dt-hr3E*uKA^s=2k*qM?~(`gGBJXZ^=w*e zCzWS|&$=R{0f;dLHZyR^SI3D>8alhODJ!NBZ9o+a=y=|KUvDli*(;tnBCstCzHe(G zP!O$!KuJP@z!uZC%I0J@tNsDPKAnN}bb;u*{GYD`GAxwyF7Mc&Rs ze%Tc1Yx)E<{j5R8jG*ZvtVyAp85QL#p!cy-@`{+E{_(lMN%_rZx}4eYyvIIy3mhOy zpQGEQ7tX_Vx-4Jcj{94tvS};zhK3v*&PK@9+>70#e%-v^&Yf=UO!r)21vB2*PCyp< zUFPcG$!}m`%qbzvkMF7#?RZ$nv;8LBsilzv0D5c;20c$9>L75ZZnvb}`8BUnT{XTB zQxW3ky#M{%=KXp*{MgSTwYiMZ5h>2|^&p}(k1&_KKoH{gvibet!24z?|Jl58{d8;PyHr<0g(`3WXedY_r0g1C^ws;RY=S!V<^^ z6Vy#1Dg*F*Fkt~DZkqPOLp2P_WoeE*r>2+m_x*56m88}#T?5gg?l+ZRGlqZn4bj}5gX2I~j1Hx3r_TW-FVh=mw8wD@|sBF6OB( zXky9oalx2|4PHn)+D#}abzAdEIt|C1LKc0b9bgMmhpD~Ey4w^5S(kzKkw7alK5fjc>rSwJ+PYRLbs8)OnWab!nVi`q& z-6fWkPzxgYcI0=%62l!gSuxQ7TlDmmLcI;NqCC3;gR%lhVT_>ZXM}77X>yg?XP5nW zp40Ey4c+G$3_%l!L+*Pz(0e+pW1aE{B1F0BLY=hct*zNX8FZ~qEV$na=quAQNvUw-SWhd;cY51 z?2NB@mK=#)?f9#b_j!1cm4ImQc6f{j1oXQ=JVIbh zb_FE`rx1{HQt<`>feCC^H3CdFSETC>LP9~cFma*7v(pr!b`X*dUc1WB>P50^ z?KybQ5UU`T1oKoI-skGc%5Qy6;gN=mgdyHGw>%*g6XF!&D&;#&iYl<02jSqVD3-j& zbjDKO8jFMo40EvxQ?Q&wWR>ATzIh;= zNfQ9XZ*sd~IhuCWvK()H6X+mk376e-!`OjeFdEn`1!4%2Q#BlF`t*89azu2Yo6@Zyt}PERc3c4;UeN1?obTbhczP0r!J4 zdska_myfXnyI?dW&JCoTije%=l>Gw-zs&mTn?MJ-xv7RU7T!G?%vc2Oj<|x<2K9KQ zsdW^RjvfxAYms2j)!(hF@r99pxcygj6sa%)TRl^5sJ@qcn{>8dEReohAh{Gf@>_4r z_=iS7HJ%>Kr@Sew>C?=|)hjQ}cc7$L~IY~W`6+9jPW8BK+gZ*!FsbU!y9O|voh&y!DyVrrRs8dEabQT zckHZAI$JWD8kcH53asj0CB_aO8Ua-oo0Om~Ey`Y*jk~M5 z#A1`q7L2AOHB)9|KdLc+sD5Y!RAa=)h}xs<4G*By&Z?xdC8Mcu-IPARZpyy&Wxc=( zs0x&{s=(Q*ioB$ip-wtmGMa#rR%K64?Qi{?%X)z=P?b3C_{6FjbAoD}^>yFs#kN32 ziYJ>DIJ(vQxxrxgyn9NTK^=~|q4XpzC_r`)`3hs}nz2Ib6ch+lagV)FPe9ScFz0MjXgjHLfxP33U^YMq^dX(HQeb^!ZG7hikTsMeL`<6>cDjPe)De9Mg0; zm?zZi&g;|pc8|#HpRc6V9A!F7x)8NlGfC12p+pVZ`2L72vv7hnv~VmczNSG46vvE+ zW+tSMNFAtqZ)anemT5UiZ3+x7WRrIuH(ha_NoNmtzGkfFqoPaqxh@#Jk2T29j8~^E zB$v@Fc9HH2{X39Hom8Y%XF7>_MUy#@xc1l0?Xc}Gw(hk+Wf$7%ne+vV!NrLovJIBa z6iC*2-E_~BQ^~z;F7XXyN7fuM*bydykX%f-e(|2ah1AH%{F?~L8qUbahAq~einr8NLHlEo+&Mm!GC5hRDxLmHTa3nX?j@xgCq2Qah1GiO<|4s6i0i+5{|0PzNkrAdu`8U+A+q^9<=nuP|n? z><<~^JG2pEpgQyPan=OX5X)k?C~*>**@-~jyG0E4V{7u&Uoc2-5CYY004qu zpJ=k^4JbYvO`q#%;kl4_VnMuagdkq13gA3mf(oQ2$ir`R%r!#N&R`y}5Drxn0IZOmF zZ*oPa7e8|G75h4CgL9a*#400K2lrD-(_JE-U;d=61NpQ}V=x5dbFVo%)OCV-dpw`^ z+VDE$=zz{6@6t})ovn?TqdR_zC1(w#A2(D8sD-49Dnc;(71rTvA&^;FAecz0HrN*y zI1>nclRG9ZS-5^xe}NwHbLx+GIUd*Z=sh@(q(^)#5MO%ZM1`ErYl<-zr@7x-Z!TZ=>Lq#mF(!SFpk$c| zBv;`f_U4n`w(2!W|6z(Ym-0J)?NYS*(v8G98UZ}?6cC*ITabZ=2?9#>Ub)M@WH?njoIJNCPuD-)x-LGMUzx zw5!$sU%%d+BbccxGK%NP);x8{24k5rW*)H$0vpt314J3(V9oRap0jGdZP3sh8{#|3 zib8nBGfI+?dyXb_H$eCHB||Xm%$cR6O%W|iZPs{s(L63#UdmjjZh4)pW`TtKQA=>q zqFk^{E9xu1aOWTm&ue~hKfOExirMM*dv14XNQN4uI^a;@wP=JanAA_Mo<4YB7}o1~ zr+Ny6o`OWY;PsucV5Bb#ePvVL3+e_@LzaceAZ8*>yaHjiW{w_0v;(5!{iq%_BFF>j z3Wz3CX|lPT_d-EQ6rA7Yc^60oa_^L4->CUFyIppG*09>oTUmYd$AJ3V3#Bu`W~J2Z zyf^dr6VU71`fYQ+LYb* z@9pjpDiaeT*IOew0`9GrMXBFgOlHZfau04AJEQ_@mPL)F6skc*yb zx<|kf|FW3z2A>TJAfFV*)O~lB{?>`_wxU zYcyHOQVR7nJL(yR*Wg+jL{4$2Av0c_<%SdUZ;hU9S#GSyMa@> zLrTBsIk%XSH4=xZ<%q;@6ivbTyR@8$l&75X7zR#}vnc`ewP-%QMgMp=^Ex7 z_0Eu7E(YOlXn?!N{pqV7{~4KEGIIlSl4Q4r$|<2HS5w_3I(fhp$gLG2I7yHC&1Tms%M;F7Ai2Dbeb?_9 zMGi<6m5x*qKD^LDkS$u}SL1Nx4S(ZFg}KL=D~mJX8d^XK-*Y==-JM?(p6*@`SuIbR zsl-dvc4X$UvVh_=Q$*ZKq1qKF2`@iy`}O`L&ki3cg_?zdlyHUDnk~&xiYn9?Xc?CQ zLmux;5H%bjC=3-X?~25%s;L#}`>Mviyg}C2fhcsiycOYeq{v7VJSjUU2CIIfKpgEY zx$-kI2NmucT?tHii}l-KHzO+~1toemg`>1Apg@z#uG-UfO%tjynM@XgQv;EX>Nh?Y zv?A^Mo0+iCDPE5$$3`NuhlY0DG!B){=C#3iwy^w%1Q4f_O93!WJU1a>H^V27Rw?EElY|gnn@M04Wrm6tPFBt zA@vxtq-pr9q~Q5&q7tVdHuxacFY5M+B?ZUL>Yi13-4NGPMHxBD>Yg!^x+kUV>4>9j z8+-(yRtm(i&cAUCV|8?6v&i7hHK-8GOgA865)gjpE{Z&nQ*B`hECnPpBft!RE6 z8RLQWy}-L{C~<5dmr5}TpuwX;dk7aP%*r1h14G56k_rvkeXi+a_G5Ui+=(`$mr9giH;K!$i|(5b}PO(H1kvTgbpfsu*3{q-=* zL&JiCyIh26-zh?dE~sX5MU%iP1?CkP3oTX}YNpRAr!WjSi!fyzjWUi#8Aqdxqfy4u zDC59bhzL{0(J143Fu%us&bxgfu>K#+>n0m+5J4FLB^^U18 znfh7*G4(Z5-*Cf*Ingk#M#06LXqXcXbE08RG|Y*HIT4tMz(fSzL<$B~3{o}`7L&Ps zMcWGk>;;?ckY-9bcTXO{Pe6`zRA7}HXd*+cXaMFHSr&m5K&TPYkkE%Z=dNk`ig6B48c4o9f%hu z4F$6rL^)<$=(5y7B`=ebcZ{;8wOaBjtXL^RuFeRm6cA4i>$Zvn(sCbU&;U{xQlFRI^F zv>NiI;q9Xg)V*h!X*^A8bTuh;5#ZVJrlO$AJO)@le6q zx#Yc=7mXttT4oaZQju4Mza`5Un;_aO@Qz(n^p#Pp%SsvJjMzDtz8~k~=5{#d4&sgB zt)pN&t6)~RT;6@5q68`~`#$H|>u!TbJY|BEQ?lcQ>sYHfK8-qju@gU2TF#88g7N%z zIoxjhQ;w;Y&orh~d;z?%7Hn}Aip4o&>}lkCnvTtGeK<*8&#&1ym$+^40np?7z^Lp= zJVLH$iqM0O6k+Fn2|=ZDnyt`p)lh}SNKp3iQqw>E8wvU{cmLB&3g1posCY}$OzOo> zVfdUg+6}x6Jx0ce8bP09R4t4z6l|{7Y@4%|Rm|crx6sjoKORo|(>b$WjP-33wka$s zlqG8zRw@n@kN0PhZ9H9#;sgnWGWvf5ws|!9x91=G%laO*s96ZPkcOsz88zZMoOXvF zhu!<;c>H-kQ5|0L8vyIjA>K^u4b6k?xBd2e?yO>YMFe)nWW#@@Kio+qYIM#dA(NmX z!>O6^@z&}7`S32dYmuPOJzHsivL$ZMZDqlV!3^Z@9t7+%i3+PUmDB{FVYdl(p0yt`ZQVd~lh(a zEyQA97|7c~Xk0a1ScX+o;J6w?5KEAf@OY%KX>eSjKnjB)h(*i+;&#ILVoQ^#Dv_w% zxw!q1h^exV!oz6f-d`2v_K47>3kSRe2`oCqP!jGYm(VAQXF}hsg(+Wy+HYkJZ+BW@ zDFT7;UMP%NF()9j8D;7jRH4K`Ztl1eP=;zpYr~U#)QBh4xDrsihtaXCvA0R3DsP7h zbBQ6I8B#+Er^sC9B(seyOJOd9DwHQ*5Tr&4!_X{I7_^B0c;<$Lxr>gEVJy%xWgruh z-`oZ>x$Ab>DKT(_Vi@yGP5RB)E1~E!Ovjf2-Kplh{jOVRq2McOfw@$7#m`bL*?pBK zqAY-j{MH+>LsV~wSx9Q^u}ZfW0l}IUP@Vd;I{Xz;9|(QPV=NoORWWlatI&lY>*y;B z&rt>BOZqB%|00Yw!06Uu`f@n#A2PgD7)}F&_{NS&o6~u6`rXnacXga|Hi6`&@T5u#k0R6_Eb*%rgt%Oh z%g-T{;*K*@aj7H1BMaLuiLGs)$guXaj1ArXSmB;CXQf8&Gaaq4TZTE>B5hID1T&Cr zMPNf!=?Sbcw4!XI&=Po+K{@ouk{VT-gWT>N2-RZ?$}i6fx0Sp}+HsP(Q53YNx13bC zThh9=iq5e^#qNZY3U?J+0visz8~+<|61R{g_GdR<9!FX8cs*?auE;&M7kY3DSY()X zlfneql9sK-0LN(i&;+zZ=FP{!Z_^X`{u+o*Y(T$Rjv8c?V~KePLXr01{&?eireCAi6{#JWwTvLxF6afltCL6?#u0{x&y@e zZAmXTR_?#7(2<808N2uEHwp=Qpw2(?YWGvBm zjJi82w$xyqtd5cx19@EISIoYqq}gda4HWCAzWa>-azStjNp)y_sDK-$Ve9QX5(!PVy&sh*3cLSqm z0k`Z@Y51(zkQd0n4M>z6rhXB~7Cf~oh&ECUL^?tRRU`l!h-SzZHLnf@M9RfNR^A+l zg~_n2Dj>^NhUJxJnbeXLs4e;I&JHvcMCxA!k^0v_fu}a`+74`jX&^_84vthB`$$?4 z6XA%f>`D1I2D!o$sg_x2nG=rPxsJ9ITk=wX3QV0YWN$GaDlnEj0VSW&u5EK+Z^8nH zCj+r@=E4smM)Hg~nogH>&kcn{;#pw!0>AwhQho~rta7s|!S*#{-J}uHU@HexE#*`! zLV%spSB(%DyY!BD$|h(155-SE0&Y&uAq(W=9=C4IGZ_caJHS*_)P;g ziKTax0&)(AZ>n4_ei^?@#y@i@W-))TkYsWA29h$yk20}v(tQct&);F$F@4Wu4h%AZ z$(p61Nrij=nwx(J*N}OGf_}n*0)mSptfCn&X*LUkqSG>yCK&A(O9fyfC=E2|W`=MX zM-A~@D4>dQd7Nd;>)eSa;X-X?#r;FLN{?R!VnpzS9FH^ta)i97a6Pu%BC7Dn9Jxj4 z4bLLvAnjN~J(`9%Z<(jK_eT)*=sb~%CXDcusV_^St1M}*OVV+sBqe7mL)2HfL_@OZ z(jDdeq(#S9OA~G}2+{&ZP@Ny)jGT^Asj#6g5;h!8Tm919 zj)}0R1I9at$QWK01q*z^8iN}e%W`X#nP-Jm5UxLx?SKHhhT7i0$EM%-jlm& zv3(tno+vQ~^|ES_p|oYUd!z!ZSdJX8ri$e|SMeg+3kjh!ffvFjG9)ToCh)j7LdYue zCQ_R!A`P#OkfW0=k4T8;sjO}3e2)-mw1nFC)Y1iSm0&O=7Z%K!=NKe z*(Kr-N?Q4NShsv%XD5&AHFl@g-b^rro#anRm`zq-%WSVOTY*77ua4QQZ%PayiOgo6 z@n&t=Rzuf`rMw*-v)PDXT#k)Am%W0vt2%(1SP(B^CjlJ^JM&AZNq5m@VGwqbL?@Zv z+-+fNw4}-;f74{CicO@FPKLBOG>VDIww6)W9b59~!;XhT-h@f%jTIy&q|;%PPM!*c zXlfji)UXL^=t$oVm^W57L@Zk{kQ}IE3k?`D?sS||n8%AoY1oj;Xz1Y86eM^Joi_#u zNunErvg=1~3pWPckD%6EN~Kfkc!4kq2sScD@7e`af$88RJ`&N>wT_bun}$FjL_$4N z>WR`&A`NYahC4*;*0`MQ;KtCUI`JnCzC>nqMYIOk58WhqRO`~KT|RPQ#({X8amMg~?Rc7tZ6fC4j#=Q#UHUdU<^vKBa3-RLctu&gLpN(~7OdSwJ; z83bn;0W}QD-jBG^5n4ZTgv$C2Bvz2IK|l==Wt!|c$&J3iJ6~tRxZRk7b3zxsl@JiI z)Ks|?qC!Nv=w@TMr>G{_J!%@`bMN7#!z$@;*8X<#B&DWN)0s1D4%eBYR?%PSI3uXq zFyvCW$Y4?(@pAmGd+JpgX=r~itQ??Da-_Ookm0d8QU$gm^yp<3o2DYV(4{IMR=FkF z6ZEFa@tZ19QHHfvMLj}FwW!E=NmM2`($Gn{6i;Jc|({J%5UE5N?UZB$Ow-UL>ow@zSiPAON`W%uuLeBap9v&_F#%E`E<&a1#M z4>J-8%9)Dg_|UN@Xf|DKoPmISLOLmdz?Go}X-$!wPof;P-capl?xIDg!cjv8Tj|i1 zI9H}_=qrrQmao9yP);IoHR1yhKjTsn&d?-Uj2RXwcSIKI_7)c$6JtB%3?@#EBZS>7 z4w@v}RM^m>IVB)N5{RB;0r~vw56=vH2F`?%$lT+gLrrXOL^|DT%d7_Es2wsDYIItl zbJnX-j&T~3sV?wZKwyseTv#O54zC?F${bL35Rb-h`^$c}-kpC-lRRqeQ7g|M;M<`= zVbI9nKtkmL@w_xS7gjkwrt8U=J;IlhjK))#p~VChX1cFveGS)H8fB=+XV3akfAh}e z8(8_Z@ui8urJ#O?EJJ}8K+AQxbFITn0ZTlmy_wMa; z3kIbod!~>bn^rM}*a)anK%~|1U=>09m0~uqVTAsbl5#so5G_PwNEFGPRPsfnEJUM# z^2UCJM&o!`W;VBrf%pLRIUSX00j;~r_rkIHcbdXjtVL@;Kl@Nd9`RS^FV9|KK&-3yU4{=!o zIzT{hj`MuL5D;A52q+LP2j}-nZo0C|=&oU48D&=xCq5{_Om3#7NRkz#Bq=XhLXDE` z!J@SoR}f3BQ!86Azbt-Dn0IZtIC6@V%F{~NLhOfYLw+z z1EmHQC_y@nK*K4smjP9OL)x#P*$pV=X19EJv++u@6odDdvIGtqao5C?Q#fI| z(I}oQP85Ja$51nKYEJQ6Yw74{*%f#KD`>BeT%}bFRm?knF>|cKLiS4XSA1e_ zEt*9Y#2QqY>m}2#g6IxdyIWN+QfV1umZP{D$yZ?cGLjvjt)%8WzSSz&L~`UQ+`FEg zR%QofS&nU(Q*x3eWV)eywh4{mUPI$2ZaPMBA}A-Ls#F{X$|1c_Tv~;ac-AV1ek@HL zw-v>9tP~s5D~W79UUiA$QtZjPRE(*>&H;ndA;~*&+9Z3YWfZ7AUIVsXOwI{jXaqUcD*j5%4X3h1=F(AU&8Dh~Kh1%Vnr+}OX zrBV`;%Z!&?oVDV*sF3rpE9=L3!#GPbJ$tC8u61DgRr0 zj3P^tD;?O14Z?~CR6_u*7^PKqx1>NwDm9&%M*@yU zs+>@>PbjNZJkd^eyyJPhIcB5SWfAkHLJ<~Gxw8q?7&3Xd{%Ki|MO|6~Ra}(ab9y#B z$O@<-xx}Y&0^$nMN)_yixnFTz*2>dK5({lLY>VmVI?E?X#|c0&wl#wIE6?XT)+L_x zlCSxcRnS87h7Cf4PK0)a5~#6k{c*q=ykw0IM~1MQGW(6THcV;_&aDc~S5y(KEZD>= zm9}`7(zzQo-xIGq@{dNBp|V2ps&KkGrtUZGe55$FmB6J6J&^Hyq?j@Vw}mA*W%5MX zaS?UHm9aSiwB_mun!CcYv&A>c`HN)OQ&5qq9s8y@zO1RpM_-T=uOQuLSnU|DuncJi zJFP~VsN`&wNSJ+*TcX^#&QG(np>z@h(J<3VEa-wUrYXUY_LP_2j$0rj{R($UEn%T|N8`|tJ4?zUcDY&S(q34F48k&X}jmc;th>zk0F$Zhl z3BPzlcaDaQ;okx(i3pdvvZE*!If$k)TzVt%b~&8&XA>fSup;lxNr%(~(}EL?;!!OP zx$CHAUdgAB&WWSMq$pP?l_$N+oYn-4MMsenm#som4Z+|;MBeYW{pp&^A18DvVH}m> zI#I=blotO>tp2aA1m)pacdf_erG^^HwPjLMjZuiwatKI8u_Y*3E2x_t5p8 z!7sZpXjqL(w%YcD2{t1~w5v0gK}`QskpoiPo9q{<`FRB@uNcITOJO2IPoq6&U-$@F ze2g~*@BL-PCcS5;nhAKujv}bsz~H@PWVjN;){q{cdU_?Rnob0j@G9kDPK6(^ zId164=J`6Npvur>Vic3Al2hqmW2>J8k`6y)&E+-~P%EJ`w-{b_gD=*EN$hiT8=zW5=1>^NsFtKyvPuh%FJ znVF*@DC`(KB3j2abbQ_a`o2GH_v_y-o42=p`dnE<&;WwXZu547b`!zET>cEll8toSq~7@3-^%?Xuq;wtA?LUwO1+MB4F?;j?f4+D;90y8X7_eqWzY zF$YDiS6-%diKiW(O&8Plii%;zUm>!EI>)f(PEVsfXZDX@(TfG;5kpNOqNe5^YexcJ zv!bZ8xtd!(;7Y#!yx#VY6ck+#`$>{~truKb9k;Vrs(wahZIDI+in2TrsIGObH!8DG>QL_ACFsGlyu8p%t`o5uQp8`;(Z*sGE3T=sB6~v6d3NMhmHt?!s zpDxv!_FNz*@<$s&lC6jm zM-fQL23`;tSS%n<{HWO|!X!$565cssH!By+^5*%%OW1%kKm=b@JSZuFZ(gvh*aR}w z9*Q~FgESGqtvD5d^>5iVMj;}RZM5zvH;l|3PeDmzQ*;^4xh7)tIz3>RPGkvNxY zEebR+q6T`nPy$}ii%60-Y)xbw7y91(n4D%J+_Vjwg4`2S5GnRYpoTVi)9@?>x&t#< z2i8w2a7{K46Zd(ne{H1FucL~^E(OZg4;fnLmyP_$`+leWJWstCO2?*|_V*nPpHqnJs@^Pfp);5-` z^jr)~wIKj&%pVo$-@fS)!#Ll4Qy*F3%X>-`>ub+qJu1*q=5p z$9+Q4xHyLT6AIS8fX5x{+vWV@u-ji-BmVk!K3(@$1!tTAavIv9Qk2q7Uy-oyrt{YI z7XVs4EQuRo{|}}0%K`y2u2Q%hN4murP+(i<<3H#t>)>_}~dL zUAB=npSfS|&^HPCM{_Z>nN0T-r1z2X=tC)q2LyTS z6<~jV+ihB#@%C+qP=GzJ%V=n55H*bp97r7~5D3x`L>PLICLkV$S-C!F$GU|Rac?v2 zV*=9ph)+2ueTbJ<=Z}0_B5oBl*Ii$~&sK|vRC+^wp6R2)6>tRZ4DJ z4bjK=*!tmWZ$HQ9uL6Qhr!A<)h}#zA!96oABRz%T(R5lOdJ3BxQy*4zA5&hz#1WM| zBEuu{1i}oj(^}2Rh+k$fV1c zk3F%uA_7brpw$i9GM}09IWo&s7``0tm*Tzibb?0V9ICv<%)~uXlJgJ&#pqLwcB&Pv!~`_)~MJ`M1VQs+zR}S8BpyVf3*Zun~Fgh zXF{Z~w`A`_yxumK+j{1fO~y%ssxV`oR2?-$ND-K}f`?u*uB`nhBk;)x_NUz=`iYE% z^cxy@ZFoqYW?-KFVfX6je?9MXM{YR=o9KAT1W(K3MC*p(gYudu`D%uuK>@qfa{~5`Le%3h2;R z3Hp4*b%&kTyd&oOk|YU|+eQ!?2M)u1B8VFX&l4GDIp~ui&YABWt!Q!yv6!AusKr!# zLN2$(i}H@(nf=A~ryKX^@ZXWk=$jhN0!QIK9k~i=kYTzbH{*2f$PKBok;*myD=$K< zQ}PG>R=f1^6V5zRAc_G{@d}((S5<#ac<(K{wNJYsX`kzL7F6e*HtqNQz1^L**TZRM z)6SR$Rj%}7*F&B5u=)LA4?NObWz6nJll0II;r*I9r3rRg>C5<7%}JE${UPF*nG{6d z9NX8}&?ih7vNFv(}#kmNNy!*~fI z4IP7&!%SdXhrd!myx($CoYQOvKz=0>g?W;!IS&bJ^F4EgiN<=$N^aiBu?VPE24HsZc1(yYt8T z!fx3$THj>K?-8PQ;F1nr*W34t914a*w%&=sBUd@bGpOB^(9M9KG)Xx+2~|uLV4AJ> zD=j>l$K?ujLb<|>&*dtA${1_vj$E6m_8XDm`Wf+0dL*8Pnz)=lK8{tMd$L*{Q}FQw z74A3X7=fZ;H~q^n7Y29salgGm7@6MGK+~7a>HF8q`CWZ|Doq?J!xjV~wF1Izq2w}O zCB|z+P%c+MN3K=KeXt}P?kYksgFa306c>qyLvOR-B1R6Ort09Uy9O?c{%ZZIZptxv zJV_9b&oG&Wj-8^VsAXl)oD~^o{g!*p7{}UQu9wTwTMTW0Ww}br-H&C`hun4R?_wrI zqlc=Uypj|E9WLc7(2fHk)ym(`bTPe+#Qy(yUH2!Rw|1mSCWK$cwzXZ|g9Q4Poey!n zKW<*mm(A^PKCR!rscj|J-6n1S$N&8A>;Lf&|K%V5$3Oi2fBpOQ-~Ho1{KJ3!yMOs_ z|M(C8JZeX1?~?YS&rhdTvq-P|%cV8D4{07JXkO-<|F*fD+9n!;VFr!ds6`YpA=VNh zxi^{2%mhLr(*9QZq=PSq<6gr*BJ;W3)C~7sQ)KGKGeI*!ToEMa?~$%T($(ww$M(A3 zy{KB-SPX>}5E#fU1fKf}2%NeT2ovQ-qI_S{{?5+zPEThbjd0vYAivRXL}P5lwUNAS{@U5@SpS1m?JWRM1E8VmyM-duYgDnLt$9tAzHJ9sA8| zzc58NecjUl;Bis}HPk87pLGcd9U-CP@g#Lk(jI0z@X90|cJZxbH|n_|wX&W07YljFwZdwrvQ;O}8Y5lNQyp(T2a3|72m=2$nv#Nji(35f5Z_k&VY<9c-ZF4xT zzr5Y_L(#o0kb}`j9gNAgD0&q}&zOo-L&a*Q;@v`$7&``)1Y#0MB!zedM7S`FBaU!J z-0tRa&-MGnZ#FXm4Q8@TH1(;-ARZqciKQBuep76}O+)-3S zvFLTp{ms-qb0yY)t0P*)3ITBgSQw~zZ!#ry13OiL$Jt&FOIa8LfI|!sK{q ztm)ro4^6jcjdR$jNg91Q?2o(0jY85$pCV{;Kf3m9Hu2m$+rU7B?f!bbzjDqsu}PE5 z{(64DY?-Wa?&T%ueeV0%(ZlJg$C?;<@0d=cyg@~X&2GxR+v;O)oqhXb-&#WJ+hw!e zuW!fp^mg2+Su11P1`S-#rh{L(yvgr7yCUDeeLw*2O`Z*vmVn%w$L{+oOTgjv?Lq&z zPu=lB|9*A?e71kto9FuvO8=hv&t;kIzOS?W@4nsU`wwUb_%V_-BJYzplT&AJ!c;v;8|w23S#*v&%765r^w~%7*h>bfw3vze| z-5oIyf;OAb#=4%R$a!AhUQx)rZ{jgmKukp7IV*T6;lWR&o2W0*i6Qepw|V(g6+N(( zc+}R@sBM0?91?Lf)xsB{_a@UGMu^ry?~17U2b-NdrrYN@AO_(XQU=5`hK?BNF{{Vx)%_(BN?hj@-Cr~(w~D3#G`Gw8 z+vcMsu;-_uFK43LE;p9ZDt#VNfcKC%fWWKgp*c|)}sB71w}k2Php zg3L|{i2De`eN^Qa>B_J&sNVwnD8tI2MhFzIAs{;YgpQ+#KWn~(Rd3AS2asNc9M7k( zqiOfPf~O{V+DzW@lbo=9d5 zg5+jJeruBc@5NEpxATzsB6)&5=^51Icr!^vG|%?y2ow_pgxJD3fcD&%tuto&BJ{K_+sLn*=}yzZ<1Q*{62&< zqKzSKY2Y2c=?LVj>G*QfW_T(=dvj$4>VNA4YWN=gM!PGZCC z9%TCbA}Mv3&EeV_*q8I$9FZQKmo1E5@Z7sdDXLLYT4c2{l&o4aeOeLb>g(qB!)u;s z=TO&oxSkf82lF+*HSprE3Xk?+6kQ0zqmm#8E4h5{qwt zS_n$HT^XD?JC*~Q(#Q=(s(^n4< z4Q_=@aVs=<+{)(mY!f%s*jKqU+yeBLp{VH5vg)}q0)*DAo_nZo(-9g@jE zj+(@oRLdstkBl>^?EKjOyn!~=pFh;9`l|}Hs{Z_;R@GlD)M`**L!?o+J+vo{i#SJK z&euNK5I%wF?lF>9yZy`i*Y)xI^>K)j?fj#Y#!G{n%04hVy7%Na^akK-w1VxbF=H-}NtoB}G=stk#Gu~WO)JViN5~f-=yDq za5Zwjv9Q#n-`5yL()G6f^?m=oU;pWRI88e)rL=T^TQq?U<>>mZC6`-?pdmTV9pszw zK4%0CB=`8kSc#+mN>Z&C>G+HBezot>j=tR<6jr6p%!Hh5EziWAAMHGd93`{RvfPzC z)qO^6tpiu8;+dIdiIj60Y%%jSllyIVl=o`wk=8Q8m~gsD1zUwl0_fh9*I-CY3>S83 zh@vE?wZH0 z^>#^6x6SQvn$&PN#bVt35oU_J$>@@ZJCq6Tx8vcC`(^*NJv{Dz?0dJ2(%P1WTHh|4 z(^dS$F>XgF%QknuN}Atqyxw2m)|YF0UOvD4DIJU%Gp;eda!8RgC8s@mtHT*^mK_g% zNnwLEFo8KzROJ|rIf0e12Spex%b0Rq!RHObhD0S(Sl~Vyg_b5SSY_wm%J&XAehdZ5_z$14-Sq{ol8p1CV822#*mn1W& z%!0BsyzdaGo6&y&UMoMOS`?a z@`^|mie?`|`joD#a+Y23LKBrZkFJ`Np4t?%Ga}V6pbKSWLj@YOi`vX}@pAl5ldjCs zP{?!IZNm($mGxNT87aa*P6r3ys;-jrtYl66zW9PebzQ_5Ec%_jwG4ntY#Tuz_y}3GcpbMLP3s=tB(qPos{?f85Yy=4MB} z4$GKP7OSRS_^DZWzxY#IraY6{&e?D4u#9J=B(Ph`Gx@k&NUv-6zGC6GjTlsrA?AF! z?RRU**>yR{A`{=qT=ObvKC{k5pln$9wK!ewv2%+)ZWhzwn=ZtC`ti)SK2*!T71vH} z7viluvw6w4;y9`5^yBXB!RGQcJ4$I4igcK<5XgKB@S;8J!Brpoz78Ly=$8~ydrN29ZnRdaYjF%M1zrG(9^%gpevdnZbtx z^QLW=u8*vw)TTQ>(*oB%tW#o+`%UyR9?#qFtvUItu6&h-FVdpb-PaGaIh}9oW$i!> z8uhlO{jx689yUM3SK8Nty{3^2*fj0r+u`fC{q?q>aU+i+(xtqfM0X&W^0_TO_PP1k z=k8;l*B|=aeT*3QF=E_uVq)F6CSC0_c1-lomnr(ZAsk}F1gfEoEfZb(U@^>~j?dUr z)LB!w`xrFFgRfIT&RUGIuyXhS9S$|ugRehCN4<>I-pMnIR1>~k_Ax2q^?kb?UqPl? zw=`)7H(A?qWsz06txjk_x(*WCd))unw8yjdh;}(lOMpxTS<1$P(wdV@aRq{`<;AB< z6_NVYAKPSIm|l9j5?%W9jikhT+UV>0?R-3Je9Ck?Wn)dR*ERY+v zR1(%nByMIz7W{AB{gT+9X@kM#R18ll>55byA4hKMmrBJ@pdDN8_HSai=9_Tnlx{P_ZpwHXEA{Fp^=}& zS=3{85EWhZcsz(N7nTt5EgK-Bbh#^WD=*Yll&x;;7HqB=uh)CC{qOrce@mSqqKPki zWQBnMooY;s!nkWpC)`yZZjWZIs>;w)V-Dd+PrQz9#cb0mpL|0e$k*ffrF)u@Syf@> zG!lOtqEISvCB@1O&s+#wH8?yIbnOA_cRfs{i2scZo9eYn^R@jM+*s0 zBwL8V$->~4w1efOFe0%ZB@v?rJ;Wt;Xq^Jf?0Z$bmT_}* zNFKaAdu(H9*ea|JG^;Hvw{t`!W(iqDkE7w+#?XptVLA0HKiUjS`a~A(`FitmK3l z4X#UpRTa0bJoi!6Gv{zXx`hNf3qpvhoDM;OG@f3iL{Lp2AY4EdHw3CeJ)XO#APAi;Bu>B+ti&+7VvVj#hAvnG1gM1LC;2R2(PGKeUpiy`b8g3f`(PE2&@U;t?c+kS24@o^npbD|I zAXudW!uf7Np+b32dVEC|3U`oG1 z={MkOnh4`@wCjx#ngXZ^c2%Qtk|zt6K!F-IxT0GSF4Pv}41r2&h;K9^i0BF^hn7x( z0zx@#L2%|55WJv@pg^FSh8=K43W&c7#KM;O3JkZn2qS6@9&;kBR@&k=DllC4^2Wr_ z92jG1WX3q|!{BxyFjOQ0^Gvg0hPLJkj~9J85vF{HkH#Vlw<*aAga;ad;WjTYG$jNE zj}Sw-!jr9laI{h@yFd^NfxwX9SP7fIGpP9^tYjDpMuZW0EsXmm#>bMKHN#NQ1Qxgt zXSffKHmkhltGHkEGYJgeu0|LnvWPI$0s=!dATZPe0^?i;hSZV3P`3#T?OlO2JdVI~ zZVMJ6HHU&hVHOzoYc2D>J=hbk*p7`b#^-SH3XJ8zIyh1X-=39f0-=TOR}HQ{->Pl~ zq4HB z)hi1;!e|`Upt2Ddx3w4w6JZKIykCkiT+FR~i1wT~87l?_1o3VaVKu|hq;rbNBCtwn z>$wkuf-A6++d4E^1cp1Egx4tJDA9Bf7-~m>;Q|!zzGYfG+{Jb=A~GD0{SE;PlkZd%_boDDo{wk zG{xHps^At?GB#HTL5HJ&EVaW6y+0CFxx(A4n9s)jg};(}-cq>_F2Qn$Fb)$S6V)p_ zc!br;cY(jF3Z?}Eb7NcO-o0%2{<~D}$t#pmx#GmNvjR(OOo!VulN`eW$wZj-S^L!} zC5TQkxrCDOH%#ofo9+UJRLK^0TLVzLBS81yIAGoRkJ(GrfD~4Xk#k38M;?m^$@GxQOy&oc|F;~X}sb@tnrAGbBrm@D9yP=jx61e zp`M!;pON`Iyy&Q=nfwclZZ;qOx_9M;J22*FTd;E(ID^gZWn*7TY~QDX;814?$`yD{+F=5m5ZV4n$?ri-))!|30@F)wAK1zU%L zzCuT|fJ((Iu?#~GNQ8kn3k>f{qNxJnEQW-|{GtNmaBECD*@Dn~`41OJt%OKx(Vu$A z;2$3g_*Wj|_Xo%BDe-m~{q~WNu)kDTmlCe^sj#HSaYI@}kRI0aT#$`=%l?6j=g(XU zYZt)EC9Zmb`H2h1W%q{5Z~qqFn*E`-O@Cz<9Dnph!?TwF?09eJQs93l2(GZ_AYtY3 z9l*+A`rBfK3Ayi2>HtLg?a6lk9^LBy+4j%VR`uI^JfsGCt|*{D4QtHM-h!}BLTk<# zJiVIU1Ed^(V$t8sd(q3~e1Q}d4)&dxWTGJuXzLb)@23RBxG>@uS)V8h{k0xOZz zj-$uoDFmw_2n?Jz`U}z$3@icRW8_b~GsT1iPd`0f{8DqlvrOd;X6Csb?VrjMw9Kn8 zH{#P3R zXfdj&g@96SA+S?f4(zb;&V)dY8-hU^<3=2TY6dmb(1P$=E+qROe}Ik#D5njCVDbR# zC^$htD70>cmXM8#5X2d127e1v+ zK%ANCFOXeqVJfk|`ZQXAPt8ijIjGc(Q=DE3O$1IH^~vl{YQ`oq&h)f3%TkU!o$x|Q zfS^7CU-r880}m-b^#DSFNd!~ z)^x2^$*FVit>*LII`4B0XL72y4NE0;{oy*Pdob?$=*EmUk^BFeR3fAC{0K zBF0x@U}LfJ%4}GO9TJ$5soOQ2q}ieGwJVqi!yU8AFM(*h*5oc0<>PV-?dKJQz?bsU z!VxPlRI^ys6@xJ2bg{zg9RV?Vd?(PQqgPV2*Tj8`yKkH&r_6}snL{^vrw_m;m!*tq zUdq4}1REXzDbrHH+JRK#o8LBhi{ewQ3|fjVQ*Wp@1Ng;`Z}x|9>9D=4+dO>AXID!DH*jI$rmBQn$_Zd-qwrf=aVV z1*MoGywh0HWYSVpUE1*Sep=s#Eav=qS@I_L+ooM}ZBOgd%SNilfWD9WChSqdBYe+N zN4_a<3M!5mD0}0hCvhmn(pn}Rg)s=RrSR|n>A$VN9gZ)1IglML?S65%9X7|qzor## zRymOtjQ2`YIh2=uyFuu6W~8y1Yn*RpXZyVEFRzEw<_4p1nLV`Cz~){Dtmrb=?{E9l zk4*0p-w~3}e{8RPg_u^m?l);&`_?=Qs5$IvaG`4*xO=Umq=Q&s?AzwFJMP!t52sz) ziA3P%N)8D|ZwTW{D~+u{UkFjQP?R8QJR#bjb{d-8_7u?s9^vtD`c8NpxuqiRT)7Lu z-P;*c+)}hjqp`osVmwj^D6K6hl@g<+`gVN3cI5)6*d+J`2(4FWpv3Zn2$Q~Q24&vk z%5UB=X}9C6ou>~UunK5q{7sQ`GHeyQ{mc7Tt;Rt+Q(~q;CqXnEb&L+e2n)EI*0fth zScU7U=Er#g!xNUk;7w_qq=LW0Q0oZ8V1qcp$kcXk3^>8{3eWBWt1JeUpNQbIqJhntf@N=lc5o(&}n4v;HpME?s4J>F=tpUC-}gJ;a(@c?4w+ zft0K}pY|tt2jA{iUpBc~j)J99u)M#$?JtumU1~$GbsuY7F6gyR3xn>Z%Ivip!w9+uwHl#~Odfir>oeYm;IM+k`L+rD8+p-P*7lKI0y`4nFQwXrKiB~a$O1m_kjo+ z#japwI7e^AeU@a@l;e4A3d5M;NL$Vbmj(|X2vQlSY_2uDMlZ$Eyq%-Q&uU|II<5) z3Q3JqL$->*6gg06@rn%LL)C7!6j{c{84-piNJ)X!5!TGenyGD;)2V)%aup-v1*S;3 z!}lYyYK*c%D6?G4Eqoj$BPNTj9UUf`iCj=PldE+af20)>w_D-D=Cb|vGa4$#y?(Nl z^^o5{rROTQmrDmJE^#ElDafw!Rdm~G;$KHT!! zJF#V3{15@Z`Sbv|p4=yG*!~O}zh2+gl!35dj zX>c>sFu}KQD%{LeOt5`mI?9>pNLSSUlq+yg?yCgL{7Nk6RN;f8hg7J}w-!d+Ho22} z+jd6NeNY0c89ldL^r{&qen{r38J>PX*!%H#~ z7lU2K|Bqq*;GBF6`G@A|L#XQy&)vt+&&=nXo~R#8)MbC%3}Ff?f*A!U)pWaYVA`H^N}6<;Sz+HHT$eHm|AAAf1hQzLk?nUjD+m$ZAkoPQj4t<>Jm z-L1s&AUCIfcw@h+!V?5P*=(}CeWY0!75B%Q*}?q$K)bRSmHWq8Gv~%Mv-N%|eHwkVP^CNw@T{+t7A8A&tLdul(RGIqZ+SykPsV za4yP}@yjZWS^ZW2)R$dij>(sc7NNg8B%f&Kiu&X2D%)Lmf4IM@3z+|K ze|7iF?8E)}R%)K;uWeV=PmE78x7bpV2}OV;va)i?x7XWd`+ZjGVxzQ!E|{}D5o8x-n9&UTxB=5e5_4K}% z15sua!KPn-IloJw(m9V_ZSduCe$6+jJ~#r@2=gQG;e#Vk8_bTN1`Pt!rjX@p|8cRB z6h)xPW8g;i>)tl(zuui+H-}S3QAo6}O@}_K#pU}trA96~^4R00-E^MiC_an7G0lhQ zX+ZPL&{K42u9hN~DD8~$m}>+4S<)sL^AWNFRELPy@DJOhL)jdUkD9nj+pjawgkV{ZK}z^}ypt?R@o_UVUa}=iAvjl+X7{t(W%N*i_UX+h`I$4UB!LF`rLmE zyGO%#{`2}{#LyO)|2$Ny@(G59dI(YYP`j9{4|Mn9hNVfDz?a;iGky$BXEz&@k)kkdGZ~6I;y4mbGsm)Vh)cvhJahgf+fd<;FjfP93$ z#ADD)ep35H#p(AyX6dPl>Lc`^CVPzEe1x4{R8Y)v z>|Pv97uwf#R$^l!sqzV35gXPES9JF=JU=|OM7`}}9Gu=NR#tN`|A&`j%d4yEOKDzGK#RN$wI7eO<@ZB6BTq3f!=@;rk^ok8q>j`+X1%{$A4m z-q{iTYaRa%ySAS%=k+zduhm+)?kRL^76t;l@b}mC_RH5iiLvorIY+NKG=4iD58I!Q z+Bc8dpU&%ZyR43zH&Ap{d?Y;8b1~J$=5mX*Lgn$!=CT=2)KQ1E2zHkrQv`zZmli3Y zwvKRbHhrYe_x;aAT2vGsj?EoWUpDW@+xjL?8P{)@_$q2tf+=;rf8%!C4WQwPo6;RW zIv=`|$EXi`YqF>nM)#+h+TH25Fyys^d--CP`*TknIcIC|$$p zXxg>-LR5V?aU4JQz%5h(Q-42$5`o^OsgrzP@+SXLDGq3|8<*N&1EvEPgs4;%FP5 zt#lwClTfPiD}ALl>;8Ay$!2+>st&=F8fM)i#u+@So)A2#yxy}c%^*_6rMj141nIk- z-_*r8DcX4FS~I2!nSl(s>~j!t1rHU7Qxc;ul_``r@w@YBuhDsL!nn$M2u_$H+rIAZ zn)STZ@b%3SdR>#sRLm>WKjof)hF41IsLB=a{kp!kIv8xQ$(XtZy7E#olT5VMeFHq6 z&)?rC^O~4yMIR^B+zp!bfB)zIXZZSJZjY4gty+T8s_Nt^#~uFYzQ*XfM9 z?J+Zm(L}v}m^tx`L4IQfiQP-?+cdMr{`8}ZRn6GE`2COV`f(GRH2HF9C#%Z@qI#UE zfjoiUoDR32>;3P2ASE?2<@gSyxT|4#Wbog1|M%tcUw-~Wd>Y#|+<)B@XPczy?eg$^ z0gvOqwZa!OOk73Ve>uu40p+MGElcfe**z}XUs_|~eXxF1TH8|xy|U~QW<;fN-^96N zdg{@oz?f#m$hL%+>GzgVBX@*H4&@pft?`)eV*v)MVK}_LEk=;CywxB7?~MFOUJQ7% z6Gb-vHNRFroH7x=@!4VW`PcLTbKB;1x1lz7`)zD=kJXU#->DaFY-sVtMK{?w4I_bj z>4A3c%Tx$6%IKb|nMla!BiohAowB~QL(Z3<*{`jF{85`!8nPpV*YUFB$dT~2&t8j) zA09+?RyT5k$NJQE$;3%I^8(E+mS|M9)sMH`eYs`ZppyBqmi_13`E{0UdaIc0Y`!IU zy@bYlck^S@+w~pJ?lb}42X;G6y3ZWXr?1?!S(Oe|(LWszoi_UKo41*!HRe8;^g7XI z>ULG*8_Af?ql*w&g21-+IIhZnRtBwZShiXjcuv*5)cv-(W^`)IJ&@OTBJg=y%at*t zV82cl$s~ozEEdaHVzz{(NNkq~Jz@K-UEx-}aM-){{jP3lZdKxU_k>oN&#UC~drmv` zOP7ASJ>Bji`Sq|x8tUZJL-s?Te7D=&Ht|z=iw0i;#`WB{P4expv)@)sg3PA=xn!ic zf8HH8&M$wOXxDB3ciO}zO*(Q~gY5MUIuVPdYa;Tsi+r0jj8hKZIsLm~%Hi`;`TRcR z@MWcZ*|8Bm^zp-U@?k$a@M*1l`s?IVF^oE!`))P;&FHM%zF%+W*JwLQVBFNMJM8Y( z`4q?C3(Dh|TGvxdCdK4dc8cisGJJUV9*O#pS6uf$C6#9xKmFTZrl}iuZ->9V{urCc zcg7g~%k|s$uk^LMe|vkOpL{31zntH0zg&Nw&TrSB*I(LQ>u+9v|K%V5#ulde+amnU zKmU{cWq;fL(lX<3%-`ByUN+ag{Ac~Lxm*tWON;hfinsQk!+XB99Djf7QkgM&6F!B0 z+fL)lX8WBHm-XimPrM>AWLV)$`MDm>udmVfCy~O8UGe~a4tsPfD~Qdf5y$Lq3PV1L zIOf^jy(lERPhu7gclmMHDgs!4ZryaD+l=;eVjUCoD2t`}Jl44-^EveQgB9bo#i#Ig zHxbGbX+DSd+kSJoz3ev%qy6WWwq$$Nmh|WN`+B%;6{Me&v@RdMB5K9w^iPL3KX?9f zTBt+c9#9m9d~U<)t^yT;Kc|B-+u!FT;_ZAsD$DJ2!W+G2FDkXwCoy+-x0hA;R;j!5WK3MajUtt zgNu8L$s^h>J+dMePqAUdyFdLeoyVZ`R=aYHkbWwRz_kX zrYHM6y><8DQ9d>&#hVzOMt)yK8o^I9sb86|nhhA9UixZeB=-^XYKKdq`q%wNt5i$Bc>!K5hS zPmA2)v^!i>_egkJ84kE_+KLWOSq)RXubSNyJ}nfl=iOd0p2E}HYL8g*O&zxC`M`ae z8U0qE5dJA){-Nr)=2Oi0F}wDjn!tAWce`D)Q=Zz7CMYwUtv^d@#G5%^xTn?~BNt0Y zyQZw4nnJ>_FYe}#A^v!Hxok9dxqE7_Lj2l7d`d$T;`QdVIsUvJu9=OX{)4*7h|W_h zp0U4eE>hn@?c=#a-|hHnI&V?WA90>5*q@T00egG6R_q1)l*A86ufIwZetZn3d$a9R zLYd${e9`bewYv{^+dsMox|xZ5N{JD6jqaz!Fu|AM=Da?@vNB z*Wh!kkN7oNO5R%WOh2c|#_p2mCmOq+TNr@#^x18HPsZgg{BbYXC5E_iKh08Nk0N<%Rah4((i>}EMNDzv33 z*b1(SMFf{2&E(!YbWBQ;R_xOo5XIer^TT&m9IK3JeY27@WVnRtf>(9I7T-2c2

    l zaf`sdMT@(f;3YILb1-+yhxu}L3ub2S5u*A7OR-2j>FG0y9STLoChlNt~gOIgT+AtNuBI6oe`tXZ0=j>5hj$9ronI(T@?t;$nf2I^ll* YV-2EFrM52Vzy3Y?4cE%iFY*8Y0Kh72_W%F@ literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog new file mode 100644 index 0000000..c304ca7 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/src_files_verilog @@ -0,0 +1,165 @@ +/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv +/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv +/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/tb.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/topmodules b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/topmodules new file mode 100644 index 0000000..759bedf --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/topmodules @@ -0,0 +1,2 @@ + +ƒáMÛ4Ã)å$Žh¼óK…#ðE \ No newline at end of file diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/vir.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/debug_dump/vir.sdb new file mode 100644 index 0000000000000000000000000000000000000000..bf0653ae261628d446569d5f16fab8d507f70d97 GIT binary patch literal 3474164 zcmd2^cYqW{_69^f6Nu@|APPSaR8$llvtrIUJI>C|?vBjPj5D(&QO|Uy6VoXu>Unyn zC`QZyP%$eYVphyz4(OqRqQB}~=~d{eZn%F=x?X)RRK4%3?&+QBw9INxcMATuO_%?< z|2cU6UxQ2jzYp%zsmluJzsGdyv~1P6cGrRJxnilQGIHa79mCtR)nTofjPoD0LTk3z zD75$QBpJ_SvcvQBY_VKyWILVU8{-#y$q~wh5!h7pFO@kR?f9IIc7-|Bjs`Xd{Yzm^ zqc$o_&hxJ*%xx{;{?NY^<~Zo&JpYQq+-jjP3{MdKQ(=~y4>>cq1kJ9tG6hRur-VZd4^1fgus_qYZqVhhWCu;8(8i3pag5{?t`nv^dJjwo{ z0jLe({1e*b^wePUP|iIFM_Yy$>%~T;Mk!9d(q65U3*|=tPAW#^e!^zp1HBZX+&x8L zxFUpI0fWtCnhLGOa&~y96EO4es%ivSoKi_Chw_R>%(v z7Q!u($N(>?=R(z5?mUx0+u9GRQm!{@xndcqrc+C?6V5ahZ&;ng_=?5zYg2FJ8pS*c z8Kn>$hU7W8YRFDi$s^pd;w-{_EH)$du#%}tjM%0YV`4kKR;X7xYDij|o2Z_vG@Vk& z`|KdKVz(?d1t0YCzy|qV{qu;kq?oOHRT;tAu}!10jZxKtx4{V{Y*ZJ~X=xbI8C6EC zqZpeDExC@8--JYr*r+mMd$!(I8If(Tw{k*^29@zp3>+YIRR++AAG(K2|iY zg<2V2sMU(iyv1-z7YxV3g!T;%?ApXb2lfzwuCm9t@;%gPwlS|MA@m76Q=#nHTtN{p+cR*>!qVF?2c zZmH6W1higkEf<=PA3SLLZ8C#S^p1iU1#R4qYlag#r#|>iC&l0(V5qN{cZlwRm@r1? z1ci;NR>M0h!9C?e0&NOJ>2!Ep#s?rHR_Ej$4XUS&V&ov9t6C5dsq?|2aXr|8)&bOD zI3B18?E}lhaJnRLm%`Pf4_G)J@dGgjpa7lX!|IWSBn*T~jK_clf(>B-?gvVsNB#f^ z!S0-OC&txNt`h9d!zBzj!067eSZ?JIzCU0>@gal`sfUv=qUI!qjlx-(5qB7g2~~$6 z5&v?si3UZ<=+JTK*9qR_)J*^doXSZ7XE#X_;ep(QWVvxcr(Qfbh*m?ufYbnX!iPnP z26g}&;lm=BkhOqth;bz#AfONkpvSO2dW0BGBPfoufrxQYBIcy$97e;B+`Q)-*%7r| zwOXigm{4SGLXTox9nKUZVN}T}J2uKGx)L(5Gks)dR2$i8*tn--am*3ba*7cR#)+5% zi1LVoWW?Cw{BbJe2OQFKtjGh2FyVIf3`_`L>?Po5U~}Wc+I0#h15At$Yh#Br4g^k& z$43W3577Y;5!YN#S;Sa`oNzWeF|J()%Ni7Zme=Hd!0%*+J^!E{jg!XK6o`h6EkHC7 zrZAj!z(!@O0009UT|<6oU~B3_14sJ8sYEoWTL=^{2TwtJ==hw(O>P#5mgIp4>c9_I zS$Raz)`Ums1lV*=0fQW&8x3KR0`3R!@nPLv63hjt8z0t%4rw0WRDe(NpyPxqrS6FI?AbkqZ%hsgn_gxDyjfE*lPKZ>;h z$8j1u?u`hJ6OlV7t!Pk^6^e#49bJ{rGvakT6P)7s!GsK`5LHN)Ky%~XTxQq71XiEG zbZjJ;0W$({_^=`pkZgbi5E;G-A?X1@5#y4mLR%m#VqAiy$ESEk1U+BMb~!U|h* z9tELz`x`POny<-?Xa>f6oXTLM{JKO4z;2Q9AwqDv#()VCLPT?%B%(nu2NVHkBDyM? zVaj`c<^fOf1CTHtg<*0+^>!e`V3M$)qo{`#&0S=(Gw?$Xb{Xnhr6PfP42}bl=$4mVwi9j2Mt(LhTp)t2E+mY;lol4fG`3K zfG@nPLRJ9+A;!T-LMtE?VjM)#<1R0Lf}1#7oEQf^n{yZOa0I>9-BN7L>U~1TpJc*( z3Nh&&>XZO6X5_2~Hp*EI9S6XM71OGc0~6L&auD$@r$W(S+yd!vwo<%KK};xJ*-3oH z*LGnd2>Q-|&8ngoO3nhV2mIi}ad%aK0Z=?X9M?rC6F?foxUmx;k3Al}LSZg8##cl096Wl>S^#Dub z!#WEY%ma8CAJ%~l`5XwB7>@-E1RMgZ2nk+a1M$FN2tnX%U}9V+@mM0^-`)uSOjBaR zN<%mSAM#QOhp4wu{67nIpG|v32`$}ginCD{GqXeD3K1dSg^|U2!~a{t&^VLvE-OYb zB8R?0rM=QzY$+C+nOKNXMZ{1gv5?R;W;XY41^mAXcW->1Mdlje%fy6C|JhX-1qg=3 zlR-h()B<)t8DOUK)(}WVZxI0yVx5?1h*8zFRF~0z!`@SVYYw z79+=6ck+!Jy5R_iq^Xrvry*l4It>zQ&1n#WC8wdRtvC&=y5P>^XnJM6N7NBnGCMIE zVwlF8tGZU6wd$gH)_T?V=9rY(Lr|?J(G@;P>hLFjij{_fpj8)3AvN{Gi4vIJQY%54 z>;xqT!i`d`R7xB7^CW#B0T;Y~&Ods4EgE|^yL}ue{HoW-rViNe`0=O%^TwJOyACVv zxk3LlhD0rqZ7o%ra;2;Tif2I$S#-tsV#5K2Yz&!e_V3)hilcuTL%LsU=yrs=Gtobd zA)5=OLc{;=4=+&fiZL)wOCGRy#TdAySgZTDh90ha!5FrbBT=1y8-tb$BZ*L*e;b3M zXFL8k%z61c|278AqepP$KJcy>13OgUJS4-OR(fF*1IBKeE9eW?s-y5G_Al9huA(m_ zAQlo~yVs1t-BaSwLd$No*6h*VpZjJht_DnGuA6e``=^3G9(GF3*VMoa7dpI(^f6W$ zi4`#hmNijL3VXM~+f53B7G+Aw6CM{eq#jQ+kq@VZL_w&})fb(pD*EaY&5bJ~5kg$O zh!EllLo`2*0z|XpP<=Ez4yQ-6Q--q$(IEpFYSUjBIntCJvd*Yyg ziOfe~IQoX#c()>=spdqifX*^6QxMj~q#$t!TbxHkxTd!ss($biMc&P-f^aBUGdz6( z5NEG13SzvtLLo+tD;{Fhc!DCvj3Y8)#5lquMvNmyV#K%tB}TO)T4EpZg-nVY`=&yS z45%5-GJuJUd7{T}+z&j}pTF^^n+u;TK;HwaY)o-j6Vu|v5kPr9kqnuNppGxRTu}t( z719?&dDQxXD96+nMLA|%VU#1s6-PO8Jb{$s#t}(5Y8;`Iqs9?SIci+Nlq1^_O}U@= z!l{HETRi3HfI8x&2biccp#Q>(x?~0uY>Fg>$!Z>xGHarrvY8M@F-O8 zaq>2iwB+w=*CxJGXK8XW!J(M^w6-f!anQ}_N&E!-9Zl8=XF^3g<;}t3GXFY7sdaLWH%=HTZY&~RvDQHSrZ^a zMKSceN175t+-L|q<_H)JQ%QV0A4+24nJS5cXR1N=-UJQu_9iHkv1hJGuAZ?XS$f8b zS3wSsve>W@9H7S ziCGU%#@u>nGHTaDlX1Tunu<8+A?mA#9=@8qXk#krj~*(ZJ~#;hCO9K`kFrQbiasBq zB09CBP)5JS?EV@AE?4nE^u){9535s5xmXiB<&p`TNp6wY=*XNMk9Yy2IBeBSEP*B& zhy~FESuBqx$SPuKg0CW-Cirq8H9?ojstLACWKFPTl52vkBETm2`f_aIO--B)Jt#@F zi4Q=PaUumwa8@Ox+51$8RBW!VK=)JKMx#%0akSV{h1DX6A zJCL0Lj2);P1dN^Np9YLwsvQYzxKTP67&`)#AE#r$1m|Yn@q~9CXlq7a+>mRup+B51 z=W`9>SP&aS??7PchShndR;-DYTFDLp2AM^Qt0Vtb9OBiB!mv~@vE&=XFBW?PV6n^_ z0ILYR0lbQ|8^Fs&-2hxB=LXO+Avb`QNw@*Dig+8q>&v!*Gd00BbD$*E1}*>v#;Fr9 zQRGoCHdQ$etgQ(z1<2|rlPPPWnW=%8M$txkWl1)9(0J~VL+x~9OJNjKY|)D#V#`|u zVJKt~kfCHnKt|#e!5GL;1Y#gK5r~1bL?DJD5&>D2iwM?O7zij+35dV|CdX+2Fp+UW z^f{~Z$`SrZ*7Ht2&!w!*$(|3$GC7keYoeKH9I|Jkjkwp8>;=$x?vX?7boI%eiAkUA z83=u{XCUH|Jp&Y%>=~eVWY56FA$tZS4%ssxambzliA(kjkR7sTVB?cL4=OgYzn0PGi|J}EDW9SME^=eP>rJ3&EoGDwgM+0^$Zlf6troTMg@Ed#C zK_ELn7WUlW6_UjPCunEF=?0!V!Yq~(<_q;9H<+P;Be-%-DxQxx@IqW|KwMRM_3*~^ zVf`=hhJ!_P)KRNrH!-|EqYN!O7pJVnMbbhGeObxNDrbrF30MkFDzLDU89-IAcVL2IFzT-^ zv0IipNGL%i#CD3l!{2^jlW{rGX3@aokz^@Z!n7L|!EkUagqi&aumhGj2Fx(2C{zNl z?px^8t~aY>sn}@&C&Fn47It$5*boi_n4lL`@R6q4Y0xiWFezC|jJ((-zX;c@Aj?{k zlCu-ymE8U5>F7r^V6O&*7UfEv(Zt?jQy0&liYtQ(3gP48CP6$=Z2|&;Lk}$C#t(1< z>=2mX`4=2BUEUCkvlQVPg_dcTQCdNenAs`e;OK{xyZ!F7rSs(u1mauGqw}GtF%>l8$&sHL*~`MLkZJU5bg6GsV$1f}RkuU`g2{DBv%8)C3tdJ`t^Dy~!Er}fIL8VNiNdT5A z3O!wEUcETl|8-Em5Y7dqnZ$e-(7Qksnmvp?Irq%^x)sb*VhmEboUeSq+) zGKTZ#(nQ%D2IAge%uxBMiPjip2J_v`+C0GRW z`6&K1rD~v8X3+PjHMa5&S;X3qLrQ1>(-E+M4Ikg(!a%OP_ju@f5EeM?u}-mv=_)8J z)3K1OjY1yS!+3wiKsKbZi?}Q6&Lje&2bCg;CIMKgDD-rtc^Yg;RYH>uso9!rNX-s; zkH|T^s+4&^d<+E4L39)$?U5MaWwkg0-eWkcx$*JR%r! zNGTeC=?GZB2F4HGvh=<<%)O1|kr`=b#EV=gmiXATSR2)}G#QePFd{W0nuKAATqAGl z>7h9^hWcbp)}+&jL7g;24F04cLb@dC5MEWUMocDU;t6?^3@E&;5m8)DrDKXgtTY6{ zp+siHhbuP~l~* zsNxba8&?b}Wk^@nLprembW5NEHiR4h10bAy{Y$zuOHO0S zk`0Zu;e{rr&d_s2TDm03fgs|Ikoh5^aoV15D5tnE6g4sn&DCftG!LnWh&#N>k6xXM zB0nL!kdDI3fF})l34N&%NoYLs&lViFQA)U^tIVF;CdE)Y|>8tsdq5N9qK=@_l_OqVdct*^3J`z z2Z|~CWGRac0Jm8pg~?>RZHhis^B2Th!|;4NO=<;S=2q2ThnQ zp-}O{mC;w-Rm$~77W)Av-X?+PETJS&A%#_5LSf)AT9K%hKb99wvJ#EQVp8u1Gun+ zS*iizRbAo&9W;+xOC>DJdcmY3#(E(!x(e?<#x&JE=y)g8R2(Q_nrfg4%dOt>GRg^8 zjWPAA=Kq-!a?87YZWKnMBb@izt@FcE1A99+)$~>W#@G%NE0Yv1ZeTNqPy{`HUL_gd zzWjOD?YhTl7}F{s5Ww1QNQ5QC=|S7qTo4pDjC;{?bT8tTdL%T zp+BOiZrsm*hRZ(Rr2J9oDB<7~4J@16sn`paR=g7A3^*oitX0m>7z5A8$pGwbqLThHSbu|&WBD4S~ z4q;*)n#vb5E4Pbt+=a=sM0A<_5~o*r}91pX;a> zvW1qGLcW19Jabuz;h9+;!!wtA_qWdQj1Pfpg1v!a5;k@VnIgmMRE1z~pelq-l2u88 z;WcXmSHyN5EHS)JehhO1*)n#vb5E4vbt+=a@H);I<_5~o*r}AiRw(5f1^oDqUs2+9 ze1gZT_>~0M9EaySB={L97hz+gR4FpIyaEIl0~H`_>{lQKmR70*oV}f#Eitk@7lvPf z%osa6c_zxf^6ZT{SMGvgP@uq!ol1e7@`0blc;9!1-ZS(*+sx)$TJ3(mDRp4|%x#u$ zLhmA)28Dlqhkb?#?Gxuu8Q3>slHV=dZ_M+a6JmddsLlU2tCxa0n1+k$11u~WNn;T$ zDT`?xocWx;Vq^9bW0yva9H+z^HNcWFc4-7>tXWRf@kIl1I{$Cbn5ARvRH_ra*y(AO zcM>PBDcwJiQ}{5})k)1MagP9OEW*ZC*;2fhP^$!?6+k5jn`A1Hf@&z&1FnL-+$|}9 zT22gq0~s=Q_VQ&|S`Y%k`u0LF=4`cMGmHyl%GfDqS}Wu$wdO(%W7Oc1Ixhz^xFE@} zvcx69w?NSd8#@I{n~^0|Ah;H&0%4Ox71Cm3c`e`y*vi``6HD@8_!Y>Gv9pzH@+>T= zfE^B&IANF-C^KWHQs!ErTB$X%?S*J)!3_WNyNI z+2<wnFXmQ=wOGfUhsj0+T-vC}BFe`d}WN`-d! z{c`jxTDfAG;%d-JhrK}%f?7eV#LwRGYmlr8fECfKTZ&3yA!I@+fQ?Vsq`B#rl;YT_ zD_qTVYiL)wEYyZkEud12UAlEkLjf&R)0RqF2!&BdU||_Mm4$5-&=<(ne*xAcBQHEEmf-!rmxnUR=C^loKQtWCQ`VvX^oxlS6!`WOp_-uf^uEfyn zH17n?UQgg&g>@owPOvdhQo_b|Ia6eAy~+^m3{-}&NwzX6Fu8Vp;HucKg(YU!%aLJj zAY;bPe*TFvywFm@`7;C65Y`~JzMVnh2Ke>sTt^SFvjg13R95jJ)T zmLj98RKc}ze(j^2YxjTYgL%=Gut}l{DX_Ou<>!|*PU9b;!J*F;%a zr2@uWtm1@WR-nv`U92(_Uo7cLg*hS1Fq7wJc?1L%0~IE05~=QzWN&$m+})ZunaktA z|Ke;(aJxK0R4HH&p^=1kPEyP-&z2#0Aa}+tp-QBy3-bEdmkGH~Mh$>9VeC}ag!nZ~ z?*RvKN$EKaF@p~hA=)=p29pH(VgOvoxu7-j{^%-Cs_xm0Yb+j80ut(g$h|0AyViRNWFh4-}BFu~)nl6+pEbiSWLv^OV_M zH7sL}S9N5G*;U;!JPquA#?EF3B+BBdl`!URRYwdH10`qdR7zeL*@nJjxKSLAUWzH4 z+EFOy{XdrF3@9--XP(F2oPh-1iQ#w=x+ho~C@f)Pzo;oPx^8t6VRqdhQf7GF@Qj&W z*P$iG*LBMF###jk-9WqQ0ECpkyvK0QW5Rz&@T~ty6YK}+4)Wrl8 zfbsykC0HFOAYo&#cquZzYBdsJdR6BsGrVe8#>}qj$P%Ngx?>m`*!_&1%??PE!Bs0^ z%-pJu7$ycv&e*AxyisaLKh}!=K)0CBR&%3Dm0YvOdYl0z=H|>309tQ>7+=>d!{|V_Fm_3FOri>)TNz^|&~?Z#J+Ksv zoytgK=@B3_7AN+&JX{Yz*#-S=C~pl8z>H8V^)|H8C;ZgB5W;km@+eq zLNR7x5x6DB6}ezo6WFzko$1a^lu1Py8?&YeoMAqooQ$1HIfql8qkk)LWMu9!SM=`$ zSTX|VIU_g_C=p>}TAmb{Qk;1rTq$;$GFys+G3HA#yd}mIJ7KsG*ujjQ`7TbBHN{yQ z^QIV{VML&)jGanR9pVfg4sYC#csX2hIa_QL+6QuHxI_K5|N1AjSgb$eNHKDP{DGZ7 z*eq4MAVsxFaZM7Dt`y@>SqD?h%ve-YjMb7trx-CK;{YEqc1sy2C3<#9aXpL=A}Pko zoK}Fn!`P|r9rt+B+c}>&Svmhwx)%(Lqi0y&)I`nVgkx9 z@Dk8Fejrxli4Sd2P52HNQU@BCu`_AlOeS%z=4We#TKUin!vTe4>{JWco~uS(kN%;| zgY3jaSdiz2xP<`*dp`s;2Ayxb|+(JvO^PR zJzl0(IFAR+Fd0xn#!j__&d0a8=3KQAea(Gq7Q3j-fY_XfLa~bpd_LeDKrs)XTY~+7 z0una%ikC8Ds#GH}232vs1Q=B%EGrDF;>aT7s<>mA71;fZoy`tNoRL*3VTGYp95GA` zl$^0sEqPNeKdiY@@qb>`;lSXEGFJx^BE}4^B*fAIJl`R~y+FAL8ylrcnVH2ENQ{%k zZkGTXivzO4!(tZ}8CdLy;Z$H}Gj?`%cjBxo&fW^&id`@a3KW>JQ!Q|{QD?qJ|zcb10s?uFUb|w20)%>j|B)Nhc!b z1cw79C2VY$Gi9b%uS{ZGuO7k@V0-o8tnj_MJBy63?v&wjphFlt`(2Va>#JAA3h%4C zV;CJ+1jbHv5hSl{QZIOhuR0JfaRyh@cz-kSMZQKFT%{sNVT%G7I z@;U3AXeNmXU!qat^-tkD>eE;SfalQqECtLK^eGUeC)Z0woQyaFAv1PMP4vYw`YF}Q z@ItLtY=(Z+hdCcC713KcDkRGrn-F}rNfy{0u}>FmBhagq&g!5vqB}ACs`5Q0J_&+K zMDNuc6ZeTg83`NJEvn`oSAfJ7SS=)CYXKD@Y?7-;N}8x#7q}XBYhdxdQ7tz{Mu1Ei zJG*%^dLRgc&=qMI({`FRl!}rjtPwb%1GGQEMdyZ zp;i$>2Y`wYHpx{aC3R4)3tSDmHL$1vYPm674rI#M+08p~mRGBW6<$|!#V|Bbc*ahp z@a+Zkb1>e$!-!mISajdO8BpSL&ODDI>(F9J;B>RVF?@iMNN_6b*n>gI8YtJ zCh6*=!1gg}1Xm`BdRSt9-8>my2eM}DlBhwVDxg~#V=d5i$S^&y6pWqfQm|4GTv675 zU_!*c!IgwqKY-^uBsd%>7hz+gR4FsNxB>)E0~H`_>{lQq_LkNG&fZSW78zQc3&XWQ zW{jPkJQHVOarRbtSL}jeP@uq!ooa#ovv)3^FVySY(|0ta%)NS`K1&tL=(7VTz2W(K z)-^~bui%A^Y^CXxLcRe+5sRN=)gq1W&cQ@KTH;8&n7AF;B={Mq5@D0na^at6r^M<^ zLFBc9E0k1SEHb}tz6{3$*)w)Y)gp0q(5;Xaz0h^aC;&rmPv!l&G(w zYYCm%BL|REg7bmW5jM8Umh#zMUI~KNfl3fI$y6dGwpXeLTm^f%TV!;3P7F5#88UYE z@=ctz{N>FzJu*OSEnQ44k__8cc#aj+@SRHfkqMh* z3Y;RN$Egy*-9VKHn>4DF0^7%|6S9R=;^fQlJ&-+PmsBkhRf#wiGS-YZP8nqY zmW8oXT^62nMAwuxBbpL1b#yHum53ZbP6^HjN=Mk(DqG4*Ag=_$>p&$4n`A1H65A`) z1FnL-+$}P?JST>mfeaZtd-*2L+VUz`;c2-WhH-&nGj^)QcAnny!XU3JGc-9ZB24mn zLQG9M5jiK=7$_-WW4oLwGq-wW2zCZ4L)au+nUt7Zt3Gg5?AO8~v#aOGFgK7fV`o4A z#F<{bDpnX@-5tZ|z#=eqs*6x>%hd|aT=Hjzl=+{T8L==kl#mKAek36fJP#C^ut}!C zDJz0*l?cuUszlhNQKgjBAx5p>3MEw+i%OxJFQWrM_KaOpwMbkwbSq>(B!~qcc z0+g4qNusn%KzY6kJRTs<3p_4D82|)IYT~f73MLl#XWKBPGoHEJ)EDK{N zTb6pcTF*Mabm3@4Q?5KL+ghu1R0sA32Bhi%%o70mY-#6jr{lOM6agqKVPn6jDJe#r z>JUl*REMxhx;hCfMa&w(l}Vx=78D{*o($^)Su=J?)F3fsh*KFORfyw|VR~RG7(1<{ zXwT77fUf9i005C3>w^vn4hPCb*w`r5l3;eQ0t8P36(DTvS0GLH7S#dH-cHW885+!m z;aVUw#?DTj>98=Ey*=IqT`&v^6qvE|3S6%iN~LVRZCH)^p}A8#3LORN8u}%fN=Ge^ zeuN-bFZA!<$vK&u0I)0@q2XiZp-Om;am#)^kc}AfZH4?WZ+&o&?qwVJrNZz+x!%?& zpig9)iztV3-v7ZS+#S!#hWB=KkJR4L&gSP2*zgfH6rK>eXal=iD`acAa&x7)tKIwYIzMQHo@D8i?lcQ?U1ZNN$E4Z$Ql5InKuHTd}pRfOrJ4Q@+q#2nj!0JHC~g2lq?h zh89|OtF>m2uH~xLLM_YbMZk>qQL3~S^XR+CTg!#!;|CAgew)mo6KT%k+Thegm(BH! zeQ{xs_{RN2P+TtJLUS#ZGRtH*rQ*;Q5GTn@bZq3PVmtvs(O|)KO_+Gh1*D6%KOGjj zq!^LYI{`m&P{J`qIHw-^X-IofLY5ESTLdJO?HdO4kk8r5N~ znT+!v-i=jugm?C#`(9)kj|>zLxqZ*gm20V!t}1PaqbAvcj+7kLi!LA%8Z)>a4=o<+ zh-;umMN*7d{3J&8S;zcFEo>6+-W3s{=8;Dnrz*XPQPeq4j1!j##i%yfQS1reLb{Ov zGhtr^znAVkBzB(>NB3kzRHUT^!sG&SS&*A3*HR7Mo6rvd z9>4XLoCjXfKs*9u=5tw$8#UJw4qTPq@Q6shqN5;3MVeJb_O%APgMFDWFB>z@Y(dptmew zM(<$aA#E<-C=M^6zum6ao&PVCJKAYlk=n>sc=*0T54ynN=XXwlSD+vOpeBg zGoUCMIJj;A8IR5sN}@(_YCy4QPXRg}6{-Vk(xU>fDrG7_sne(eSX^pVfVN4u3hn@I zrCSd$6LuovftF&c&btWw85U?*2+XBdZUMNKF=`NtilH3PbvrMLLk@V=x+zT|CcfR1(_8;*BUr zeUc#NYBGX1SGD~;YxQ3D?Bh0el$Wh8_7H(yq&F5|=It}|K|;1xujSphErWlsZOR)V z0kAfTjKjZKi!SjR2pXk5I88uot7sxN1k8#y6f?^i@@9E|84y(j`!`z@V30w=N<&Nu zt3zDn6-Z#f@rKpH+Y7{WY!sdw6^l1Fsu%hzhp1S=KiEp~Mm*=yNcbm@#3kAai{8sE zvc`shSy5KZtSBX?%N?lZT-ALMdE-*b+9mDow?&34pUt-H|~cW+OY2gWjkNa zCmvF~P2kOqx7OZVvnBN~;;mfdUohWaKP9%SwmDx%1*})t=I(#EmX@0v2adOz+!*66 zE*Hvdt+|kbr9(p+%jOA`0FK-V#rA5ckaeE4I!8O|R0j-l)|<4%4gAnagV0a(y*&Oo zg0zcfWhVjN_ zK-{~3azoHxOeTYLQb1o|7Tk)_V8O5q26&7FY$d?jcY@AFO@)>U`r16S>peOqv?4r) zN7}4tj}x*V8siMN(GDmgY8c*0ihQ7;9KACY<884I%rHhC1m|;#M9@AnF1lqFD**$A z)&rOkBdbUsIDR6DU9yCGsd~kyC856-0az%gv0Ca${6F71zfJp;0)vJ+X5u-?e z1i(uTOi*ymyOR&^>Ok)0&BC=o5-Ih_Pt#4H5wMfMB4+-cP+<}w_JkS6c4$pefRzDM z0#3|8SNI`VP!~S11&!eYTT~jp1EDaW!PvXW7ZrGQ)m4Wdxi2lS1K%Ka2EM=u9bk7C z4En-(-pJ;2rBV|*ZeVNX+|qco>fOeu z7_$09&cesl-D968(s1FCm>l4zk;ypUWsbfMyS24Y^U6g|BMfxnt2$p18HW6PmP;msGcghM#i?1 z#u4LYk7H<-p{!=nx?&1IO5~3|vIbGH@|8%YfcS7Ub=W8Yb#NXlCY3(oY5T zd|jN5Yhj*}52K%!KQTsX&N;WaQW2}C^RuhInS~@VtQeVMvo+IgFZi#FaaGe9HB8Qf z(9Fr3q?roZ$yxWqS;@BaYz)(ioVm}T$YUbPR&Wr;r)s7+Jeuo1{^KqhW@MNofuy;F z#N60vN?0axVuNfLwAd-fqaMebd1E%tT?MERtdM_j){e|{F=u|O=-27 z!vj#Ax`!rj*uuV4oxo2Wn~fVpw1OE3DuCX-yh4))H~sFT zRqTCOCY#7W-9Oo};A%&GuLo_dnS&y>p5SWHQQnh?#bvT;ZU7Mqm| zY`0-a7g0xowTVphOGC6I=z*}(bz-e~@xmB4FmdC9$ue`Yg`SaNbMUTKsgC125j_on ztu<-@m_UmL&=#AMg0$O~0eIAHV7o*nI=g{rG7y`vQir$JgkBkJv|scvQ$a$J+sGd8 zH=s~@DoUXzAf${3n=Oi0hLB32IE0Pj9wL3%ZCWn2_3kCTL_G=?DKd#wBcfA5CxlfD zKQ)rq`0f7ymE%qt}X}3iK@Tl#; zrin~+b_3CBAU0v84sWdwy)qcLpCdTbM^jisQB4>b#9Hg(ksCK~^wD7S0Ug}I zn6>p5Ca@FeVG7?`6H~YebTQ?@VjJ_3?e;O{BkEGH%_0+(9;5Jy9tB+xR@z>ywK5UA zm0niuV&H>mto88*SFKPo&zqboxyV*~)qqu-k@GSP1! zIANu+=dAW4idUf%gTF5jzB4h9_}h5@b&B9kaQAVPISk&WUSUH_e8{V2IK-UHu|Fpe zM(tyAzoeD#o@;6^9Mr*#Uv$l$gZ3<USLX#4rcYNH)hWvA{E9Fx@Oe@`Te6<868IRznj#aLyyUrq`b?v z-2~wS?t)=^ZoMbF{!2?(DgEpA969pbQmoavE$)rjbyms&zMKKOuF8dx{Ir|_yROjR z{tag~hf`Z;z@95YhOuqoU$^Hd)Mv~Ix)$3(0OK8=gMVpAdoTr^9irz}OvR%i^GKJp z4r3YHR$vU8(pILT)VPhZx&oJi>JnLon###A9J7&~ZKcJsz;u+!0vofDMKbD&<>KFn z5MNmy4A;?jt9UPqnw}a0ME4HKp=0}sin&NqODQ+Ws3_$m8U3W3B%_>|lcY2ga}i4| z-B%dNJ3;pndOcdKlA?)L)KS3!_m-0(C0CBQrecl~2M|jQ#gLTBF^N#)Ced3}KUr3x zvT-sB$4s(`rFP<|F(qT7#>PydHc&Tl?4)cl;giEA85rFkigPelF*&?}dda~JR7(zS zpjKjVBb5?E+ft`c@PyA*IkAKjlTs(z0atREZnUUQSOB)v34^56he?DQ*X9+%6_N#8 z_h#a)fIa8rWE5YWuxxCp6A2kS?PH=gQ76oWU3FrB!SD^$33FsyoiOMm)QN((t4Zw!36?OedUJs;1+B(lCZw zsd2H!={dHjc-oFlKLgZp7)uQ`9f0DZtc^Mj4U|&f4VapaZtA!-Qc)d8#+s_@%s^pv zT^Z=Dt}6re)p2E{#X62`Dsw3K!h1&`x^QxmDoi_BBHD`uVN-E2SV~6|(OJS>^6E;v zOGr~OH#U`&gat*$R6j8{_EnPs2h*^im6)?66cU3^N*5^?_SKMbU|$0%2lkZ@bC87I zVemFpj`LNYarBM@lce&&?v{uKa>KEyhFk|}=px`ad4+@>C#02Jhc;CcasUdCseW=D z+E-G68!$Bsn#y&Xgt~GaC8e{VGy94Qy0Wjmpey?-%ypH79&;VVQfBno75Ok1U2wmo zQz*pXEGxPzLr+#_1>R$+ssPWbhzk8!)J&NlQ;8Jd$5KQw9;gz^0w};Y&^swA7`TqS z3A`AonE=;Vyd>BLS|vd?kR}PTff5Ojjf6*lYe#3oTS`5l38y8aG&Fq5QiHj-qcs>{ zvSLFxN>XiTN7m&Ab6`hrNI;Oc;|mVvz^39buwdv$l7qRit2r33ebJ%7ZK@6hZBuqA zXq&o&LE9A`3^=axgx~KL?^Z`L-Ifxmxk+4+q+!HWCMmFOg;H>6SE{LmyjxA9ukyA%1a`;Ncl)a7%3l#C?n=0A!)=s#L`Ce?ZYu{4#8PgbWnz#tojMO z$I?6jo>iq2`mv~QGC!uOCcuxSWnw&#^pzD%fN!8%QdBT-9kmj8G14djuCX#nunqJ` zf^48d5@ZAI5g;2WjsVw=&V<4xy!B$j2&W~ZG&Fq5QiHj-qcs>{vSLFxN>XiTN7m&A zb6`hrNI;Oc;|mVvz^39buwdv$l7qRit2r33ebJ%7ZK@6hZBuqAXq&o&LE9A`3^lFq_Q^yt<>}ZCZ!{p%07*hQ`w8LfNFU%&`~Wv z26C$9$3Rt;{TPX>vKOlw8)~=kRuYOWoSmqy(q5K~qGC~4)lv*M6%{4?rJ$d*zoe8C z^J7&rNnB7^jMWnJlYmY#=wKo?6cY26h&E#QiK!yxBLO|6JS3oml!pW~5c80T`eFF7 zb&qpLm~X0!zY5w>JYb$RtrO!XuX3RO*!l({T30rKC(D{9_GClNKo7BXOoRv$zm|f5 z9t^chf(r((t6HERW4!{Attgcc-B6>1*oNvP#5U9=5ZhRhKx9i=6snu_?u(2hoR^Rm z(fDa=5$4~L7Ga19YZ2ioQ7xi9+1Db>gC#8@5kWdP&?3x(RV`w0!Qjob2=ilEi!kH_ zw1`5tsznsGRV|{ht!fd5ZCQ&jWF;-i78`}y#{D{mw`Z%vS~D5vKWc?)rPj!L-?C2% zj6ammHS%qR8hNW^1_djYi;EAFKk8!YmMN3(4_&wGsHIq=f70G}<&M~O#+g=OcJdPC-& zFi~+&JtlG9I%A%$xCLd{2bhUd0JlQ!4>cCc#YWa3zD&lD5Wwgy^goDg-0mQcUQkOWhES%_-0I*=s~s-zV$|3IT;qNgU>mlv z0NJp61;~a?D?ql;s{(ZEEh_RwNG+ca2op6zXeky-&Gdb?`e|A8*Ns-Y)FBN)tmY?Y zF}iWbO5-=|TPeO__e${%dsvEZp_8RPtoO6rBhj)}x*B>fF1oIEFC5vE^blQlajbTE zge~!Dq|C}*jJsahlVSHO`!QqzWj}^&pzOy2D=7Q2&JOCHlVJ%?k10JRbK(Z(T4w{$ zk1+#)$X2=@M7PlCAhw0>2C*%4G>C1fi$VNEIv4h4zgvMHoenQ&!;jvGmo|8hxWhSQ z{Nd#Y%4#o%_zG@~T3g4Fai{AzGi-bvSB5;GNzKF!yr+ef0T>7NxQ&|Pj_86pyP(+K zUT7}n8ij1WtypTV70TYz5C)%b>_6=cD&^JDI}DN6@TC3cEwBL>HIw0o0HE=W0py6_ z=%CPuH)nXUUTjoq*<5q3%Dz7p?iG^h{Yl`0dOIr_Xtv^%AKW0x95b0*K3}LdyoF+d z@CK6&AY5O@d$_)hN;TVD8BxwsCeYf&S?Gj);0x*%8*+$SdXi#-~R2_JSa8Fkpc_+@IF@YV-?w&Mrzz05&CS@MrGmI{-_WExdh6JMuamRba=KuDnw|mWb>8k zD8RozDnqE|^21;RXHC z!Niowjm(5WAwV%13J4VfG~!n-D9NP2+K@&%%M{Qn02~bKKmzm%cmS+Dhm8_CNw=Vv zSnCCfU+aZaI|}7|!TIos`h72A$m41YI4qT8p!lG*$kA!J!*52y6}oECN7$o;kFeRX zt8rHVfQ0Zyj9bi&_soQJOnlfQ^X$9}%1UBzRi=i49r?63xY{3= zInJ`vw=!576e9(QpdUVuD=427na^$tdM8B&xXih1xN4b_`y<94ToUA-H~bM} z558IfIoMbMCsO|U`Xg3y0M=Pmv!wgTt+$y{NqvaKA{;ZW7A`xSWygn&eHm$yv|h0l zNQzA83a3cKxN((YsZIUVnw7gS3plI-VW9Y+S1D|eQXt|ck(41~2x%T-6!b80Bzk!O z-D-L(gyB--7X3PeBJxX&TlDLsi9Q=H`zJdh*Ko|DzY#g~eFn}qI0c2TbBfFwS&RU^ zvxXAR=7#5rrCd{qmpE-=(R-*^M5RML#IDBQ!;xlTaQGcKcGK%i6>Z$EL2OPD&aG7?5e>&VDHy3Te+Gw@?oBPBKQ?uM)>qtsidd7Ki4W3nA4^}-4q#~o{A?3Wfcj#t{m*k^3T zlUC6{=j7ON)lCIC6fI8ubu>-tOQ200*8*$fT_BbtPB7vL#__~fEose(dpJ&F#JF)D zu@uVvKE&{~UP`3gLC%M8@B_Z!D9?EoNeuPx?>&-Sa_(7;BxAwHy`>??(<~MCS}7R> z_As0rNH;p?6yUrChrM-4h7-MW=b9|}dZIgtN(sHi+Egq%XL0ByCTTgE$s!2DxDJT2ANi3FELetl`HrKG;;iKnG%CoG=!qD)#m z?M0fLc*1v{lACc8$uw}9l295&n2TDAt7K%rv&7<;*lbbvaAuqd)iM7WY|PLJk0#a=hU~ z7&9am2&qP11n62Ct{no5z6K?%xBucQ`hJwOf@tX|mEcIi(X_&;=Fr!F;h&&+tK#Z~ zJCs%+&d2VUc12-018D`p``k8)Bpgj6oc7$vY(4yuIBdfP1E~a2ECWIK18D?-6t1J( zROu+Ak0F^If)Az?4E5C9+aJCRrxXICs$me`NJ?Rl;u*$(E~gOyw>zARsW?Y^Qfy(i zBl_kFbUBRxydMWf-wV*5tNVXfj+2D*Ct%Lst2m=+gySUMTxiL4l#pB0sUx|iAuQ4e z%fIJfg7L4X5khmJsRRAW5C8oSuV+}3^a8`)-C(NZ#f4d<5tg%Yh1H@B(h3Tz15+vY zycFFrrV&y*`u5fW`nI@Qq2zwGS-q{u9-w$e=>+HegnOwN{1P%jO~FtafzXdDZaJ+jOWa#dI|0PJ<+S7+k#)>lPCEfuyXCYKj`dqkJ7F2! za@q-jy`N;rgEdKmN0=K*ATI1yD~+(6W01vLPCJX}1clXssT4JyMxA(flT2JZqjZ7` z?khPV1w&~BLf=s`;m`(Y1m)}&j(B*N#R0^BV@P4xME(xRo?@ z9o`}`TiFRL98GE)S-EXAt%1SKqN(ffCXieHa5TB{2ls!B|6m|N*JihaCZ)&k^O(%> z!Q`?xy7e>6-Ry?X(0Sb3JQKGuZ|;m-@>SXFQ$*R@vYCvv8#&Y5iO&O>9%F9sl4~@h zn>Ldwu=in18Ca9#>R|l_&7?NY;lko=nQ182ZpKUk;oV&^JBDYJ+@5JizmJ&MXMJ)q zqwl7eYS0GBRl>WQVgm3ki`~M{3}h7$-ekpxwQ`eX;y%_*mWe}WnG9~SOkIb!QQZ9# zjwZE@tlVUo*1+H<%hYvv8^tYuIGSAfgL^5)e=v}sYqOgylhWh&QB3CeU~<_T-DDZ& zZg!Jp=sfOCmWkV#H(5q5gpBv%LP zH(4gNv3!$d8j7`>ER#TZ_fO1@;Ta{jXDr-gnN)c;Kpaag0m*)*1@XMQM7|{(_;2&K{!?Cl%;ep%VX+a3YSQ^2g z?;WN>2*1kT`AXuXwi)$nN4~4@Y8sjQKY2uD?_U)Kz<**P>ak#ku)P8!^2-3#VDa9e z5@Oy*=B}!lbM00#b4N4@l`b)s)GE5acR@Lpw2fKD?(a@9o$6mrE=z?Laq8`SA$wv> z;XeOM+zZ=4xJ!K0t2REGhz?_41C9H_zQ+>3oKOgk3reYQuii7Y&>*$SpcuU7V}eO7 zgy=U2$QYdKsRiNmKTr#QD78==rT{|mhf)j0WySEP^~mK3#!?H$Wl$iPU`!f}iFU~7 z(*zr*MP5VFM-O~0D8@1iBRqfL9@MDP%(3GyUqOL+YQBIAV+jCmpC%DK|0y8np;MFGSgczVAWqHfMo5iu7Z){O)^ ziBXv0^8(_!FfHoHI=tn=p-P@wT!X7>_yFfP$uoQ>M9o{50Gvk|+{lAXqOvNXEnaURJFtVrQSkaO$VqW6uqcTV z1A!7YD$9W3E3Sa(Q-nawyyJuWyg=QYT?b+=KI~;i3=5kSTL@wjrryML30PA{vDBQc zqTf07e^Q#5oXKG0`jNJjI_hoS@=~zwh(2KS9ubA-4Cuq54=1?Mg&$fFUL=)7`;`lZ zuE&Thd^kpgfd6bcF(8S}z2gOv^~dyMbS6Sc`(yDyqX#EMj{e7>;iF_?P*MQ*ej6x& zKc*k6GZ89)KNb%ZEPxwotO8Vqp`RekLK{9D)sNSk3Y8%ojSCEx!VfuCG0>BiLVL9_ zircTjpnk+?rt`u!gzAjxgLc$|?>{B>dnV%y$l*d!lqy(giK`Pg7y1Z61V%L?h8iB` z2zy1{!f-H2;)aKfYt$!`F;*)qMnj>(kf4@T(kKiE>QHoDt4d%)Is;lrRzwNQ(25>W zcwn|}@VXiMO2gf?{+Lz;eUSn2bk{bJ7Z6Z;jYDDKLWZMSS%;b;z|_q=QWF8FcJ_gk za4~~Ht=z3h47SHL)P*8;!TpiY5>^*{ge-~*V7-C94>j-n`)XPcXzl2%7^aa_hgB5bO`QaUD|O!ek#0PJkkNSs*R|^7PNHwKsMlA6%`Qoi ztCg7yS0)vpI4kF?r&fY|h4jN>jsudoI0sJu6e^4p!#$ypZ57cI37g2fPHaVs`I7Oi6Q1Lma599&nes{xr}(Zt|UY&NG6Wt4@@ zj>VqXd;{X7P-fuLO-nJ zd?7ByS;X;_|CuQEWZxbfOSddGZsQe%wTYV!MxHDvMx*Rte2*N$W#PGJTh=Uo6wSqY zuBlYW<~q=W&SIm`j(#H{U#T@0%dH-7vd%IY!6f@DgXotNT((el;`V>!h!>=Ip8YtT z^(+@gqT47`MYwi^nf)jnGF6CzFtZeMAo3$F@{zS>(5) zP|g?X@N%%W_Txbx6QWGBx#78D$=S4UG_ zw6rIL7!Aw=jV{0g@w28&QzG<<7)*mUT$+-= zPrzUTxY5!SJYp6wW;C`mg=*>en~o+c9d(;)#o-0|Y#N+dWskkR=hS8p?D5jW!>@Z= zz|>E88Vnqu3wDs*hu!R}L*A|qya7gbB5>51Iz9$|iJ`EWyn7OjFC%bY+zK{^&R@&p z3mNt~&pmD!KTh&x0=}Pc;p63l{iP-VkjY?wGYi-Nw{qcUKfKVPIe6(3sd2zq{SzoJ zh8?@I)m$ytF8xki@-{hG7nkMevYP{n)+9p5t>{f3SyAgwL@r8-yIK(}`2m-|;iBRA zUh9Q&Gy0$#J^83d+Roskm%TB&B(it7FW*tC70T3$A)Huf+oa+tcQ|kPoLWckN0f!d zaRMTYlZeRqQhmosDTn?9!3m3|k7zqM%S9O{5mAt~Qbde#5)lQFh)NUKB;h|uvR1Fw z(Hi9o=*SkmdIfA{cjw>}l8B7l5XhUTM2v9~5e2pK)2e(Ti4(yL_MPXoONkrlQ<_P}17J<&!vN#J9hDk(% z-j=J@isDTY*d*aU{3b8%aS$&BX_-VUK{KFtxAnS*n4lb4a26&Hb-`8TLuxPqfWZ%) zq8-n9iHlJi>X0b)9NvZVH#g_jELw0~U-A-fgkupmB8)nRRcTciJW6*_Iwj(JCS$7(4PO`SSNBF*x2(* z9^*v5NlSYX1RX$}+C+hHCs6TF$Cco~qxPvvU>*Cj8NneBAF>p4^rv!E)uI8bo+?eJ z6!P9@9$bgmxHZ4*(UtcAHSVgT$7_vBmGf6q-jSOgww9H1B%nSz3in>Lc<%2_TlLAl z{T(Fg9#Vq8<+J9KcmsslGsLJhpW&+;jK6TinlB`Vj2Cpx8a`ST1|MCshEII99&82T zl~V6w{Qe4So^8~Mt*wO`lMiEIExQQW?KjVVwmH|x34)+atwrPfh9vrg20bHgD%7&x zC&kX!5S0<97SSIkGBL>}h$E96_{fizsr8l2#ifji8hn1C>%(1$QPt>gHiP{c3>f9_ zu`H%oOd^gOY~0XWEFF`sgGKd{`U=YKsuH(ap`sbq%JF1HsiZj(3dH0@j2h+?auMSt z95M6|ON*oxLTkZ9jINo+ivIqXftBlyG}^G1w$w($quU=zXpA%lnNTp5mQ5g6mm==q zpsIIE6&D278hpe~z??TXI5!*dGr=EulWQV+D}xLw8Q?)oiZ0IXlRUiw{Lw0+{aBsB{D$zB5SSI50nK3T7r60p>JSn*FbpXC^sA zz${eqe5DFa^hZT=+tCNR{v8$L*PZSfzzEno3cUI5Fg)A)qAg&(kb7?&n4hl|at$bU zZxER2tY3I<0(d8EBsdZX!EEe)QUHQk0az}b4=uFpR%^{3UCULg=!pWn3ju2nyD+jX z*HLev*W1Y6d`S7HQu5cDw&$?IjVbyx{#R1jCxm)yxwZ*qr;{uEgVB8b}1+afRw z)~k>a)~`YnaXfh6LNIvma&7Sb6&MI2A$n9$9KuckPXS7Tf_1C}46_tq0v#*B24;9Z z>gcay(Kj9jcW&Mplf1_EHZ=)1;Gzn8nh(Y6XT~z@W!?x7N7Td2q^N(HA$)%VgMdF` zzj#zQUj# z{n|vO%qtOOn?|idRwWCCE~nu=;%Cx*M9%ni+oXZM%-6ZoXm#m@w=mdn8r5)LxOM{K z#S#vt5ed4%a{hvh8%QvgMjX}3umTiEFqTRj=u-+X4u33-I6A86Gi$IS(P$d6v=?hN z^gYACE{R6dh{bD;_Tamxot_Sk6#gFrXVG99k+|If1QLv;5r^9yKpeqXDsed70mb2u zr4k48-OuDEVIPv>>r2a6-nX{Ecc3?ZF!}~hLfvyc&6n= z5Dq5iKRC+r+y`UHc@GY-Jnz9+g5DE6(#m&VbX4VKAB`rLe{?|QMG%c97eTUzR{0tP z$5CGX!B}$H2ZvCe_h2kR?+G4D<(0<|o80`d!)kIh0M3=XfB@4dHuq}{nk$u>_h~;m zVun}v2S4sG2M>D_%c(0ijd(ETqQy1 zr@G{*yLX|lhjaFnk~tJvP$%qNXcm(&f{>uYF0=xWJ%mr}Q!;0wmB=A-cd}!UH*|h% zDpm6SyS;2lf^lfRx5t}GAV6pUApo2OmUDQ%o@HO8h|ufkXW`ICr%mYhwVHD1n_Tez z!OS2ux=%?ExAhv_W~LfoyrW#4e8FA+~RHncTPJ zbsl;kAG|1oG2XmTHFy)lLEcPhZ$v=@rV*-t^2_DX?;bl}@#{Sy!8$e?;kO9`fSU>Y z2qXn}8EhIU64obCK{>%WfS9cimu@Z&9NlFc6KT7t&k;r&l!|IV4mx2e#Dv;RSYl|B z&=b+5oS2Dj<&2YU3m6C^0D487s!VjEz3e`EbQ&wVgttoMhCd#Kj*kZ`$)6pJ!gH}s zfFUp=J`&w0c!YF=;A7IxP%!346l6~I0**(R@KKDF7?jOj0DQ zm%OqH05Mx3F5Z3s9NvY1iLe><<_Mz=N`3bc{=rGl*a*u;Lv<03XXK0xkpdW7#K5F&oW!6<)js6+h8 z;V^%;w43k*0OJUmJl=(^L|*2%6Kn&)^Q4JJ33iVd1M8fqh&(9F5Jsfij0U1xjUJb_ zo8kmG!k{Wt+tIj$8H@%s6kJMZ2JC}qLpn~P73o2;9eJa$*RXfsR6-LN1?UP)=yD606@%Eh)cI02afJQj)}Ah z)#nJK4N66|A_twY6k`{SD)Ta_7X+*)jqBQ5gP>L9yaHWu;r4$oj2&o5P9_i2dp%3))q2=(?yr8D|08SF5 zTgVD_!aEf^6h|Tjds&8qae*i>2M#k8q4F4mjEzSYq=gbsC`}PX8q|ox4kR{VC_;o< zTU1(Tj>r$g1Z2Dn36R5V|Fh#F??7J&lN23-gN|uyE}@??Y_vt6jB`ieW+DJ^Gcp^> zELdGKe!xhIgmsA&5VIBH@>+_DkJnR_iBeOk%@IZ$)RWUz6gpuk#DtneSYl|B&=b>I zRLo3oQR8fLaSVhJgu*6FF0>M21-dJQ3XFtE`L;ry`i>Dtb*SV*D+MexT^N>!g{*{F zN@PSu3C!u$X=La7Pak&WyZh8OJL96Jqk0bS-M4hbhxbkYdCIH%+;{c#n=k+G4Rbg6 ze!ES7-E~C$>2aMVAAfE3`}$S`Rywcu6XiRKXzEB+iA-T zx$cA$=dSf!>qj#u4H)(GmtS=IZL3G$U-a~ooBV6+qH~^X-s<`TmcRMf%E!+xo-|;@ zv^!4dxUT1$`#<#F_qX4C{P%C{{dxB6`46|>+ws$9lPCT#<>NW8A9?H%&&=Lz+n!&a z_54bwo_^-0XfO+Ju;y-ZHK#l{%!Jp?@xcEY2v%n&p7&~-1u$9yon-!H#>edN8h=cb(b;+P>HjJfTNtB$X9Kl9g{vt8EQq-DgW z!^c0d=$O*9VT&g=KKf(Af*F6jy3EwY+g|%adFlgS?ta~(&V5(7{K)fWY;ovWpT2#< zd8bTyZ0;Jfo5uXI%HD4;nmuIX;>iQ&?S0z!r{34G{(Z;4JgN7n?Z#bm<+D5ecIjnT z?Qq?V2bHJPrhM~e>os@oeE+9M-1hT{8xH)S zXYhI-^_#x#g1r~__~&*#KU-LOZ^9+Z-S_s(Ge#eM^xB!1C!Rh0h;2J&kH5Nj^uP9d zXzC-ITz1P^UAN3V|H_Tee{uGAJ%9df#_LCR^xJm5haMkr^`I;6eEf-1A31XPO`Xr5 zT=k!1SnAY?8+SIoP&U@+7BQGBNL*~FAPZ;>pp3DCGmRxI{N*nA^!@Hl_rATwF1x=wcddg5+_T@i)34cP z%8d7K+j08uSN3>!!Ad`uj+-`M!y5{dnofPRsS~53O%M+JF9W zm-oG|xbwFQK5Y8?lzrd-*Vxvv`KuqxFTd7z`brA^*#ez$b%CtLsY=k%UKemnl;lOC8lcg;!DRyk|`qo@42 z)!$Bg@!`zh3v&)x@3gDGy>E}7`~NhuX>{)alkU1>VAr3@A09a5qzU(&u;|zyPCn+3 z)?=^Q;J(wYKX0d7yS9w0pLgfbQuemfy1#w!FMA&Q)H07voipyO0}dN`==ohvY`g2Z z6Sv&r(v=?GvUSMl@rT~?>rTHdsO<9iuYWAM^_OYCe{%ktZ+ z8I#`p?z1hv`}X3V*+2i@YaFbKC^iJO`0Cr<)ecS z+vvWITZ~yY9;lIt@{>W1nT=3H29p|2R_mh)u+^XAC$J{ypjn6jxbJ}Bzmmgi3 zvfMMve?MT}@e8heVb4X+FL&;*+gx(po|6xG@alt~m^yvK|8#%wFa3`Dvs<4ncJBG* z&)aXi`x~3?v*G^3FFC7}TW8Sqb6@QK)YsR}>^ZgjO+Syjy~|I>^qltLyJvm7O^*wX zTlCAN*9{%@+()bZdc*6FZu`TdEiGRjb#d2qpIB+%w(Z}UabTnMyYB~=_V4;(?T~jq z8hP!dKkc^jSF7wb<)ew;FSp#)y|=z{Oli+G)?IwlfJw{T_|T(=4_|MDc|_g14rz&`3~>p z4!di|Z+C6qpktq98w+Q2I{exGFFm%P?-`5V{%ric7r%MoE5*~^T4U|gf6si;>8-VI z{H(3>&_hOCwBaVFPCIAe2FpLO=E(=%|9JlHPanADt5wEdxAFHEJ<(^Q`Th6!viBQv zAO7D3%RG7Za!se7J@KJuPMvw#Jtv)a;Y(ew+4ag1EB&x+^)DSA-Jg2yzRzb(`t94M zKi}TqqK|KW@uhz}lH0vobL*fR{?U5#I^$ow@y+$lDXg?+`!;vJwEs6()mGZ)nh(~x z<)p1PKl9rOm7A9x-?!f${~q|^*n3tUF?gTL`kcSda$m17@Xlqv{oCz*-dIrTKc@Ft zoiA9g+bs)MJ?n?&uA>K!UwQAF*Pp%f$uIVP>R*5Ue)DMy=1qU>%rocjzu9rC4!(EJ zTZ2B`rpuQj4%vFYGgjy|Y5b-)*4Dh??C}>I`DUm3XRjXI`}N&N?J<90x!bIVr*6^v z$4R}8XnJv@hi84!^4^A()w*>)=%54I?mA`iR^`84aNCd@E?akOOMd9V3qKq-eto|9Q%Sjz^YRcaNh#Z13A|!``&%3c;%GU-##3cInmiuV-Gr$3}0yG;Py^?&vmbUcbu@?9yxBep4FX&A9aY_a=>~ zyqA4{#IH9V-)Yh86%PN~edlhy)#ojLS^bXNKKR!G=g)cn{&C$GO@Co<&wt#SAGgdW z&#&0$%))@XSHJxEyZYSz%eDDgmo{&6&UK>~?>2UmIS&uneXEx3s*7(}@#5^H@9)2H z(#(Sw&RVUy-^&;LeTA9b&waOj>Y(=r&$|4CsYCz#*WU+T{pR$ud)@uq@4H?<_Q(AH zF52tQ%U7QB)3%Gd99TZ@o-YTUdgOX9+;i#wYCYfD;fv`9{J3G)|C_mYcJr%8WamEo zer4M4lNTQR`Vsr}ZnepvSMg^YhHw2map=_kXhE`8!>5+3V~6a%=hQ z#~;1qwgo$HG=HCC?w>bkyWJ1p?AVpp9JBgz!%kkV^Z&-)Jaf?7-){MTU(C8}?D%e{ zJ%8v&vpWCYv~$Pd&9|O%_Sk#Y_~*@!XE$E{j8d0{&tCQ0luMU?dfB!9RqWF1-#?r` zYpZofpZCR+VU_z$-h25?~ZTBez^I;%ipudpdY*Zes%sYvp-z8>nXRl z?eY04pRRuD`2Rk8;{}(``}6T5Zkx37c0Ct7cjsoTm!uP@E-7(e3c zcXw!BwCbg6ywvnem%S$c{=kF7Z^<70^;h>?bNt6!){eiivcnsN!9QNO+9nHjSmXDZ zhdzDg#*goG?CDcJ8u-!bo1cIGGM&yJux8=>N4j+Be)Q3e9_7c*f8dz`H%vWls~-lp z9sA?*eFyEl@cZjFdv(ExH%}OuU+azw*6F<9q4lq+9rFEwTRgJM8aw>!qm$>ZIN)zj z6wVm>+5_9|Hss>D`(Cl*#iu`W#09Iq_4$z3Pno~sg-`dq_}d9{yFWj+(=}sy9Jv0f z*WY^D;y2$pw)Wu_t3CYFvQLkE^ps+wy8D~!{B_a4uRVI}OLptq_3<%-HvDYP3MU-b z{m8QF-M*JK--baWY)Z3 znF}9Xu-V8NPwsTb(a-Jh*k9*Ne({_|FHM+JUSXG?uUph_%YFCR?eNEE?Xmvqubn&n z_%(<8H0ST5c76QTEg$>8+KPK-25vL?*>#uQvhCd?R=V)wlXv}fTvNwS^LzaF#;04) zIive|vuBSSJap4yum8O?Zo}8!8uQ3uk01MSb@T1Iz4Z2;``@`Rv*sNKJp9sHXAL>< z#s{`&I&tXiF~<$Pqy41KyMEnq#r|Duhx|BUy_>pTIpB}6Z(LD(V23ZxzHr%DBYMtl ze`J?`-~ZD-&GnZ5oV4wVJMDeb2P?jQa(2)EyJer;;4vSraKz+qR@?NKpXQfV?tRTK zi;h|E+!=HJc>1$mqds11w~H^HJLcYdU%UA2E8okEAN$d-d*8g#MPDsmf7uttoc-zw zW6qqv&RPHL@#beoP2RbB$(|PuZk}*ekMB-ze`w|lvp-(^_-(Jf@Yd=V_FDd$?md3! z_S?jra@(D=(O1LDum14eF+J9p`OA=zum5~*^V&~0Z#3bFrjJ*6Wa#?iJCD8lk2gzC zHuvar+M@%mUeMlu+$V>?ZWt;xxnSCzW_k}&?JTd2)FS_5d^=rdA-@9VG%t+-CT{U1NJy$DS zb>w-6jOy6Eweyp|_1JTd8Ef6M&EIBj{Oh%s%>MeUEAH>}MCZAshx&cCTfem*ymi3( zKi)cW_E!t*Z|47Ten->T?uEV1I%>DW55Mc#{dRb(|NFaa(EI0SUpnpPKeiij<*D^8 z`@KK0<)h^eKljmZR^N5gzx2&qd%%`WckQ{}diT6}?eM4f9n+P7R#%YN37EJ#uu9(ztJQu3MJb@AN6Ftup57+cqC``tqNTT6J8n;?@uDa>O%F9=}$XDG&C&<}bfaJ80q) zeJB5Ol~<>&H2jQj%QKE0zRRma=S)84l1snX;igCb_V@EP-TMA3zC3re9(~Wbbb2hP=k?yQ{~q_hc*%3s*9YCQ!aINcakuU(UD(|Ff{|OV zz40c$e{|`%dZ$JG=X83d_p14?CM^HQEmv>)U~Q*!p8R#2pNFpgUZ?-|ec|!W|Lp$! zh>d>PX1#8g&AR4*%T`+EyY1KB@xos7j@;nr>b+mD^7UpP9$Mh}w|McFzdZEa*k!-!SoPgg zH=kYk>Y=w+o45GEXV-lBmp^|0dHRH1rtEq9Umu=w=ESY~U-gA1V zZtHYKj|UE&v}(6wcG~u+Zm(>1$mb7lvFG>?cfRAyAG^HJ<>bRQ`e9PHiJL9Df7LDT zdvL;D3s<~maQkC_yR~WJ$p5_X+~NOQ{ips9zxDNDXK(i3dgX||FRi=R4!1tE>FtF_ z*80cvD{kKGlMg5ExcBTSx19cU+sf6RE3E#-m2=+cH*&{2#?L$8f4L$3F8k*4@mKeG zb=~7i>x}Dh;l%MrG@bsp`X8O|-S_#eyIiv7#C?wJ@$#?{VFp;Ya^F?}3lM8+X*6XaA-0=Eu9w z>hi+wJFR=bisSd+eeSolIh+6e#yvhCeB=BcU5_8P<^kLPu*&>>4lYi-^0804cHVOB z8J(Zs=)!d#X+Ll9EkEwCT(;iz*)u+R_=HWbzxu+rj~ROXV~^dv@1T{(|32)SnL`(^ zI%(xs4%}(a?1^XYxcjxE2XA=re`gjJEuPbUwmN4;q|69KEM2#SIav;bLGlAj@qing;$?AcefY&jXJmQ@QcS~c5b=%hMmv- z<($jkxa;%zZ(j54CL53X^v9e3bIS{REdFYRt6$q@@x|+GxWU3XlkdFb)jik$^QZ~i z_nRnq(CiJ6gd9yk>1<~SQHHmAv0B13 z={w=d`@RT?+c}D4AvghkjSP|>J9NrT2FM!p#)!c48M!?CU9m1#3EBkm?95^ zPz2c6>Cp{@eB!*$>A23#0a9&+n!;uK{t94=LhfbT{yG<|go z!TJQU`WT$3N-zj>2UHjSJ%mr5R)O02p4nn|-&AXU-Ikk%u_(}lQUv=zoHUut*olGd zOH*u{8VpA+AKBwJsxAqUZn|Lo zk-MRwKxl|~Qb=IBW}%l`-rTCaw|ydEL6_GRE!FZRm##!XJCsjs!jXj{<%MPjY*k@K zVt{@>)Y8zIGth;H$!Z!~8kCTeyS=63{O5m3V8#}w>c}eV({9#fz+DjzQiXx*e--dF z)x~6#1|tSj&2QV)#~MBOy|Yb%-n2z0UKKYl%3JrBa*hNG()8T+6n1`@s67DZD2uUN z$v!c($fBPT>2CJA%ahD!$LJP0v(DbBwo0d=RKoM>w2fanTQXtfx<1Q=Br#Eu^jQUq zpQ3s*J7iKkmsgrY@@1#y%tRA)8x4D6jC`eCqRoit3Awt~9YPqN_BTY-FMeyF4@`%Y z^EDY4CEps|H293ho6-%HsOw^muG;p_dlPipNlF`SYNmY?6A)$k{$++YVjs(kvV8gF zZOB=fZUr;$qM@}1iU0vA{m|ulnTDJvBovd*uh#^Gf@}n>>N|Nk#2gy>Acc{s+XOcX zCam(Vhr0uhM(J5s$R?f)8>|aNxIaLtkjZ!kf z&{i=H)IRXg9kvrmXD2&Uya{0euddkWzhngx&FcQTQ<~q>u^Mw|niYKjsP}v;9Tc=C$sPFlokyDxxn>cREXrEp#b^6MD1L3tU?ZhD zT#r&na%yGOj|>l}b0Mjc!=`Y1QXPErDtq$-c8F>Vi2+B3(M8$MR%?uf#nEe!gJuyO zOQIL8C1U8nO|1i68r7fXlRVG+XfVM}|0z=OJvSs=pJ(F){iIqEDGC9PD(Btjdbcby zam8L}NSLlr2Xn?4u`%O-q`d9j^s2%qbjvp2nBj$tB$0vQRI+tW6F*_o9JI(%L2BUC zE4$K>gQWBo2Ry~{|EkLjFu~{_W3e)wz2TNc2NYHa4zOndNQ%@5br3qD`CYy7A}_>h z%a%Kl0<_0_DbB_3L#)jf505&9y;1ohPa}t64>KlEx0G~K01*v>5)^YnQwW9RNKC^R z)TbtHL>CY1={J;1cW5*NlOL_~W-r#@A83kf*d^lbj%Ab18A;C}DE1o`^fl=tD_kzX2$})!Yt#&vOyJ@K>INlwo8ey*cbdiJCgI`IagHGe*jh?fvt{Vn zeh^2)yqeUr@ey!{++yyRHxj?5O=Z_@;%~GD%O+fG`~>wz znG5?i^8OI}hu8(T{^cG@9x3udZ|R);3_vhZ3`WLN5rx5;5b zVi70nR1zOXdqH%vVefH^4A~n|S9ihR+BPYex`Rm9v2T|x@vTxSZCaFlo&2M1Z`K(W zV;>-DFo+Ux@C!E1;m_RVD93Q`9KMV`{9_4lvpt3&wbhcL=)Hs?AAbJu?)BSO=$&Ck z_4_}+cQ0POdhdRG@yCLGv` zba#2t3N_~=4mQPDq0wXv&G*W8IjgA0&G$CN`eVWimcq~wd%@8*i%$1hAN|RwrXV!gO)^e?T}4TczvgngiXKqA9Wf7K?Z^ApohCP%`7L&u-~bWq zd;rI{0f!_3OGSEwig>pw+pf#fG^)u40WCbE!Qok3&_TbF9A8L4F&PTK+tji|6sUXV za6Kq z?z(G?K4@%kOgXNF<`H-SC86%3_I<)q!{*HEn3+fA=~1hW({&>PbY&2k8ZQevxV!3@@SrIbleQ<>^ZWp3p>1!RRmCCS86^K z1)w3JXzkkyGVR7!|YX`3zt^dV$oF~sxU&&6qt9cb_~7#ChH>>x%yu>(mOu!IW^ zs;T6r8P4Yc1@z_OBE9Z4fu+TH{BKO`5}eSDVk4X;+7MXyVAhmP+@3!}!{KB@@Z$-H zGR`-|UwMM3!>mm?bulHG;Wz>yEwRWq%NQ;s@pw(J%sS)h zC4|+nW93=4Tx82-R-G21U&JO9Sx;xt>#T)?JdW97OC(D*p=Gh`C1KIf+TWk`C)jn) z-DaZ+PVd9XF4(RHpNUcKvLz}MpHx4>O^FKEsq#)1$yL(g!U_|wvehy)#BtblC!M}Y z&?W8~))tDuChz*$odw99$4iPJb(K5EbxHBO4}n3lJ(*{3HqB;r%%C^-2X=HZIiN#_ zB51}yPDE6~h3N!q^67%Va*B%+PRT$P4<}rxq560v2)eVBtXU)MIi@BD-D4Qre3It@ z(fK*@UW<=yigj;j6i=D1P`AP>aCikW0)3p2k<%s2$N)jwus?)^!a^HFx;zdKeJL3- zWH%cfR4XO5>}~M%`nQIHE}78m+ppp6|X%uSmN7F@j=D))Mey%EDgtc&*6&=;$9w zyob82)S;fWfO+=bA83qCRGN3lWJiauB;9&07D6&9;jY$8(;Zt6;#gD>;TbHgv6lkp zdcLVk0``bRy4b!3iU-6TfQzGP2!CJ)x9!97H7_cThd%{H6^qS9*Z?+FCRz3MLcbQ= zD6=2`cl)WhfJuHA&|T^YGotN@^diOLk_F+5x5b&2Yd81C8H}>!7TZnUi8JD2?9@*g zN3bZ(JqfgUNyBJS@D_nK5247`B_Kxy+O?Ej`Tg2e*_~nKaMTUbeK<##XRA!vq=7BV z14QqhRD=`X8Xy%Yx!`PPBbU54ZNRo6qMn#cm5UheraW zJAGqF$HinnioMyy52Ai)7p5N|mBy=qRMlt;R=WiRCGZ`2@UH#&lzp>Wq9W=$o@DcK zu=#ZVZ`o#-VT;bEe)cQhhZspf#YnJ{8lF_;LmA)T+EkAxf_FeK^(SAMGHf9lA||U{ zHT|Zlf1ROEHe)8jq(zIkt@XF-xCwlzULqXFYG+YG)ObXF>YbITxkXrQ55tr*H`MN) zWxK7)&pJa;5(*xXG5X(AG7GdD?5UOUkjCl)+zBD zs*(qn?GHFcQU`nqqAq9o#7aN;<0s8VIG?)*I(57c2IHpzaY+`z53P|BOR&+bOc)U$ zHEJR?VVMDY##&G9(=Pk;Df?7rpDH*^Av!j&FwYM5nw7V}{0zI?PT0DPrURiP1@dR!nW>enqCHv*|d z&M|3O(C9K$qU5w+jWvUJ)g)DN@lqWw>BNZ=9pJWBz7Z`CnIld@vWZ6B8oulI?0CqI zKF`TT_8ZiR1yTb+`b(bY`IItL=tjgm!}=!b#6Y9zVkPv@+K;$cl^+8+P-E?^mU=Sc zacsI?c;BglM9WNTr2v7uU)5;%dWa`FCXxmJDtmwMj?Csqvq&2@@xbO3IS8F01<(G( z1av}YV)s@p8nE|QpyvBT>mYmiC59$UYi$S~l!a-)mfNn8vzK?<_|s0OAg|^O?CV(M zWdDGittN#*zY9>E8q?TXFZ7eOC!{aziahJu=ST`J-|AjO&w^B_9r)~vC@t9%pyCMFjxUN?JWJR1&}NYq&D{w!1yg`u0}%(N$* zO!DdTPrYr7%MBH-32JvgPf}d{A6sBrnwJ4xRy4s@lrg^MCu+Ky6OB5^K8McZjH!|= zvSPg&Nd?&j?2ndeQ02yBJCA;bYZ<%yLM^;ipW-IBJHq z7aZk)wA=(~IV;D0c>N#l`j>aFvGe3V^ck0BNwYia$=oUHc!IjM93rg(YWcv#BSTDD zn@lHy$#nJ%3I<6~*U^oGR18i%MS-=46M{zAn}XAI^HEc0&Jm*#^!9 z+m_uMKGyL?TnH)2l<;}N`E@&+{56)K_i3bBI&)MEAfq-uAwZt)nfLF1)wY9(Hj$KC zX#DkMCge1WTgLOlOmlSK;IN3VXo!azu1wemHF$ z<${(ce2w(A%OXl|C#?jHIU*!PD%~MNeNKxy=iiJ`F?qSSQ)Rsij*Kjke+q)sC`*7? z2Gc|=X6rTHH~H3Uj;5HP9e@`_fVvpW1~P3JSMW<#NA%T7T6)$7v=AVM{tWyU6wjaK z0d9?)iwqq-UDFv_5J5;lOW={lIJ6$_O`jh_q0jU*SQNrKuE8F#M*ZPZn+AIS7vXT% z!SXdPrSQ1oIGP*3AaT6I$)C4(QY?;JZ~R$0Osl>wZVxYi(6L@-OW~l;+Jr660ZQ^{ zP!a%f-(9I~6})s5wjFt8+xEXYJ`7lJ9{)5xVx(BhN|dRE$0$Qm%BwS3?-%a-Ltf5) zhYzMdMmHGRmYzRf!aqUI_$9Jbn-DmC{-SHT-r^iah@YqWXvdFV;m711oq%kTjxJH! zHD1{~Z40~NrvQ#L8nSqTo`&knZW%i)9kw}Rn9ozHnrC2f22hQku=1Y3_670F{g3P|Mrk&>Q19n&Fuv^n;QVmm-v0FJ^$+jfzj=Et zu$tI|&g5L;iB3G-e+at9%MtW~zg;F zi?kam{FD;*iSr=j5l%83<~WzNhrO)+VAQC`H4$PWtk}Ynk8IVG%Vu0D2~tD+Hf@b> z)A}%p4@60Q-56=f_#o z74jl@X>$P+W37|__~no>c75Y8CbUz}aDWd^Gscfut2VUTx@jN|{OS7H5e;s`uE+!g z>j&@vC>%_k;~))mkWB|Y96f4%!oICRMo!r0X|Z+s^~|AC*a3VYJ%~-)osRZH3;r7( zuWAwCpirLUfwQ5oIS~yF1N8qfU!s}n@XIU)I1wav9|6L}umq3CQz4$=fR#)f4kIBu z)+?^lak~a_!mEsWJ^ot5HSD|MPZufg?*1hsU!#Ir%x?-g%9N#%lF37DisUas>jva- z$*0jV@6!?~N73icUlNlJI!C>v`-|7f@84$}YKxq#eLxBaoooLUf`osX+|S({LI|!M ze)z?R3}!(btaEGl9!5@io&&{|x{D|NnRaoPBAJ z+ense{uHCyAG(0TK%(}i+k? z)i?hveft?Dln89q#36i`{0w+t%CD4W3*dmMdJm*d3Pj;aJYUmaC;be79TQ z-fqvEC;D$L;=bP2ht;CoS6|n=YI%FhN8nMoAC`7J9ah`>=yF@Lt*x#<+INfH_HK1w zF6V#xGq;Cr-rn+$WBvQN-Y#m{>G!v{pR3iW-0YSs&}M1B4Ht7gTTpAeI<2bH?ob|g zi;p$-FQj+U?dJcg7hL203d{Ri4ko?PXfhd$hQ(|&EylBAG${MMiSp_66?EExD2LUu zesc4WvC?5;wG?7^VQ?tH*r2EFNw59>|({a$}GDe%;CFjCrq-h8J0 zHoI*`9WLSX0gEVi+x1sS%JGNqNVUt)zXR>cr{s-nXj^USr=_nCZ2i-pl~q3^)CcX) zb@t4MUBJ(OVCqB?#5z2C(oGjI}eS@dR;ac|Ts1{08YFq)J@CF_%$yW?{H{!nd? zFMoYqF6+D1wk~Uk7PxmRs}tmAe#XAa*FXJQ@{<34Tiz@lR_o=V-ijF4+vN)K9Vt+x zaEScY2`F9R zkQ39IL>w+22U~dcac&{1ZMFV-Tpdf{X1_m~4yV0oZ&37ylj)!sPob?d*dl6PSgBmQ zsgK9%9+JGSH!!DSwQg_sFoJG{g!MToZ*S+j<=0G+cx5nu;O}TO>kURQ@#EfXRLo|x za(2!CBsR~5`dAjz32sn~CgZ`RKb}p7{bEo~aHA#G9Jcdqvva}oZ-|4)rLO4#0)Kl8 zjbD;&)T3vPIu*Ey*uKmPfuU=$Nd_HVq~!u{w%Rd$k(zH`44+lvj1G4Pd|)|mMsSWuYQ?M9S2o?x{1 zl)EQ4^_NrmP;HlMv)-=st|9`q%Kog^gSL%^qyBU}9P~#0-bgT&$g#M6{LkSAMuOr} zp7%>g#8Nzy-5n@~dSBwQ&1x(1B(hN70MP7~_V{ip9k=_VaDawjUTr^?_lMni|HF43 zUx#QS8ZE4kMma0O;;JQ|ZfMKS3QU>ye& z{2Ysga>wS@xf^_EC-!O#6zP4pTEj+B*WBl&DxR#Uxp@Km1VA_L4|rr%EC!# zte^n83hfWjtcNpf)n`C;HH`A0HgdyrFOMk?g%@BnSbhG$?epIuHxV*6S}1)%kc@eQGSjl~_oALQ6y|kr@I~ zoBD)@5S1WUsgEaGp>n@I9~q&@uSE$6UvyhQjMaI45+oW~I^JJ|FndU1TJWUZ#}Y~_ zv60-JJN4(w2bpqYw-ZjOGQ-|h+xuEA*yZO`%0{x>#sG@G|KY`Re79V||5Wb!u}q-_ zR)!c2hBvlnWMMl@clpa!X-M3*@>zq^@A1%fxj3#Xa8qq7<04%3MC=({{qFEJ7d+xz zi9Tn8;iv}`0dongk6?6IK#?JSbdSf?zhQIL5W=S}9uR~If#+vnc^7#uD8waz!NiSv znKSMn55qm-h~QZd^A$WAT{O%b{S$2O6$ODVG-Jp5&qTbP{RLJ6bO}>YFX_sk`@AygIh+p((jLk zlkpVb4z?V|e*_agR{4y?K~@+j{(f!$E0{I(FdL^Pu5nK65qZW`Bh&>i; zrs7b`Qn(o+y827KV6bT)8;G}S{lQ{@fHbkk2cjV?wFGQ-(Y32pi9DuJgO;pc9{df( zQKgZe1!}=M?Z7|P=`-Ah!5O)#1ta+qsL9bX)EIykv6B?_-~;y9-NI8cia|4*lH{e1 zz-Y_!X3sp5sr7ZcNkus#{sf+E^g>N%>sM46CvY4nX!Z&|lJ0=MGjjpiqTPtNp8;sA zH~Uj#b>Su{%oX>4%o84vZRAGkiXQ4h`CI`qiE2 zfH;;oukWCQVYS1eH-SZ)4q?m&({X=T3`a;L0mo|&Yz^xxCj87?oTt(nCgS&02)q1}BV(R(#fBaPb z`s1^AugZ77{ruwf&wo{_P{s6djmvZ;zDy0OsDUY>7)ZV>cN_6G$Ma$l0G4H?)C2U3 zA@D8DPB9rU*#`t#4u&dZhLq$=+y8ZGJ`u@zV5U->d_?ILW*V4-mL`}yvkTWytx=KU zKty77Hvb44WXoRCzzsu;XBmqkbTZ) zNt9>OteIW^^!p#a`_J?C;}%-{UtEDDbi&hYI~N)g#j&x*2vM)|jTHP`tv^yD8sp=i za1CuC$VJpuBiXHCpFBTg@eyjR;36bOl+~p1J4}Ph46TYJ$0@qZX=edxwu!Qj3>1*o z73>Yth}W<-#_i^AU6WvJ6?Tz{%i@$OU-f(7`NFRs5J#eQ@S zx*a8oyF1kMw_0Sd3;ec`%EQSbq#8_-qAw8J^onVJ2+XDm+nzik?;d9+p*tOUA6t+p zNrLU#-4U-76y^e9zYnO2PCrwM#u_vBG0?O(qdZKt?>;mRSNN-WC+^EGt>?TD1HrxfD|ZhLd(Pri+Q4X zG5h3ufZXl2z|W_`4VhTG2*|lf{idP;&mHRdt~yx#FcP-do?8g(0$-|ybh-EXlczVz zE3m|V<69AM@!kx-*DFNi?Lug46qr-kZ*QSzC5(CX3DAZaV!Jd}BXY`>h{ag^+peNS z#-$;@N*GDDBcn|T=m2ii8_^XtNcblVZS6TWNen)UZZ z=MHlWnVybjC_K&p_GZO&I0P&eT}MEe>Wpwoi#8U?1XCk{2hu$C;$!(~bzH$hq?((6 zy49y@t>#3N!K*tnl%3g|`V%Te8HIE!%+-J-DAglWfvna@Fe}Bq3qZ;QFkt<`a6BE1 z2Z(}E+vy=|T^6WNHS(r6jNDgbHO1R@#xZonWOGLY2ni3dz#IT<8`NNGOy3?4k|_%+bUN z@&k`zsNQYBl>sp;Noi)3n$;vm7xPv#A%MyY1cZYIcvyg~w=@}!)tzFLLgXcx=9Fl( zU>RaO9U-|}pzMhM9UzP4_D`jMv01KJJhyTZGCi^9%XMOaWbtH#w8;cXmp+Q~v+1y$ z3=lCg!czeVu*Hp%EDNF*hv2^C`~j#hj&a)_d@K@zy<#|ph>W~e*AWSA%6~D9aQAt6 z!_e^M+h2bD_0>yVO}js#_?nqCzFTj_MF&Z{v5`#T!|rprIeL4FbRU>8%G9x1NTz#V z9goa-^GYvIIUVdVEX{YcJzR)*?kS?8JXp-$9m$7F%Zs45dVNK*=`=kGv#5RSb|ib@x}9^!UduL2&XFNXt7f&IchMEyfek zhZlc;_x_ik%GW=?d;jdsoAMto-j#1(z4+zr%U5r0JDtwO5ze3fOblpGxU2~^mnHt& zw(cGpkmPhgmRJ1S!7}s|HPO)=Xk2lU9oStrlUbCEn0y=y<}Dph$;S-iMFQIbV=)<6 zw0V!s9s=7Ut}av(e8HF@@SdT>2G@t`Bicb=jA7WIU!P2T{0G?|jlZ>8>rp(ot7$u&h(h=&mb%KiJ(`E;th*&RB^3tC#v65}c zOu>^I0)`80XysN}2t&N~CZ^CMYDp=|RCQQ9pqtPNYnDPXOJm5BWY4lJz@3v~j0`KP z-=hJ63lxOqwT8+LrbL6&8+$AQ2tESQs1&3r^?& zW+Dc~&O*g7mq0{`CI!~2w%cwIPhq8}9m?ETvn`+I6PhBd)iNDTnJuFM7P~zQp2yo; z0v|T(uo<=7vQ)gdvog6aT1^%mAX-dO87P3*M@Z4b2YSIXhEM*pu-ES5S{xSp&m{X3 zY!(Z9h(P)Pf3SNneB&OQGZ-g`=RE8_p_f*v@Xx1Iw(YzsmtVJZwJp}lpOSGI$sBfA zpv81FfJQTEG1jmrE`JymU0}+tP%>8fOaO$I6i8rY`T+bdb~YGH%PHDbG&L{WEX^gV zi4=A^c*|}XjB|;0w!sveK>K!Yf(kVp1LGkQN?T8lM5#1Q9a0IPjaCz6Nue(VdSXz5 zLGp&7GDV1n1a1Qz-TU=Qq)~5^(UPFfl%vfUQ`@;BuaI@7WgG3G<+lt)TgogYcfhFW z9z)tr>ZP3~EGlohs5rY1B?6G)us=c~01ej@w8soVo(Y=cvD9=CqVy@PBxi;qH(S}8 zp5&&P(;s(T+a&KM&)Oo%FMoJ>3RJTCl{J%+Y{4hoaE(W}dNi6^|3$O0*vnk(g>2`o z=*`G#w(!GKp@{i<`Rs6b{`F^eFHjn;^a?@e&B7m38Qq|vf%Oqm1QX}ZOIu9n&If+_|) zq!<`2-J%ufB4IZJedW4x8;X#hZ2|BU^%w1)Xpt0Ot#_s{Nb%2XMZuarIw+-*f&JWy z-9tN*;6l&aJ=T>jglxX_#HvKc-w!?^Vq+5;L#hk)oOK+7MrELY3Pb{=B$^S{F%dfw zI0gVQKBMX-owrYJ-oJhJ^Si(N^7bc>P(-e^ed~ib9*_&jxNi4iw0(!)2|@J8grO{KGaw?=Yd&b2VOk5H)H#aEou1)fQAobdHCCSO1s zB)?NU4@QGiO+#qYTMjXSagbIRaTn-cXXknYTp@bg^784^vKRf`kNz&AzX#FZ!|3l( z^!FH`>pHKI%p3ux_tEx^>@BiZz%RmUp-X2_7n-3YnIaiD`9TbJ_~Y}Df_nmlrlwQv z(y=I`c*Gy3`=z(qzJk~o>}#3i61NXvZ>pG74`fm3`;)G6X&z2*Wu)*(Vgk%fU<4!0$vYQ?8gr<2S(M!2RU1>UZtd!8EBrSni zD$q?|%*(~Ue=Oc4S6_v&wxC3c%fo$S) zsYL57vXFOotj^H!#$Gft4w>23^mlMn=CLIw9zOhb>LkF|?Cwo>SeiU+K992I3U4_< z2mx>U2V*gbh9+KaSMUD)ZxiIJV*pA3Rq8|`gjtbjWsA2!%YNcHub8y4v*avMyLo9C z9#YcX<600*XR5cz>&ns01bu954IQO~(sP)uu6`}z&}>%@A5(_-r{7GHrIB5BZ~3yN zV=jUo*)PP`BCnaQ#y|a@3Ch@9Q&E@um5K=VW~I1P`J<Jz?_SbMeGD)PK?u7fN5wWIOqd{v!@_Ki_ zT0FrVBBoIP2N}fP|BB&M?27>r)Y#C{Fhj0!HkEIZrdPg*h6RT_)Vg*l4TQp0zB!vrD~X#kjbVYOE~3jhZ&89lw|9h$^SKG(D`Oqn z@1Qs$qxo1~vIm%Uu$Fjk$cHsxX2hV8HYqhQjqLYKnJP@n;QF zFVU$Iwz-1b!(F~|2;n_1$Gy@PA`5^>Q3f3ld+q;}1*Wy<_7bH&(k(HD>oj;F%!sgs zF{yjYZmfOmfx8xGDWfR*5G%=N$`j)~GwhEJ!kn(+FRr<|ls|qY)=0vsdy{*(Vwh`j z`XeO$jJg!3qZdDjqseSo)bhmbAyJ_+w!6uXD_)s-*6_v4dsrM=?R9eR({=cVikF+DyuRPy@eUJad$`VV z(pr(|w@;sNqBJ!TAyVPF41p`P9 z_qTX3<8?+al#6Ca&7;wVZ3q(#X<+ysR^JN0+;9|?5?E<1FnTbviXqi@`CziZ0|T1g z|A3wdzg1Vt=6c;Q4ZC> zW6V)-lXEXbf7yn8N$RD|{^>ZYv58zYf6Bx~yWsJ`Lh&S1s1N^xh>8dLNE@;J+N4>9 zpsVf`8!Q)_U`jiutS$UWT&tZh5W3zvYLCJr69;yQy?OTbuNWYD|2E7IwIu(dxX%|E zjAB>q&6^of9KlRuN|Q{MF@P~Fa3seNhxlMlVSwq^0Sb6fa9ORTDf8TGvU`9R=|GD5 za;^WJ?KTx^$PQA1;6y5xu8z`@RpmQI#3YrVjirb=*t!J|i&BW*&z~4zk!HJ-<6O|# z4BPFF)z{{Fb~sxuF^IJL&;%M8Ih$k)r8;aMuJ-G85$mQdFHGdgE^v_5)?(C)4(I?g zBxGgKQ=&I zq?xt!Us!@q_SP1CexNn~pij;soXnhrEvByAe$;hwo@t4;C}R$4(lBRgyT6nOED$5J z;pxt`m|NPt_V(4YH|5J$FQlK{I);#JWltpn`n-opw;XN@^N6!M6#J;Kcou+F3t9DM zhjs{-cv{gVL(Vi)kgkvd=Z!xJdPH8fUZLI{H{Gl)0Z&Bizvt{fM7ugrcK(V74qGsb9c z+H9&rXa|mX0m0tyanKlmkTs&g;+(!wtqO#^c5D@sO(tq{L~v+AQk3G_7fd_()zo(Q zFM&}>&PS)pcmw_w#zc6q1k&Z$A<&8j8Cw3W&`1MOW{1CbQBm88N{p}Re5H!6Gbhe4 zDIN&qd2%Ct&8OWB8K-_ugbd;7;WUQ^p$twFZ+6#3Mxk(t){rrT4VV=e5-r=4aX2Q< zXyxX_&91kbAQM>S9k@sm`T1#y18O7(r9;fbqL&8G8Uy$BAU80KLUL(xq}zP~eSYuF z*^FPU51)#bH`k{rD_HCJjHyrvVH0s?CY=yL_8R+LVAKJEjk6niECZtz5a!!DW^z&B z@-F&~=_DbOen25>fksV#DsS_cOs^U@N@V}@zrTI=9;flW ze*a1*X$ae_PIK9gotYcLC4i+V4yhaenD; zimO!+^T{2fu^Sml5&aKlBzpggoy2Gp8g15&wffy1W<#p^&JGc|EPERCaV4kF$VIw} zT+m!{^8Er2_F#<>`D1hj!@CgKNjXxT%A})FLo^2$AP_VkXZ#_X->J(=H&nU(;m zh7QTcZYMP60A)PiYXi*TdpK45p|sUs><10Zn43rQGs^Q*oY~PImeYO^L-~y;JsLTQ zt`K&kdW$hjs4UKR;;4Og%0w6C&=iNZeI6yyN9iB)i8wB*oS=8H-Q=f6nB0ntaANL! zs|Soy*fD=pSs0Oy4%t}CKO8HbHe>*}O(kFLr-go$_oK$Mf}|ygn+=fNGCOE2+%@Wq?yl5rBy%ngYdVTj%qIMdi?LbqvzeWdE3?LR%C|SJ zgYbSVmJ4E|hdj}U=V?(6F?Nl=(R0q`Ogi%rq{t&AS)i~WL{=?p_Gbtr9CUT(T4eq7 zDb56V12V7Q$$@UK0drrVssGJxXC2{U($I`RFWEl9Kp5lXZ{ewt2ZnL61|sRmGzq7r za*!&z+Zr}TKYHjX6VEI@`F1xURXd48Gc%gqAI26f$mmKhki+WD#;_zf8yP(8It(`; z4(rRrCf)SglM*N@xuGWTa0a(zs-pNl_a2RvU@y1(YI~e~$u%^%U)Yx9c+_!2r88<+ z=>ZJtNUAhTr>L|<8CRq@7A}-UFk{gwgo_0B7aem()p?Q6lH#*YFOY z2nSdV*8w>4aLCq_REyM_H52>dWQ5FP-pA8*vIhGqQ@#u3j=gl4&4r9=AD(A`uV2N? zFv%rK)bwc*J&F8wX#VrQJ{NYq{DZBB+b$St=6oJPh z-fTgf5woH$r{~Xp+&!~%tKF7F3e!D*;)Fr6c8wi=NjQ)!jr26$tL8xVk|Hq|o*)fAL*O8~ftnsf3zh48OC@}V_@jMQPoCqiO=O zvDXGzG$vG>iFRp3=Fe_Ve%rthi?D*b!dId`eq1BP9$|)bF549p6x;yDhp_FVXRTe^ zgV19hu^8dN$T4F|j2HG0SPC5EJ)@2t5k59t66)h0VIhFZB&$1B9leypyVi*j;{D|C zv~ZG>8Y|6fN?KAj3oHxzB7Tu$W_9V26FEtMW-LCMr#@1Dh}OU707aeE*Z6zIhZ<()jI4OU*p9BYi}e}dj>omBh#qRVKV%;0!SK2U z7|8TpFdf5rQfQ&R;JpmZk*94f5*=~c7$*{A$^;FW$i0FelL5{$y<~tG#@Or7GHwyd zLVqs>GAlqTb(8KmF&$aG%AuS{X9N_x^(5+cpeTykgo82|ZS(Xjr!)0qOvj zan{|QrDDm-tt%N8!-EvUkh?JWN+Bp0?C#Dg5u+H4#)-mc#4!aoBv;7M#^{A#u&s%Q z=BA$~&GKNs?G;oKDk%IUl@TY{TOx?(VYBG|3GLFcR<{TjTOEusc8()McyC|%sO1V1WGDzL+;cZHat*qtmm-Zz%Iy)l5JX_Pk*0crNJF46W zm=#PogQMf{q8%J_(8m!sc%2+9;si~T5V`0WVvB-9aH=oRVg0#2!0KmJo{%$bmZX}| zgb4yI`C6(=I6@t~423DsQn_gftNj<@?PQO-7>Xh$iJOx4K9#DL#XCK@Xfn|fLK1Pw z;8yI+P&$!DGUi8koHAHo%`Z57%zQzEf_&mNHj0EuoUBEWFCt>@NCyvFCr7R zRP}vV@vp8cYa&c{Bc3#o@yz-p(Q9gj>?_Q;qd|nCtzlwg0iOTu$IaO)f)oJCgr}9V z$B0G4E$Dm1@<1T)5DZ~O4j~|8@XY?k~fTK@TQ$0Hag0OvBka-ES7^1 zMLfCrSl2jGTn@hrYgC(-2-;)OD9|m3U4}R`2NQEVr*eo|B;e>j)pDk!_JpH|0Et64 zEVZc2c;yZgGYt6u=?pP`ffE8|sGprP@~< z@HA8rRL!ybw&Qw@c$REZYr)$(Bm}!xUYFy(Zfd^%z{(UaIhsBL2!`6kcWHq zigJYcNx4%D;P~<`c|npz)6J-tSc)#`3r?~7+$If#+$@DqOs#w8VbPL4cn_QOGiK+! z4KO^d@%xg^kvC*)zJ|-KSt9ZRf-&^nZOL2PE*h7}ZfO<3$mRVh>Ml}7#j265hGxlD zBZ7QkEYDk(b4;(~N;am++coNacvNtNT#5Q`{MFcvtg1lJ7TwnEZ*If>i0#z|vZi9F z#t{xk-d?M@IlCVmjConMm?B;ER3^hP1a|XuUsV9~tL#Xnut4Cj5#O{pK~p#0XVJsa z*my@`!HFX78~`ja2f6(za9RZptr)}B;Y2D7PN3q9Q`$Q_-+PFc`AS20xKKM&SXtFU(3@<N$;l)}8MMIr)bNr9rfyk03}NDb&>Yh(gtm9hd?p`R zb<#B$+)U>HG8qWBq6~yL=4EUhH1woIJ??C!2GBbXf-UMiJTKOa*1~jVue@JT7w-vI zaeljf^C~@uEJ>g)6){S?(iej-srC(LVy3cohb^C}Ej;_zxto#bIU(&Uo)Sp&^x2xs#71 zbxz@meQ@h7Gl_K&XXy=<+vIKHCb-FGt&h`eI_m^lCE;?y3s!>B2SN@tWx*_ev@KG1 z#n>mIdh2Gv3iY#ESf-dr%D~DHmq)13>1LfuJT#=T<}KZ#7OOHD9El9#xGuag55ozc zr7iaLyYiPSZ;x^tc_DydhNscYBpAlt!%@?0jVU#UpzZLfqjTKr9ZGU+>^Eys!mdyr zF+8lp3rv^F@KgF6CvAL`YIo9;TE`cMqdk!e%G=&KSzrld<16}&7%b~E1Lz~3xuz)) z5-USc)czj;00960cmcd!X_Mnda^+9ykmiFFp|A;p;L+i5#9ZsuuA~{yjI2H6K=43! zQ_V{R*)y}h-tT2r7OIK>yH}PS4)3%;5~#}anZnNKQtL?Fz?f2`?2X( zbv&-Nw{>~iZfBcn`RrNQ5B|=Azw_YlBKUh;9#*H`kN@?3`Rer_p8xpWhu+VphfTSu zHrLmGS??D2$~|c)#6wls@qk4JbeE0D}H%@e|vq+KX4iUKUSbo)_1GDdmL}OotMYMY+K8tZ$6f*&HnoO*49VLx-WChyuO_6 z+ZM9F!?N)>eC4X=7E|(H{%&5~><*RxdB^43!@eA6gRGbgi-D57dLZ9Pk?ZSK4booq zo;@s<`}GMNm@TT^&COGIQ+>Ld;TNli3d{NRRBacPa;N2kFTTFS?gehM12eYuakgHU zVBc~D(k^!RcYFIU+?ifG9!e~+nr-w!$wdl5`LL+*9WZ4*`ou^{~#Q7ki7%gc$|^0y1eu%*SEmxj}TFjdaMfa zR4$>2vhFwKi}GdpeUIFooj?-Vh6sWC1w>8PE9wO4z$US+*==+K(E9Ixc>eOkn|FWx z*O%{JKmYK$eDmT@uU~#BU;MTF@YlDm|NE+Ua{$FDiNXD5%Vl}DT$lUV@h)7D5lvkuX@Tq@(VoFhd{5cuRk8J>E!P9ap_q1>a^K>E?=%^b?u%CTc9F; zJe(HC6C{3r*nRq3W|LylpA060EbnKdd^{SACuLr!o~<@8UMo_1akpA84;5s*l!q?m z0iiA>tStxQet$3+WmEj`cv$rDka1ZQrS5?iW?32$>Q*X%OCZEXRP*=|W~=uYjyb_D6?C(uB7;O_}0(B|MfhpMhCCLFFa<@BNUoUr{ zc_oI}eeRj^>%nX&={Ctm!)!Rr^XYgn9Z#~X98IpTDW|wTAQ{|F-1a`O_3@5{3 zR!k?wU|N6=li?^U$GC?)KiMyT7#i9(D+^iKi^Eaw?N2ZWG{%eB7OL*7YK=?l#)|1z zt1Z0FO$h@(-yOurm!gRmY|KTeDn{JRcr?w1lgXsW2SquZ_I`%tt>G_b_~Q;+Uss3T&l}KizWbDjojEK@MpfM#fp@$0mtQIKzgcaM_*6KF zKTR7R>YMV#pa1mk#aK5zpTTohU^xY3d)kyAXVBIIbdeWmN}*Ictb<$irUHg`F@w2m zl}d={Y_-)p>fff>^U1V?80%_P+1J=K zt-QOrC#B=uW>$mh-VKScHhd*bR?9>Lboa14-Zq)b1*uNJJ|Dn-683hzo6pvzTy>A* zn&42%`DwK#m+8;V9#=Qd54Yt{2f#$ENMuv!o%|{UF4ffmpF1^xsl0(tT`TP#uu!P(Zi_D*2WHi1UcfbMEtC^kq>=Y#wt&=r zrl3Li!|z>*vprPq(YW#I_!;Y6SG}8hIsZTu_v-DpG?wLhce`3VRExl}eq6y*0xe)C z@CpyJ^{Fb$Z@+(A@;5)db38X%dD+V7Vn*3;I?VF%bP5?AXJtNc&e72$k>`Zp!n4`F z!Nc$;9%b=EfMv%+hk%@TgMy%ydxotn@oFU$rr#gI!wx5Kh5X;Vgzr&!72eNo&?Z79 z?0^4)9H~RjN-;w3zCZkVq--`cd2kr@7ECy*z>*1z`@~~WssR{|J>@RsgQ1MDW_DTv z{hi5$ATtFh6g5~yhgNP@)p`jHF#4FECpJ7J91w31hX@MQ7IUZ?7vu%|w!Hi3Wb~g< zEiW$1IV>0a$8Y2k<2odcXdgQ4pfkf&%74e293WU)6 z&lU^7ghpUAGFuR$QH!aI)j=JEqhfe0Oh&S+rzV#Sw5Ol zH2^>RKq~ogl8wtezrMa%9mxC0e!y5EY!NepKh}*2(ao3|(F%W@t?$V#r#`kT0qbsS z4S}F{5;upd^qh8t*2ju*SKAIM&|Eg|oX^-YhLL!LM6tL??BeenJd0#TMg*u#11=BC z#5rzOC6==LXp6CpxqY=Eq^q9Ud|--2wPaKplh{~Zm*8Dd0F-6fXwskd3q%nR0Rnfr zo!tRGkw6Tw>0WQ)$qq-t$ee*Dgn#L{V#|X?K_;UiC_b*Yd*oCg+O(7N+4jD?J?u`v zp+bwcc=czAwk}v);f!BVImn0OA|H(h#dtIt7t`qg@`KGV*w|R40bNC8-KZd`418Oy z-409)6W7xf5?#o-I84Uv8d=J$$ckdx&kNup7&Acp>9m}}Lug0{*>}rG9-r9VP)F4L zg;yA4Cn`fCftNMShcZ$hAUYG8NuvUJ3!Jg8W{3D=wQpT0+;5axgk^+K+|k2XvTlPe zt{FE%4341nSVjvbx)ZU0XK#$scDJ3=DEfUx>Bhbb!OA0gNd+sEpW zB7r>5CjGoW9%b2hIzkN7&qrl0T7oQIh3HZuTr;f6&lA?E^lMlN0xehdem(o_$UfW7 z)}L$0tNNnkrzvrp{qv^I&QqQvcZJX3uJ*{wq$!6@4Im7H)wfK7T+}nz`W$v*oKIos zv&nceD*8DA5o~?+3Fg=A>9Y6i83L%;XCXB(Z}mwH?UV9p+(+op8fX_@ZIVciYy#v} z<`vp&rqIlsAQxpZ;j>W+kmt1BBf>YCZ&TR3pHGV{Lll)y^66j-MA!!sfyO$L;)coZ z?Xh(U^&U({lL2mkUr!)8@TNmrSNsY@LkzH=tsHRBmAhq{kxJ7Tw4cN+VtbS4sz=Vo z`5=Si&X7kakb^*=EwV~YFyaUduA98YB{_@HT`Yob0i>w`uNc3ZF>OdP8chd-DU5VB z8Rdiius>CjV0p=Qcvt_pZZ^=uFmj`tTC8{QNQ|d@h(lJ(AnOq_K1t;8K{TH&pTG#BYF zGmxE`mtvY{;{gI2q^Qb~%Z5{Oe3r!BLm{q9hQLsX7kJeY3bT|^IzSrQVPQu zN;5Nm0%+i`$ABcXumh;vBuC%{Q#%#iHWEi^YB=`aD8221oklob0_o7&e?DL|#Vc8_nDwu}`QqPB+xzYAj z`T4_cha{=XHQk}A$*?)H2MPI*QY+v=$M`3Q8%A0j)}b6>SKVO)Etq?RgS@JnWbBnI z%f9&WKjg0u-+hmY5UNA3e|Y}lJN)zR-J5siyVvjEzWL$(>)y{AE-W_7^$Ha#s0b4Q zEC|TsqX>?a^T9~U*ngU=Pd7XeVUHLcG0p7wq#xy)wMAbTE`7=*^ceynkhUFz(ETYO zL_Qvj27^IX4*Ox25Q+f8%y%_1g~~qBG(vDAHF76Oj!M`}bKhQZe)5JBH|G;2Sc2pM z%3_iYiopP-m_A}b;EW*@pzTl;!Q15oVVGp8MG}8yAx?^24KI4C^*7 zM+i40HKDw&4&LvXT@(qXdmO=1_lK~5C^Nx-3?UUNnhJuEY}r}JubW0>=?W4D6l;XH ziD}Y%6VYc`e=>r4pr-&U1dy`4a3^52^(6%EPAfDH#eV9cV+q;r_ooAta^Mk1#c&7< zSx!M4P?;44)sV#1Al-7-2H^$Js{JiiEr5pb9RQh(nI$)5!d~}a4}g3ZE5xA2PsCN? zXYg;Bbv%a^fsCQz2mSIL4&~zJ7Lp|Ukeuc-<`dta_5claEd}zosBQM7nWm2ANJ2sq z3bh3Utz$^SFdq&301t$di2WA3y@lOI;YRod!~SS6>G#KDc%9J{&Ie2efnB^0<4G+v z`S_=eJFVq8ptP&RlCbEf?RTn|O;CavO#q{arB7a@}X1-DT_eau-mh5JOF17?zyCLu5u^TP}YL2y)U zM%yQknGLkSVD~Hd70_SdvzW$Cv#5|Q8-e=;it^Ccd`!rOLK9H47(^|=u>A7+Pk(#& z{99K*@kNkx6KMepVn1{XS>PAOm#i>rTA>p?q}bd13Yw`Zx{UJz8VD8uK8>p+Rn69! zP!NAV2x9UWBWJt%HN`Zv7uG zw+>yj^k~_pEwAXBa%#l7Fpqgrp!kg;2t|~E~01&qYAs@pge z*HV(mQQ&5?>2!pmLXi&#C@i2a2Q5%^v)xkf+AK??xX?C*A|>Pyp)2}=hA2k#b0=w< zU3X0Z^-1jYE{%i&sNCIH#43w4@t~x(B&8d4NC`o`j~Mh$oq>n~jAE2xStp2)lr?_z)21;_0tzq41M5+sxrpYpw6cx+$-7GHyuvU*@xI-it^nU4VM&rxrGD& zX|y9n5XzTt0uA@G-`%G>p|?w(9LP;a9rc?pIzA9o^(<2TXJ`f%hI$*^qWoT8i8546 zm+;#Lc#@APQ{l&F)|mCau9lzBcDa@L$mBOAcn_ujJ#)(e^+?-j=|nMMD$y1$cp4l- zQ)JSO(8#5K$P>zSZeIeS$qY0fZ;$LP4W-Y0$rc!95tIY$M?~izTUpX3F2sJ))6+Nr zQPaSd@v$yKM3g|K6d@3m`*f_fwS>TzMA?5|dv%khJTFZNy z5{goFiBQI@gb^rsb!5sL-Wg2z@+-I(#99h~untfNUa0A<`?&(quP8T!d+7AvBa6g; zd0lddKfEdb`0eX=vFYG;qy)m;EbIpQ+>nfd`9M1+lCduhP$ zJs?}AjYf0;4aa=V1l~dNt6ZVc!#0Pe=`-xiGyo7$J|3gQg6`X{-*q9nhJ*wchx)K+ zp&4(Qn6MD5`SmeQP}X~p821bzO0T44h}0$$XxfJ2Ac;>jz0tHA{+qoi>d zuD}k;mcfqL82%>l)yzQHRQ&3v>Fn+gF@YUT)EP()s?3qlF3|rd6=swb(BGy#R171T zuD|O2Me@w9SshMpU;o9m@-uLi=lr>chAWS&Ob#H+>;oh|@2H|!@jelQCzW2)o|QO)#$Qto1WZXD4mZA7golkx|UlIwp+f-R>iMf}jJ3 z>gHRQ&vhO2ZnxSTU?~rR3LJib>X;bdSQQU%ARtA*sdOcH8cP~_G%9c)p+CwsGStRU z*ven*Vf%M9*03aV- zA>UU$tahhbJUI@Ey?XP*cYoC%J1ea&j=%IiO2nM9ITti=yhA7B?H$~cI~-D?%yeHN zfhu6iRS5(C1glHGq>mAABW4{>osRYiB@&};;nT9dRnFhBJ+V= zd2ZQ*hlIditQSF4*1w~wNgcRrCwae>m0P)g01*}sdhZwS-|M{oo$u~{QbKR3{Pbu7@s)|Nl zB!N3;A?l+3s4=LRt26=3GAo*F>Dg{gr;KH2{b-;^?A48;uKwc9HPSm|M zjcswCA(YM4?VlX>6b*imPKEv05#8)pSr~h1uxQfPTDX&C7 z3Y)Tb>(# z7}P1WPOK>)FJgL_U1{VYzNoHPyT;sT>lYh@^e=UFP8iO*7v01VPjw5#VyS&r^MIKi zbdeKXx(;ruds+o`*Xlq}wq}J?(^2c4rAvd$d`#P z%EKStz4_t8t2b}n^>pXDkwixv$Eg(<^wOF&;^rRd2@**$m(zklQcr0K5Gl^9y0&!T zHJoBR?*;{VH!eq_L!W*5EV7e|u0=L3DPC)H-Q{d_7zxIZNE_J)9&1Mq-}==Y*6>fc zaCirmUOO5ovsMVFk>w8TeLGRi6Q7~A*r_nmiB7*@-7CZ4ZN+zqq z>FVK_K@tLdoOwZKMUFOCRED~OXw6~MoCDRc6Lasv%;Y(ZAa@Dk%Mu&#S>(8ImV7b}8{?tPmA@LAfH@(gBxM<_fP%j{+w2Q1}DVXjO33zmzWX5T1bl)R}M&lg1 zm#tD4)=M6BOP5z^v?m4Ab5GELnEbQ=O8qot!06b8fkAxSwK?XtTSmixNF6;27GXN; z17FMDUFiUUw|ooK^!oYtYEeAoU~NH#LCiq|QZeY~ryt!oKJTPdFkKXg+^8f#?l1!= z#uvg_+m;vluELu9HiTouAuF5l6@G9IcVwT9_#l{aG1y;5EWG^QFzHg#Dec{($N{BT=nKX3QbeAdd`@R)=g1% z@FMR1lPQM4i9FTNz+t!9WChE)U1zhBB|5IJzkPMpyRSaKZ0dkDO zNaHD~+mdUd`>fDktti^)H^WD<&BCSgUueEyEl2}prP6+}{^Mh~f&R6fmx&IKCi=w;vF{tY+$1SI#q|sYO&tZM z1p%3g=~ypzv;j>z@TJ*Syk%7wO=G|Z;Dm#V`~93G5jXcEmx=At$-xvu7IO@(L6HG7 z76G7fyo(22xul>dKn%$Q18Ep#X53MOb<+;ya{x(XM2g*3u+#{#_p{II-3%T)ik(al z19>Xt&>_xEA`i*)M-xna8DTn2i)Fs7BDBlW8~KFMzYXOdzh~VZ_waFNA5mBzHA0>U zGM>^$2yM+4_Em$(aVJaH{7m?&n1y6DY%r*w=Hz7zGVAkO3z^gM3NG=m}4Rcqe-9e}tY1wt1jU zIpZl_3`H8@7y{fERU+w_i9y17D#I9dA)j&KqcpyF>fM<9&?1Sm4VY^U7j(DV-P4@w zXi)U^At!UjcoVfmA<+HEye^taU`7gb<`kn5j+9e9J30CGe+9mzP*m*gQu1sJ6)qnQ+ee8%HKiw1qi z8b$|4ggJR0YJK3*q(_=VLDQ~uk;!`)%8LB4MvDX4I6L!PUnrw69Yoqakee0gf3m{7SAfi){7>*10WOgX~%9eG-(vY6;Tjzrqd-lPJb!&@U8icSb5$}uq!^F6ftEg`=s z+5&H6!0Cyb8zQPEo#XCFOC_Viw#QGd-B9d-m8RW)pwkK?sRBgdhxy|R;!5x@^Ms*y zi0r>UFBUo1jT(K=q>Vr)7?^AJBz2=)-+4jFuHqhTB#~=jsOFrgpC2uRiwkCs!w$(x zZPmIUQ8-hl>NamPg&@_KG#?QyHpD%jppB+`!{2h}P>f$Ogbj>y#^x;Z%Y8(Aly#e| z#cI-d1SmYUPr9`fNHvGSr*Z0!H%OYd26h$IqF4%D@2>h}s;xJOV_Svb zhEo#7GnuHF1Y^rjj`4wGXd(V)j*lKLGFgejBnD7pqo=k{vyNOD!KCdn&^_mm>t;LzG#!M?^hVGXBQK?C95boFB&5N@J0q4!7hMGhI-thZHw)q zX<$?ZO^%}^KPKv&VLZIc?HaGOMi?uR+=L=0&r(&ze~L4J?G<^e(NVsWmt)u`c1Q8x zZl0Ar&3PzRMApq12$5XYiVLKY0xk#7*n&p!Ff>hyszd^O}yC&wZ0`^iV>NrW;zN~ zSBdUrf~=9OvtU#CT^QnfT!q|_z<%i#<}$S2!GjVu*@FuaD11KRqh-pN2phzXr>A&4 z^Gaq-fZzU2BH<=<)n*SHE7DZ~ZYltevouD`4O8RmKGM(>TLXMlL`e`4Dc@5W<g_OPTqf2loR3MM#qy5ok_%#8`CMjWm;`k+;dkPYXctER*dKLHwrrT- z#jO#w=0lyFCv6psO8sjy%oK)lU_@qC0s^m@0ubgO}mZA>KY#=mN{@=3*C!}Uc#{;S?a=6W;e{-sVi6Zbz#eDa z(_EX-&h^&7B_!=3WT?*Wp)%MpI!7kkzO>W8fBP3+al=hh5rYVbFb;L0Z)KKru_fE+ z%os#=%XBp4MMFtNei%DI_XRu5*E{HcxOdcr2FP1sh0MuvQh9K^lxAKyScF<1UF6)8 zQaRtsAm;*UB3Mo4z&Cxw8CZy7D;gF^N)o^~X4ZF!h=sx2X3^nib&z*7kqWrdH7*5} zr!XBU@?<}!FL38ryN~ONv3GSbtX#rO@ol-%7bB;V(EqBO2h0^7|O#|*wpu8{%a>-V9 zuSQK{uj~JBxkn<_^5*Up2k4!+aMZ8N2Q}7Fv zh>_Gn`Wm6?1XXNg%e%A#1Qi&dy^edb*WdPp9ceeUO z`9E(Kybzt+hUg<{6rh2CL^#<9OK#K$GnxJkTbRx{+asHJbO~o;u$Pa{^MoSpmwM;L zFKG_05l<5RT>M>P*d6#ru8TbalC8D;^7(nSMmpNZGzD+kG%B^hdgl<}LT5JwuNVu% zCkI25l6SZnU4o6N7H+YJ->Iy75eW6GmI(j+WycT0EIPgRy@}v!X6%5 zb`GYIiPQXA+@YO#x31P1Z$A{TMT*D6&Z>C`?5r0m^cMa-3@_h&|Mt7rA6~!e5~@(^ zu=OOWHtkK^f9EuU{{a91|NnRaw0(uo)eLgm6=u5-7?mTg=U7us>+OvIC0{U$-CXz?GC5go9l;z z`|Vm?tDFDiUr%+t|Kh9jrP&=HzL5`}9`5e=m-VV?c2)EB-Q9k5zTEn+Kd#Qz-Q7cV z;nUoQ&Ds6r{^Y)O@9Yat4~}=&>%Uc-i)*Tf9UlJKjV9A_u~>}fquHWZOcvwm+|4F; zck+ncad&b40h{`8s*lz2a(CBMo4VP$>I44eE=~6fr>1g;)$Zs{9G1VHz4?o;IGoM( ze5ns^cRXKK`#pxmCu7S0z>A#4rEwT%wK{zBht5ZTfDAUccB%Zdx+B@t$NSyG72}bi zJR_KM-W{&{)n!)) z5uC9yf4gF7WE{F^8%%k<+B}_A7AIR_ERMV1Rr_ta@cekQK4a1c$A$jwIl+d9ZC2NF z<*NI8F8h9Wd{RMnK5m7ny7;)|a;&yG)aL4Ea(7pKsy6PjIzQdse5kNk?s_^w4ChUK zs+@cK?q~Pi&u`sdesOxI==9y)wuYcipZ$7tJTeao{y)qe2ro`tWJJ?umqRg2JeDKL9JT-wO3|p=qC8z zXf`X#@n|udj3>*{a-tUSC53$Xj9KxeUz#DyHVIB0W{rlc3aS zN+ZK$r;}xgzXF9OZiyMkv+#?1z>@{GU|#q9YZv06Oge$}zTP~gUpN6rO&IYN)zJVl zs;B!pfL3+99^A)fMHSDibhWwcJ^)u%=QIE0H#5N~8Xv0dju5qZ-0inbb@Z>?(}<5% z17$s}KJV+*_U;ZM``GL*hM2NAd`*3X*&SEwebpjlJd4|#Qw??>AffZ_;aF||V_HBvivRlBhCb*cZ8Bec)q6kI zsrm%}cWxnNx5cC`t@^4jj)j~~GKZ1zbT(g%OZ+hzjYhNO0+V)gOt#NKnKk4Ofiqq# zXA}72vRIbO@q9UVbJ#-yM7K9hUCT&81+da|i;$uyJ(u{rUqKzg0(8LHJ2E9Ec$&#( zmj*uO9bEdZZ{nw4fBnrL{_%QzI@TYL|A#I`B-jVaho|kXx!E1J)h8#2F@KXw^>~_k9=}GqFno&T-;s{htFP>1se}=P^*W^iLCwe{Aa0F znBKW@SvN?%}b5y@Dofsx8sd5A_02IIh8Y43yU&xsWj+ z27d!-=ZJ)*>6b)86~h<_=)LAahPT?fwOP9oQtz^D0B1K|l*@TBo|W@SIhq5(0T!-= z15fFRDZAZB?P=V2xqxIA;8^3ycv;S-WjS>v>_xEupj_!vt9ZcxubYdoxTgN}Sq)-$ z$dT1cQ5Z+$t4{UF-8c2o5HAq`ttY^wsk|5#l($C=HjA9I3F@ZOSH`(n<3ZbMUjr zDt4N>z={g|gZ%rmu%Q?j{m`;kW@vJ$KG8vu-dBxM(Bvb*iUGzIn2)$;nQ@a2;9Pxh zyKRuz*{coYLm6#XCt1;KNU}ay9LU;F!K4@b&oHZ#_j`T`4tr0XzTe%~H=qkEu+CMp z1}bBHe!H&rDM&E1gYnE~^T}*B9goWq2q%JFDYr|M{$uXvfa}xi|_h(n-&!+*c@aqn=OmU43C4h&c@5-(k%fe=KcI4nfYX^ z8I%<($~yvj-8U6x-rTq^Nf~MHItd16``ux8`O-%!HmdWfLMXa|?K((=NH9e?t^R%~Lgrr??;c-mot=c@!>b#qQ_N!FXv6!fc)`_GIz z^?82zJs@fLm-|kmN1M&^}Tmg!OhO5LoODnaNwo-U?SGBRisv=nPNAGs21cyLUhxaN#!UeAx}BYI>3 z+JJCE(88}&UtDQw$(XH=Kex*nzDWn1_#ZD`A8#l$xovg7y6yo{Tzg7j)wJ|p^qI7Q z*rW3ujmpIo?6a7RN676=AkMka?$Z?yN$p?77pSAxAPog$giA0WjDKLJuy^RdWt^-% zG9o7^y{*tQD*xwyd*^=r{{Ol+zx>I)|M#E2*D+=Ym_!8Ms!d{qS5_sPoIdq39^-96_U;h>!|6LOb#(0YJHDQ+ZG`8l;CiT45m|1FaTG% zKtgs7PstfE5vKmK`YmG&kBkllz0tad9cp1IeP5&gE&E6%lM>rOIpv#(ZUpBE5Sa9#gZ@h{nMb*A*6HK4c! z*#WX@)@UzJV-pMiFCQ_{P@^!t^9%=K(DKu`ESHQ(r&PP;d^{=;n1aDr-ps!vpfgxR zHh4<3n~$<3ZBk$#xHqi14YR%dHAIpE*<2gsc2ROb63h>pR{WBtdwF-07#gU0IG;3sm~NvhCIqafz<;+rceV5tO*BG73LafUaUHX zoPjOcK#YQT8?pKb5&LM0>?{j46SvU3xW5&ThbURxNNN+Ojg;LyZdn#hz4vzQ#s&B~ zNW!c@A`B@3#lWax|Tc!RVIA zR?d~y9T1-}x90@!OzkmX%>kUR>+=SYMu2LYO3WDt;;6tFbvZ;4o>zCts0Gd#W!b`K zRQ~aP`pn{VfaXJOwuU>eqZ_o%XXu6q!bXs#u;&xjhv>A^ z7*r_A`M>=d57v#I@s4=t5rzcaZ6kD%HYyZL-B9(5vkR%+C>ZDqsLasta%$_t zzFx2Pw`p99{J`#r$XYTj5&ud`@yzXo%%HEy(*ELN!$8N3P_K&j7;{wCz5 zRV{>J_=qmDyxSWge8|-#MHP-Oq&1{CS{+UoYauXEM~QxlXt1`zi&lAteyolfNQpAV z^g<;aMH@b$%jpmcwK}6Ic#>Xuz{_jrG0)^6DlU;8T8NHiWR;ZnPYa=jD7kTQZGN6m!G{ZjMG65oJ?-NajRWEu1a`1g(NFoMZ`n zb$qB4m%5*~*n|h4FI%<{F;piX?9XU19G2J&#C3f|zd`6%c?l=s__ye0YE!`mmi|IJ zmbA5qI4KV9?(VOezerdT2EMMOwdQtrx!s*_Q3AMC!Bp_Kw@fzOULLF4dni!deEx?U zQAy}BdaKx`Bb9lnME7uiLk&d#(8ecL1W?riD7`jWqZq-oOp7McX_n~#HLIltvHtZD zPYC~dJR#gkyeTz2BOVY0#I`~|&u){8jifg*_)Lw=gNO}c0t6UPT(lxw*?mNNy?GEb zyg52_JfVEK3vwCYbmQfOnc?YZK~+cXehMB@cI)k1rbb?6>X{s0VM~FiB{*Q#7a%ap%TnSMtR zJ>!qbie+1=Wb%>`k5;d5CC z>X4^3v_M(I#Q5*-*7X)XSEY*~p^SK}L3%fPcfVgf=nz5$LEy~7PCh(xq$)4p-yzBi z3%!*-G2%8SB#B#1zx!?vSt4||ogA8CCX3~GI?-2V0?*)r6G`e%v@*;Bp$QwudsVUsQR{OU#!?GE0VtK5OCIW}>?81YIyQ1Zq<( z9}2}w_Jbi>D|8Z}TS>>7s|&hr*m2C!;YDO!AFQzLU1;Fao%l}lZD6{(+_JJ10;hXD zoS&4F+yuRC({YLaET-r;SRxgT zGK3UIn@YQ#&yPFsN%5B<*z(RddK$Q7u|%p5Jr6TvRgl0K%hR~ZxdPCThpQCcL-9s5 zT9D*s|SM*fm&A}t7og2@zhBV@+V>op&-82~*MtRA&X9fpw2%0BOzT5<^< zjD{D6=(XX0LyXEn61{G@^?VNYzMLRCho<>)0q2J>6&X}(x;v~sIri)LHZ1SuOp}%N z2s(XSHOFqZN@74GBx)y;{{@B>;{}QqOxDUW_+_3 z$c=b}3;;U@OJdjzZBUrCG$qG#R`0Sy*H4}E>40NUmo-EJiFidZWy%0L(?+u~<4dtI z*&1rYX|k~YV`a2ay{P>d(5Zfsb@ngA+@!q`+=^W~2z0Y{V}x1AFZdZSn%KfIhjgN3 zGN=?-rz9O9(j_HHdV}vfy}_n2)u%^n`jOoUVK%z8#z${{y!gImsoO|H@$6%Vk&Cpr^qIe2Fonw}sKZ|K-h(zrOkQ{o9{@y!rA=Bq|(InRUJK z@3*H@JSZ_)gbPLPH^|T^A+pPKx<|u0J$Wr@?_7E&H-z*CO$@oH&wq_HP{LvvIsAR9|GMHJW`SuU1tjs>yxjGIz?fhtol z8*vY$ygty#@DH8>Q8+ggu9}CWA0wTPw32`{q8Shf4yv@6p|t~K)J^6>^rBsPHqJ&m zE6DDH)FO5*=*E&4YP6zz-PfNAPNN@NJRDPf1{q?xf{g6N;cKR_M z(yx0(@pYHilwEd8RlQVXiANE68B*qYjNA!ZiAL1tB@&;rQGuPl zs>hZm`*6N@-@WmT)Txsy2HT z93ndCrwsoe_SVYf-i%Nu%TQszAoYbA2ig4TA7{gB`7wM5_P1iI%?OznblYIxjVo#V zTDVTrL!lI=NxV$TCQg7+FZ^|5eQH{PUT*aD&(Sqe7Sl13Kfbj)WFdmigbgR;37rs> z?_^;ZE!!;iY${}fUY(H(sZiNSE_&#bqx3jxooSl29cZRFx4T`;Lii+$ zztEyP&{D#0n0n?dwTY2a%)T@LUO=J0*p^ee=B_z70RzqGg205v=kNrMjd5!Q|$W0}B-G(945vJ?f(P`mn-sa9}$Etm1c0r>&)TCevODUPx3aMHA?Mwx1^8Bpcg8pr)+x%kvri zE%#EA!Dg|hTGy-QnNF4PERtIY6mBqz3XDil)AHmFh)vh4`2Oa$K?IA^O?p|8@MS%l zAZRHU1={_l1!)#aNXUDWL&PiJWx|=hMkd#B+IoD(H-8woz@-UF?Rz0xZqVtxruA(P zJBJcx{V{||LCTD|<5Cd6Zg!dklipavjYF=D)J2pgBX3?Yu;~kUwf@|T<7N$+u&_TB zJMzHRW^9lCme!UbmtpM6-Is*xsXxZ6u9yaD1`NqcQ^>>K%bYg~A=%igY zs|Gv3aeoiLve^VLfDNhxr7QKUl4H;)k^6)6ZT6HHu(5f6V0)@i2p9shw_M8LYV~30 zJZvs&1fXI2E_QybcIZ&?i)M4PTw$D4gF^&S#OX(3l-&NHoIx^kQr(D!kdD5yqOhIW z2z@RktZ9tGNjaFtX!~_ro}Z7_=Lm3o(#86ZrAJX_MMoHWC49&o=>dy49H2^$^v!z%P)`V$#un zWW&k0_%qwau~mcYUb-=O;*!t`9}K}IxOHdWmVM{kdbpE{Kpf<{uXKDCB zZ%QWC0JBJn<7BYWaykJC5}+&!BnYv2nN?YNiPv&2Zn({N`FTGdbnen5L>JaWk%G1>3LW_)I)^@2fUdhA*t`Wo>P zRm4{VzRAw**5FL349qXcbkhSN!3Ogl=njlGzIjM=3T0z%4EN*qW|a#ZP&-FAEhzhV zK1Z$()pCbD8F#LGv`dy>&1e%bn2gLvBXa6N-z<>zYA`Y$;=u0mV}&hu9Bz~tNvSa~ zJB4Ps9I|cN8q(%u(|5}H`7BGI1(||B6BC7IU-k83B2vRpp;C)farEdR4cOF6embXaeBh2N(D zFmAs|$~WBzzhc*nJd2x+eg7`&65oD$d-|6TH$vEjs)zPYuaV{fvgJ7chJ72l!8^M` z6??9G=k`X9|Ffg=M z@sJ0EQi!L}V1+hDR5ivbo>#*nFTZmmE)bTDJ*Ct5mDO)sW6u+^1TL8Yyb+el}G z*SReI>Jtr2v$KViBW7Ok1UH5bNYx*Z4xab%qEU!C$Fa3ZE3A+kDhPIN^#OM{PbCwj z;uKC5uJP>HjC8~y-l588b2sd@jiT{VYM>C+T@ab#`Jy&SSzd_v7H6uqC&whr$Jzmm zqWcbdo~)cOBIzzcvbvKY^Tj~q@oZvx#glbQvV>Gx9>i4WFUg!c-Fp zjNaTS7|)gTEe<4EmZaMNa_pE&8azZ<#wE3~FTQ#Y^|QpLe4ZY86N5X2y{^zfQyKn8 zOWJ>04VZ*(47?Xyf@YkIj~xBTuy!47R8pTsG|x_FQ#3r5H?q#HPaocE z#ojYW3tcL5jDjA~=)FLODcR_sm9YSfQEH0qgx50b=QxIAALh6Wmd zqs-CSzpk~fJ`}w<6hD(FoXsX9VQTi7-V_?62RVQ#M)0`YPd#+9l5M4ks(^N%YPdj? zPg&;$BBXe>&hJGbT<^540(-2v<6XV5!kIm@3&8|4%i8q}rf)KX{gI+L33LQiN6r!_ z5H8V+R*upBkH(XE=}H7wA*?|7fTcU%ki@+I;hlT?Pe1$9%Fiz+hy3kR@~`WU#U!$wdat+2?nT_2JoEiH$l|4FRw{3itjVe~2O{`v?7a zBiThQKtj-fA;~TcoZud_bVKlbuQuFDN?R$zaAsjbeNDQCGV;?YJ(BGm4mOR%!=X28 zJ5X$|wEbw591Y2%RAm|BV5ChcP{Tm3qoZWN_mM;g{9y|pOcO`^ds#z-tmR=j zgTH*PZ(g-1E5<5^!{{0 z26qG7`tcaij2FWv%0lwHQm7v4aFtVZ+AdcMS90aS7XJCP92MAPiT{`A2O7I64#1P4 z3`AEVKeIJ!Bu-mKdURalPefl$Ek6xqc^rFC*#DuK#7Oihq~|jjQvb}S@}FRCEFyz@ z;5R5pY&)i=ud*Ro#Tr};NTQjnRa(hJkFvYpA@7Jsls<@vP_Bcji@><-Kj zE>BXd?e*j+;_%iN6O6>#-0SA3sQDn~v*d^BB%F-=XNdM%$PY`Jq=qU5c0~VWPrZh%2F6&5uc zz~6t!J!3Xi^xQ1LH_WFy`7XNYObiq$P)0!L3xfinQQ!%G&;#q{(U4l;RnK;Fc%&TV zdmjH%WmZenQ)vwCG_x9+j>%B3D~L)01jgR#$?f;L0HZWTUWr zmgY@^>+!$k8ATSfITT;?_^18dTM>PKdaeRd=K z%56Ev4>XiG=?_OaqahS~xyQcYB>A-v1q$DNjlvfWu0XRLe^d_o{}DR?H(^T!7mjw= z5v{mqS<+xCir|^btHiN<1dlA`YyKpY!|=(^9SLH12<#Cy@*Fq>)A$)YKb$Da!?IE} z+dz+#@*IPsia54vG{Sp!;h+(+S$WTsD7l3lJ|y-f3iq3?dmt00E}1g5OU7eI`kD}u zBW9)Z*h&sC^U-#j2sN)ojx1;f6Xpr=30|SO7`;YmypWE^UhjGzdSV$QiIF2}h$yjfKs{IuYwZvy%zZXuRur z0aL2`A9l?JKTId>hO9m);>qMU%`-qA;vi8MM|KDGyj$V0 zProK_5=Jliz;JAb3dWR80-eEA%@}BSOHi+=eI6~+AhUG8^NCi2Rh@qN+Z2hLLu!SG zhtsCpjA?Rmcw)F2Pj)yF5XYS36gHHm@w;jZoJ}X2is&+kT%nfdM=qmn%Q2Y{t(@~h z?~2JQvf{y9i4_<*7(a%rMk9ol)!wini>uZ-^v5fbJOZj+ALbB38 zQR;f=h@SD-7#zHT-x4g@JBaOMtm~cK{|5j7|NnRaw0&!j8%LHUe~Qr72OBUJd_M|{ z1td#7BTU=smDP{!nMHs_W>vApB55XBQq8a5bM7NDBA7|ZgIP4%l1OG|#C@Fmj$EEk z`>;7xkKtIY_Q&;RcORA#6I{XxV?(GlN&hA<9R5xWzwjm-@q-o7J&eo~jpE$>CV7tGmsv zTIb&_(6jyOW&8a$3 z2gC7vvX~9$^LZFedymU6VY91GVYhs&bQewyY;V89R>J4w@^Bz=cGYJm8}9kt_x0%r z_WhU<*Ev?u+-PC9`r!wo^qcDL)$u<3O`i~w2iRW8z;#$Hx7*w0>QfztgGIkToloZD z`EWSpe@9_7xVd=@tJ?rpK!}9#_B?QJgH=8kt07at#dX;4w$He-{_zjo#Kp&d@O9Rw zi|!+(2ZWY>7_iBW0_)x%s?= znBDD5ukzcg_bRQ?cDJnlA?Z{$Mbi4MvN_XgnG8r(ps*H54{s zeOT_+TQZkh+AO!5|MbT?Cn0DB`F!QFCXnc!O8YFz_RZvf_zZGW+i|mo`st_NMEpWN zCXY4)h^Wv?u0Ddkr?5OhQ*Y048_qx%J>!FbU>#&DF9bvQ^jnaru1^og z{rUbOoR&vYvEHvfRj1yz#<%-A0*A`(;k*TH0fmsNIxMdtzCQV>0*#-AN#Clz&Ynhg z{1eJeBj(D>GwelJ?eQD{v-7e;cLt;Jd@&gTAZPu>Y%!cJreQj{x#3a;DtVjZW{vw0 zG*;^!?tFMYZtfpWy86}r@c{KD=0o32&JVC#$)nX2)tkq|wt@c_y#u5UPdOP$9b2L< zj%K3~5M?^=&qw{mV7^$4!w9%So=_KDuG~a?_dRX~f(W=g?$?{U4Grz;p~7?4%hM93 zbbWfzb^B8s*>N2t7rQ+T73yE~qTvP9R$oH!3-4j|pn5AY7!a?N1*(75b`9|tb7{XT z_~1_$!#3*!I|qQzA@(_i-5L(UxQ~5M83{JDS1;%$;4%=qt^$~{KlWZet=5O_xn5V> z({lB^+EzeIT_w=_b_=`QZG^@3B5XIe$K~-ED6n5Yw;+V`{bTA%{Ct)-ReO-t% zw4iDjkEY}Pd_J8H7sDC-tie1?z*^p)`W6~65Y|27c}DOCgb(}pAsvZxowB^xYq3#X z4|d4SJOE-Ucpm_j6+BOPtnflNyYQ)c&UdlgEw|4#lmqY*2K4nteeQcapZ90|!D18! zeIS}yw%hG;_sMS1Y2U;NXklYYXrHT#-WV}^w5YH$6_tHEXjDK-B>ib~u<$2lM`DI9r6# zsCQg$0QV{<;BZP5<=i9o0$f#e2hzi==4RMsA^{&YlL`2^YgQLRAFWxAe*SY)RdTzr zZ))u6q{*V1FPZ>tM3h0y&>s(G@IC-FvvHWrZ*HnDAh^4-6g|3iDul3ur<{>5dM{t9 zG{TQ}G5#&_%G~BLh=zGuJ}oy}2H&=%LisMXE?l&b!L3R9+1Gsb`UEHxLC?6hhw~(G z>PybSJ6Xn~Q0#Oh$W%*sUw8MQI9*_Lugn@r3XOnkcu!( zlgoTx;=v%Q9?oGMfwBGBc(fRfhhYlYvP$&5jI)K03yfTnC*xz-QqYyV})V0XHs7fsh19_j&^zu>{=z;DXZ6s-r4*{uz~E{hE3BqTZvFRDLOGiwt(j@yyoqRGzxjnpdUV;{LZ@jb)oDiK z;;A~cG-(FXRLv?q{)8^}(*#!FCDIf1TT0|q?+%`C2d*I+2=~bL98}XCyA4>N))GKV zq+lVJYM4+t<_?s3X|k(+IKx5x96eoGXKFENi~RZ=7TsPHk`hHi!a&dI+d?6LUAbR4 z!|uJnMlTPg+mZzoYGrB6mj`9?;Q_%Fu&dpf&Ry9e1PTM>uHi8w5kF!?Gn)=)NW@PJ zMmh;_Nn4Z^Vm{CDLo>w#Bu|Eja1pGIP!$+2rn5m94Gp~EYTd)xVD9Hd&uSAjjYtH0 zugCN}wrvo<$Gi!cVHp=mps)}Ekf%_HNrd_VrVBgm4D2ddn5TBcf{32v9k7++l{i6Q zfMa(PTr)coEt!eC)1#0C5TE(85%NmI5%{nGMu#zi7LmlZU{ZJwX|Q_B{y(d%iv)R&AqXL6+MW12JU_6_TP`#K+>aNJ&CSl-m{ZEv< zko#v)iIBgpk&fQ&;T{(IjMQaKs4I0w+ZjD7*%-*Anww_E_%ncrV~iCwuxIQNU;SoZ z_#=_DhNh=r<=3v9xGHFDWN==$i6@g~d9q)iz43jRJx?+0dMK9Fb4eGj^^%ukmhduuB z9HRtx3+Z_v*oE|a&8(Fe|834~MkISwx4FArq6*N+Kx)W*17+?&bUPO)wc4v&@F*rR zAu|llfh6m2-ccylFJFd5^7lbM+;xRizyJht49kX$%9uTY2RcL^@FIOK405oHiw?-= zz%o|Ewmxd>J-#Ytz0lDT*1uG$qyGo<~}^DsE8zErC#^`|B+;3nPf@Bj2l z6^ncRS6FGLF^)cy$OVg}^M@o(K{_PA)PIfX%b2_{MT%LTl2AYrQqzf) z<@xNl&T+;OX>ol7jw4PPO~Lm`e>mx*ZVX`{-;rwB1UL)`e=7dR^TjM`NtLgK^Ivmj zGUw5=Bn&02Rtorh(euxH?Ai#Pu9t{S%Nw7U+fSNV5mu*aSg^E!PNFkRHY-B#h7QHI zBDX*M_=o>_-hJA^Y5iZ(N3Qk;814-)>oqRm<%?l4GZbM|2;k_s;}% z;ebSlK+V6`00v^u>|ev|U!z|2h=zlUrMqwx#0wCO8PdFPEa||G=EE^M3M`(D0qIu+ zY&ajoJsC|@N}#f!Q68`}uRa5PX2Z!005VxD0NjJAVr(8< z%39+(G}+fYu?)7FZ(zrDX}?OJma1jWj)sL{O`5j${R}@d5@aWCQV~zSK@+8okLO#t#EJ>V~#A6{* zor;Fs*Im87eSy<=P zE~_H?M6M^frGK(HdDVCKc z=|a7Y(j=TV(T@`AhTaS|Nr{~=scieTE66hq?gzK8t7Hr1PZv_bwm1Z2Xhid^xcM>+k-Tq%p4yvK?H%i2v# z4fH~C63FUwB^>{>&jrs-gc8!M;ce{t5Jm{#@;`Z^h630k5da1_9a2o#BQA8!k)xmv(mlYB@pLvt4WC8g(QpzL*D@|hmso-@-dLH) zEw;G?DBwww@e)-@;P&RR=&T+oSoZ!Hfr{zU87bS%i^qI!T{zGKCxS2q{)^p+-I zqw2>WKQiKLzdj8+T=XHKZ52sH?6?YRE{n!6_RU{31kggGVd??=!71H z862nerao+!Xu=XGMx7MtISWctNhdlf*rHzyoXg0kYrDBIYCi1u-fdavyCS7+MUAyQ z_PUT!f;@~-xk^8wC^b;%q?dLnt6}R?1y8g#|Ds3h{_ETS9bSES9sc<4kM9e4ySZVd z1g+1S+QfcOh^C5I5h)1KEGI=Qd6HHvJ1YPbS6x~>_8Ev1fVx?#rN)vXTctgB_MNkt zPfTL94v{Y#0j^WMV4W8pN~pGKrrryAE7`$iQ-1L*bd0iZ35|h_rqO~mV^843+I?D) ziBRJ`tYCR6oI+Elg-ZaE3kzhkk>BqlYM+efaI%rkMqigu3*d)Q2{w1Doon1ub~yn{ zq54ew)6oF$mC3~}3NUP(6qVBE-=&N;JGtVS$gWaJ&sZO~dC`7uLThVvz))~&>?w($ zy$jmVEKQi~^Flt|X(6A{oueYKET&XQ{KkR;E5 z{RVv8MN6r8P8myE#a`KnvI=A#JTt|Q5s?Ca84mGI3UaSr|N8O6tDin9=dhs0TVMu( z&Zjthx1X)`O4M%f(14+Eacs3qR5GjHTi!jv+nk_K?xR8)WC$2iv zNlv?o`L<{pZ&Cat+6FTz*G<4}N?>^?HOEo?lMVxZCV@Y)5XFkyW!gPT5x0&j;uudV zdM*>0P<3@PBy$UXU848b3qP*v;z+x_xZ2k3No0tCS1ObAm-o&Ew8>lV97qEJh6-N} zf|xcJfQe+*n7y{>%|}Q0Vt_s|;64N9RA0*=Q9Q`%w=QYy7$qW0wat(!jzy*#E)Kx! zS3mvRoA>WO=#6DFnu$n%>6DA`)Zy@&I)|X07J@D^+wq9esQu0@<5PVXUY|Dq`SG(p zwbiW@cP8~^OEO|y3I9Xl0j3izc8mUEw7>!uIf+tH=`4BB3r}i<=~F5BLi@5UNcNgP zt6bqXG5QjiUCL8^MEQT%pTK-0!b3;x1Rbwvre%Y+Sd(V7NAc4PK;ja5`!R9?=n2mt zw^;UtA`3QJMBpJ!`dLRDQ#d3^ryqK>7ZdDbJVW(lF6pl=cD0S> z#AX;SCGlqz(8xleAHKZKB=q>B?P`WUNLm;*WUgg0qaj`l!6XTdpmz1ZS)mLUnv{AU zxd3RJBxia7vnJX$qf~|#U~q&<^}Frz{-w8e+X!nx`YtDi8_TQol+q-FWSK%OnYLI9 z1a%nt5XYUxQzrReXz|2qQ6>`RH+jZOstYo-1n#7L3tbbJWn#SPI9*q_XCxCYdrrS1 zjJX(_H0B>t9ECS#apdnXewZ@w6orXIbeHI^sR;Q;XrRpGSL8j)0W$Cql`+i!0L6|G zCMPFsZAT<2LPP!_HGR8Bn)A7Jum`m}P8-U1=735?j@iCF^2gG19bM3(PbRt*h+TmR zLtp~D5Dq}~k@o>4V6Lg@`-zKYXiA{dYH}vLms_Rh-`$@Gt}9jZa(|htLcpFv|?sK|{}A#7=s& z+6?=cjBD%5Zna1vNUf>=gQk~khAdmB*%IA&wnd03mY*jW3+cxs13Ho5Th4~)2}8vd zUDP8~)X+|K-hsr@2ph#DPo*;XhE^#tye*kjx`7_f=3b~TzW^g$+7E1oKi`IJwY&F` zKqoeNo+ir88vquHC*>1`u%@b8{vb2~sq$T7np~(+TgPN(5utbWn`03=W`{m$g^Shx zjLH>ih-V*cseUs>b2ec-B!gx$()BNEG*YJAQEC*dFP`UFC9EBj z*qIf!Q47LeqiY~C$R@o6rd}OtD!^vEwO@X1C9veBGvo_u`6|M(1)`;6tAQ)v1QzKc zB`)1q^jmFdB4YfN3e3~ycse6j=c?dPLU3!!KIA={-|ZeQuEdUfcB^XuP*|c)YPWCh|e@TLdCY7#%@h1 z9I{DD4{I<6Yk-_#Kk3_~@lAnKV~XVT{`d(UAyV>{Ygag+0+Y3v2!nxT9ICwG-P`)t zr6`3)BhGEniVkOR1~I!k8D2nfGSlEhF{|7-5{;LZ$cAdyy)4{L{xFh6+ZmgG)L1s- z!j}G#q&#Q;?THrp_&QQ(=+1|vov^k5_T5N>^F=8uCo{Kj;b!Vu+`{gDx z^V5}gXg+UjwuO!HzzN?t(^V%6eWh$@&Q_aU#2hoq7`TGIm#*Cl;6=@W^2=jJemlc6 z7G%~5#m0-u#I!)DFqq&3i`lHt`ZH3g<1zY~!vvlXbzB6|odly0Wrb0lcMjRyRd?X} z%lkK0|2Cz|ea!k{{~0Y>M@-tva45FS$uos!lOSz_;)?!>vb?%swww;CSVJ73EDg8p znh0YGosmDRMPA{F&{6BXt_y{!iN-*x{~z9l*YDr_AKiob>ut3W3YxLSq6WRBku5|K zQc2*b@1^%iZZyc_zABFS;UPL}jB}#m2l(=JB!?%U0fm7C+pj@fsZsbTK^LyBUS5b# zv45`QdZk5{-0wn+ynUQ#qEZY&EdiydOk+SD;gFd}roB>V^m4vpct&d}4oKz4MF23fADfDPqlGQ&` z`M;28lo)V|mBnc;5q|oV1xG{?r;i=RlHLr`;ime7rlMPug zn#@=*#yB-{HIkg{g3>rd9;Xp=XcS2)o^rtm!8dbc-Iq*16DRVe2&=mlFLC;o6c{n_ z(UZ+D&tJmoe#xnMBWg$QeuGJQ)t}z|3?OMB=yd+QxY& zfmSA+abp+#9aao8fpa>4Q|ShM6_ROeIo`={huDrONGbIe}oCjkv^~@6vo)5Ol`{4oU^hKrS?X$9H$C8 zqx%!}D->cd53=A%2gBh4bx`=;7XZl>{C}x0(t0>_-GLun1z#w$FIZaCxGp6dbjSVhuAp#{= z24fr)!an>3?v1SB6g3T07+o0tqhp#^S8g|>U>A>Z=u5XPu^MbHDGtDPuJ`DVB8Z)@??upLS#nTPL?NpX|AZxVe65=RwDTtAY7hN;5 za@qzD9vmWy4F~ippfqTzMkAg`;EoZ2kpJYrQ?RyN^(v8I(#AqjX z0rcZSn!0=k!ah`n<1yad=Ue(3>LGEPd4HM4MA{0)o`d!S;y4pL&vJouwm$yp=kWS3 zAAbE95E8!s5I(&9_0R8r`PK0vh3^5>lxLM<;v0uTpeJlT03H-g0hz1_ZPjaQ&ega@ zQ2@hYo;&nS64^@MLwSmVWbU`ckE1^i-Ag*A-P~oFCCgA?C`(3OBM(Ii(RFmUXZ8^@ z+md^i4$wv_G)2@*EWEQ$#6su_mXp-KD{}SjgF#^bL4QAb)z<>tT29#Wme+U=Ci7M~ ztWcWxSd1;G*VR?`#E)_ksFI>^!trz!mR#oJ^oZq)^}*NJ2i4CRM^R+S&7PcuBQh+| zG)?DE7BTu3VzyXx=b=TVi0waZ;`u_YHMF+y9{_;de_A&C^*b zX*hv`XBgNouuw7XnC?JkMdCN%5xg|76Bw(L=AdR0#4)3g$Zt9;ptarsUP&s1OhUV% zwyFK}S0co}gxBvr{;I370ggh|3>fL+&@8t33%`S(ZBdbUrJ8ZOhqWl`&(8HUrPX~H z4c5nVr8>*b7py7t+@JO}=PXwSzp4a1|NLurW82zA?%QL{LJW!pQxDT|_{IfDKmCL2 zsVApQheYUDH!w58KD2ZFjD!xL!yKN1AADd}XQc>&r%WOyiKl9Sr%7^lBcy2#GfiJ9 z*6`3uIl3pAH~aOc95fSe<7%&-Eso?sQ)cIJ!bYZ|Z<9&l@^lCXPt3=3&8laO5=lgB z9tS-k-3*~9nS$0}Pdkj{80mQAg^C7pkeYvMIeZTc^?ZX1BNoqW3r?eCuDdzpLYoFi zkyu0KG0!<0{fXpsUM0rSEplkSG_@Me#V&3Njd(FMYF7-CmFHB3Qy02G1PwZ%&5TW!Qrtrm1#kMcS3UfJ9@ut<<~muSrw%N<3lE zxCEAtWvSUxKhF7Y>;-AHm=Z}9r!N$gEjA^v`#T#UsBFZN*tA68@<}f(2d&TyBT8nu zWqD%-K!HQCS=z>F4OHbxplAg{{d0yRrZF=g#xrGAQDrW+5Pihlc-lo>Kc);bSdJ6B zPXB^l0ny>ura+pj?brFdk{imoT6w42RXCiwKcdVLMmnqYQ>vo&amr2S+|IobH%( zy~x`;C|K%KUEN32q)o$Yv4!111&xK7IXH}YKJ-tpk5;lqG=Cr+&bex_;w4{DBwwK4 zg0K3(3MnvhoS z*ySk_soT4ZS@B#%M4J3I7u#pUF&gP`g6VYH$A2a|F6+A|-$})u96!`s9`^cAxvAYO zE4W+)HK~8aFp?<_J4Q|2eok>{=N||V+ld_OE(XZ#p(f}UzkEu)5yNPvRkrlBoop#d zamK>Z~KgH`SILS4|VJkQ^eToAvh|R@BvN^L-vT&6a)?3B4=`1TdRp=C^5^kq% zBLLU?Byi2=+U4#a(-g?-4;;eTf~Ti_vFXEhlvPhmFk^iMs;Py{mS4rQl`hzB&vVKC zI$-@?hsY(W@d?j z3b#WfS}cPfH&isMME@fORKft`lA3a6Jm38BAN%Dp=k?XfVUqNvx*hA|DtAqzvgO?` z%@#5}VszMQ0P^t-!?pawhCSXzZa0(mcH5IS*qwLv^KSK`hcODKQ7)3W1!KBP87)Al zyue)nV1?{bYcAW8vgA(36?CTyp7YW1B`{678>oStTRPFC($w^e8Ns<2^`n7*irz1J zmJ#(cz#W3zsBsC)BeJk~y@kx`MebUunm>s7O8Zjq#}#bP?B_icE`?b zna=3_0~hSLwelG1FEWx9ep^Q|Epcqs(wC0dOU`h>JLZCpPdsXq zVy9e|F|T-1(^EVwfA3{SpSfSwWB#Vvd z+wKB`8m62@^n7tAz7_<`Dqm`d1(>lTVPpRv`R%)EoU`_qt>soW5sB_dme>;EyS2!z zgh{Q1`rmd{W23WW$127Ozs4I!6Gu3%QlUG>p^cYrw{99E)9ht8n!8I9Pu!BHwq=d8 z)4zy4atK*IuXd~+(Y~J^I*9N_Pxyn&N#{^EV$c}P5y=3V)-g=mNfB@qfex=&Bd?Xc z@G(+Jw6T!R&X<36?l{x!#t1i)X5AvvfK2rB3z<%8809zd%?Rit%n{BPAv#EJ#Bl8n z1%etfnI6il#P9<*q*I9j8T0{^E}NFREH7SIfi@hxu>u3~iv=ctaJ)U6M=ngcm+XgO zgR|3r`rAM1ckeEeBM>Vbf49GbrNua@&O2&Er;Dv=;BNPL6Y!Plg>Z##lPE#Cp;T#1 zkRlRzk1?9<@PZtSl4G(RW9@S!-eLTFxb#{Bq2J22h5`T!ipJ|H4yfYm4RKO1W?a-w z%;O-x)~yuYaf75Dj`WB9#Yw6-8x%wKl5&XQiE0k^dR?%=6`YWTt|`7@goiBPty72s z@$Q}$HnF*&c|U;GPJntiO*R3|ildg6{O>xLNY~_U^EDYxaWKhw;@SS%6{VNwB3pO} z8eli+kff7S9JeyJ?=kT8-Y~r>4F~!*-WSI6U9ycu1WS-K~* zfmsu$?ITIe7hB2ZWlfNs#eBBBB?RIUkF0gEEGPtk#;ZZl=?udKxScHeh*$h<;8Z9J zaW0l4Mk<=y*hc_ium+FfvBl+i(nT4Qe@4a-thtP&;wUV+es4np?}@Y81%c@Vq%Q{0 zJAV}gBc(|U+_M~bmfrh+00030|9An^U1^UT$C2%yLP+``0m5!q^*vZDK#}9Mu#zZ{ zv@KgU5`E6J*%$j5lK%DkUPMM#W>!_tP_{p;Kgh%GIx-_8Uc5Lmd+QGG?%d^J>kj+l z+0CY>rRz<4lkTMK^?UuI=#Ivt$++i=Ztv#CJr&^Q9{X z<6&=DmfcCeJDNLIqCKLlX5s342$8gKj@F$aHP+@+w5o44JNhUWJBH0 z{=S@_@t(W&>3sa;%5E_kbi2iP+$#rz;iTK^PTZjIlRcfM=k?s}_PhChcRHt&H;H}u zwU@=s4gWZAwr;%=S!UmymhUgi9mKRgFSp+&Hzi+v^Ucl8)=@rq&USiw=jU)-$|}vL z=lMM)c)}GB<;i%UCs@b?%`wRHY7O)uCLmyl%B#ZWeAn<4ljK{yeU)6s^6? z4`{JmO)s0XTTSQZ{qfVy&2IVOS!eAQ)AQ6}K@Z^_st5D^_ORbAcV|xFqpc6MW3^uG zZ*C6DB^Gu&{a8dp-YjL^?-x+s>G|H-A17W|cK*6OLACF2^B&STPIrs_cKr`nkC-E8 zZHwKq2HD5Fqppnh={MiV_6hbfAK*3ci>06iQ26p|82@^AmnyJ5B^w<~f3?1I9yvZ7r-#GxNM&8Ek0(=Co0@1_ z_5g3U`hfhEu}R~ZyX8kbQl-4OY`34>Tg7J&wf3HqBQB4-K-vOW+bhPy2|fZ)J5vUa z$&1;SU(eQOJp02DYwc#AJmPwt(aW+S>sWCQCJ&oCmntv6DjA4o_fma6PYvzr23<_P z`sSN&zxc1q?%i(xVfWu(V05C4*FgIENNMG>b3`5;VxFcgX727Vire?7?{N-n;I1qZiKQ0=0Kte1kZ7+t!U^E<0`lEh1=ndeq z#}hX!y85)2hf-+D)L5pf+ z2`ob#qP{Ly9lpGFOCr}3d_G(a^$q^(0Mr-^fh6Umn2bh6*&B?y4phoHH3D^|)5{rZ zeumCI>&O6?Kn5@mH{V}&UQ-*isq6xz%A#BJK-32P{%~B1Ht`X4T{8dZ=e>VNGKfo4 zO!VAIEHpE5SM-OIaS3&q^m{{0Xb4)^^Pq^~sdEmdMlUSn*RMTQ_rrAaj*FS%OPv8` zZ&p2p6`2KR9L*Xw&>}zsNs%4AG3zY@jTHHM;z&LFDD@DVRyBI5T$! zO*l~{{0vX;kH$~^1U;qy>S4Y(Y%V9|82i;KaYL%@8@Tj^+pKr*yhIzp4MH?<_Rki3 z2rR*@#9R+34OsSReYaaKe(iTBgPZQ(@Bj@O!ctLTj-=3_J~29)&SAyGfhen$H`g^sQ!u;}7U3}Hatw1B6h#lde%M7IHtZWmNDNvcq5@IZWZdtL zM?L(v>=(V>*o{Gc4#(v~>R}F-AVY>UdHsNSK^CGPn-R8q59nLg<#WHG(k>S(B~_r0pJ1_Yd7C6fC)ZJDKdbJP0@wYLayYLJADG=(F+gFtKg<-_dX_7I_2wVWJXsm}(d3$Z#aW^YN&U;g~Pr5K2W zUg*;^gg?8SDf;;oVIdQb=V?G_qt0A2h+3l>ulJUsQj@%2-`$fkV}0h!1@q6CuDa$t zp$x0S04_6(!Ha|vihY2H-X~n17!ZZg$%-Pp3OP+$mYE+GJO4^32ba3_eWqhEU3Ep_ zx~ZsVK;)fw5a**PT!bGTCJ%o8{qr}s?)I147k_yB{ZIc>!!~bY7KJkZ`C|7|@4J0= zWu|)V_)TG4%e=;L8aSuEEI@yi8nBO|Rr3j%`3A|LAaegb0XENjy#?k8EP>wn%VP1K z0QroU0w3^lw%CA=^y<{eDb7^E1)#*cO;CfI8wSXW;~x3c$2fm)JSkA6DaujNo1pG9 zD7r%_)7bkHawJ$T#jHniSt->MTe_U?4FsViYy4{u-GO)CESdW7>m3STRjLzdW;g*9 zkOn=ZpAp2ClgY$Qpo=y}Z#>5f3Q&AkV9nFxiCpV=XW$oXX35~l+cT2!jJZg50F2)M zUUzkwpUJdS+-IKCtO6EWeni|Clm(0NWW@Aax1_T}f&~fZK75oZO`KJ>TTL&I;HNE- zIQ>w9=adYs!ysGiwIL$8Zg~;zX0v|W^0tmZya9_ddr3nUad#RDL(U-VY2@>__GXw1q}o;69~L)Cn${l9l`voFhs}D38Wz5Abzpf?~jY3Rp*}Q@ui` z$~S1{4v7@+IKslDGipl!Vg%GWR6IcbD!a}oU`t3+^uUM8F%+j54p6Na3?&t3_7M2< z0?Ad>C47q2>vg3$t!aiw7SfXv5bv-^Djo#%RuLg;O_4tRwB28x7Aw@E4Rv6(j~n6y zE+@-7630m52`+iDo}W9BH;QdRH9X`Y7JFIe?Uv)B4`@*NBf#}{M-~}R0;_m)^NV<-%jwfE z>DVO-ST8#8EE{AIOdx{qmY(-|<1Hbmel}(bFDgJfF!nKO{i9(~j6g}d_{Y%oh8Xnv zgm+oFmO2(#C{W?(F6@7peS$ypZ}7QvQ`j0HBI_$TlJ(-mu*%ZEIv2|x(fi86vKTd; z-#e)>=rwRs_4;F5LDa&r4D{NGh>g2}jU-<&JJL`9+8@xVvG(Vz7b{BYnJE!Yq)IW6frcg! zhsd)S3`d|^J*1H*Bjl4yuo@(hLKdJYUG8ZgyD-vGb-W+ny+h+b^6uOkOSJEd;3@_v zJ`Ia82-KiJBND5YREwk~Aebbi2Ft2S)08AR6lzrHk2#5NMMnrl75mMAr*s3fJ4(%G zM2z1Tjtu|156@qpeMbB`&oUkRt)We0gOL<&&}<@9*;XJbQ~dDi#q%G$TwBaO!rV-w zIpXW_Mfdq~s_nF* zD6o;*yFsm)bha3-9D`>doiG@d!^x-|bo>3T8=~;RQ8ZP{Nlv24*)+2IGryudBl}Q7Ww_0!Dbx|2a!ErWqi%&b!Hq8I#*{`}}>fAz`1h8W7R>x%kEl&>lIvOae z%@&z8ro1X;Fi)wnpKtacz~?pF7i4~%mk)CJU`r9z#)U%z_oUf;g?`PENvZiBrc`apLJRg_ZH3?Dc@{6goneDLWg zy*iAFxbAb@4Hj1Q>?KoEMPtTGF-?^6fcXQS`98F`y`%r_z+eIE{vFo3_c`AARJFtz zVwfGrRm=96^u+E{OO7UPcC|sZ26R-xlPYoYDq(L5Iax$lt^hKPd*H=Gw9$-_@nmKg z%@(PeaixBG_0w&~r{O_3VS227ldd2ryn~V^@xE9YLCo92b$#S;WByd-+~Q9gA{Np0 zYSpDu4A+VZ*uxqf<59xFP-2(IF|06#E!G$-{AX(nVu& z&yB#><0icD&dkRDHSG71;bv>#dhkhIRO7&D#>iQr8M@Rub%W8b&_Tn7DzT9eXUo54 zQ*^w-3YY|4B8hnyBM_(oB`DCM?*}(!#t(Y&>+hbwc>C)0pa1j4>)YpVZ;1o2{M(=2 zy6^t%etQ1n?ccPGXD2o7m`%oS2&SHilsaV@no6JSlWW+f4&$&{`-qJM4{2$Dd&qgC z`x|MmAP0d+XgW-%Rw>OKWNnBID=N0Y z&(l1s818(3Kzu3Ar6qOJqB}Y@5k1GFjuFtghlYS7{qg%Z-($t?Dk~8n0|HmWd4wvf zjE-HmEPq@v;#{ZEUov7SGcHia86j#0O&=h`Svl?clrzN%OaWu6ZVPQ#@rPNLS`K;~ zzTrB;VX0?np3E<7gq@(3na? z_myYQ?2usa#gw=oZpQ6&$@~g%plYr@B~rK7*zx#A%mPnhh&4BBkG3~gC`MR<<=JrD$v!Hs|^gumM>sHS?%a6-BN@W_(M*iB*MMCN2 zM`(Zr68-^_=JM*_T4VygGX276QEPKnE)+XtGH8k-0TfXeOjip1`Dn-6?~0E7h30(< z!RTgY6{g36iBL{oNc~)o8fUJP0wY0|eXJG4y6=Dd*|GNcr`KN6*;;2KBqOXfg(&hj ze$_@NxPD^o;78djf)MI~>C@>SgjeGv(Gs?_8bW=&6`JPTLOJO%1J(^t_!tcaBP1zY zAI-7Uc=UAud&B0Na8H_Hwv8m-k0IT^gF4rWNtyf|6O{cycQ`;l-*5=>1F%J}e=UFB zrX<%IZyRXWbaThK+;7o}N#sO#pD?@#q`kL_rs?{iwCEcy**0931vg_MXH){KFWW;+ zq*~=|$i&U|3ro3XV#su)QP-}jp&tajorl!bO3{iXXYAyOq10a-N-)}ers*TO+h2e* zKHA!#0GgA7Qu{Aztu+k&**5RFeS}WLt%Ek2A=|6)fD9TtAVYgplJBpnW)Ds95I#Cj zuu~62vqays>t)e}haE&LX@M$gQL_d8^6SJrhCS`W6#=#8Y;088f(k{2os7{*j=`W> z*em-&(`oj)E6)qcZZo65QX;IRTiujp6Z&O$gz6-=Dj?Jv4~sq;;Y1R0?=O7&?7~fC ztNky+ycUPG1iUQBFl%V&98-!Kj)?N*h42PHEh3LV$NLSy`V{_RyI-u47z!ot*8NCL z=?cp*lJ)~ctY@t=HcY_5pqTK#AO|R~+}yxQz;xX7VY=S1?;1LwVL&K>>n^{B#!E64b>nt^!3fu@Pb^naIlx(xVw#TEL||`*cWc=& zhc{)G%7LsG4%^O#!%+dthJ~XcU^J{_4mA+T=^xzhU%mQYQ@tu+g!9BE7c@Z}knKfM z@1D61|2Ei|`c{i2W1+{_NTm-ck_1u3@~FQ_$d*V~#0Leju8hQe2BU4?%3Sw{UJ2C7 zWz#XlI+#qbRcQ#<-5d1L?^e1YTz92d@>-5P1VorNqGD^i^HT*pMpmX)S;M7zprazp z2)Vqn1;g4q4cA+2g7Vsf9tD+?VpHcHJoVjl4ev=L-Y@_kPa)W-+(^)W(*3HeVvVnW8 zO2LBm4O)(M&b$3}$o^^-P&QL1 z9Ae)43-nO8b)oYuuAYU*WmA-3sIi;f?!a_?5hP7R=scy!1vvr4s)}B$7F7tJSTlMf z*%z}~vGa!A-^vARABPmY{OA8;IsQl7W(CVwp&GO>6TV-eIcGZCBOqYH(HjhdZnr`x(~4A?iWt)q zJWK5+`3l2`xT*I#S`uWBvG!3_DgFR{SOey!EA^HpJCS|cSrJL{xFr`S$4nFh>@otU z;SLLIKy@RM>_-Z3VVNXi$Y|A-~!a-QzjMz9ifMKsq#vtJ(vfUvmQ7Y~M=bJ%=n#Gn@I_!!3_OP+j_0U#y*dI_~MAjQHKIdM@*UQ!SzQG`~*Gz)6tu!AS zUGRkc-m$A|EZH+9O%bDJBl~6)`2F;xp09+PyO}B;VO~RWObNRA>7)(ajb76bDw+_V zB&!V8Tp?rp33D{nFf{1rHYfj=w%c1zgq}vA4-GWb>82cJIJi|h;$qQB5#3+*qYpkU zoft)Jup%9ubgIVQfBP&ThE0f^&(Z{E3hk`FwRN-@C6)IDqQF_@L?Ly~vnhL7;5LQ0 zW@?-19^3+q2b~Gnij2)zh>4-U+!cbMr{fOmkHcti2b$>+LYISKuZQ+D*Z17Z!xQl^ zih?l3*47!EeL_y-huK~PqwlV#-0V{byCHm2J-8Yz+qAN*Lbbn_YnUoC)Cju?tJ)Bg zNZ=v(j~6a3XG_Afj%*486I9_48UZkh|KYOl9hNBeodo)jD%L24v^oPy)1-JgAOpVqr zr0|f^BZQsq_a7X#f@7=lSEq;VZ2u9F3ie-K&K)*k>``u!t_T@%5SRg92#D+6EhG`s zqO@b6Hk}M6%ZHp*J9Jl>C{Z;JIsnx&Eo|XrG%Ly zOOxW62j<2z2Y7k{PGBjpF?mo9kOu7++}fn8*~Tldi2(^Ro>YShd4Dt<(B-udNe#7p zjM6YIfHWr}?oimj&_+Nq4rbuM6W;^zV4d_3kJ%>=)Y|fPyPS{9zsM~qni45>K2OO? zagk6IVF>Tnkzr?bt^$QjAd3T)^nIUI9SG`IM=|^ApE;B&4-PyQMe%-SlD=jSlN1oT z$hlOa40Ru?4}+DlUh@)I@`9A+l^j#{-KXD4|5dDd!F=1gu1&UH@ZoyaB>aQoG?VQv z!C+&fm(lu(LbH7JG`T-!64nAxoex27VMF=dWy#kWR#Rk#J393a9Zbo|%Ic9H` zFB@W{c9N5fHFdP9LkKcDmJO4bYBcaSrvm zgDXZulmM{V7inTNicCh>86sV|O|TFaIt)A7^APDY4vN$*a6BH*GI+w55^OR{%NJVh z52`AeH_f*Ac0@)d*7j@q?g4ojKlGFX`3#*$M6GRSr9E4aLR=A_NVP z_OD`Y1mo9PRPzu!Xb<5+mZY_(~@+}=Y8nEqD!i`6d*)9gI`xKjt zK_9*5v>x4X3`aLa199|W^a&xq;E{@p6<;~X#H}_{ zUobRt%wPZ=={P%$TS37D2L)ON#$9CQgjkbwCT-vW5dI}6>QFN9RF>uSsb@NW_JJX} zyWaU$6dSvyTUz&b=1EyVIMy*#tp5q|i|X6?dig%o=JHIuLm$Z3l2QXf#(78B$j(}r z>#DK^MQYk=6uykKLjZ-uJ5_PJ6q+cB|tALT*pw{|0TbR;|DBE?FY2CDGB7W&zQG8qRK2d*S(T?*cYldWBL ztAyRH%OeBu9<4E5XLE-IMnR^y*4EN++Gi*_(iY&MBZ1*y9~giz4@cl3D#UifIQ&A( zZ^Db7kOHh2lD4#>9?MFkT_Uwb3^J>Z zkz*PGmWWyQv2zP4+Y#y_a-tN0kdqKr?67vVp^bVUOaC)s^h_=nb0t&YvbPz~BLC z48IfM^8>n=&ilKs!?lUi!Z~H6oNlFcVW2(}BdbK(Q*AP;B0vAupfp(UGy#t~JI>7+ zmVLA#0Cji_4$i*exdPB@+hZ?)V3B~|jqddZQs>mps$dpJw{Bgb!~{8@yVxm``~iRL(kfFW4J^ z)b4{yFjghLGsMTOEnx8)iLwUc{`aASuY`p+`31G*kGCOZh-Q~G#Dd9J5Rg*66Uuim zzLp~zWb$^1zH|P70Io9r;W|l^FcMm9DblPc7{aw?;nrnnPE$x4B-83Zhk8gxjCi~+ z4}}`z=t`79knX6uppym(tHE&b`S%@8%{|1^q&T>L#KhyHM1ouEIo#q5&Oa%?3vQMEgzY?G4 z6SnmkMQBW7x5n#~oM?=thO&i(UPkmu>Ta!_fLsB2mS&fT?4}2&R2!*=KE+xo;s-P+ zvmYh2&0dz8-$qSXc1ik;X;9+n0i+!1F~DHTavE3Q3Cy4d|8Xo0US&I%xjKAp)_s+4 zhp2SS=kQp%V(!f2Tq}HWzg zV?EwfCUY%7Yu741G=V>n(qMm>j1r{Az9y*tB_*g(9wYju&&`u=sTusRo(h4k$ z0t@uq)Ids9`#50i`ihTp&v(_!JATh!QtZ6Q5=EI zwqGU!`H`PUrj+{d&w*v7FBvH7hi#AyB5XNiAH-KnQ4G*iSKugl5E67_a4(J?s7qC9 zQQ9~iKn;!eS4)9As7s>wF4ML z8oO69+quO(W-Az6Qfjc?r?y=zjjZUJJs2K@+C+9oyEPkxHJEhr6<>uQ`m&pG!!$E) zD<}|7OTv;E$(=16eni9fg9QlEP#iV_Sw>ew_tdRFz7ausA=IPp&p6^Aq*Htfp$11( z;|M=s1D-CmJ+d1!8-zR9Y!Fla#<%NH^ zTXEVFI=%w|^m>LF>0z-}V`4L5y;-bnbU5)A?XVN<4?>y|B`cgDR{c)H>97Pk&+p&m zMY5*Q(ST2Yl^Vi8Jns^*GgJhB##g8z0!^k78Sa za|rl=;7)+SuzoPuqh+5P97FOu9}UZ5E{^?p4SmtnHNMmW;Amz|bq)6M;5ooU#3Ql^ zDIuC3xvXdcea5!N6b7uO=A{))RxIk_Jaeb_j-5iP`C*&iVY&u4$?}v>ptsqW)Q-X` zr_ZzXl>{ncrD{nv&`_pS#?uR0e)B6j)KF^*RE+#kLsRC;y|w+g1XU`ku4#@LWfvSQ7)~PN zHUH*4yv!Uc=jZaMnW`8YPm(q3d)7IF+ex&Ivo79rm>{TPDgay>sAgJV0Qi_2%I(4< z{BL}kKo*&*EWKEL>c<%gLnDmv)vMhqo^(`ANNKCjH%9%28fs}=Sjk;HtGUOt|5oE$ zJAko@jO5r3g9)Z#sEV8reoO{`>ICV1tHh)&TpWki4%773)zsSf9>;=X*BkLxs&KJ$ z@BIAwd-uaDZ+>P1w#czVZg+SbACpPQhJy8>p(k1Fo_RW3J zQhyU2b~p|aZV@Lm`)@dDpI(xlISf+ETj@FD^#Pj(T1aFE>FJ5(64?R4@s=dt3Cpk8 zMK+_)(`7njG{v%{9S@FVMK%bSSnc4L#Qz4SR1wcWicIgr2g-z^74zfv+N6P|?3BzD z%A=NEK$xNmSXqJNNw$%w_4wmAs}!rrndp;dF5LAZK@-3zaR_|WYo>69bo-r3&)%J= z539a7zlw*5TcfU%`aO7^xQK98cfODUsqCTOEA7g*1)_|b^y zr?X`2HloauTel}PHeMK(v_&Iv@zuJSH1yUb^e-pA_;K5OV;IhqfrfT9$3x|ae-I|K z4&8RgpNhhsWfb2>6Z|>{PB7}b0cz9Namb_=Cf>X^LuZG;A!3=FWVA!0J}Ia*8XR&m` ze(7)D4SSskAg(-_R%W_@P#@dOC-~*<@rdHW z(Y^9h*s+AJVgY{X6QwsC>V-qvc}^i3HAgL55ona+lE_LuQ)9T22#xb0^(FyKaZv!i z{l^6IZ3BrUGL;ucmQo@0ZT{SHJ5)9-HmPXT3<6X-2k1_=lw^AxOHcmZxU&@q;F1(fODirYN}?>%X4+O@Nf6H zuH8HLgL~zEyczITf1%qR?vC-d`WRkus;WEg-SV>CRrc6Y?#nqSd_Hge`$Kx)0O7)1n zWS{9xd@dJ!zdNp$JGu8Jc_{X}#e=_Qch}Y5uGL{(x!rbkUSeVEyZi6IlK`3`TX z@s`WiQ{|42)%m>LRQ^2))nm%~@7Kru>3G2UZ*Mn8cfuAgG0pu|x@o0AO5Jl+AFt8U-r*z|vZU*afwY*gBvOg*9xYt#6cRW{QnAQLY~Xr0E!Us?8$c^Zd2pxej>JD>lcy^Mtj3<}h(!4O_{si0JwQ-yZ`ZqOd3N=6 zy{_u|c&<~j?3Z8M->%z>(R;Zvae+8Ga%y>4?!MOB+EripYF8Aq`FK8@4@YG&p3O() zbUt;X#qI6qGZxt*%4&Q0%c!^={+DNN##eibr?AP98x62xv~P6}6yhx+{Xa*D}lwkQ_!ay|wXC%o59 zLBYKPnUw_9x!T+e>dO)oKi=IzR0hinGC&O|yn@nb-$;^#ss`Z=dZh74Sw`8Gs-2u{#-K*Gv z@qEMffF0fpVqP>{p3TAI*fmrW-J* zI=gZ_ACHU4Vm>Jsli6@MA5RN6n|u0s>!4_W-DX%QtRne6mae{v6ceX6)#`fhHrx8N zTYk-74%Ag}5a4&?ayY{tvB7dWnoo;y3E>${{2IU*_qg1JXQ)A;=~%hbvaT-==i~MM z!9lM0)Edj7sZf%Ci-Eblg^1n(hx|>MCPaI#52sp`MP>Bx$=&1Kr|-Y(`Qp1@`A3Qw z5)@n?Phb6qum+p0yO_AeuqulW-*1+eB`svk6cZ7mDAeQtX|_EBm^aS8ucPHt#JKXi zZMEBAX>b76FxH1UKqb`&MlZMpW(Ic0`|aA*+xtVc`3s2Np& zsLzI@c`+(x!|8kukcUKxf&hXp*bcM?JNN2GcPDzECzbL9-_>xLx^QM7N(~s<9uIE2 zQKdO7si6t2+)9Ikud-*&fwXJ(rhU#H9L zuagxsW-wXV6d2!lZYiLgmx|=N%7f-k2!E-Gh zBV-MmqTMx6^`GAi!mZ@Ic?Mx8F*NCO13_u{4H5;0Bk@1&wzs#-&BisRLN|>)Z8i-# zyk!q!4{JM`0M16E`DivCFYw>x#LZxlHr1|z!2wp$=D;99(twmln0gOXci`s{QUn`% zt=xJCkm0UjMwW-oalie$xdaBIDU!?T?W?mu-0ki8dQeLf(;@H@tZ4|PsxH@g;glze z<>+vfiG5ZPCqc zG#icJF;3xF!{;sL@Lt5J<~oA*$t$0V1Jmt+_e&_!mG9lxh}~d52;C~gUQZYWb|K@_ z!610WhpM5;cAhVS0cnRNqH*0Ls99aNJ6aZogs?kq2!L>V@f^hVy5;q9L)64sLnP*VQOvY5^$(-KBC_7=>po7b$N1gsIE&7*gX&6|F!xe||)l)tYI z_-YMj>g(>fgunp4Y0JF(i2E3{szDHE-qfKwP(OedjmXPG1J6;v6S~55l~oW}WApGQ19DlgcLEN_L*fUh2$@%My4DXN zu0Ln8&dA%9#iE?Uc^wwh;cPrwl;hG(;XWHgk-UKkUV&nIB`TLm9r=WahE6X3w}Kl0 zpMi!j3YY4?o&PcTSBled6b|^!1-WZE`z`H9jI^O5Yb+@nF+DjqAvW_n*}m*5<9yrH zwXAtDEhe++9P!V%oQx2S4(AIug%+qa_lpnKKYL-|eu|tA$Rb=;NMHnS8N$&DSkFFH zp~7ryH@hxtUxOpG-%c@v`nX@#aLj{SBX+M31CSaaer;a7a6^ax146@MiW=fCIAzef z)wTBCiEWb9J7*h(GNfCieyvwE_7z14wg;iVMyjy-%;{wk?pbas=86%rBlCF)W)Rfp z<#_5QNMJNho57bYvPOV8oR;$;B0R(Z)5Ul)LIlgC2esfSB@B;f?NQ99t}WfI-Gc2> z>)^7%t*8zX9wKRzxi&!{MSV zh6RHE>0~^f&Pz$O_8DQ&UdSFNzPMK5c4Wo=VXscMZhQnnDJe9|X&)QBt&SJiA$LWl zSG_R^@bUz)@)vSZQ7B2}@ZR&~GsUWTp4he^SG`FE?sR=UOM;oOe>0$myIsN&G|#A& z!Zpj4vf4gXR{Mn*|GQM92Lr;#F$Rb{ix$I}zcT|k^~tpsRpfH$==QLAbW2G}Zs|D2 zI8Re-_Bq~am!D!7{EJ_9#@$}J+lgztk7=pniQWa`7Oab64EME%TW1&;bBU478qSO9 zxEPN|qs6RT%;s=kXwNJI?H>|YZNZ=q0+_8uP#pzIl4ST{dl~G^6;YCVA|&oUv}v|j zj26XgjQ<}^ktdyr%UCxt7ZFXIC|Q%HQzF2nY7StTb^y`z6^U>l5(Iuhb+OeUkZC?$ zNN|V%xrT44ak)h0Uk>kn`VW0lb=V+r>8jmwbv%prmV`Bb_}$yzz5Vd^`!{-D#FrM9A=gQVTmTvgOR=KCE&-b_$CKs;xo?=4Gpqx1F+P&8P337GahXz$ zu}kr)#!N9K&-@V;Q!)ZKARb=i)A{x_BXs}uldwrfsJAB2nW-hDk?%n~ZDE6nQHLE9 zluUQPm3e0|a=zhkBZ%|~V<$mhta*M7i4&?(1lD2;lth?M1jmM{?HX#TRZ^j4}7x^u4g6D}yGjr@dZ#P(fWQF9#el2SmEFlQ9+}5nsWPAIN zeX#hoZZ>lsvgL-vR?1O-Q{Tl*WHcMYmPKuHuPKUN(zVVGx-^P7iGMsO_9%q2_LLGN zy?gOTztg7*a3v~ZFi=x+5p|z2yyCf=72ZiOf%TZzvMVCCPZUi9258zKR0&va25C}t zlt`U0UhN^^38#U_K3(epCi<3X_T?SI?DO$NSNwcol1pH)Q5iTjTV%jk%e^cmSTMP0 zh|{btmHx(09>J=^Pacg&)d6`Y&% z9=Bh1obb)CBhr*S^OzN&kWI3_gQU3Q-)h)YqfGtBgmEEB z`_I%I{Va&3=76#fNg~VAs0Fs#dPHeHEC6FF5~ktQMky%(R(D*;{R7G`$kuF}Bpl-` zT$Eh@iUI~Mi`7w9Vcf~K?oeP~APJ5tb1_4~e~g+2f^REn#d4E^r4?2X2QcfXFdC?Z zWl9dub2xixMu-b@MIfrxBbBWAr=%;DtSh2DJW;asIr;3?7cc6^8aj@TRq0Jhfp%;j z0+o>#Lvafzh9nvDFoy%+iouV`dvB@C#4_8br-Icr{C?W;R+qmJNdqca^75zK`Te8h-wwsLF;-zYiN(kXadA-}R4UMKDH7c2m zIz*~WEzQsgvzVb3V>EXYtgg$UGUnv&X5%QQD&BnVlW4x50Zghd8|3(;DD6hm#RO?! z}mx9)FBmprNFEWQMlR*j!4>b zHn6{EtSKHxF`@_Y6jxw89Qve+$#gbHGt*)|UVs6}enU`hZ?P#U<&@Pkj?e(rRm% zc|7dU|F?pV*O%JO_RU-Av1pPgDi4XiLpzc}mZy6z@aT>~mm1)|n4cnuL{j!s7mBl+KcL4y8ymv<+u$ z3t3F3?Da#7-?Za3CZkf`DbV@`lZ!4aG+>pWIxMf7;kG0Uw_9>f;l4Q<{!qF=2h4($ zECEhXkH#I%ZppCG$7$00A;Gqq+}6iWxqC*^9P}uQmNw~SLaQ1goZzilTo5;D_Q`Eg z4o3)nOH}da!^Lnu8458v+8=#;pt2p&z_ljkT~G{Ul+%(7qkqnH1Q}D91uzZFgQm=; z;5l5T0ONP{X7zz>d2Et_%CHb1`L)Mt9d(?zw?F>mc*&pMyMeU@ba+!K@HEj6)O0aL z@G}{W0dpgEx=lhmwgx{MfR5buxN@)l^q=+Hw{WR7h=+ldb_{EyV+UbJA4q>8&=f~} zzm(OapgQTe;yp3ktn=#5}h+$>QWrve?4 zDzUbrSu(?&5p53|_tzwrO5Bw$7u`#ho8)Rc)}-;n5;3%B-|-rnl6qj9NdeSHP!)n8 zPtbufMnwoVtOK@%2ITGk^#PC;;u|$`qo!H}@kuEWK8~w=t%n*IT5W%iv^aE*-RH|Q z0x)0mVTyw-gh}Izo{w2KZRguuqnyRMq z$?S+qY`b5K>WF|n>8q9yl<{>V(o*^jNnUED^y56ygWEptAPdU!QPHsImKN4m6{pr0G910B}yxPf!+Ub}7bUM6gXapDB`N7e{X6x8(+(ko|9Pt|?@`aMX%q z%*- zph)>JyS+g-h@t~O+zIiVuq-|(HB@>CpGS1NiWd%hfG57DGmvDvWIecmSo`TDnZ-y& zz*J3eC)*}UkW(DfLJQXd9TsS;p3bEoi$YDYG<<^7qGv=m?4w#&=(S(_OdLrgE{yke zs0P+epS-%IC_N_@ zKSRc)!v*T$tZv9;Gwu(@Q+iv2DTgG2S6W?_KFT*M@Y`VIZw4QIdlub;kJ^iyoP0y? zO0{QNHAK_;G|e0nOIswNF5zhqHgs8B(H+yv+6sg&(a4;}a)T$Qa$|b_ zbo(gZBRJ$An=vbcab9iD&Axi(*3Sk`1$Z}RR1jHj0jcKbtHkLci%OcH5@+N-s66_GDwO)a>yi{8ZO|W9MSQn9R3t@ z2=LAKR&0D)4sv_^WbulQiRk|pm1O`6W+8Jz2a+WkiR3=o=mg5GA34rHji}E>+ zsBQ)?m~eW1Mf|WurCvE7Q(bsv^>wzN{qW`8aO-;+Oct_zx}KA|o$%ys_WySV$ub4V z&zPvNlXe4T5Rz7TLLflBVvs_zmq8w;6H69C<9dg5wo;Em?$b^mJ*hu>A+j7&kJg(n z)8rqFz-CnYo0kQ4wN=mzp1QSsCqgEQrg*0Ohr+a49zHck7gE2|fFsC$u&y8LnbII9lkhHnkyPDSg+Xy1r2-ZkP|3pSC*+%>qprfR=b^lDW_vf%?T8n{PDkFtPMqvGGyao53Ic z_@jIE?{8lHM%5^C=V{{dzzVG%QEWwF3GFD~o{D(ntQOe>aL(7d)SR?+$tr$fA!k6Z z`UN4{6AQbm?!w3v9G2joT_#mn%W+nO6V``^`&U@+7%Tfl(RtSnB@G9VeyV<^y~}igQ63U*oY$J<2I1e z!Zru5aOI;|z@yk2ZC-4rMh!ln^EXpM*`- zHT3EoQSh`x-W=P8GOG^#?%k_*KmPRc{TsBJ^C+QY#zfMZwQmN}x(a6a?3r&BP@w&9 zAOmi|ABahj>D3BTwl zp{BcxnuN96&AaeuT$FZ2>F-v$*%Gpi_w^Wc#Q%bOCMJwr(4dABgjX!pug~5Qwu#d^ z7*Ww3)#ayYB|1MUtprh5D-KW2q}vqsrahe%I$ zNaW}n389P{d_$=(2Y6v?c8oHw>dlW(0#x$G!FaExruRsiyrQ?uJV&3Bc`|^vRAXO9{0FxQYk;8I^4kk>mV;_LADkSETQ$=AK zi?(}YoU*+MF(63Uvl1*e@MDK!-)Ir_Iny-g&xX@Rd>U0WP!Lie?TYj7=sxF|7L5bcdfZXnlzC62p?@Fj$mkUB^L8a>D8QJc=tribP^H02_yF1i{eee;TB z6~MSgXtE}kCL4xJ{Z+oVpm%q0lPX#$i~@9+eGTdZv~jHW))hVo_K3ArQoy zE*xC3B!(YE8(QNz`c)MoU=1bu8Kh~Mps;3oX`Scpq2S2eGn)(YNNz{d-1>6MHur#- zW}2!m=qtS}>(Ir3g?4$TeAxeHrdLQC{cP~_&Y5={qC6|)HqzZ^r}jdD)6!}}U*#&K zCy#Ha4;$o=;HOa~MCg(MVsR+TqbsMjsYiRlHIT0zWMFz-!mBT z#Zx7?34-}Y-!`Ko;GY)_(H>I6_iCjvQlmA#)#Ub;)l^JFx41^K@j&qnEZT~F$ynK(v8dtye-z7E6A z!f_a?4TP2>zXNquwQiCg{8Jg$w}~SM(%ayN4mE74OA?{VYoI^rUfCS2kQDh2rq(`e zF=q5e3p6%%oXG=e)^OHa(bO$QINibV{s0f#5$$7U(kr}#r^&|6-$~WPKD9@PjwdNk zi90t)Z)22;=&ILTa{y#Oo4-)H{0+kyi^DS8Z2}Z1+Ml~ouezbCtPjc_O&F1_+ngAL z1b%&1ze?P5iG=KFnNJ(7CZm4X4$U5ANBlNfN^2`UEoslHslCIR#c4nAnWUOhAxARg z0pT0BqRjR+F0;|z#r3UOsinYReN2E$R^HNL9A(3dTb_Sq+=n6aI62AgG>UgZznj4a zDc;}Hfn8zXiylDnEx1ixUx#FL%{gjp2A-h$r`fYmz?G$DOU$?w}Xrs;V9R;AV|Ur42zd5gshE*(LB9(Cm2%!EA5V=o^iL zHctpqY~|Fkb}mP}HlDzB6=B0O7QIM)z&IJ zzFuR-4}}RMqRpYqo^N}r5q=Ia<9j@kgQ*CK(X&2g6#WN3hy|N}3QQ zSqHuwML-c>N)>bANR_5aBjlArcK;D4=x8DuJ``d+7~&OCfDI=IL9_G~PYb(GryE+R zE0TRkU*scfeJeI+VhQ&ZQR@2x_rn*e?$$ePbF<^?OAA~51)5f5v?Y!2y>PLO_RmR@_I5l`uJe{i+BZM2xle|vDL{q}2Yrh8`JAKF zq&*2f9lY1b<7fJOAqzvFsx`cg5=iydqlB&8rg#I9!>lV-Uz(E!DY;Rl+8{?ITW*e; z?Beuq)Iv{jkO1)`7lX02DD>PNlR~43ZSJRwSK0k*Dj~5SEg(pXG_sfoCL?te_>#15 zD-j>{@noJ>bWYNq9vem6@E7+v;Zk_O{GK=`#J=%0sQ6>J4Mx+OK{Un?h?ue zCphAtzdkc3$}SIlDh>Kri$e7RGAg)jca8U#xYe5DrSbP$%y^d>KZdGPxBx>8L*ef) z;JgmzG;>67tVaGYl{Am*juBMW`A9l`JS8-0X&_nPk%^q7!uA zlyGS<`JsTtEZ}b9cO+oYJgXw`i&jmUFpMWYNqbE0hmTsK#!2kkVJO9l?VvK(F4=C*`o4MS zU43CaK$apS<=Mw_mgvFhLk=^0x@VYpqg-co99q53ySiux;-*=QB*AYa%_k@!A{)};p6q*$a_Df-&Gl)IJtU5ehB&s(CXxIg0L8m#;7DFTP z15zVY+42qO_G@{!bOsxbs|3;q|dN6XY~j+4HL2P(_{!}kZ(cc z6n^F{vClUH`BR3j4*XN(6FA{1fRe7h$k&hJUD3YL$+WNTKfIx5_vEJtcrI8QaNqyv z-oJVE?)~dQ-RMeC4_}naKtwnfehXCSnK%k0KGvma7Qhc*yL4$@NmEESwmDx5 z?)Dyrly_!q>9DQvJ)4lwS;1?xV z5}$B%Y>rH-A-{R^XXTm_gFN-8Nu&rH*?Be;5QS=Kd!%-RqJ%yn)=c>k*boZjKf{ag z7{8TDol22LgAW`^S|G`Tp~WzO6a3a9YDs7rdIC{J)UDR-V+Fq^U)B@DpJ^dppQkXb zo22oHjOY!9;Rh(uX*(}rnSrl!jA-KznwlrsgeoFL*;qUJ1i^0d+)GfI(0WS_)DOqc z4oBE>!GHOs`P58;n?{TbGX2ZLuK_c*z(GnGeY;A^v}P`%)t0&2)OQ46HPp$RAcDV_&0B`Abor51kBI>UWi@`54LO>;!~ z$JZ|bC+tsxEjmEG*lxqb*4mF}Aams1AGYxYM88(PP`PC^MtK_$A~A_^m&Hs;NjNZ5ZL!{kw4_ z5rci)(ulk*Ar}^DCc>O_{NxHw^#lL#i^~&pc;i}F6&894e71Hpp#F<301$a3OD z*Gh8YMY3q{=$YY~m)Yb<%CFz&d5`L;ke!(*QYNxoxk&;i-%W>qCe?( zXOnSv)a?%@qtRqAniRu{K0e>=cVD*qbCo^6oNqRZ`SRzg81-lU>3G^7^?HNpU^?zj z2gP`JdplpPip7^=H{X^w9S&VRoKLI$r(JP)m{+Av=W$sTr(;o_j^%uNd%M~fcgGU< zANJL$@c}GncPfv!x8-(yvN>^?i}G%NEQ@>mbu~Zy=C`)i+gtu6jPUL4ZNVYeyY;Ea zR&?0!H=r68Gnoy$y>WLk9L)N|elecj-freqJ=!nlatE?6u!`H;14do!SD-J(`En>f ztyg7Hm3mL5tK2XCr(B-Eu=_Pu`X!qk=X}~1oBi_VhPex|zWv=d|9;;6yaVMYX=)m8-vv2e;k-#?yQ@aAsW<^YdzbTJP>V zLfm5iSRRjD#d>$Q7jnHVeqX#OUU!!J-Hr=U29~>3@u&lyHdy?63v!Sb{F_MU=6qW3 zcSh&yL^zg*{qa;h%y+9zdHmfsWLtWT>s~@kPGzC5viE|jx3^p2JpRgs-JLfZlRJ@l z=SIY_>NG!sp)%%jzdh`CWBJNt5Q#5!iGig2=C|M^X!g5A(7^R`=|6po z39H;tMz-^HBKg-&dO)uAbzpCB)bGo)865)`KTvRmr-fuPF`Mp2s*vF5xxz|zu4a&fd@u8?9T zaryopoQ6rQc8AJ*0~j(txOhPLHjDk|3o74JMz)lq^EQ(rQf*ZP1{H%b9Si)#XfPb~ zU?O|tUeTXX^_Gj`ZoOM&FQ^~w&!)ZcU^?s#`hz}RFq}+=#Y7$j@(4%T>87s|t%U2a^uKx0nLotuYrh_of7{z13#^SQh1H)nIvn(fS#gz;_sd zC@K0ddBh)-5YCCrX64rrf%$&>R&n4-3igeD!Fd%qlu z^__dVvi#Yuw@_ieJgq_O!@R2aX9+ui*9y%|*n$5p<-Ki15Yy41*YEYGBoL&w--ELm zH97*S{(jf_Nq7rA#tbXkte;F+=>d=Ba(`SA?hzKkAsUh_VVZEO-q|{tVIO$7*8|7K z(`k3opB58<;@V+4O#&i{=%~CBM5^Z3=(zX-3x2GQ%kYo_+-J|K#|m!Za5iIuSt zCVAm^<=yk+eeqY7=|UC^*jXGP4n|yfmx{dK?`$1l#!i5nzn6%PcPT`IqVCEKh_|S( z+MU6kD0&nN3gdW+*A~5$s0tpgmQ1eHAyaBAoj;ipm>RjtLS9$prVNhTEo_b{YI~lJ z;`ggM`J&7K2%sx^eFOy4L9aLJgB_!3zd!011H?Fo{Q;`BbR`3DUae!;b^$;HnhGL1 z%uf%>44(id{PA}$e&0Bc+;j{k5RC4)3(q?1_NTpme>Mw>Mlp^ok;oM_8~8@7Tt?4k z8&IoBjyhomv~D7I1V#YVJ@NXAxBwpXP|+j^O;`9Kyz!!_2|2lP98exE27^ zm;YJ(@cNy?ySK&bm#>TGFJ8R-@S*eK?d#Xi-+ZUT)HJAc`Q-X z1h6E+tk3SM)#AJJ_VBvg>ivoDvIjJ97She{0WHir(@`_ffZP}ztAdN|D7p@G6vr2n zDqS}9AcnA$S$_r`N*x{}-vN~w76YtYM4e+2MNpkrdjJ^31G*>*-+4zfzk2qp=#e%@ z9Vj!tA$#HTCsYxQ_hm%y>DFu0m$@Fq9?C$&X8SR)a5je9-Ja6Jzo+k zra@$Vjbk;3`j07K6!P&>MEgQzWjsv))vs0>Oz;!+>Wp5l399 zusQo^#`@4Jyo#0#?;KCyFnYzXTN|b%{45kCnidxS64yjjr!7~C-d5%O+-*YuSHvc)f&AV_T&eW++2W8LDHn~s>4 z^$|vQ`2fEv1A10~LE!Uu?_RpAa#}l)7;SrG*X|EE0zt#ELQVmTFA*TPX^9{U?MAHD zDY&AZBRB_PVwr1wp5y26+viNJVX?h!#W=T8JLB&l(5SH z8RBwK^hUkWXol?I03NYB10n#5oWV{-lW2*A`$Gg(C>#tC?*SLj5MUvCh1nD-B>+|b zAj*`a^JoPnsQ5HL?wHVe*zWY&^uo zkfR!QVMB_E$4e5pU|dubZG{)zMf~D za;1S$DR&h^XrnQ(3q{^4FZ`oec5+!Fbq34Qc>!^3aa>et(9w+n;w9VN-`fjy_u($xPm1)=aJ< zHN`|#Hgv|R0?@e+O&E@VqoES;;)9upPprPQcV_tQd5fx)4Ug+;ji4u+PC%@fkCaUU zXEeOlWHwhzG-di>DQ=%M%OjeL!vrLUjo?QtLG`dc_+6fLfVAWWRWoXuLD}9jjd}PY z3F;1WDQXSPnu`m%AkPdSsW%&-CN>^-@t+Y2eU`7{3rut)JV2OX3dcd z<(9r1)I6a$0+TPea=q%@ALk39xqCE`@Sx@yamj1V+a|uqZwJYt=2@oIL|0Aja=xQ; zMLCETm%^`WP>#Bq#9p&u2A7s1l0m++&6hH_PYZ8ZevPS<@Gt0h^#HVkQbGXE<{+CA zKD%wv)dg^H=3D4dpEU6&DHg~oG?U2%8b!sDAmVULoPq~dc0H4>i-|$BvDD3a_p=+w z`pP$+r){xpsbXTLB1}zGLhxMxKpekZ7*X+~u+$H+AllqXpePa60#)49hw2WfgsHUV zPEZj#BL^*7pwkJsD!hV*#3teLo#(k))Pa{3iIEvmJzK)0v^L-D#ogUzoGW^R;dC|{ zOs9x3W{9!Jv$3S2G-z^?0TQfN8J8bQRACn=>4rL!Gq!DUV#ZU4?6-o=;)I~;i*xlQ zW}8xTiA7gMbBT>Kal7O-SC|7Ove*Nv=@;N1^C! z#eXMT@Bw&S`v8g&5_gQsrafe*N7M0OIA#hg)~sKROj*#2d9z|v7|rZHNqS`5qeQtz z{c#$Fgv8dn53f=h<%$U7k&-2s)L_@0ikcu`woM{!#E3eA(}U|Ec=U9VBu|Q7uK;8h zN;jD}86{n6#z^^*&?37Y#aj6<*54vjO&95eSNQd9P-rJJN;w<4*-Nfxb|o8fxjtGXG-h2vsDlfZR&Qa7mP4PXP;m(0qhcSgh`=3(Tf-!BUaDC-wY% z#pyNp1-S&5=lBl-B&`M^iQ!t6O%jQ^nbdcuC>@R&P>y=V2pY!pn;=xr!P%Uskre`2 zq#QoYH|Wz4H&6L)qPu}$>8)bqn`*e5h^iREHLxa(5-Q9x3YlG$nh_8sSe8umRKom7 z@r=?AQY#2SK#*P1JRLMAz+^a?F(Zm5xB-#_!$H58px7m*R;-Dv_!5iOS%VY3qjb#Z zyQR*E&>LNINIyG*aRxYwD_T>4*Ns~s+J$_5Juq;ofPW7SC^g#BcAR=$n6VahFUbmU zt)*Q93R0u8)*K}_W#<9{(X6@oParkf8VdPws;HJa^`Uk7(L_rG-@wpe|Fb56-o1VQ z(YWsYAL@OL`G8`mvo~1fBnu_Kn>)9j533@akAOXqP1muwIxb|6o=oKQu9%|=(WsRV zU<>CP6vR5uo=Keh?zm^6;NwHwuqBqm5!*#1d1WAtSMm(uc^BnNF7k&go=aj^9=0aG zB-jihY`GLqTd4CTj$}5IIw%$-%8gwVOQn;>O^jDPW6}~I%;$Y=2TL_M^4RT(-I$3o zzfAu#EmAv5Q&z$$%y;+JjCgsS4!F%o@_O2%>*XDj=RiT$b&zlR+n}f2suGAf9kBNK zXH=3`D4rk7Eyz@7Gw+TlYzcD=dAnU`0|Z~WElyKa{%T;WThtPoP$S_)=gqrHLqr1jE2`4>2-Vv4w|hML8aHo zz$O{J{$3?b;DbRk=`0NwL&RQpVdzksYQZuq;w%e`8jaAEEWD;Woz+GN_bd@D+sfYb zM`4=Pg`S1}!VBYh**)u{X5=acC~Sd&!~PHf9(v@__9gxGNmVhMc~FPe=6+|CJ$M;j zsvc(r-?(N6%pzsqm2@107bpX>iw&Yd1K3uUP-=x&hLD#nE+1+AAZfLFIQNstoWwwgdx{wT8yM>m?gunYQKR^S)gkJ)bYj*XRI__u%?SpbTmO#wcDQz zN29?+kXiW|_|hK{?!+nd#9fH(1PRz!xaPERA0>4(-yxemMB7pyh21W4MwXn+M$CLq`T1dvh6T1J zx+<%5HvB*d74eUK4k(Gw7oXpL#yLfv*-h#=Wdo7d+ns`J7c zc&ZcIuVqUKcg%HB7aUE8=yJjyktti0!Lf@d!>3^t(xQiFx>LwHSdK&k`tc@H5%?Mx z_#?HoXe;oOMLSf)O{2xyF``q+aYG$`<)X~ZmY>UIahg|NELZkM2BeNtHb~c;4@!fd zw86rf)j6I^IV#Sw9dKP$=W^BS=%4-0yZ3KDzJ2l5lE!{_CYyd)bSbsd$xrUkl{Ki5L${isJ4{H#U7<^36!~+Fjw}}BcOYl z6?PWe(Q${~YH0dIlkH?Bl!O}%VINQX%t$*K;&Nput*rJ+Doy0hD_th+`M&l&h+Qm@Wmk_+Z5 zXibCWT<-I`qUwASUCju2kj5m7(Q=G>SAR4TMQ<_@YJEAn;{|N`SshR^VAaSxDB7F= z;Bb%WFmLBr<{kJ?Efr`s8*m;)yjGFdjX>>fo0eL)Xv4DAw(2Vdm#+&>5)v4(qg@SA zjW!n0+LueNLxKplau|!QJNPBwG&(Qt?zoM&F0Nl(eiE1_3PCB99fFb>k{u%EK9Ipf zL1|@NbYpPcG1s|)*fSebeV3!uA*%HPlfT!^ZAd9!Y>X5=p;Z<~Z}u(nDYkdWI-w!9+VtsMw@Ph&cKql*h-|gM$MOMgzhPV2oh{oQADwgCjMl zh{`o}J@ISm>>P}}j$(_pww_v;lfKNq8*a*Rd5Rz;ZI6lGbn0?5>f7ZpH2e9!I8!&x z={?B=$VgBgl&k9X*IcnmR{c{O;&*msTnDaWNBscZ@BINF-mKs47DGr4*(yfbbK5rC zC`uGN?x#VB@>v6z-KyB^uvw=%h8;VGOF~zJHp&Up%bjd%kvtzGPMfHh*C1V z=`h_)kx#M)nO|(%Y@a0%;D4+_*bab0cJKxP$V=P1mNXR+zM4KY)vHAYoIX#Sr-TKV zTrt;@s8T{_-(r4i`z(U1`m5*_+h!ke97z-lK<*%-zEMkd2a+MdScpHBDu>wxhj^Jw zf=_SQ1KzxwDoiVT2T{Pk*hCKUbHH(x5`?6mfl(Do;!G?3r;DK^iN9IX+!&aqL)gCU~n5XS9yh#t|HSbL!4 zGBsO$oUJI^n1j{}kS-jqku5uAF)} zjmnh&c+=tb#*fJGR^Pq*fdCX0H93qzc16;!geeu=Om zpxy40yyHJ>IQ8K#&x0y8ZMbSP!D8A%QBbi0Wf?$We|JY_MB1Vwh{e0?mT3(xv@Zh^ zwYhv3heDlOZ9#+dI@dDgL(+bX|6ywk&SpRd8q%uR+JOG1)bKZ!OtM#HY~+g5x_)$n z?h;2X%@kyLcb}hR#pg3r$V-MblwYD}MS^rOCJrnRZ6Zl%KA*hNmKX>YGMXm?aaZkz z%-hqm?cVL^N+YO5WsuXfHkefKeBd~=XgAHAJl-yC#y6es-WG4(ek@)*|M=pM`c{7% zg8Ri0eeIIsmf}hzm#~s@FxZV942F)k5t=PJ??1dt=jORun|OhE5Y57){@lC%ke+bNpWhY0)8 z_lvC5xW}qImLd&LqGM5Gu_k>fC)8$7lO@(X)00AWF-@n)e8KJDfW09)mPfO6DlR8b zMQ*2&O}f}R%o81km<^CfbRw1I8+caB>EXCP-#;L49pw&U6Yp3ZVImS`$Hz4~@36U$ zwDX^$6t|+ZU{@?v_E_B&zwd8;dHhq$q>(af+dPfEuYe$y;1c`Vi2I~>IemMCr`l01 zleCftV=|*$;+Q$?Ba{daV3EyYrMCciyai26jvsWn^IcV$HHc=B{X#vyveyT$hxTiI zX9L7(0Ayo`@vw*I22}wIXE^g!i49O2rGqDOzS>RBiRgw72AdYBDkl?z>OaLwqeIz7 zZ>iHAJio@>56ZbXLr%eg%}iM(xwFRR^QVJ=PHT=D|v8+99Pt5(gOCa7@_9cmf>ofAGw@P&F_Rf zgnS%ogDevvxMz1GB!K#1F$i=C_>_hs&5AY$&n<|wQl{%lo&VQx^Yk+bw?7NL?G0>mZPYjX+0%0;#GaO zS#=FSgWOo-D|Fl_9kOAT^^WAxjjn^~4VI|qDgOB8`?v33KmXX*Wm$y-I)b;=y*S*; z?{^OMPTCeS#goPB!6Upb^)A+*XvJu1DqIux>R?J79pjo8z~&ZgHBV(H@OxX zwzzoQf&WG_lG=jk1+CaNmWmkRk~A)2ZAWXQ)09Sc%#u?=)Nki>)4F|p^}6`O%Qr9I z|L~%C`TqUe_r?2{AKtxv^WmkwL8$^0tVhEl;gq#5iwp%tb}!^UI9fkz1uP~}*Wp^w zLqZ8plUACMzzNg#ya0PSuuGtXovx4^ZWSMxg;VEz-E~2h+vt*MB&w?J89Se3=N!7| zaOx&{NT=u!^8J|KHJoxN???|OLw1&jeb+?`H~YC@wQXaD2xZve0uMlrC*$D=y-(Qd z2TOwg4zP8UN8G~mG#sdA<^ad(B$kghPx3ysz59fAGFEJ~8>Zoa6qRwoBHEg8)6uML zv|-O$IfbY=;sR&IBLM`WqOLn;I#kZ40^WNJg(KTpqil=BNL5Julml7dJf{2_j;+Jm z6$E(Urr00kR_XRE?eOI`(^GrZ)B^ea(3=`kT(IPya)s#tuEROrq=HOvjBDMza;Qe@JFu$*dA3r?#>H*CMgi9OhxkB#Qo-Q1NO`l5i> zAYz1H64Yn9ym{PNV=c zYS}hiX!cWGJ7FVIA%_xJQB0psCfJ$Cgp2P@++ygW*1dOto)74K@)$;J(q;KRW<5@Y z$~GBac)lKXnN8TPj?Z_X5eg~M@coabhGGFamj#WQ2+psjs68k}DtF;>Xy_LE&W>J! z?agkFWUlOa6Y#+)ET9KCag80*Xz?iU)UJ4qzkL^ZEuiixX#v7v4rUgNBz9wR%xUacumWMOrV>SZS`K7( zf$~N_q$Dj+ODu;m$Bh&n zhsXd;XOAX0Xy$beJAv~&1Qp90ep1KbPoVTF=bpa#FC5qX@rS=ypv(s!K7n+ER#-sG zypYmR+IhiEoxpOtLZ7jm+^?Ut5Cg5hNxEK;=O=uxBC|%6$Oxw4NTueZr?bsnD)J#+ zR3P1S@5jXFGKep4p{b>Zy=BOROz;IT#Gpu@;IvD9sb}_sP2$Uij^3)E-3v6r5#lhb zYG=I2gwh*)rB4u|#2~4p3WVg|1ipDExiKr%g?VaA4^>h-DtthTVntVT^kE6x+QKUg zb>YYaIdXkf{qdt8|UN5`5rtQ*9hj@CDX4xwC{2f znwx7;t+Y2}<`!E*d)PKH#m67;agFH!<*H#ZMV&gs5h2)Cxg?@U!fbu5T2&i@LK?H) z2wgiiq=pe>M{8oY+kRCMDY$(eqv2|i=B=I0VFIAmPO#3F-adgzR` zDA)TsE-nXO97|p}%tp7$3->7IA|L90smUpiwToEPK?KIKK#W=IR?Lok@6V2Bk)u0) zIm4RhA+9FCe6{Jm29HW~j3%Gi!`C;s}??8^_%; zM#~c+=rt!+A43@@pxn|lIj)AvwclHexMBaMr!A!g%N1^Rgr+-#dcof=Ur6pN|R6sAdw zn%T~M`x#%#!!fn0pRfX3B(3z4Kdz}D3L$f94hb~Z1ay&oAw#$w5?53K2@q8ZvqFy| z4z*Yao&Kp)J4lc$ijO`g2QyT{C_bQ1s0A9izGdq1mCFsv=1<5^saCmDxFkpW8JV@O z_ot&uXnO-4*kk;<5<+u#j(k+lS!=#I*o!BX428p)hFnnbUu&PtK!A+s~kF{?PXAw%PPs(MU~JAKp#el|wBqSr`Je*6Le5kT{Jb z`c&PF+?LQIN(_ZGtS*ZOMF^WiLe?iQr4qPn(0Lmc&2k}@rA1`mD}iwQ|uw{iK# zB5$N^J@g*qd@GDA-RVRW1zI5d1Q34xSM-3X(63w_O9<3rdGwol$@5PaonN`(2 zvUk5o(_|f)kr6K-$4_u715%$4yo4ck6nk-+cDv?QQvfb1rws z-KD%4{EZhrt~T$g`u3LpFVB~Hcf7Z6F8f1SeY{l1^X_!)xbwccl$TSvKjFsh>hbe0 zz4zLaY` zs2q=$%h|Y?OpD2Qj{hts(`mUZZf|#I4D#_*`>l0#U#>Qr>U^dQZf`erwYpTFPQ;8vrivr`qc&0@$o)3#G?@$oE+!LQe=q86O$MyPA*s;fkKSUqNHL5bwcW;5k9gOx2qvZJVM15 zl;QSvdn)ht*Yksj;*aImF0#k?4e=Ck=|IUg?P#iE>!44Or_=l$w^RZ;`t z#(+eoaM^RzC_hE!I`2f{`Vr;r{^ZpwkvA3(R?|R?&#}2shDafwv9$XtaZXfF8zxQO z2EKtdtm%%iEcDDL=wH1$Zcm5ZKm3|U6V@54fQPyhE=R-ZjEJy=tmdO}F`W;~xp+xl zQf=)OGWHCn{I#6q=?L(-j4^GA2aebMe(+%hXLSc3aIW@uCB}mpt@rpIih3%y_32T? zV9$Pw=RBSsvDO=35r4xudvjw~iU0D;@u1ZfBNt_<49{Hv0z48T6w6{cTp~s&CZo}C zzL+l;1>^xQekgH2BB3)>t$Gh%EdbjBIK`02=u)Kn`xOdL3pDJrxPVc?*FWq({O3U* zc(>ZXQ9gA5ISnaVW&z12e!9J7B!Uk!ycBWvtt+2#zdx<%`9#s)Q`5vBihW&=64yne z_UkYY1stjv{rROvY;alC7s$$Fyjfl0KB~Jr21{6J_)a(h0%-kk&W6cPIT}QQV&3I= zIz%)zo(yNBVv3a+B77?+Fx+&SI^I2it3k3yx-AIs>A1m|;3kMqV&FPSUwb#U8rrzp zKb;W^N=yN;SWFhf#jqHUCi6uxo-Jl&u}og!_Jbj>D`<5UM&CPdH~pXg{6qQn`ya}m ze|+=$?YD1qOf9r|`_)@|KPYecN|xIF;j0jO+Zd=5+tRiDT+Wx{<*ZnY=f!fioX^Gx zn9E{8HQuZxy2(V}+(~JV7sKJ4fH^}vTNLFC2C&_oANQ*#yN~bNZ8IAbnd1c*ujt_Q zcwev9sk}qzQ4ANv7_2ZXZG@;9xL+0#?Ax2_Dvk@vYF`~_eSnwCYImgOQ8M7 zGooS0Bo5@)r>#%G*Z<{-^YQx??cQNnUs><&A1>$Enr{YN)*t`)r?=^xvvn<})8S+S zwH}Y5VawTQJ{*-ZsFpk{POQY1%F`WC@^IZF=sz7xQ*61mD^?)M;Td;tWlJ+>fXI>HbNdU7Kx?D`5s0fu8li8@8!;CWgIh_zTsE61f2u5PlK>W=Bkm5qA<8M-VnIb2y zoa*$u@BZ-JPrrAFctrO5`4{R_(@br>LZn~S#0tK>OEpA)O@DT7DjF4p5vs5v7b7C4 z4Q!Z9AT*DsU^a1pc~rH9Pt3Fs+u2txR#U<4x!@XgZpeW5AOnM>i6#Ga(fZ6Rm=M0aw(xCb|vec{}(p z`kc#3Q(PN(a0iHvI&`^NQ3eihe;=#O6)6(kj6ES(p~OzxdI$M+2+!x(JP}#hVl`tsliGfkC+Q%4R7Wzm4;*KDt;YGpyeJ`K()L3^8IS> zc|_2t_;Jl^F-0L}I%9UM#CDfuOWOySPXnDAyJsJ!*-|E@PH#v%^u$>osa`VvoKF?S zFzi>dXnQ>zo|N)3Cm*b!+l0!&tGn}d{RT1S57prhrw<@9HV>y0lwOpkCZC6uiu6Vy zCmkz8`pQaKUGivs?J2}pFeYp zeDx(r!vped`VaggWE}`c5IUSIIE4p=#mb+ zk@{#_%#rgh#)}D}{^<}*v4mw?1edVkfJq|p@Ngr?-TzZUAHcW;hnm_@yjm$jL6FLN z6H(K2B3sKKxG%r@?BB0P&|M#n|M6MGlk0P~6+KRr6hJ>EX0`c~ZKKe#r=NeVJ^snR z@p=G#T(`lR^|dK=iQyxh!8-Ayn*q{_)f((WV6I|$4XWdN`J1}@a5_|$FRO*U?FL7L z7>KBc0*u>X7F@iiZttywKqj;l3hAt(b&tb zAt(P|1sd}YqC`}*XmPW(vaCsQA#*Qsdr?0#PM=gHQ&Nxtht;KgK*gj2Cn8@WbY_Kzs>8K2UYpN71HmYVR5^pU zheD5s$ixtLO^0J3(+q4T5|Ifp2Nd*&@^JQoTV!HU= zwLV8mVZkBfUecvuv>O8=j$3lk_qLL>uhl(9%7hM z{PQ`DS|r*x0qR>2Jlx)bvM=VHcxxh@bdmgsL}&8_duB0Ua?Meq9eDZDmOVq4v< zu2N*)Rv&}PtIJ+pP`ov6!f`5Gf{>5&3PQ^Dm0U3D!x3`$0$TPG^0hwqZ00F5moh83 zs!|IxTAugP41xy_Ay+739#2d>_PY&g3)FEC&Q@x2;fOk@-LUK{IRK0ky$v=-sQY&r%T*)J*=EZ48&u|S0ec?}Vf^z2kh=hPU4W@*uFuj4 zMaRwQ%5qkDUq|K#*8vZ)fwy|vpH^EX6q;Ntlk_K1Sg}I&0hpx~0g=Y@`Eoc#rHx^7od~p;Peq=4QI<`QO?IYZPbhd#oE4e2F78$ zED**42FJt65O>bt|Kdr=g0*iQ%|I^Cz%|b&AT2<>f_E-wc&pso6U-1Sq;mmPDPcF9 zbnxfrl7X))7MK}E2(iqE6JU2aN2S8!g9{5kKwOoLJEko7O2f6DvBBDS`yTbmHR&r9 z&-|fi#?bZznt_w7;!v{)2rmL>&N7zXnp#;8gXiQDI&GHY#cVO2jz*aDVg@2lRs+N- zQ9wD}7KfLM42y`6W-%V|LGvjJEc0cFa$_Sixaj)c=N5V3B(n`NOMUX6e)_Te?uQ@s zZU?xOZ1Uy^uc?VbUM%Nu(d~u=Opjq=G|ztx|kS6o-oSa4w;v2J1j3_l06O z-lgd#lYj5YP?jYAgcJ!~O&3*2V{<>UIXynb6S^5_(gk1_1k?%A$;6==mOk`n1-Qv< ztEp;sq&FILYLY|2L?NmRGduuWK%~F7979oO!!b~$fVHD%0ZLoW(KN$5wNeBd^%cr$ z&2IT6B3Q(QEP(-4M`JYJ%;wV>a#TQ5EEftCM|FS~O_ z-C$LN-cjJ$V8J=!my()(^L31*lN`bMPKtnnSOH_`b0eHU7EklaOXxc$faRRZ{ zo9WFKbS&P3LDXs5@KRv#Jgk>FV}J8JWk zekCFezA19yp@kX)Mhq?t-LoBWK_9vcZCzF$nIUbB)MbX=b$A>29FW@5U*7l&SLamxG7xl{bayg{cGMNr4l7`#)<-O*Gh)(~ z-9L^0GmL5XH?5ygbTNx1q6dsNmq?XE*l4;f`eV+s&vYb1XjxAAig3kyv|#uuXXe5R zpgnqtdctHpLWyXKzV_9K4HHAc4rL7bL_GxP)zaure5~MpPR;m94_}Z)MsotAo8-NmAy5jEyZj( z9W6nY7w9en>oNgV0IC&yJe$rnf`rQWVhva>7m|;VgCr5`r=T98tXy4S6l?T!SQO<3 z*(PeyFFJ!sBKjLbLlDqSv4l!?+elN3gs;xf%;(<0TYkb<|Fq))RJMSJ>L6;_Zl8OB zqHlttHYAB+kitQ;_n3*!Xf~TnCyR21gr)k3P7U~E+F*wZOXZ(cBKo>o#alKWDY!n= zOi=M*8`Bw~hT8xQ?73o^RVg5^&<4rgte^kz;iKXGx4R7kAJoRsoaliLOJ$0UR5piU4;{{BF$8Z5K8s`?R2BcmNao7{ z9x)mY2&L>{MwZd6DrSd8OnKvooS<8gS}|HubZ<+l&o9Orkumi)|Jh30oRp9OBP&@l z!?exnqIC~;GO*ZTk(kp20THPfsDoU=WuZi*&60Atei)pa@HKLA-pWali#H`@Kt4T> zv|_>MdVri5bxssIN7&v6YH^H`*R)W9VH#{=WeF~sr#=Jf-Pu4WEJg+f38bo$z2w;P zfr70;3nLPDwub`BM(8e>f$nV5}{h!V;tpx+!KIU4#4|PFuvJr zBW;Y!y4b?DF*EG7DVIb5 zP^cJ3;(H~igxklRl$Sg(7u<#7H^@jb52mj4;;8Q!Rb7 z*kFdFK{I+I{FcN*xYX7C6ZiPgWrRlF7sf|OiN{Cvr?l>BB#Dy0MpG7iz!f^J&P%ds zw*24>O?H~uT7pb3 zFai@L5X5)vKVPClHr-cX@2xxW;EXI^yD;Bhl@xG)U31Z4orZ*L+td zr&Na&F|y?jtr;@H-mqTLHrb6VjwznCxGaP3{{F~MbfB^Q%Y&)*pV+Ktv37s{^RGAF zb<(Vn29rMmtYOS8(mz`OazCJ&v>O{-1A6w+Mu(Qj8G`ot1UmvJh&p3A-_=#>Z~`)g z4oO+`hA4G_ZM$@H^|oqFF^t@PHqfYDksU$Zee;c1hH+_hM0^U(|96)yw^u^&{rZ7N>v8aTVkR$bHBb7dX*(z0-sVI?{Pn`-Cakl{Ftl`~lac4dlvP>) z7J1RXp@FTwtWjNqbflfl{>pRWK#}zI(1Iedg`@XD-yONGxYy3mGg&Ej6OyX5>NPEG z3aw<~i%u+o?AzUitw?S<;+_WdXUvM}qCic1QZAB6j#Q(Ev1oD06flIPy&GG)=JZl~ zWNcYs(Hjv6>PxD8lad&uq7Y#08}_1P8N7#6HKE5Zi=%ToU1V8fWa`+Shw>uWadfhw zi4EPfON*b37IABj*_-l{Vv^1A@-ZtX+KY=J8VryUpuCCQLK9@ZC~#_>)fT}a#CPtH zo(HXLaW9dt40KpJUcZxd*uFw)vv8Q5gx=WL)Va;UO*kzrcYhirm4Qb0)&41iewN|F z_8*hL`LV)?HV^MQGA&V@W)GciQbIs|_O?y61qMyF$(OmBJvee30_`ECweNGHhMwBO zm{vQH_FU<%63L&_wP;EAnK~k@{$?O&(j4DO_F(o$#x9C5^##7jn&Wx0>ynd==~l+W zQ&<^DSz1l4s8DT^UiL(brM2D~LZ)ni`#KQ(daN+Z&e}eM)P3ij!(eJ|Z-1d_^AW$q z^R&5O3+5KP0MxC=!6dH7t%OQz15yn6i zGsLC{Y()z^m?)WqcG+}QxiBdiZ&%oZ2Hy?(X~@Ir`$m}N5#XO|w9GwR@5w}hZ*e{pkJ2ruog=_j zF3mYh^yju;Hj}Rd-HUvN30h|RTeF`|!^QGT%;0&Ro#R9)n{eo19OyiF$%YSQ>{X0b zryCzF-84#9h?4qLB9-ZDeU&^kmQa!s|oa*eM`8f0TIwuL2rsZ;> za-|5AtB++?7YozsE0V^R!H~=*)QQx|4&roowTsbif3EXCexqm;oR*({LvR&Grkl=e z@daABc-_03)dR4n1o*N)jB$F=$|{kr-EqK^X^>y?2?l`4u}4b*T+b2s{hRO0A71}b z{^h$jZ-1}rPh0D11;$cHV@)Z2>RL!~u^BJcb*77|nVij4SrNm`LpQD@YVwLp*!YVk zWI(Rba)JJGEBhdTn+(D1qJWCzu|R7qU5FmmM&YNes*XGwBY*w{>PmkF(J}lVM_cPf z0f0hmz{9w1-edYNl&&_1Ew?_PX+U-go)AoNT%aDoTCxM)i6oy`P=2ao&*q%WgJIO@ z2p)I})!85hu6kA2(rhTXNmGtoR!CfE?kbC9NE6gTkCae?;4q^Ql&`U0k&(yb#?+<=D+rn{^H)0j#k~<$ki(g=?Ft_*aB|_69!q}xJl=~ zoP6xagxqatu82d6_+ClzwHq$ar?gMS+;K!Ho88ow|Himt?+D}3 zsLop%nr}sO8y!Mx^Aua6QF0z(6JLQ7Hz%$Haf4MY2cVeswS9*@o~GD3Gl*uvzUyoV zxjOc57NA?oy2{xWl<9>e5}_U!b=cT;8$F@&(OQaK5rR5BgYta8XO?jrsHZ4l&jBkF z+;$f1&kVZ0!tdj>yu5^_GcZB)GuO|gUYfzgJ$D)ub*+OE!g6*~gKu1%^wh}~G#j?L zuST65+mz0``(w5JD~^p4r)_7m$feoBW(dIq(EL|>FG2Q2-kRDQ4jAgvzI;(UF-T2B z7*ABq;pb2=H!fhn1PKjtY%ta$c((m)`*0aB+Xx!h?1yLwJ5%qhh=xj)9A?#~7fh^a z@+G5<{YG{=Nk=jo3_i~`lLK#E-?PQ(J*Z0iZY`H`%S9X*b4{k*AYrqUY7>o-G+LG0 zA%Hp{oibc7{DFh;(q)Qe<1ML7DomORoO>L$8HCo&~!4NPh=}KW3RmHQ1pd_ zh=)I*Zvjvy2=~8!cqTPlPJN8x_cVD((n6qS=W$@^$Rj?WpZ`SxK>QZRdm|QxlUqYs zRv$<`a1e+$ToIIfzs>-}mjn$~X>Vl6#T$ ziJ_F8jD|2x(Nz!dX{OMAv{i;_U4a|#7=c>?*E8%1D|<_^t!Z{54|jni$djw2CP@y{ z{%yzbGN{V@2z7+|<2Ww_P?I9HzWTHj$%;15R1Acf3#~D-l6hr0ZjOQ;u_sT6AGc9C zh}K)?Qzpd45<&{uMIVBX^u0m;TtM1e+(%jsY`N|WQ7RM zE^LOtG0}u8Ybn#CI3+Ym;p3C)xvj1gorqU<&60VpOkS;%OaeHDQ$3L%p<8G%#R+R@ zIfG_5ji?i06_q^4NsS(|NdCw@S+P(W+gsQkh(m1_|U;qJ4TZ2Y8Sp`O>}m7t|(t2;Nn38 zw20<-5XYbphxhK7q~V!Tn~!ysQ0SC*z!^sEDE@FK$WUs;S$k+5AE9p?{oH6hWb3%^ zF*2PXA%ql_($T%X5EbJ_B2M>K9g0JR2sn$Xh#XP|TLJHIPewi`UqMO;LU~4tJ}>A3 zy4Pc^4|3~in)D72JWs=X7^pJ7snnXFhW2d+oA#1)#GabcvyNrCtem;;IA@J!1|K5b z$TQIoAJIW)SNmFJ=FuCVa`?jhI5=j{34-ZWC_W*(xfL-`2_k+;=FnXhZ2${QBU^~U znIhlo5?W6+g8C&tIsZ@UqVrc4Q3gAL!a2C8a}ZXPv`Ut@f?YKo@1Dj@T_mxgcCfB2cxKj zkm1UKz)}J?dxkC~u1I8^^wt5H*;F4GE4NB}+H;kehEwpHxc1SO3;5rjj?U)RrhwjV z$H7T)-BS1WMH;S+ib$`4#TCq`anBmik3QHB8f~gJ_gaTj*{ar?uQX6{G#F(~M+qyuHLr|Ay7@Jmf zzg3{4o@e-<=zHn-|LN`PKfNt~_wygV`Dwr;9lb(EED2U8haT8ld*q0S4TpDP>&OzV zVq~qcy9}qvya=IP?#&ZN;{qY9X7dyqsI*XpYX0^r_5ax}cnDSbz&TsM%S3{h~v zdCTZ+#jY>(DVEcvQU6h{gz>Rc|J5r{aQpS?m$ts%yab565Q!aNG zX5SmKc#9Ff)f_>r%zhUqQS+?S*SAqmmgi01ky-kCf(hxc+^S^vm`2ca)fvueT~i0 zG9av^9aoD;5TF%jM_%XbjGH8ShN6lm#2^+ez&7Y6Qbz8 z4iF%^W=Dd!7(i%oDcN!@f}tM_KM^M`Po<)k0IwiG)Ee{nqHp^| z_lA+|ZZ?mEAI{)%LJ84|h2Amb$lSmY9hMN;8$DWx!ZBbTwg?D}&f>JS2$EE~FWbqc z$9n7UZ^Xi(ON-7p2ZkgJh;!p!Wq<|iaSiT?MYVdba+jPH*Tlv{UwDVQISe8?X>yX) z{+>s@J{+VP;FVAKxU}yj1rR@1qn}51jEZ-?2F_Yd`GuG`CRAf*-*a2f$C8GpMiVjv z3BF;M!VTgTZhmp=q6Qzv>t)bJ19|ZWDYB!wdfmwaC)CZbsdWfM#JN$}Y`eq;%f8r0 z6vXpK%KFzU*y=cgd@lW<;@lL08o$t1D_A4VG2o-;<7x8nzX`!a-J?b6m+bFp+MIRxJXzmY5C^&M=}3ISPqyLugDxb zUh*tEmi6NO`Stfdf9s2Yt~|Qk?2%D$oqglDmKwf)%B5wL6(f*xor=6(1RcFz=Vyh^34tc zK@Fdpm%!P^DkX3v*(~9st}*c64;tVHO;EeC6%&%g*D?JANA==RNSxFS*NTobsxf0N zOjZ}c@5=PZ$0FK?6{YXXDCZ1#vIp=D%-KL>;%77z;L^`>8mhBLCJI>9FGOjeFC08? zOuCeJAM9+a>{GkmSI}oJyR3TJWJBeFDxcYUPL(zcU}}7he~@D5*^5{XJv*XzlOBYh zjoqJl?oH(dudoJoBcUwoQHrY@VGP+S+UK^^&1TmYh`7GE?n;)xBQ@n`ZG7~oDn&uj z4!?yWiXSrFn5q(LmD{xSnrPi6)0Pd~s$BU~qFfo4)|?Tmoq(wBS`N!K&6V1fqY*F< zF~wOQh4751%MSHit=29JHQ{ETG)8GZAJS0^bAcGBC92qea;n`W3BCwH(oZ{lRDaA? zh2^sd&+YlyRK;}Kwz=FUan+8I{3jXo@ub`=cxeEW3P)!tzqZCg9V_ZcvM>g(*rIqk zP!Dbgp<2^IbbgDEoNE&^@c6|B?64e7iZQ?pjPHD=Kgie+@ETHQE(~u&UYpuuL^67? zCu`@ghAK;Hp!+E$&FJ+t&Fh1xUoK}--YcNp)8Q1l!y}v%+v=j`DPc``26D|C`4O&f z*qp5E!V8+6TKFqdGe$u#W^1H~qmtk=fsK$lschvVuo1vI-cE@`m z!K1pHBVMi|Tk8Gw`(8vwR%Wt_lGnR~fh84-Rhe^c%R?g^ z#)>`Fmzxc4JL_$a)v`XXs^$6aZtphRX1Ur`ZoPUjAmyqjO2m4(+pU)CcLV31){T2= z?%mg~f2{v9)h8TRf3Mc(?eTDTC!cQ*+p{x52Jw6VjfIns4s3u#xgqX%dy(GzZMECD z<>h?bFZrYwgL^O}2&`K@JMLT_|FiqredB&i#XpbSn%rO5xYJ?V9!vf({Xovc#dD;_n`X=4_3CXFAWw8`ESmk4nABTYf8{RkZh#V zxpr7awcLOC+0~`bAbD~VzI@)Up?r7@p4HOrT;ak73h5&1;*BS~3F6(Dc#!9xf1yg3 z$~x}46PyYu-MpdPl;Y#$EQ=0B$6yWxLj zk3Zn0@kU7{C6V$HoP(A?5TFA)Z2iRmCd0w1td7n0{Oop+#$7CO8B1Ua)n+shbqKL( zyD}iVNEWmf$!@K^t*Sm$>&sb9NQXp_>J*&nN!Lg=rowrsKAhc27i;R%WCjEKO<86) zVrh`9#&55xtIdmnJg_lBsjbhR2bJE!l%1AM!#}ITWv`p0`kbFn!Ekt;j7+F2X2bbt zIvGtS-y~0oJAgHII<^_joLpxpy#8ZU;Tqo35PPW(8d?rsa4(8Vd>AaZX4|u#$2@^4#yD2Qh8fwdBBo3B5ccbaF92R5PlTk4* zCbP-7n7A2ynZ$yvAFK5{_q2sXgQ#i+Ddzei)uUd*9%U~#$!OeY zQ54hJbWtu~+pzN~IOe8By8?GQ*DY!318*ofqk^WY!Om>a-s0nZ<#>irVqVNA!*Nj# z$CFZM)as5nmktjdIsZ&hO_V=8dQ3_{x{g1cqwm(0d^t5Jhl^%4OILaBaZ(^PQ!-obU6 z-8fLqXxk@-k(JB7LE*TL5$&pkhh#%0d?B9ow(7pwtll!n`u3OaA(>~fyKcL2?)x8q zalH7q*G?Hn^5O#xhsECEdz<5a``@{-$+bX`0!Mv zBUygG+`Wq=S-#$-3yfQWq!}q-oZ-dqw-0VpQ8HmEH}3tihS%2@>YjqX=rN~e(Nv^n z(_smFIvy>Mc+BR-5H<}mdB3eGQOqy~_si`LuYmu6wc2bc`H1m1k7sv*H}M3H<7|zj zv1|=xp>&t^`GUayR3AS)yNCMZieflf%n%jM_4^1q8Aofp-$T_*H7x#dbV(miy}JCc zSOqsKCd1L3xmPh8FF=i=EZi6lP0UhoxsacG5W+n|a(0yu2+Ti!Qi~Kgh4E}HyQ|owsj3RiHm;C+4DOznhs*QS)O32 zH1OjSqli3t+E6y7S+HD` z$Kh}RnvKR_UOAZ{K5+|B3sRqW!Ts{&yhmz7tJ?xA%@jTOk%606Sn9XwgL{Cq9}L*=pOQ$gcQ+ycLO7N+m@!mUx4)n2+b6 z6(ZK*Y&@Dns%D7XxJl-&|MIUty0<_4=zji-d;RLoFF*hE=2c2_20pwQl<+>O9L|a< zbZ|Nvm*WKjt77aXqlio*82rwus@{oL@a9)O5KM!gdbTjR@tLaHAJb8a{3fK-jqEMI zCbnrj8PBIMh?4=r(G8yes9Uh;$#NCQ1`}s-WzZC#hr$gpleaKC-*2Qi9eEz4SA@xD zq;#QlS~&?}xDb@o2&Cte2?OY|SO9Js&L`86n<06ichxKwLiF%}TNARt=J+k0D&)}X z$9G5&ZcDLI(WIfldtmQo0K5>9Ps#-xi29EBIk}EA2OiL>=<8LKHFfa88`NY8Fhy8P z1Jb4_WJdeJ-5oO(sH49`FH5y27(!{lzmL$fWZP>u43ty@97l8_VJ*xS1Ww=^ir$OC zYUlkOfs4AS*D2>0pTlyi`C9&B|&&}d6HFC8<4+lWhIbP(9#BZ8GH%K3CWg&{+vGFvR>qjEF_6w^|YK+@)T1gAr4xrQ@)$U`7f z@+#H@v-4(!MON2!T{1$TtERc32W(k&MgZ-bW9at z;K zZVW7=oXy51z`Q$WH)feJb4mtkiB!T^CBIAVKLcHM0D?2Z+8q{S@{ zJ3b6?lXnUra}ChrX$c#IFtFZQFbYO^+qe86D@v{3`4Qg)ooey)gY97rARi9#ctI?= zIerfibg*9imhsF-g#8Rda4JCV3w+t4;$CcY1-08ENXuCjC8-(3jGLmFY2cT9ghwh! zzCEtotMT@{c&$4LckQ;TdRaeHEp{*yAy0z6xU3l0>HVwY8Tj($WZ8&EB>jpl>&RBw zjQ~ct#_u+KR58kSx@Egc2%~i}pJ+DB0kqO)S14i$_Qv9ru$y+}OQkeDJNN3>3=ZIvo5v;K z-N?~-%iNWY3w?c>q5HP31fb-rt^Jw%3h^bJp1rtc;McmoroZ zrcjBXxoxvKZ(R!D~h)O+(qOT?Q zhi3AuUgv=&3sSmD6KYwxe}_*vwWLVBGK`LY54Og~M~R+OMcPC`Skjw`OG5!1RkIqb z4YRxcekMak*NzAv`3OP=$P|gbTRs7$CGPNIz`Qg<4MG|0?rsIDB5VaBmTX7NFmwu7 z4(!BaG+UHoq>;0UD*+{t8j;UkADhAY_&$9CKaZi6@f;azL7M|DEejy3rRb-Y7timR zw*XzPk56cdVK|FMi9>@p7FH3jTkqVyL55L3SU~9wY~U&_2tcS2`)#mhBG<)8GGu(; zBEd*6SmI3EN>nlSM?}3xUxSM%MMct=32seXI+k6;x{X83?A~py1eNDfpMQb)2{w>9 z+j_s*5!<6G4-`b#Kn2FVs_zM>U)L7PxszF%qXt>dgvc5=9n;_6!52B7OUk)s_#z98F6_^S{#pJiIzxw8tileZSB=RfB zH35;Q>DPvG}Q86>bsfdTj&{M zEvecHJ}{dJ%wHg5L~)LJ%G=S z($lzHLssWe!ZqrmkC_TC*1Yw+;HXQ1|fa%peP`XIKgDY#6zs&k7q*TrAN#U;IMF1MLhjBf#<}XgeHD5K5u= zb-FZEs2&q5mEG4kVA#bRb(a#*Cu$7S;T(AKq?J?O zp(NGc)EEm{(1oym-0n6=3F1%n`R=`XT}K|Dq4N#(`Sh`Dt%8oB zWrV1%5b!o@TS5qj$w3J}+;3W|dj0CVSFd0F1V2Tu=EJ`Do$A0tk1iTk2)n9{hMhVi zf(EK~;CePMU%FxR_mKkt?H4J@srMGL8-4e3p58Y1N0vC7O%b!fBM&rFDG3MT#-WteHUJ(r$U!R6yY& zB1o~5Dx_Mit~O)k2{pk)C~NMW3>T%g_xc_vc~%y?>%#n2sm@-rO*~;lq`1|KA-0Kx zNtG7kTk8`Z#n#NewijS2{>}YlZw@Cd#M5rAmWOxf4mw^=Uw+o}0m;tjP@Ks1RE3I| zB;*Y0{Oi>a@q#aXdcFPV>coT!#;BnMT-4#6iUh)6Y0ziHA(#6E!bo^amCeBQq8SOj zSri7Ci0l`ATWp=M)Hjny*kB;=4)8esOpU5QcN){Q%#O*_UbXd0oRDpTOrt5X^?L)Y z;H>wNt&JE`tNcQ2Lnh~LjGkibYKA6|;cSkU*Wqa93ZEXOh+JRd=Z%%B*9~(RtvVRO zJYTZ)1viTtAp+9Hbb_{J1k6xGROHd{5Nwb*Fh1H$2MqnF1e?&*0tW!aEf$M~TL5Gv zpAz+ykqc?L8_@C(;8xIG=WWM5ri%usSV>hoR5jA~w#!797xi&ELuU>^9ITT;G-Gf; z+3Y3{Q!Gtr{m8iLdu6lu!3V>F?1JAYK5h3G>t0A(u)G#}+|qxxN7}h$uK{vJjYZMf zv~S&>Q5@upur*1tdyDX`LDHg~L)9)r17e{7&;qOn1wdcb4bVUv)D! zCbAsN8C%U)mj}W~#Lc2vG_jsq>!EO2S+B$I*lPHVt0s>SjNw!1pF_)M+f5~ru;(V* zPT|bvpw@p4aV?jVuQoIGnf0&FaB-U%mb6hk+)h z%2gxE%rjCEENh!o;GRm~!mjq@ zKhlgzc~s;>h1p|4L8xKm7Yks;C|AL@;y>smE2Z_45`v~k&XWLrK{VxAWIp3+s~*aN zbz(Kb-)+>)Vh{)16l0cX`^EH4IYS5Cbc$|WZS0QmZdtXxQf+sD05no#;G&&X)PNQM zjWWTZhQ~yQR48ah4)KiO{d?bMdKFd*sKLU!e$)12OJe);&3ahsJSkM&V{7)njI2sG z;-TCeC-yvHC9?*&pvglVk8*Q=xDqS29rQwn3b6ppw@KFq>!dz8dG#a~$w%CPEZ;*+ z?vF|)k9k=Whgdc=^6H1)N8H`XU;KVd*i-N?Pd-E(a+MDKboK#?8vy(CWfBv>R-$#h zHMssIUCjoY?frdLbW(@P2hqA*AqGJ&Vuki7s;NQCT@5ROl2AXbUO>DLWqoOI4~s`L z>0!OQY?$!FaET8WDr6d!$;}$66h`6h@7Ze8#?4ok88>HLR`PT@9$S~);Wz3FmfUTg zm{9a$wbI^gRKAd_`*B>fU8C-P(EZWItSZDsl{F=|`sd$fJdNFp-b5@4Gc#;|pjO;l zbEr4EI^LzXhA9HPFnexBH*)zpy|=3%RvVhP5{uX_bR9R(Kw9BImtNSr!nuI+Lrpl2 zngT3Rr30uTV!b~?Ah-Be93sEfYO_`4{LGpdIRr8t4dSkF1J7m1A7*p0-p$~CfBu7y zwE+CKERuSZjE_wxP#U`G4o2wQ59(WCl2Xqa2D?MEIS8C|7W^?wo+qo-uP#|%_ zq?t@h7N|HOZ$V*HrSN*Lt)o;*@KqW?6ys4nX<-eHLZ{#Q{lZZCw5e4s4UNzce~=0! zv?>jZXr&-A+a;_&+Pp-WrOoRJj)qAQ+8Lp5VF-Yk^yNBw4M!(1^w*vFG!r*Vn{Sl^Y>a55?65|w$fF5q&z+Fi;B_uQVaM2(_j!wmmS6StX3?!q!iKn0K~CI zNKpplD;Yt@f-;%qwjl_U9cZ}@{TLxU->ChZ+!BK8ic;ZHe`5%++9$bL=A}^v4YN>e zaejB;(G=>+k&`nGW8a0>lMvnl7`qA7ShI<|+(b)ja)?P6n5zKD0H^`&6F`qKU=1up zM+%Z}NRogw6wxetAb<$X(PmcQ%mp__$-IT&z*db2ELPP@q|rva-#y#jgKLO^8@uR} z;g4C{os1^7P9N>c;R^kIM@}W1GR8#%HV^f3wF<4>zJ1s`K`=uhe(Gt(mJwxw_Ev=K zUWL}LS>+st=7a(OI+Gr$fo#G<$wH{#);;xMZQzsvB5k%o;kQ&a$eiLON^@9GnMLsP z^$1~QyIf1uHN*U$Uc%aA_`Y6TL!Xc#zZTf1Q9{&wBBg(&2mPFuz<&Ap&0F{N&)@!= zUlju-ZPO4XproH*AgpUh4Q6PIk*8(5j@FUVCiZ)toDxZ70)?Egqj9p=X_(j`gW9ql zm#s#?&Jgv+3-VS^n2{|Zv!R`Fw<5uvAN8TZrszJm2a-D(0yu)|N;7XECqMY%TUk~l z#i8zzTCcS<>b3Pyu4li5w$rTRA3(g&u5{r!63`YL9w9BK#1EYB^}oIKJ{kM+vwyrC z#2Efl8^e3jhwMHhWB*8a;Nf-bn|#DXlq~E92F%dMcbM%;siwrW!xpk-(khyrOhY?< zqGkLfp6eRqREdkmI6pzm`1rUHS0yaJ+9zCT$|U>kswhzLWQP)JQAz@d>@VKV^RBCG zv%{Rq&LG;Fr)mzy!%$#dkC%tXv>!zZ2|A-Cpn(?HjT7>zK;0pWQmG9V7T_Qvi#CY z`iHs{k3%5@TaIQ3anX>E=>&ye{da9WnQ>-la(&nmR1{y+iE82&EWsyzME;?sn8CX6 z2p$>p7i%KJaLme}aR!|k$Ki(rnZX%x9~}>+T1btWe)%J`pt+f&u!uHn=1@kGWOf%4K%Z%@Su36zCwuiAmB4 z4N>$o53z$LiO3ijUl>CK3-@uT8ccNwsXBHUEz@O&BihV&jRD62hnk?HZ$EqU^o9N3hDqQ;#T>KM<^?uio@P`X5uKGMy_M9P+(6DzEku@2kYFCtLA zNYB+cJzcOZ>T1om$>HNpas67C-JnhcKKk^AMpy?3!WT zO86R?dU#NglZ;-5+Wx}pR=V5!BfCE>*1IB{F*FLN`$wuE^B+H@A_PukwgT(@Zw;NH zy+bc@UZQc%CZHl;o=LvlDax5DU-A*S%`-Y-T$xEj?>0D}i{0!#UftC246x?1^sdlX z1cd8AP;@&$^>QU&FmjvHi??+s{KL=BCxGYX7<9pD3m75m9IwkVsJ_#R6#F#WlsZnI zOi0>@sSiXK$QN-G9by%{dm&M(kR@4gCV*~)Mg{cQPcb=)`5dgi966W)jp%cCrC$aj z8VCF!HA?!?F=%vju=+B-<27AvU1+xGJWmvd1=v{})C1$PcMiA&<+P%z*u=OGA=#rb zrT@UZBy5$zZ*9ucQfOlSr3ESzPNf44{cW+bg@|-y&!Ck^<=U#Jc&tzNm6DP<>noLx z$l!e{-#OHu&_cf4#_ql63DZqyXYb-}kv6&tqWcsneoS5Vw+L-CPp zX4;#`1di{+%o<04Fp@i+;8ZybSqb1n*7NWrwt69RIpA1^54r`IGn}}_l7|K`46;KH zn1p?_X3g_q?ccn_Icm=hexhn*tM}89aGFFbRa1sm2(vRD{<*A-3r8I57JoCAz~!cJJxTddynXZ?QZQ z5hpPap_KmaNFzMiQ+EdCL8%50@9o0jDzn^&N+FsOhB15}hrU8=H$z|P$q#a2IJ{7q z&Dz#-FO-QlE=~6qtjf;i%qO`|B?HcoqaSjRgzQc*&L(3!8E3;9T_VYq^a3ezfy95kgx+Zf{Xi8jg{d zVi*%`jyTY}96FwVBrotG7KjL{v@>#Cuz}4KH;kU5{zKxmD789YRixB2S`L}1UZMmv zKHgj4j6z6Wq9;uKg>~SEos{G62tBVU<8U7zl2knf)c93$ELdHvsv*wttEYP(y>QUe zf(5u4BCRoC{RvusA?4RG7Kx;0ZSZ#sg(&b*K`5g@;(P6M1^O&S4q4l_w6_%`)3oea zM$J0A&d1$wj2Y&SJ$N`$Y7l(uH4IAxR@jrC|01;|HsYA3`X*9^I^p;9>~a5T--bS6 z;wP&HY95(gWF8qybRy2Oc=P0W3kV37i?3A($YI3>66y4wT#WQ4{Fp?no=HgBszY+j zyG?@puNRKEGF`as5KmOg&|)-!g?3NlH<1=BWt*lLw(grykhaXG_^~}eJSb)xI!k1U z?aFM{l}JlitY$x)Od4Mc+>Zejau54X?SwM2oz%U0)lKcuI6j%*t(!GES1dO1PLjTl zumAWFnXLci&agX+Q>Z90^dpXhuf zf(8DbTvR{*f|H~S?8I*=R}@Z1I~|X}xe8mwgiD)gq-g>E(r}#j7*kZ;63LQ~ha&-q z-FzH@`pe)9KvfD;9P>$j);$i4Ss?gn{RhvR#tZC3#I)CuYB*c z#f-RiaPWs{hWo>Z<5%X|{p_aC$^q zMcrLET|&eSqEEkfU)WW|f1`5zBolOTMg9ZQLRzdF%!ndXt^n?$ni~xysh#s)vz3#+ zqk)9DSZXF;9XPILX#9Q7ro600C^}bf>Czf@OlK*2!NNFL{?)S`MhZ7uOJG2~2Zf5Az*!kc<>avf90{6~zPNW#+PG!{C~1aZ zM6gP+H2E6>-fF!tIO7QcN5`E$AM>2Hh)Vzh9Gb&A1mEqYiyZQAP)sl2<5&Khakt*S zcjuK24E>JOkWL@nv)m*wMgC@iNJ>k;z9!t6MuM>i%9R5rPt9>W7#d7xFktwtg9Q$s zD!o{T+R?S2$)8i8sTs$1@f`Vbf!|615F|D4tmT4BF$*YdwpuO5%$;w_JKiRL}C4cW)w10dr78Y)$r{Oj{U!nsLiM>bd-pbo)nf9*S!}Bnh}1KJ1h3I|7A6J0ZJrhtgnhpLWvEnjuhAR7K%29)TFMY{P*{(Va66M-Lj!!>OIaI-*h%1<39_21C%p{&nF^#m7k21oWrR(n` z;X`cpCu*Y#Q7jVdFTeRZqv9uRKStxM=Q+OinZez39KWY^!_!^s3}|fOuScT1Cb_@u zzgJIl?W4Ig7BS4@U&!twL(sqZJ||efakNqXxsGflQ9QFdFV@2C~a}>5Fqj<5o8<_q~Ms ze%>GX8!Yw!4VkaaC4C#}$oxGqIUQ6AIcT%4gN5A+2HH|oWSZy)%Ozbja3lR_oT72$wmT_^z=^5`1?XgqKD`_L|YMl5!cNy z=q*8E@_q4oy|JPO4gRpOU3)MBtc3lRdxx1JSK2bp?>iNr!9{>OV|NnRa z#9jT59LI6pKjk3v2MI8Oo&DZJ5P%dZnSezp6lE*6gT{W$-L1J_oY_6{^4I6Rs;-{y z-kH0zg~SP>9CvqSx~uEs)vM~B`<**}ymjaMovX_FaIRLR8%+EC{=DeVM}yh0Kbg)3 zgTjsay}$8Sck8V?E>3rDb=a>Kr|au|`T0t}S}nHQF_?q*ZfCx5e3S)bhcurJ+V`S)^l+8p-&Sv7vCpSkS;cX0Qs+WqKW zxo_PMIuM(Y|5a7qZt7F@;EH*FGAri8!Duj<^zonZ&`pNd*K&vYv^Z^6ZjWgl_IUPY zzd1QSn7aIQF88aly1w3=%AJmjBR*A|+uO2o>&0o|f4eJp{*Lh-Ot`=M`h%bB^1S)_bQL;O!M9`~n%Vm2NQhI35MjWH)m>GW`f`0mT9 z+N|wC_nUfiI#h14UK~$ll`YlkuscHL`%}73{-UlQfAfvW2?O4iT$Yaz$?mr`EJGZ%k;?!mKTw4vt zwMYk=e1*qy)sJQQ@v3*T*{_2v9E2~zxloebNkbx5o*M}Sz6?DyC znyGfS=)4l9Oo7}*ZO@CqefmGgu3t~W3haWOQ8)WuO_ZZ2#V_tjbq2kN}hf!yO4x|la-2sLtP>HO3qrJr5( zs>6A|)*EH2v7+CCT>P*vm)|?t*X7O2>el_aS{#oMdlQXXZ3*j$+nxsMm$C)S6wnQ) z{qcA@9gatXIo5JAA0S>7{pm9ThS`!Bf&?t@g++Sy+&iiw;|`ScZn3{Dudi2CiGN=8 zc8gss-*nvVN~pn>8Z2Rh3cOo(QS=9+qCXnVptIA7D+bKKEN67X!1~|df9U_jrDoAdyvp(SLe%6 z%!X4&x&C}GoQ-Et@4g$&U2nGvnj_PK1lL=JXo>*hULFwX9^AIPFX8&kk~Zs_-X983 zLc|iH%O3m};{6E@`;%y}X{63K^F%s6jcFmG-~pvyF-oSsJD=8wbMDABZovbs+DS$E zr#-z_s!V#>*cpo8_*RE%T~_KqlaJ^-If^#qz#%WApiQC2@J|fb!@TS3j~jS{^AW(P zbf-HAJBy71Ci$3kaL_q!6xm@waQaxE(`P!Ot4_5s_glLeqTskc8x_T5)}Qys#bgL~ z?0T!a61c=isIxfTI07%0P>O{h&00ctrbDU}_85YX96iMnXm_L6*V{#axVls@B?v7w zX}}2%5B0aiF|NeoBFt2JOuHHNb9nKeyFpl;bMS@ z0EQ6G5Z>!@QLP}`Q>9PM#+=zC(Mt`K4B*^|S8cQPE83`ty(420TRUxb8B$0T*Nq4B z!E7=c!21tp!`Y-i13m#zh~g*FxthcE^%B^1PZSavjF$)90-pvsm8#p*(5`Eknk)C`9YjmBc?A6W5O_E;BL(!$;^6&adoJDQ&H8kw&kkT8 z$xXFDIw^j-swNJHVTxm@@I zh#5ea5#N8EjVIF+iI5QjkK$(ZX>OK?GYyLX;Ijif0U(nM@U&SA<_Kg;z1?8_BID}q zmy5?`HXIFxeaLJ+8&7645CAhbEmTD!;ASZj2?}I3w@55AhuZ36ymuFGz*YP}XF#K? zR|jwkjK>P0-Qt84e|bKYlF|qE!cUY+*xLc@c{{vJ9b-#?dH3q0BCuWkQ7l(zkB=c?azM@*!_1dmU?Nr-F&3Qas2KI9!(s;S z78X7h81*eUdKxDF0XK#8K5`9IHTsjo9z5i3VbI2&ENVdJ7I1aF#Dw;so zn&q^hC^Qh=1a6=yq}l`|(FQXG7m5EwvbqIV_$(L7iPd*V{X%GiZZyVp@l|l9UOL-M zDIk<}`K7mqA5FwAlOKQrnN5KQ3*@t-;T&|Yo6Hgu&?JC-j!)1U+!G8oivlVYs8{NB z?B`x_6y85KwZ$+eNV@xAZ0H<7-_7P=Z#}NjCraXc-d`pOGI1zL0t*s7xfD&OUMN>~ z)jK%P^V1NQ<;?*iqCXHeH)zRD5_SYXO{0lvFn{OtbB(Tx^9kBXdrUM0|8{`O`xCL# z0TJ?oL;zE1KrR)vX>7JI=4Hga5P^uXwB;n?*cg=sr<)rP|C6(DP>>T$%Md;z>Q(P_ zuJ&L(nFFr?*n2Ntpe%4M#XYdV;dQZd0`hB{8%L^aAcwU&SENEUElSo+OvlsBt{8*t zpF>xM!}+8?2a2E1-5hz?^02l9g=5{UGa)r*dl4gBe~+iCM*GFPKWson$H7_#39Khn zf}>An&4iwb&K@O(28#$#B|WGD;(R3rDMaNzGsGg_`l5h5C!^(KKW2W93d>tTQ$-Wp zfK`#nVAP)!kk`E5pA3d>1c-!R`dMALfov@D>_+VrKh>MteYyVY2;yhJpgT5Q2Wu~! zUhowhO($cazhZpS^3-6AG;9QiFfZT;Sk4(cEUyafVyNYp5?F3gqa^X-eziVs�w* zE}=!pq00cdn}yf)@R`M>pP-&GppeGq#BGY z_g9jMDH%wk!}<0OI<+}%s86m2kx+ti!c}`z1pOM?`U{TDLySiz#;S7GCF($ynA^4D z0s_K#gce1@#ou1_G>4FexLY(G|z(!KJaj5RFBw|1w5)ZP4Q=U*xFL#4DM6}O+h=(+e--d%ziOjz(u$1!^k%b#7YVg#bAXq^YhTfJ;7H46rOIoQ zI!b0yD8+*Mvog>>tW|0Nxf&6wtd9czY1gcTIF!{x#UPj}b?;sgO91`CHGM*&>;aFU zoyI*h4tqG742x+onfI|CblLDXb6vwkXsr2DzZOWd9(IQ_h$)jXfb9v~Cvm(F9+Z6V zfDETIs-#-tJ%G>075*2f|IKGpWSMhx1b_qwtVHA!J0@v#px%LSjKYB4RBtAj^Ad4p zbkqID(>k`aZB!DyBBKE$AjNp{QDTy+nNn_-x@R;LxL1Rr0u^;QWNxl{-@SbM$6wyR z5&EQYSs`Vl$p{7c=G|-e`sIh0?#-_s-oH#G7EIa=KsTYTRG?xy9Z$xi5hzhNhqI(w zY;G9aK^uY6Vi`kJR__$7%A%zxS1a@6vu4dqW|Q$`I7UHnFfGuxfv2M0hJ}e8*kw?( z93!Vdbg?c{lJIe;tU&l6^lA?^fDx=7FF`Zso^|#SB>Nk*bGtlz$)df;1GjDh%W82( zBq?uh5T1p@Zwi`8&@xa^Iix(JQ85OIg&HgRj(~p(H$}2Wosm8puP%X|2+6%t7$Uhi zhvt-Mq3jnr9zG^IPQ~(gDkeN4xQPKTV2IK(;v)xK#bvdm6Tnzpwlz}%U@(R;_ObTE z!4z3JT8|2)>*C?Rc(H!izcXSaafDEP2wIa%Yo)?0QB=D*?^lT#$3BZ|xLG?CPiK9Q zg%fm&%+dLTe;{y7df@UNl53gnEl{}tzvn?sFqLfWe@pbEZ7GYbEi&TpxKuqYGlPR2XBfvVy#EPgFS&Liv9%uJDX3?JkuY{;3$WHO9ffE7jjET zP|&~&^nz)Nj|^9IBhBZYvSR=1EQw~9Oj%)ZHvnNomTD-^z*eo<2yTR7qe==BV2E)m zC{3-DDkG2&_fQJ?R*134^Gd{PA{}}v*y5x06pE&!fLlOKfgh#8($%R~>q^gqx=O5 zCazwf*Cq4yp}{4#88VPCnw^#`W%11Pb9c>%mjY!;&Xltj{|x&>4h9zHvzcGuTmxC@ zKo(5_@rCC_$~Z&}L3`%)wYY-A3JAF)7B=$qW#6gq|4`<6&WLGKfP3LV(-`eU4}ppqq)m^_Yf!97BJ| zoevbblbfP_1!n4--+%S*zUA;gLh|XO#S!G8NS!_dI2)o992izz(%}ngvI%J%wEnm= zm^7g=!GpmE@DH6U3^zlFqQj6g%~R6_?Ql^X%O4{uXt$bb;S^CA6!PSwi???EwG z$bHJi*V_dP$+M0D$MDPAAw@mrKMT!F4q4ejvztuKFozPDE{`9xZVj1b_zeIy+Kv!BvXN)O$f2Z)-ddKHSj|I|Ez*BQ4>I5m9xCv) zz0)01G2GNp*(abfvJEnt;FlEewsxHZYe+AN(sy=+AGN?tz+Y*8#-f7jd{F~fpcd0x zZa+F?)@yXqfH;P`sX@Cz^<>-@7SHH|y#KyDy{xJ?=t=%5Crk0XEOK{eanb`$RAYlQ zM-%d9n5b+cf%<^RwvLe>UcY?x@E!1LYVi3=w6}()^GP&0q%+-_f78E`T$w)_WWf|% zBp~Dj&G#tevA_x?Zb=*HHKY27xFjQuv)g8NE*8-wHQKJ9K^pH-^+sL?#NlY)o$Py% zRhAj3uFo}2wbneRU3}+jDMr> z^s}gQO8WpVJ|_nQ8bsQ9<>1+u724u9x zx0t&%wdJbjNvZ(WbXtmGS4+$)19s#H-?5IOezq}8ia0`*rU{-5$y}N%Mrg7GMg`2p zUQuwjK&{eTE`iyoL4Ok)eD0SZvUJ#uM>3Emqs$(jEqTj+SnESY37s2dh2OX&E#=-Y z7qJv&TM+NEyFacr>F~|;@&$VQ9@_4Lt->h#>Z*t;q3fT#RkjX3tTGwvAiLT&7n=S( z?og9x80a?L;hStNx}0IR(c6kV z?F?Zl!7fea^fCXUu{0711L#gx{dn0D>6=&@hpXhdeeNlWOFK6~RUQKETu4wB_o#8P zbEd(8$@SGTBOkJu=FrwV0dhvI71{B4Fc<(hYjNK1L$wV|#6Zw}*sR}28&Tg84L)!; z>y`$8hYFi;m38UuC_$%4-m%7U{H|^XjTgN8OcV!|h9uOFU+T7*QKWC(2_DRYMbb6+ zC+=JLyr}lTdDtw9?gs4SIrU!n-7`9dn3|$xN#zahs7d}M7Qsubzi_L9US%T+meHNP zwZwW%SZ^avyUC{HnO>-pG<-H z25>cg%jK~;++(jkI+P9+D^*1cL_OOcEKLm9@XE?GvI>Iib#=hr*Qm82-=1ylPZA1j zR9UzI12de@r&Ew##S|&+2rcRpH%3O=hQYOXFQNjMhuG8-RNFdBK(N4tVq+H;w45(hyrM%D@+}@`Bm&lAP9U`(~K9M_8WmK z1kXxz=$Ei{(?){9e24`aK!3oZO-AF<%uT>iHV%~@2Ahak7Sd>nW@kTo!@@V%00q{V zKk+9Mq#kc`>Q$SwH^Ghtikw6i#-3gvM56P4$sORKA0aG9V7QcU#y>q<9){voRX_lfp`O}!qcnX_wRrFE7LV)qqi#MT#wA9*wMeJf^p z_ySv7K)oe76e~PZ#l1%>XDDmyNFp@(;rHLZf@;#*;uBIRV6JiuTDp9CUVElvF`Xur z4+;)XAWd;of!HS(T;BVY@4&_*6ds3w_Gn0(Pr*41XVPLMPPReDe9-2nXu9{tt9ecd8|hks&1GlyHv16m$y6u)zeIC5zEuq`@7t zg-SkNwYfB5^F@L}y3->rY_rd0bBo|`bm%Xpg6?E1A;YW+kjjv|eH|QEz{L;;+*qm7!Lk}M;M;1xB5Y9wfvkd@s5b+g?KyW?AH*w=6 zWwZcxDSOq?GboS2Ob8p$23v{}f0-zem_dUzu)~oJ=s3P$G=sqT-=V{XqXen(8uQIO zV(D@Fo05M^9nic;^hnxWw{CHX z$XccJgMAume3wG$+T1P+X?&B=4$B8by2GkhEAiC>7mwZhwq;{;Sh{cj{FnOeTb>NU zGa>MQ_kgZK2?&Xsf?V4a>%ltw9daAZgA(tMsVjQ%utTL_8Ht@q#SqR=oD|uz%^7(+mj){ld(z8?RltzS2$>UkM-VBy@bx_v8P7fueH6e>~xMBQO0$&B{_+1gqLriEE#@50CP z>HcsDQ$+D-A(lO|n?!_`g(3+Nc^^x;tMVT7J1huP~1|34BW$3}t8rloWXE zjwiIZ0GO6M5JL8y>CNQ9zfv8A#pmHEJS6PbbOdt8RyktfG((_oq0%*hAyvlab82Ce zY?-*UK--{KFX2Qns6Hslb%naD&}mkllgdKBw^X~D&+YIMs4Wka;?#uZ7ctmv+nfh~ zX$??@s$MpNn#2Q;%p9ARMyL>s`;ZJa_2|l)A7tpa>;3zD>KDZ<1SYF|>M`aP0 z3v9S8`|630Tf(tjAzyuy_X=_e5~P2XD8VHj=_=prVzq4pjZbsn%o-b2JM^-M$onwl zKr%29=MQjhOXH8)I4!^_IZw=G$7rU`z}KPKwNO=Peu-=#^9b2Ob)Ha4L5cshj0u~O z7q_VfiysGG#>SE|m;cgO(VRBhL~C5s-f|4_wzSR$UAh!a@>Omaq7xkn z>N5hBAR|P2lq)_j(A0%zX{2iTf}tvY9FnPQ(=C@aHXChyf$#Joqdo<4S+R|7du7!X zq&IdM$u3yLn8gOgiBv0}tKnD?==A!KUTuLDTMwbx-2RDfJMc|YWQo`=H%tWI1EdV@hg&E>C=Q-?L192QeRJr3CZW+xclHxMrgM|Ae)0WpBCs5WW$IY4(v^y z(qxeF2k*w_A`5QnVzsHxC9AP9AyB%PeVj--j6}dKp&8s>=x}Nej%CtA59M(dV06wn zEMt!Fi!L_&7pFnukQz5b{*m@y`)Sh=Mco{aZAY z9!HZ`uFpecYtu3Ga*7?-U_&X#&K3c^7*t5smn^|?Q5(p zbgRfQx*feLs-vwEeKHBNDs_{C*(bp>drl%AU~XhU$80}A;Sk#;ai9enkl|(eJ^Jbx zV0c!df$*#|tB>S%L6QYJ8IO#;^Y*R8whw_L3BET^cx9M!&IJQl**jr>HC2!Mm5~%n z>vrl`8)Hi`vlPHG~1T`U9nEf59C3JRQnwq?P>{y zLvKA3D=TF-P(nV{ojV>b+Chr<{ycK=WOeWK<+$1HP#yAr6!ynJ#TZnS(bq#w6n||U zO)y@46fvoHwAK{^PV^F?+lC_r=M9IealATgw`ZI~z@35bLi1Fnh%Ii!l0`Tvgtv>n zz95k7(m?!Xpa1hEMGOFJik0<{gqHi;r)cyccK_ie2XNc0g3O8mj?@wA4GNj*kU3*9 zBBby$Fz+~J7N_nH&~l687~uHP)fU(2O!3=0_M?_tf!6=p?kuTO2`+*?iv_O0A93~M zyg$?~p%)E>5SC9(kH5RjeVZ7qy?Q;InGyC5GJr{mIt_=Z@xOWh{>S&x_MrZJ@u$Cg z+}7%k5vUt7$K;=@m_JXc<6{|PnmX8xh9$9XX?-QojOVd4#IO4B}+*Y$`?BFCTT2_{Zp%5x?LezibKC>oL4kd*fud zYnTk)={W6|S+)Y$2=!WUmCM%BRfUIbO8$>ufQCOXIifx-|z$ z*_VrAcC?isI74w&wz5{wOy={9Heor|l8r*?spizcaBCs7o_z)twzD7&!1=2#Vl3ft_Erjm#CMb#uqwwwqg()TH1;#`WU6sHTC#Oi^UOF|5 zMyIDKoW59{wWB`O9ga-IPrHi-Jey0?Qa{h;4t`G?4mx1* zME5K#D)cPsro<~b)djlb7q&UqIe|9bN~!mf);4Ed-4I6~`5yWQ5I0*n zQJWiZ{i8XGr_T;-n2^@rV-+x7{gIDo_D`;(P0#jtQvkeA0PcEvCien=`{m`U#jmnh z;?n@8*Js-lctHls6YuSc5 zsYO;#S4re!4FcIYd%nUZ12AtH7LJshu#*mlhVnEQWP~&Fy=X1L7F2Gc6)4g{EGEro zP%Iu>LF(IUdE*#b&RFj_x9;&>83;_ZvqLSy<|JDgIZrI;x3>e86qn3cEZ<#Vat5}(SrWKh)G6M;|B-=n5YYeQTfm$-D#(5)1_%ocd z=TOL(^tXMAp+6QB1fIaQC~hy~unyt;s@Q!zK4(5PKCY>$-^QPkcrhl}dk0~KvU{Va zANDoGIeR$c4dfmUsV~rFRj9fI>on8oKH;0XZ5Ur18UD@BjQG;Gdhi4axFIhEyFF)Q zi@+z~4NnEOQDIzjY&^#sPC$%@17M$f@Nn0$N3I9_@NBTyAs#DXYJrpl$yM)`5M3O( zW_^0Bb!ZU`ubN28gZXlFoo%V>5xk@&r1B;*7APXf7@09T{KU|)4jdCsOl1tX{H+N; zZ?Z83PeG=A3Jv3W%1#0rN4Bbxv2-GQoWcN+VKjbF;K4CO^lIx&8|D*g%rm%KM$LKi zxSte+bB1lOM=0?0r`Q6)x8VTE^}r0QQk{=ncsH_PBmNrnt25};CNEQF;%1By* zrTx@9cT@vPO-vv7VO5tCJD4jpx-uX zahK6&E~Z6h^bHACYeLG!S2>|ytA1ik*a?-mgVhP;<*wmuyj}b;pNY7+=n;s ze|Y=uRV zb-TmG+Y0#F(Ux(B=22mmK-4Vc9is9gof=1%;C(Kt<4uf^r_+NPq{&^dG2*SI0vLd1 z3ba(PmhhkSl^$7(+YQE{6E$xaw|BL@BIxDo*YDr_{Ig!zJ( zWq3U?A1DkGh&r~CcTm`B#mNE#kAyUgmLMW#7or0$b#Qv%?De&DF9E*<#SpJ*@3s;p zjcLsg3O#DOtrp8zll^VX7Bk~|{SE00zj#%%d4!GHGkUda&z^+%Icm(!b zjMPyg;?BEdsJ{BcHv#Cu4zd7ha2gn-Rz{uD@Rz^QQ(--d&HnW?vN2z0ZIa~Tn((_{ z0`SB}D30JFxmR8ud+62jHSJ*#!`r;JJjfr!aINQ-u=iO_uVYKlaE7-=_tBz;!)dwSi|}b_yZXX-meI$(HWASimy@`Haz`G#;? zFe+x8SxIWe~2VOy3V68fOL7ge0Hy+hzzn4s# z!gPZ-Uw@=slK%J_{}!p#C76J^-onX@3yUte8Co7*XW$PWF?CH}X!6_nlj!swKfy@X z(isGKAlt-yXVK4vj%1wn12&YJe_i`%k3RS#c&MT=XX>(gQ9%6)ypgYo71P;JWn?Vsq&`*rP3mD?;& z%hj?jzWJi#!!N$RzOJzcdV)LL7gbem?2W&ji*xbiS08Z6`mo1$ryOs_)2{}OAD+4U z`o_Je@4tVc+dLhPZgcpwclTvoo(`2;Zk9*vR8|X;USIRF54+=GU+hoc(7*i0dQ;!U zUw^Hns0%D@zb@RiTvf~J!L4ub;C=B)zcHo=-<^ z0T%LB%6)lqSA(jk9rh>`zXz?|O<8O=UMoJBzY6=JDe-5XtQ$JY&guP8NF>Z zTP((l=`3@jJbsag7)0yKuY{&CCG2@$epT*IUxNYIir@Unt%{pNRXExo=o>$I=ZK%{ zty`{7%7Cqo^h|9~{BKjhdcv+CE>T2z>%ne1#R}U=cM58TB``|BseeHgH_2I*h zFWt*GFJ3)=gRf2v`RjCnR2<=JeWXZd(OS+9{ZHZ3y~ay*0dkjl{{A5P}8@hHo( z8D2WUyRu>10jMyEHTd!?uChwp?1TOS8(HeIGe#7 zVoTG}9Cj-oPq5wVYd9IY(rcsbDKgn$*G+lrlsBJbfx6kd^7ak_3dJwh#fA*_6UCrk z2I}7`SKi3N!93#sVm`?i6WHAOc$&G{Jd{S&CfaXx-a{JCp!(q1GYGkJ%hRD0=`g2U zCuW9)8P0lhI8?DYp>Ni!S~q%ARwOt?n9Z?d@}3AafD<*D;I-*!v2oD7Xoboadf*Ov zyIhlvBy|oa*}+dT*# z(iNxbIGX44;bfFeX4!nSSS;pQK6jIalQ(XPo8@^+@P+McT~r{B5`ySPdn-50Sh<0S zQNs*v3-@Wc{YVsPZ1B|pZx<&NnF!BFHyVy65DCDv(F~3se<$MrY(=sldcJLp=Af+I zZM9sjz@We`%1TZ^Vl}V2_)LFvv5%Gyf-AVI-3VZAI2z8TuojEybclaU*~9>{t$-=W zJ;ZjKw=N32TYh%`DyjpUUF;sn1U|R8U$R4D5$1cL-FvKtcBGb`v7SCz%st&mS`JPp zV4y>i67e^ml6*`;({`}-Dfw0gOJLv?{0Y$-Z~x65&-I z1rIt*Uh2pgDzZsTmt@g$lR5s|?P0a_mQh?TJGz_meyxO2>N5E|$ZO6Ih_Cxq!WbBQ zi3}n+CG}=EeLMrTvuyQRGiGlSVeoSj-Afh_+Yy2N@n?kkO~3hpr|r(|;e(bHy{?A; z#vkM!Vr&_0f+!DMJD<+8YzW7Zk8>#hWIl4!tWnkO?WYj7-wQRqPE16yS5rB$#DPqI zsz6|O*l!UXtm-bEO^E15;~W~BWpF6d`Fsdi;AYqe3!_4@PJEK+ezHL51=a?`zS+3b zCt8bNq&d_OBsAM4g@WhYlxxIM&?!Jf{ig}&{tV3#k9&O$%>oNK2xTsT;bKh`g$8C# z?>7ZZ=`fy*MhHeHi_vTfpE{q-hRjhy4qag)tt6c_ap)FY zuw(BEV4~3hV%o32`QpFN`;U7B)c->&%RA9PfSF7(Vv7qNMb_|pDVFhQjhtCp6K%3Ag`{(stf!v6I zpr1p=DSZjpkQ9OurNM_^$z(Mcf;s3#jBTrj$BlMDlQ~Qw7ee>5%M$M%<6hfl3pZz3 zKAnu_vmqh_{Fl!W@jKmUtN}*Y|2&5%I%&yjjPGNqL0n2Hp}-h;7!o)n1U&I=iQPw_ z%%MY`Ef&*!1cNcoXZRmth>2T_5Q5h1)C3Y61=*qYWk&`-)6yim{8`onE+d(RaEGEs z=pCCu;uRTOMA5LQDna%Jg#-w+xrmN)!7A@L1zM2G4@yi1U9WOY<~+6m#3 z$C`v!16s66q`bqYfjm6Ez7}`E;h4EY;}7rp;3$Paxh)478xE)Q$s7s09R6sYj~pWE z>&?NP_eTV0l#udE=NQZ$6$Oj4;XIon&pI9<{g*Fh04;7hmnE{1Ac;;jw23y8Z-@`% zXxdRBeM&GBDj?wkC09OyCj1i3FGb5-?w8w#T2-dcfa*dmf$D8n8k}4W#JKJcn}RIu z13*x*U#{RM)ngdypu}PW(@g#}vkHl5n--%hxF^X@_~{wQIbIA$<0*7tHU?A}Yf>ae zzMYQoKa#y>QS8BI;!c{Z6Il+UgLgWRBn4KXR4YM9!Yuno#7y#Lkzs7!1M%P_y_+Bk zp5!@X4|sAipMp-3HoCNGbM2Bsv)H_2ailAO<61BGLBi%TET!ns(&;43nzN%P!oExy0J#uNyN!aXj+Kg3_$WyYOIM{NT12A0ZakWzm^+_N^99IE1z5^eO2FI|<64lD z(8u;BAyaJSLdm4tecJjG66s?E>q^W7yA-6~aR~F93WiCP?m5VlITV{w@IYk}W$e)? z2aL^T$W`jXd#em#A(Z4^1Ms#|B(D|&hB5*$9I`}<5mH1*hT?w{u`6wOPVOVg2AZZ? z)%o#MbWdeSmE6M@!Qb^`GUS;oVLzD=L%5DhB*KPLW?xen^tvekP1YOQ&>1Sn&CWOpa_SOT6RGRiEJGFI48*x z4O7l&fnb-S)G*E95HHc#MwDZ1YCaF@I_niVJO=KpfI=k`axX`y`HzR_5FuMK%b-;m z#f7=caxo~@RuR^lfA2%EkmaWQEGzJ$AreUm3y2$KR?HA`8YR$Ad_~LM-lN#aY%v<8 zC9lhdh7GbMptPRP(cGDuU--eME%5$LCXQ8DiqT<-!ieA()7KCK>sdRrJfK9TuOV4b z%nHXx!Ugoz;V(3c&)*nv!g@McX&-lEv$VM@ zHRB`wLEN*HeAt-^g)B*2;zMJ}rGTeqB>M`NNa0O@cqdTc3A%z7IlL3%H8y3E!cxn_ zNqqWypjlGVBDi}Y>jSBfl+W$Cq^j_yOx6TWP#GPTBu6ECxX1uWa>&&bT?%;y^KyM% zd`2*UY>A*KW3xi}w&e~arYp1D)PL+ru1q0m3YtbUw7(6fXoQ15N4^vAVd16-_Zv6P zRfh&5=q^L2&Y2tLk$y>*_xhTVe?pXt3B$)Q3&|z9EOAHx+*|sWzQONV3@A0wp-REF zkCIM4Cq*qm>h+sOJhNI+dZ`c3vR(FFf#2gjrZ@?8pR6IF))bXC^>MmUG^wcK=&~aT zi6XS554l%FX+mmtWnRL~d+6v=LP*>)-Y3ciiKIZIBU0c7Qc z-jtd%P2%?!Q5B7ASxMui*Ujz+hT>0l!MMPJF8|PXE1{L~0WNvNl zVF>}HVZXMlP&kFx1?^QKMFj<26WqUnFsujbb5)Tf%T5C82dPUMmg$CiKz%GkRRnBD zWC?fWiE~ASr3Rd~L|aHGMq$M4xfGOK$v1W;@##i-k=>-UenLd-eJ!M|b?~or}`@$~vr1Qo`Nkb?vBbVp|_w zaGM2++*BA1aEI(soG009pzFI+SEi6%GRd1n?Fb}bW6|ry&A=?rcokYYnY}QEz@d!; zm$wjYSsKil(&K`)P%%#W)+l$}w6&reoSVVbVDT*$JrK|65kV@4UMmtFNu(p3K_-T9v$&N}#ef@Y%5Jk}m^>DzPOwkxQT`Wd!mZvFB zZ>gJ*U0=);Bc5wngfh9ztF8b_{6wV3dOxq)m)=QaYWQWeO5$l7g3T{sUz~kNaP;Qw|9&lOR7(6 z=M=eA?hWB)ho%IF^6UNU#i>l6%ZuU9klV zR^mA+8-qpnpL}dOE@NY+B1j*GKqLfpi2+JhLw7cXt_IdLpD7$?(-vx&nS_KZ{ket2 zJ_OX_(ve0nvRr&(QH)22{Bj(&U{50Fb42&K)CZ9T%_$iGn$#!iRA!F#R^YK5T>1C! zpMUq^?Ym$8>;20Q?$w+3AKcr&zI^xY)r*(z+h5#=Uw(S|kATLJ7m?~#s9}1gQKk`b z3LmCu;hjxKlrNl(Gk~L+o=lO-R9(Oq!~cj>+9SLh+UL<5MZ7 zy+E>7>=xtINmC)UA6182d|ykEt$@vFs#4Uzg|;FF0U~Ua@j>;<620txwfsXoWh`X9 z#hlFJKB<7e-d1-AACt6^JN{<}jwYAdRxMRw4jTq4oBFZEcVP<&`uPja5aR zSIgM2O36tOAsc_tUsrDT@yc>z^v^oZxl@a4&)|Vl>iGG0e@vZHcAH1 zFjCtt8{3m*LT|(Zr~IaffvGor4Ae41w^*wXY1DoKcvX}nX)hs`B3YD4t;^DglO}pa zGI6#orZ0Ug2OC-pHDelfK_;BAOF9Cn=qp%!Yb!$WxakZJ6j4(VR5tDEWolm;X;|R3 z^-7g}?2lo1YvC6hM% zM0>px;N^UVp@&Zo+J%ihR!y#o9kK`BfnrmFa}|uP2|U?>BRe$Ls2L|!{63W;Fd!nT zBx8hw8y6{{Lc0G#iz{pQHk?8sU2Ft8IDc64}3)su_G7( zJKYBY%=(!+33I474a$t;U^u%w9t|fr62_UWG$8)f7fU{tTRV85woKdwR6-nT82i{J z`B-UBzbVN9Vx2HG;PXSxsi%;t#t*p+_-}awNsB+Fr^<0mJ`$i`22ylW6?DMRx|Q1s z7v;gwwt@Nq8&~2yX(4sH#1=MQBds7{W9)>U$Obv+L+`_R3lw)E??66YjtFuE>GTk9yjrLa^4$|(`=AJDs(5dDl15BnA zoT5OPy8Be6Z;B65kbGWnS9xSW*KL>l7S1f^+@ zW*_dQHClf7iq5}@eJ96&VFsv9VyERA8Czlt#|&0FW_K+zs$r7tsjGCU^%Hsug=K`Q z!=n{KZ#D*->VVU&-Li#a1=RaH8fGxKb99<(-wHjJEMMr#Bn2)-13Ou6*C~~-U?wY| zhn~Mm=YmSf!la+~Mp*jmQaBt1dKns*eT8vcCIxDe;qfRd#tT*NXmqq^5(TkrZ)h z;8&mNFmvFz%&MnYm9m_%S+graf5Lrga*aYg5WMGrS9B20Ob4k)d{n3Zh#IcF8c=Wt ziw$5T?6VvqtQ)mvFiYt(Z3FxFLV$IJ)4h$f2wHze#d?p%U?P2Jr{ zmAEhDZiZ;fM=$RrLrd&vfsRL%?4_ABc_Haxpr&5u^y- zJXYx5K-%(%VeN;PnQPvwccZN2mv{)7+!3T?jTF9M((nxMa@9ft}k!z+j$}Ir#-=fa)+b7;c+qJu|Gi@cN$V^9ML5vZis)S zC{1BC^?VKwqvt~nc5GRV&&Obu`4s1^7C>9bw4xau6R&WRB@`FpilN`wn3~=4lZzT7 zmFRC`oQZalD6~V{O;R)dyyI4ps65q#2B4h2bVU?A+K#wZ?>XO7@LOwmqO$SPncw9V-IJ z$iivE3TKhYxaj;GZx%Mi>WmB_zClY)47-f;@K};oPrf%vQyNR!1Lsw!L5QLh9KJ`> zTZVMk94%%GI3S5qQ+%&>TL|40u%#TNUCKf(AIRU!e&Y3ZbWSucggkUttW2?3`#bgA zn#L0O4jLxXZ&X)13`+bqyp9Bdk3(I^-945~=unPE`&&{)(z?A%a+G~@-l(S=hYTh- zM1(iaSOK^r!`r4iuxm3}t?d^~q7rjKQJKitBiATrck$<<(k? z$1tiEmw`+KN*ayAI#HjR<8TEAQ6VXaKowXEJ>aZl*1b&RygzKw*@lqsA!G%k3v=uu z!2L2~o+dTE;*~n(vL`Ca#=znrHReB|mjZvyF{*~j$ExI_u4*6YwX91Fn%FGsH&5{h zn%Ydx4^k}5bqhN@O_!PATRvKHhMY38uR_|{B6N?mOiINy8D_0gHO|OCg}ivA_qs}t za{QIqB%4fd))l=KC`K+Yb_)Hk3lt#%u#6wAg?JC$ck(^<+}#ie4GG>gK*ciTn=_h1 zIUEDsqo)#wO3hko)(}wM57J8vFP18uNFz)wpjn7Hn2*t4_#XxsNZWHOsba_t-RkgP z)qRO95z1d=jwp*LNr+ak4`9lL$oMz_fFMBr@*iZsPEjg`)Q$ zlbjQWM+KllGo%`iuC^8)R3u&9p*$q`!1cC7(+*O{70#UCs833WNyS%Gj1C8(0K2!2 zWd<3NKOzfK;tV63A%0JI3^CF%Yp=jndc7J)*@uXp2jj4UWReot(wvMDc5iEpay-;P z*&aCPvlC06csbgaSvS}x=~gJ0+oqGs&RWx-zz#TU-KR~x2hT6FzfTde88!X$NI(q# z0q8|{J4cdCH;SoH!9!;pECEJRu$va@C*puvso#7c$1ILISv?~iOeI5{ivIo~fDT|b z8g?+xKmu}SW2uOTOfG31!e~G$>!F13{Kp^NyO%$>*DqhY4=>+;crVNFwt;XLpU;)n zc|>|0L0QvdX!I~u>N|WO4UN1aK5)#jA!qUt3+`#dn`h75F!+0hME^%wocPwL8l;Q7 zEihQKw0T=;HB1_@jD|g+>OYWCYlEsNK|xU9w7k8LmT0I)2mGfv?T=1(41S%%Urb@G z)yni5rKfmKgt+7)A%sd0hPG53_e~`cr^zf(_Z|i>*7X4=$O(SbXKvEOKU-p>UV~1T znC{6n5D{_LL}@7gg|0VAj|AfR_YCNX*vyn8LQ+PK-X>geL6;W@FJIZRDTEm@+u!ViMcu zc${+RLfDdfjU~Vgq&vlQh}jg!1{VvQ8_cGj_%hfCw12{gC7S<0eueTupo?VNyq5?Y zjM%QV68JqE?d)86q|$}~P&9Kfo8!3ZWP$^pI3njJ2m>rPM||d?vpl^kG*hy55;xlN z1s6>=@%ta2|DZ-Nf&D5Nz?3w|w?lF~a8G1LZbU^YhSt8HBTN$eObt`{vMh%F&r%1C zNBqJHwB$rvoyxXWG68@GK+oCVVIH zB|AgyVK%4MX9UuhGAY7SH3@xbEH%wIf+e9p=}K%1#wtu@P>l>WFY0F>h$rEE9b+q1 zl63rBL!rkRLg>>hC=WkvIMe#dv&a)L*;$0GV(HPZv~33ajf&!z*VzapABOb*WTQn^ zKTK>Ilaoi%^z)oX^&q8>!}sVVLNS1+N_1GOzF8eY@A|x|*Ok`ZmrpyZ7zdh?aCyOgi(TI zLcV?V22J1PNgBA1$hYzIOG)oja_Y9i_-9JsPiL4M6L|e0VG|~+QEw*OGm=R`G^=3poEZE5Pd8eKG`bxVB zO!uanBInR@>!pT=Y$OV>1vEElqx3bFgtbCYC74s7ZMk$CoG;AbKo zzZ*SQjK!iEhXV_bux6yNX%?+WTPtwnu9;Bzc(?R)^YZlfApTT*r@{;4+H)O02hIpr=9TBWXDpbf>FXv(Q1>!V1#W!@#Q_b(3|mPQSV7p zSog_5{>vkBLz9`ulOakRvk?x+Okjs#9+Af34XKV|b)XqIr`C-u#rqHMUcLE2X(%&D z1-%fmjBk(b#n#vWNx4hz_ra9tQ&)c4vmvBZ%n4=}S%KXWIjl!#J)4+=A%^Ol&(Tn;E642plkfIz^20HfF*C@~mo1P~rot#AjG;un z2;-4)_#LNoF;SAU{0V~PM?6k&R{REZ@;^PW>#;@A>b#Kg?_r>^21Bez6P$Aa#3LOZ z0(?;8509=_3N+Ctc;4L(uhrv3L?TNbvoZQAZHNplYh)UqNTuH^maH=5R3K3hjT*kU z!`?8m}NCrf#tbJ9)Uy> zV6xvogvf&d!F2H8cjr@cGB>uUChq;y0GXR%(DAsKH;UHCdh=ON)m-MgN+vk6nAPDE zcQh~=I?K4Al;FN6T0YhyJIA0o18V1!AOEp6U%o0;+qR&aj#yC(`VBGBepPj^sfu1- zQzt;UeZ9gv15OyA0EF=xb95U)@zJU^&ympP!P_lvv7MLG4h;(ghA1P+FDGxg7`Q>p zFy%Iws7>K}g6Dkjj>1ueDMvTkr2NA=-wzQ~9X19YJ*>e+s*op2OiV(x1Py}d z5*#|DZ$k>AotREE3>q^?QINduvc+ghPNI3uzbOZUP3NwDu;7Tc6nE-&L8jz|I0`VH zFEG9xGwRW5fJxp+-cs*iM;4f5=m(WfFN0#y$*{5#(}{+#kH`ea7z8}r)0Epd1wV$& zVzZE#Tyn9>oPi|BHJ{O0ef;#uST{&ftT7~3a?Y=5t1xk8Gv`luDa6AK+=Y%oR@9{k zmCgwr_Wl088wnSgW0VS6F_Jj+!O!9{k~IMsvs^85n; zj|CX?vt~XdZ?ezgKO-NV7#k0(lFtKuO}-(D(k9w;rcb}7@(qa#Y`{H!VlgbwKcSbd z^XD=2iB>gilROV9awA71_a=pbCU-7SnFzlzl4$uwdmjx@qJ6iLP*9}HGa5}nn#QC4 zwnNqf#ln$O#cz1=Pk!*OM0%UFw6uki^8TpG%LHb$8>H0S>RnY9` zoH{sdshXJEhMm9}UmDPWL_!J7C*iqvBnF=`7Zty*XgmqQg@_8_4<5RVBX~*w6;fBd zzt8x@#x)2d6^dvm6v%jcFLNEvD;nX_cM{XMX8Wu$peUcf2Vjscj(=rn;Tw**d8i%A znGa2fsfO7guk0K_V1PmF&L###K(jn=kh7)VA{AxsDPE1x|BiE+C_f=5fb0f0iPJP? zvnzo{*g)23+<4$=HRNvjX_A>2FTWe$$5T;dr6v_!(-Ras3Ri^|T5RG1SUv&+n``U2_0Yb1r~5TIOvOPEk=aMu!g@qfA@xxdmK0r z*=P_9oI4L3Z*Yq#0Gr3&-@cM#r(8-WX$UQh(9wH6ff0<#C>BUz#D)1cnwdMA)u-B= zvNWNe@Sc;w1|S076O)zgltH}BS7&7SXbc~I%D0&c>OoxGmXy3IVHLZEbV9HNQys}B zV^{atN$I3ZUeR4mB!b#G4a|g~v$dSdjyQo&OSoc8+Q)B%NhDNu{7y!sp)kpvKX=&) z|2v8Egc+!Y!=y;15V$qrvC(pGAF1)0)HpVuz&}r!>yfP1^_K97xCw_~{oW1U|K+>y z%bxytVdYyrqE8~@l(XUCx#)1*l`!*b$RfI{VnEQuHpl@FL~|I3Mq z$Rylmd)%%4onNK-le7eL(Ho+SgI{^TU{RD@@rw!g4Q})k`6g!FPFxO@K}eDkbHRY9 z%ex|bsf}xhK_0e;%f?tGKW@@O3L*Kw4`Zy$A#FACDu98NB!x=S-dN!ENQCcz^AWUK2Wc1oKL7v#|NnRav|VYFBR6v8PnnSBgA}2#Nfyrz zha=|FuDsTaLYnnz?R6k{RCjZmm#WC_8UK2}mx)9HU=^q34etl-G!GPz$b9+o09fBI zs&efXt5sRot}cJOxv9#!Jh|oe;YIIn=f|DH--mOxD!=}Qe;pt0Zf^LG)nR|TxpRl* z-^Jynb2v8=wmxp}HKr}9OQA9>u=o716ki}m7oDk~ih133Nu?s(Xj`x72;x2aFn zXE*8hCxgLs+An5*@2cbo<4weChmjWUjWxXo}d3bGg5e`xjnzkp3MKgf6*;>`|`>5!*8u=j9V|Uf5XYR zm`(fRVITh+&IY5o8{OPM$D+0FI5?kXbFE(V?4RymDqg)k3oveL6+bVnci-Lh%&Q!)4YFR^rIi1aZy{V|*kd~;lX>V9!F)fP02&&qj z75({OHlL4NG1nPAmeqRWj@yk$*0$I|E6c^sEur@NW|@_&(_7r}P@SMjb-lPNU5Rzl zPPjcHIB4%_bHI1wPp=lI)qPpDeBgL5U*vig>%T8n9P0?HFKP2I&;4Ot*0Qr7+-vv7 z{iu4eKkS#>c^H?gw~NOTicy_{tVN#gc;0U1^_!dZrd}+!rCXd&2YnNgJ3s<^D4NXF zghvH)gUMvv9~QIWd@!61N7GR;9J%S_i#kThP!jnz|5mxpZQDE8x|Y4SS7{GB$Yt%e z03koo)ph|iB-~)r@L&HjB`CI2exfMw-eNB=c({XY=#mZVXf5`N>bHj#q5uKsX|chk zR7>o^$-&+i+jt*(z}P*t@3g4o*{~06NO|gUz8vFevo3?pBwrPQ`===kie2k00tjUr z6KrKT?av02(O@(xiurUnpUwsYH<{%oLcCk-7hBk79cc&mS041Dcc|baVBM(#@cC=k z0MBqR2lUUzv(aogobbPeD+Z!c$L->CGfQ z(^!>hpLn#GJHUk|yz|fD>vHG-zs&2N+!zb6J zk&UzKCI}ZA>F%n-`S{&e9d8IZAXL<+#c8tw7VKg8HN8(W?;vcMvG@*mf|sn;3y;aB z-y2dYEFP}c^}V7d@SDvX+CS;fMw97eJ{ZkrGwi|G&F8^g>BnF69v9nl>6HV@D^5&z z$sn%w8)eQhgJ}QUhAK9~v~Pehkbo}MZu!|8p3ozAxHUKEXOJSu*WY{;99QGoHfkI& zCYy_b#p+>wI8-TH;yW;09ggr#)h^*KGv&3B)hLH!m{Wf|DT?8AJf4n*lfleQfgt@S~5+@WMq$0?SB4MIVh5rr(>PdME}+V_X;T8FL<2e|E9(7M=J!ivhf+YJUi zA{yE^(o}atC_Di-Kn%e>R`&}yWy2)QRm2k$A#v*PFJZ4>7e^3m?9?gYSvn`eT7aQ+ zT9leeL(rkrfmD)&4A0p7>gWMU*=}y&kMGxDW{cCkRuQ=k*GVGiPROH_HdUp_wJt00WnBm~NL6c3?vThVd!AWg?dZLS zvwnX%Ee6o^(YSzLEFf6WX9lDR1U}0I0YMDZ?5hY465e+-Ott72 z<38erVpdE?qdEQ!#GL|h@$DDAtpnv=Bm4n3DQ_3&EhtC@nsQpu+IIcro#{%x_UAZqS_^0FI^Y*YwL3;(v)E_$Zef#O)@56d)cAp?vevYy_+=W|P5aI2yYG{EGUH zPuonx_pvXC?-BSdD#n8Z^G=)LlSt;O8ukE(TW%idlAvooI=n!Gx&+4-ztP6;Oe-Tx z0Q&`*oWoCmB*K(Ng=6xC>pWH)F!>W%l4nTJqVvwL$ka5kWYWrmWR>*g7d?>PP4B!H zDD)d}F=o0RBoa~Q!>R-i380p?3#? z-fGOZ@s1+j-0UeZNF_j~egRGuBC?N1fGSEE6Fb`o8WOP>v~7wAs6Xo$!@+1eD-aM( zkW!G?t5KoGHStS|q(uA*a7UOBb&p6RfV{;XI59rhXXuRc;W5u5!_)xcO%LAj&Oei+ z-lU$Itf^Wioc2T_kPJb%+06AlZ^59e;Ka?95cmL(Qz3+I#41E3dzu?a{tB6_Sur0X zgerywLKK)r;~mYh8fC!~uw2O`sh@Os5-5Q;5wCqYr_3J=0GZ6d65-4SNQBO3PzyJK zDs-*DL@fRQfY|O2vd3imaVimdtdW}axm_?dFHL)c0B=cCQWTBS4AY4_s(vPv>;d+& z@=6X6tUh@QPZ0qxPViC0KS|=c-!k2XOf~vM5b)hcx;=dHYO+P$^hN~*ZTW$C&NFS6MpUjnV1 z&imtn`Z7R14LS-{5v%u+t^`6fN zbg`>4(#{r*@74$uW(DG$IkK~T#5zcxN$fy=esaGxGgpSd$EN%LqWAJ~wLWgoa8FMq z%3>(39d7+5(k*V*neasURYb7g)8Pmu0C&yP4mL$fuyTVrQn|<}jpr!kK}r+klHFuT z8HJ2U>(iPvA08=I<>^wBEqML(>gUs^RPC}kt5w(totZ+lIjbwG7Wk|?*z*a*N)U*) z9R*<;u5S-O?zN>Rf)5MKRZ0a=XFmp^#b+;Bec2$dA3+A_aZPi9=LN&wOTeMeK2a$x z#b%Dz(@#sGG%08UKHH2Mg%*J1WX4R;a9oTTc|uu-uJ<=SzTN@eV6Di;`}&dm!&fw< zfMw5C&IE=GmxT!_yUCS2Hf0g+5CPGM++~aiYl?@DCIdHRDM2y-886l0u0xtfMekeG z6Q{391bSI+Ww{1MqR;R_aY8==gwahycaG=!9*k~r^<(p$@15~egFOY|5U-~ zP`?U;-l34c{q;pp^4q8x_R76fo)6}e$#4K}QS_(% zkp!H9T}VN3K@aaKJcDm|sB&L(A(UyPfu=;#Mbw47%0ihnmj)uE;t}v*7q$pUbx%W5 zLxhJ7Ky9)@4R_cd&B4j$GeceGArej0Jxd8=n;S6SNm|&H2RW4HD$b~vidKIqx(b|t z)_PkV_9xbxUi64ifB5(=B-65a^3#%k_eu`Jjh?LPakDBNG?F@RiXD*>|6$wHVCiha zf!{^_2dX(JrszF0WN^B8R~GfVGHf&cN{uc{dw{Qijy27&}K zc()u9Su?HF%UfLep)uuP9^n{AX2FWI$}SC+K5 zqyn2P@hvbqxEQQ9whTqCd=b{_r|;4L1cl;+B_~BMC`#aidbERia&%o4BBt=P*gjDD z7d*k~eL25oyr9eQ0??=_o3tk(s0ETDu4e^gJr7MOgD4=t0JYp}-9H5LY2_}fmT>OK) zMm)z4C+f*){C7f{$%XpJHo_!?;RGP(9oDU3Ok;4WF^n9HJo!nh17kyzP+wDSUnI%Y z2}m}X565s$=o_1j2lFX93Z?x;n4f1A(VTPw*(e|hAyFvbGh@5C;XFu0q9K^8*@2~_ zPpevh#G!8X$+;hX{K>uj=?C|hpAkrL%jpM0!%S0~K#lS9R%i)77`MoA| zfvdKI8LV}@Y`B&Kh4)a#xxECVYGg8LRWh%w){xW%F200q^oZRT*GjB}ir^TT)7fNH^v8q#SQ_3g;Lq=l0%X%uhtZ!? zG69bGd0dW@ZAhDQGuxrn0@b_lS-qt=IRCVOex96}H%=#m&6Yl{Y$m>@W*VXZn!QK> zr9aK;Dxvk8ooFu-onR9yn@XAY!2k0D0WK_l!iaQb9C(F7F(N4`SY!3l7a3Wpe$}Sv zQJdLSze34HC_82Sxo%p7B;SONAMyp@Vbs6wwHD4*dVi&_Q=jF}`^Q2;N-fG)rL<5PBu-Z>wWPW$tRj-11YJ7w7_2Z0CMc`JW&ueN;Xl*pZ8!}WxkFKo>{W*Bh`r(*5PnEVtQ>j zmFnOHbg=TxfUBS%nOs$}R=--nv2tP=!aYB2{5k-NOR|ZR}%r;_qU5?YV02 zwIVg9kr~-U5PCqKLp*Z2i&_7qZ!)B2iRCkHwYd%4=CE|{etmWKr)4^bK$}DTrazdW zvN=Xk72Ov@ICkh(9$bihYFbRKG^N27358?}+lUn9emkRoQd&&>E<+Jy)3sYmyl+bE z5{1nriTIu!J7~HcFg!*HU@(*(zu5SI832)(FNasB|8rwNrI@hQVOV_upWvYot@BTv{!+abeh|R*v9NIWN4KPJI!UTN8wt zkz{J`*sX=Sw=`xH^FaZ#1Z%U#46PSw%It0Z`2E|gf*vv?;Cd6((~z&5Pp2rO!>2if zSTTN!Dxgw!=CP3d3HXd&MGZSc245RJEY_1=Q7bHE1{%mn_IeaUWbZs%jHHAJPo?bi z^7e2n_rhH)2d%qlqgjWo4{+>drmA*+HRd1XctCK;F?w*)`(@N2yL{3X$XI>}MA&mI z8%y7Y{>_d$5%r!~N7Nj7LXkZLG8z$$#Hc|!wGpo*bVru97kT>vmv)j^aYg26O|*2i z=nS^$CGW{24cn;9xuO%l(jdu*C3YlgWioiMu|TKL0zR8hMo7t{REmV#Wa_3qZS2o2 zitT?sq5YQ>RSJYk87>&G4NvL#!VCIB$%pT`Fz>IIhczk?NZc|zk^1JqI66^Z`*qyJnTx=B!p=igdl(+CC)+nfT>6VL%O_ z8tX&w7hJ#sL=vSWZw}Jhj%?S#!F?W)t++#W>1DbO+nfM>NDg;Zf;dWszmd*%ga8eL zmyUTosED)*n)Q#Mh~&~2&P|WUXzpdjawrZx1{*hb&RxLlwW*;cbcEn2A}%*~^sPfs zDI_q$7cX`3_x3P`J)|NLf;ku87Dt6PIsU`rBAaUlAS;MWy9NC?3r4y<)@P8|s=*5v zsxDOhtf9L_5NbMd;EiMLHf&-#V#vc5Fo@5) z!#QM4nfbnG7Ycj9@GeE<#NMyka;#1o(XKlnDxY_i$9trBAg z3^ZKGU$yj3*4rpTS)MmIqkyD}6zO~#C2I20BA`!u93I#(x44A^O{N}Px!i0n}Al?Y6w0g_B8hia}3&gv& zS1P}f&17GCNWMgfY|jd;0(qzj zQUmCBLc=T-cRZN5VS)ZfUo2J!Z2gaww9%j*f&NeMRa}{Y5_8m#sb@%qXa@^KXmvWF z-VA2Kn{%uf4kcIY>O9A&QBt;@x@iDbz=Q}hPA4iQWBFZNfE-wDk$6}=p8$Z4$B`p~ z5U=^E)=2T@MuWtwpwQU}om3q|@(A33Rd3kZVvY0N2MXD1h{pq6)#Ii*@h%L!D2K1J z@}D*%LFqlT`@?Ahj1nN%_h)q4JozHK_j1n<;Trc50v?u(-@Nv)9g%QTw#?3O8l#v@ z$Iv*SC33|`FU^ppw79o3cov2EmEu7gmq^}ByG6k>-iYJ@DC2-#I{gva(+KIv_~MyKEr=HfFgwoA|6|X4Y*gIP z*`JWTJ?~eIh$D_bscH?(wjhh#5jxMQ@MyCx(PnbJZHthRbRw!fazAms7Kr*Ca79Gk)-f*`w6@0~Rm59c zP?WhwsCT)u3T}3T;gog5>{Df?r^aILsE&rH6 ztr`CFC=6q;wKuX$_Zyr6kBN63&1ty=#-#WRI}Ht@a^nxu&5Fi8cB63O3x5ifZ$^_D z^7qKLH8-@QG7QE60wfZLV?9+ZC@ni6J|))S9(au9;r$GJT9I}+ z$GMa-PB~9TxCF;dkm-+(qzI@oi<2Q_{SVwPMXj|mrL+%atSDDfsJUV*rH(RFVJYTM{ppNL z&Qkld4U2v4&?gcb}jF6!CgPNfG;YV%g?Pg+Pe_ExzmOfU8C=Zs^i7Y)7hJ_Cf4V zQ>4%=WvKXF%)!1?XDT4HDIH&#)N1MX-xuy;n+hxfBGj7!d=D1R)1sQpC<)P=Z@S@! zxAhX~Jrr|sUy3zWMRum@&?fY3w)T-0txev-)YEnt`tl7f3X-ylJ}%oshW_yeCWmwA zb1$ZXm>D&zRCZRK#soxJeY`6{WV%XOf>yYj<}I_U+#*mp#0gY%XMvZJX5e8TB*`79~XAvF_b-&U{TGEpyT0d>*6EIeNWMu;X0xiKfxI$C) zgU9<7#7J7y%4?h!UZ2r!#X^IuKitD9AC0k?r(Lu_dCMqK$NIl(sn(@6_e~wkNK+X2 z+NFbD(`Bj#4jIeD(P%mTO(Fyn_gBgAP{uDGKm6ncVpOqlgWauAS)tVwz_dB#%w2a` z(!`oz0^J3ghG$^_$0m46FNH*u-ZnK4Qb?;#I_ux}0NdVJr=Iyy7Zb%w8w1S*%XipgQWe~pKlfp)?>S0sX8B+z`sk8taaaFZ5OJ7>8W5SQ(=rEOlmg!wu!o-^+& zS7qQyJaQpUGd&olDNr7~@@5mXQC(#uUNdCtQJ}J*EsCz};<{HH(Zod_A6a)}O#`tZ z`pNKkcv@a|vss>z){!6u6>bJ7GS461{pjZzZZUk(ds;k{XF8hIQkUN}t%&-E(_=h( zb3UTV3zfvBh>LZoqQ?<3+$7HW&5_3$p!EhfG$R&j`gPhisY7zG95KB{EOBiTQ%d&0>)!?RmYPa}==0|vctV)VHG0M=9;v6*|t^2A~qu$^C>-$%4K7RQ5 zumAk!=Xb9@zH=X5|J%DaAKmM}y7#YseD~ib9dB!mvf@?@UTB012yx38?j%C`)J;YT zrcs^2&^O!Y_C_d~Z(K9dYfX{hWs*>@8fa`GT?zIQ*I6&BCaN=!5oN%-iP^=YlQuBy zxRupJ=z$8vS*xhcJ-&xM9vSLcxrg$z+=Ctv6CNIy4k;=;Pi+wmBOEymRVQOricj_k zj@n(Ik~`sj@&49;ARX&}?v{-(7jqz4NlSV~la#6LI0-^)gL3d$Nc1J^NY(XalMBVi z2^7li+{{eM*8GfM*)sYlL^c`y7d;k$Q{R-& zyZcygOJqtG^!|f;^T&5@{-nb$aS|0rny>623?5}xWyzqA`ZWm+Qbx;g|NpOpPIea3 z@Z2fRg=E}6HtrMHYhX<}^Gtr(j*0L5o!8IE13Pv9`tyhfdgjk=`EPna($~M#&>}iX z?-bQWvc=Kd;48Jfa(W`oi|+UmP8FMtgGr!lFvXE^{BQ&Q336UIT)!rl&_*UDpccp> zhwzJT;R*>9bzEOSPSVsgC9@b=vu-1Y?z~*Afq_=(KjWOgwR13yQ&zes>1)?IbISeW z6@!wUiUl22S1bdBWc6vW`n=kf2Rn43Xd_Uft;u%3Y)T5VJ(o9IYEV&yAo~V)^GZtE zI+BsrdPJXMbg@~6tm+66a^MGAu3l%ut(1IKH?iptP}oITA6JgxlqiqQNu?F8(*iU9 z-9Uy~7A4t>G+AgLCxe7*K|GTMolRyfx=Sr}ifVXq-y@q+^+0kG(}gXMkIM>i^bMTZ zoZAVRoTrJ}h*iU-5(gke_o<%gYA(2YyYJsuzIg%JCMG12X(i;ByA7yzji2LL3td<( z5FESm_LjdBLu#j{rm0um&QyG6%wKf|df@Op4<>VDYGDk4-vle#U?)jUtGfM11A{{$x|4 zkmA3!P!PTT95iy4E42$q3Q>!XxJQr1gGNlO+o>2Plfgd(lqI&BjX>?|eg^6Mw>!yUYI zcGN_>%M;yUn0h0;#rBRl?)x3?K-IDifAB{)HPT)VA;cd5*3!){AVr2o9r1?dqdL_d zTx5Xrh}wg#?e)ET+)Yv~)*F90rcl1f>o>Y3p;rouI;iBuT#X~JJ^^cZo7cdwZ8@^o zFQ>@3eyXIeAKrZQu;k<4+DfI;3Cl`!fF1nGIyCkKB|sK`Wzed4sqq;Ddk^MGh>~-|u(*5hjo3 z0BsY;@F~sfA&&7jEy&b`3lepv$e@9!)W$=f7<#IumV$#I%QD=7tw&}=+dBHxG{OR6 z5=c$)J75Ku-Ht~1UAG}hzc^Nl3@?7g4itmR8sV@4t-qWj{ecR(tC$}rj9)#T~>C{H@`R&8LpB7bud2L2Fdbl*3Z(wCw ztkyJaxPeK2a4cN6B#?ljDR1mo-?G}RK~bf=uD?Ag*>HVNiEy>pK7rf%r(W0J^3y%Y zuUsNz5Tgp*@&+{+^GU~zqMg`#V=#@_b0v$r4{#(e9SFI_+S_rM*VeDc^c z)8N`;k0l2g1ng4SX++a?4U>%GITIN4WolQ*9jDA+3X|uko;5lDoHA1>Fbb29@!tstFD7ldZFBoms~!;k*qIdbU4-(j^q93IZR@&2xCNHzZyo=1$slF?In zN#OmIf;wpbf_wuA!yozL6%1Cl{Y`!uBM>`WonYxSUA`}$c`MOaHn20_i^sA3sdM?b zpMgoPap-oqhvfXHKfe0qokP{??O)x;500m^`Qre%KR_SMK`rdUzx|lTz=FW#+;ZEk zVDcS4=+Ez8fB5tJx9{HKcJBA@Q62l?!~0)S<<0;fwUh#pG@?$q2x82W(EO>7E@!Bo z1V6Z}K^}hK47rh}KBRc>7eMNHaR8oc^>c{m1z(M7peYy#cxLm?luzGOB9vx_2Ssunslt%u#E}pD=I$In zUxQ--gFdzzzi@$?7aH|o9^y6Rnsmlc_ZyJy*YE!D!+U7#FTQmwMJww^^j*$b!6THH znoc^J6tw}?q-~iV!@LhrfRxLEec`P91Ydeu)cywy%@l$);>r_t$UtkMx@hLdX@emS zhIC$T6~5TfT4U9u?(14TFQ+l0Jwg=7LaS_tsW~JsaC!vY{{;X5|NnRatX*r5+eVhv zPcf2wNPu7d>eHE*Uq}s{MV%OWD zB(my0&wbRTYc9*nZtd2mV{>u4&h_cMykA}_cQ|dT(Lb+`2Y1;Y+;+D; zxz)0%?(XiZ%QN|)`K@-lEnoihbNc4_?fu;y{ZUu;r)7~u> z)7gAnj%SnUw9JYz{-2v=cX!9?-LnyWi`IQ@Ts8XZFWx$3Ix;kFm`eC=bGlkTvu)~abu>hJFWh&T3_7d z!`Ys-J{``dBT?YEJX9b}5i4GuHXoGYv}jMlySrV(R4~y}Ic|1`^S=5xes~^2|0LZ+HG@)NdEri#HQpMVBy*bJ}s(AF!ADX+87fH?kS zb3GhBh6UWB2<=#H7cVFUX1`qbBntH%{24zk1UboK6rul5W` zSSbX?=3;y9FMIc??A`8avhSa5o6YLy>k3r50O5SIt7^Y(c=PQq zuSS>m=U2z&YF}-hU!XVViyME8LRaq}mRCILvGum1(>)&$msx2P>4nCPjL2@oc257i zug@-n6fVliWHFbunDVpx7{sa9!!w4aZ@&HhRs1`;bpSgYY-j;-pXOOM&tQ(rqHy^v zf*#C&1xxGY1#pef68)hvXKV60nPBsQ?~|dAMEzJY02+UXsP$oa^dQ_e<7%Rc%kT*y7C+Hj=TV zJ21}~x47l?ayo?iY`Uyb4?D+w^|&NZEI(Y<_m8@E1}8@l)*FKm$|`9<4ijv43MWU1 z8crcK+8ha}W+@FxdSaKH61SX|3;1KiOhrD)i=K7>poGaexaFQ$t4aW~dmW z2teb1@vg|1jtsRD_ZYdJj`BQ&M8K9J3#9Av-_J&y6HL(g16gWa*no7jQH4oXAMSmm z)%O73_BsWSM9mZ8YzftN|1vO?u&{?P%k>)3Q0&0kYoC0f)Fm{~YP8}Da1t@9e&hC| z`cyi_iW>(M`(rDS=ZFTTfU;vazA^j=T$6Yu>?0Ik^3pJ>O4Beul#tf6+a%QFIB?c?)LjoEabs$_$Z1_%++vK!l%b(>t4L8Uq3%H&{lv-x-ZJ% z_LbR-YP-AwEpCCgPxS|)*```u@0|s0qzQv-D)2aX5G8u|}* zW`UQ-<^DsXS%o1wYHmwK#K=jgvb77EIgm(awbx9NB)x*b0d5R>{Q#W1|EK_J4YXCc zYP+SZ5+%jPKo%gp$L0Q7Irr`N zKRWu&uRm!ho=AMMFxw>P`jOcLve`Sz-nM};6WZH@yaYv&1avvaq#!VHLJt}7|f7+L7C^%`FK9gv&poa1F6noT40?{Z{6h-+C39$ z;~~K10IDS#wA+Wf+f!zC1X3H+VZR&N3FdTP!ez*)&dWtH&H$KXHSS?Kd0yeaCi^(X>Bxyh)T$Q!PY>!bk(}m2qJ_oVwwaIWnO_1l3&$wQ$ z^^sDCz|@=F1<5=AO$mR)xLl!eM11klE~fw~Lq=!}hcwHw3|TD-kl2rMzC;$ksSyFD z2}#>g544Ovg79}tcIci%+s+S0pt#*3zbj_5ktGn^1BM|V*~VEE$Rs-0Ac7)L94n$- z#aa}eCf1#yOdBo0yh9<7A_KF>_@8VJm+6o!!S|$SAms*0yy;3+H`BcZx%>ouV5OU# zJMVWPi_B*9VPTRH%Jy$gr#jvseIhV83XwpvV^wVcmd*h40L9*;Mrcr6>usgVp_Pb# zkU%U+zshNjx)E$khqp;~zD>$@wM2ovns1JpJq&K~#xrE&Io4A>Z?lnR0N7(_Hp~si zOh^+UaRbAuypN2hHi=~Hy(n=fi|X-BD_tSwRF7;Om(im!PH zH0Wu_$OY-3ZLS<0p6a+dNQt!{zj^-iE5aZ`5L4T3u`3YWRA>1k zO*>%?MARUw5(nu1q+_lN!^1izns$12ofq2huXRSbyXljslml2nbp+AWq?p48%@A6-vM|5@Y{b~B)plRi zf(wv(QS8zqe|}RBDC0Fp!7g7QMjmI=qNEB95Q2pwH-9}r28b(u*(8H!SRj$UfWt^^ zA;j_nXU35XQS5INNJQUJtiC{U2ibWfL>DteYI8S3Wu|vI9t|Lv9CB0SBdH)j;-Jih zPi9Yzd>#V5ZW)90VK_+?ORo9%6cXIN2NgNqEzt*oM4`vJjV{kHr%2FtuKhbqNnSQ54V!&xw|f2T*3z%~HPx-ys@k?==JV``lxH1h2pB$v~p zxghwnk-MU>)&#}n2c++TXy1mh^Jb;m$gKmaVcfE?y&l)kMie4Z1zWXBS{lf7Nd4-F zrjm9&oF(x_7!C{az91M2r9=9f%8bCq5H-Za*W>DRJ!0=#W#FI;j6x*3TvdC?-Kg@@ zWx}1YUBpcQ(H}KV-l5#U8(Z%0DN*@w;C7e;C99ADkoC7YHQIteKFa z8U&|m3906StCA`G;q{BxKmL8xAo*#k#^g|^tgGwG$P7pW+!HEF2m?_2D6n26g)=c8 zw33ac_h103_a+*?84Bdo4v#lqz9E;6m9hmLBx7hpDOjPkD;o9?J;eQeF;oi1MAbSq zSCe3M0YL<1a%A7&pv+}66k^7xp5PIbmj>3#88B&K=zwDnRZ8X)^>tqzno5&4LcK33#_qESj-xv=7oRvM0)0yLNOaf zYy+aRnzm&Z;kBT?4nIu1{0bdvcm2uLj`x)tTZ<*g7gzLQ^~NNlsDDp#bi|Fv$Q-&U ztR7{xut;TOXt!zULHa8+j}Q>F(uS2w&>ChQT;XQ6kY9Rd6%F-Y4&9Xp?lea84+_zV z#tv085wbz*7sxgvPrew>XJgJ{k(^Pm8%SC%{$8W=~8v<^XkgR<-0BdV=KfP)3A4k9(& z7x)75RG~ybq=c;po&*@#A)LFSsrN$|m9VU{VzvG+HoNqpmqm#?Hr3fjT`FF;J85ta z6C?dTubXgM7dPA=_3A z!>hjS0=pv@CmBQ}J+Yl5+9JJ0KyU<}XZ45WAkiLYVEXWzfMj&#DzqcwF0!T7@kSyy{Vat=abmanMhG1_` zWs6$_1HmIo%8v+3K{vR!Mx~y7*$x=7b-4+b(y zsup#PuiebVquPOQHyVOk$tBr_Iap3WlK+vmL)}a7%E5I(l?3vd2OK(r-A`J%dOy0k z+6e8%2GW~da?%{jx&jyoHTQCy&(T3yj*Iym8CmVl>qQf)DdJBM<3rPc3nn;SfUp{n z!~qv*1d@p)m=&YGDS|l6WQzs#L%%fX3yfM8EDIbviI%Z}fkmqb)m=HFLrx*mMN*d? zW$oaQa2$l~H_lchS_)9a&*8M(__yshUyYCw6w{edQ_n5kXsO~mg=+e5X$Y+GbwwCP zGfJXhLgOz%TS%S6{Z!j|{lhD3oQ_NYyL)sLkTV?P`~?1`LRvm|b8ubS6^vn)pBA22 zcoStulm=}15{j8f zP-8{LD&|oTAR#KnwzCB)6BCM30SyY|elqELPL3V~ze{%I^_=`UC{7;5noBfnC&!Dg zjYyZ#rLI!gW{Kr5>Op~-q?DnrD3E;(rMyENG)O{ok3dYuBUMMDM+Zhglj)RS6b{?Y zl5MGAw#PAOU)Zj1nWCWb*%Ha8HK9wD z%TW1mpbi6gjRUaCiV_`p3-}sjYYJ2i`B+)&<5LRCf16me7KvCWQ74Oz| z_AjfZ6xIjkmrxrLM#>?7Ot|H!siIjPI(Iv$%N>vD>6gelt)QngV_%151}2P;Dzc!2 zeJRYh7Q^znq?gyj`TLj8e>lC9oksTlNigam9WAd<9lO_uk`+d_MCFn6BoP=FNZ_OM z4b2BLv_MnFb;!z+*beuQV3Fv$ZbKR}R&?f@a^aHOL6amMb-xc9+T0qF*RcMLUcUP3 zuV0U<<0G@~;dJwuWdha{m@b)G3zik9#}~Z;yJb{GrnN^_)YI5#Ddiac$Ht2Ysb;7T zfsB56@iUc*&fipf6nIC}#8lfsk%U``(DocTlU??FKs#k6qUC5gg89UnPS@zjAhppk z;k8%GZ7-V|5LQBZA`(^yI4x#{U2`Q&c=zj$0n`HX65YMi8axX}W4f@Y~f zps#W(hn-s052aAbH48a=8Rx!5SE+3Q_T?(awxb-8fLh5ROH-|!Q4fhBMa1u)zxe63 zd-b~VMM9hg!+(qR!whikC=eDHx*qG)XDt4$LRy;MBaeiR)UD(EugFLc z=tK1P=+A5Os9y32%G{z6SQ4HkV9%%03**gQ4;&?^s>MkQAU?FjZE@z6BGIP0Hxbgp zrCKTr?PA@C{qSJtI@omHFa43o9+lQL6U3oE)5I+yGFrAW)ngKAfkzW=wcG3NbmLyH zZb7rq5&{?U!C!6!W^14v!bBSDs0_UcWh8!j_2TtUFXel;-DIB`ySe8Z75}K*zh$A3 zC79yKAr?BXK+}G@K1R}zi9{(pkZnMs!o4!n30hic%U+z~TU?CkZfF$)Sf&`Wk>@4K zlQ_jPF3_j#O5`R4MmHBUq9P((o!&z>2TsUHhc!PvIlOH+mn3$-jhB#c0#FiNi|7WK zEzlv3xTBc60woh-NtBdR;i6jyw zf{-j)n3G`35aA&%3KGGA83}X2!DU9717|}S`=BNV+ev*(mnAJMJfFZEFpW~t=yOSO z*cdE<&!&DWWG$)Nkbmx@RX~G|==EW*R+{}!>G<2gZ^^5=x<^HZz0;FGu?-V%hbffclXwqH2X=&Krk-!c-pzSL`6)V6$H#KnD9J<=6u0S| z0nvE>5O%F{E>UPrcQ*1R^cuMQ#ICSHNl5{zw>FqfwF<8I2du03d28I=gjmIhIY=NWES(z_z zhOfvt20-C2+yrQrVM#G55_{xuZXFYkP;xF&7oqtF=!Hj@35g*+$_EsQba>iOTT@>! z!eW*DD1CO0qh3^uM?cmSo54Rg^+h!qL}7leR9^&y%4?CMfs2MK;hfMM^E3(^7bJB< zV(Xnl*h9yd(3MZ8kXqfLya2;7w5WC6r$-+oE-S8ChD8{(6jT0)=IxC_GaBAW9;KOF zYxPr;bT*viX-BP4qF>O%s2G+s7HIt%-^Bm~YP#@S5>D9=ns6yf`-JAd-~Y%%6YnDz zZ}}do4`5D!Yp}DvQ4tXlJYu3h$YgQ71VkY*w2)R(KQhEcJZ4X~A?YImH953Q1q2DDTVEce#kf)Lt4Yl^h{74 z!KJtGh9))vp(V94C>2j(xuLc=VO~IaacX&Lb6iZ@g0`T^0^!ZVXxD>{$zK>__bLL`XYo$ag2r=*!=bOsLHv4rug6-8~KC z#{}{4y-ec!Iy`SD&O>(x!}uO|ht1)ddSKs$9ws57FHS%dNyW`%;Vlm>iDo)mF@RVR zij#)|$io!GGnuIJZsLx& zVm6Z7M2o^1`F3Q*PS^W~jt}LZL*C+fZiyL`y8ooaTm=-O(5(&7iTW(sJ#rjZWR?%6 z)T2g&Xa}mj(KS16Lf!!fLn+pvnxU>I2~EK_HD8hOHp<)(EBi{1Y(*d8@x)yk$RR+m z`VGLCI#EH(3u^E6(L>un?Xh?|QX|O>oa2O4ac2=J0}Z*^zY7kf_^jEdpASIV&=|lP zYe#qNSJc3?=i^(ESK`^m{xf7DYm<M2G-*lB=KLMZR45@HQbB>RRYeRGS9?SY<4*O7k$ z$G}Law#i|{J95Y-ZgIP_g)j^{S$%qcJ22uT z4UR}d>}-|LD2@se0Tcp3T0$hG);TcsGB1MYrLA##w#OnRd6GZ(Ns9p6;=3Yi#cqYN zQ{5*-bSExa2p3UV*{YSq`2Kv)R`B#g_4KK6rkX5I36iC#!NZJirr%)Gn`9^3rOY7mHyHVfky1cyn0-CLv`*xaLO+%AFw&Lu zj>GfwSa%uX`(bxMP@z_YhQXS!QTie6gG*jY(BN>~=8BZIhEO6^T$;iu7fgqwmnINr z>g8tlo}zb&TRR*S)*%Mv5Dyus(VM^j_zD$dS}>0ogP}3>N+Sn?k0!z2S@3rr{5=i+ zM$(fKp3=h34W;d4ir{-C9?8s9(e`Vx`hHvyM2;yMO-S``TfGiNXY_N%;Upumx@8~8 z4Hk)rhSCbg^~q85_;q#pj&iHd>-rVWnEg_%e?fAbWg|od^uwTf(;nKnDMjdOD3B<| z7s+Mg_pH|MFE-ZSl5wlCs7Er`Efc6$gfH=dvil7@ov*<7>X>eofQ4@(F)h7H7k zR>~~lHS(4`FoRFg;D~qgu=CBs>USs<>n8ssO_V!oT7TI*{`sX1m+0a%+YY+c(d~jU zaATb8!}J=wQY9xgDloHPhctoTVM3y!+>jIm)txC6YTp1OAM@N(9z7)5Z2X0l1rEb3 z3?@IWviX$OSHig1Rd9AP(Sbw`5VK;GaE3#in3Bg6&Tu5s&5`9M8_R~iO>oko7lae` z+;rf8sJfp#O1&W7GbFO%^LNSh;rf@~d^Z6See{J@fo3I4{;@;XeFH&aN?QaF)0#{k8M7t58b%r}=#q7vQT6`CFF(0IfBWjYm+qJ6 z-)SFJ$CNM|wbK1NLIj8(&ghL%e!%~h7|xraVxfX)P|*@@RDei?qZR4iS56q{Pg?wq ztjtu;ahi{aglvH$jTp6FqD>cP1SZIkAaE7hsZ{eoUck9zlJ9DHeCzI!rvc8Bjshzq z2smT_XDbnrw1wDCiNgb+n3Paw_arpvD9At+2zN++X>y3skV9ja>9K?82`@uAo!(w8 zKDFH#Q%K8ejKmt_lwq^#H%~%|W;6d9i zPQjy8fObO6?W0NevvNMhKz;Q6(hOpLOOH&Q(GB|dE1BDh22R5s!W9n=*1hTeaW)b? z{E)9PrY_BJdT5TxW1Uf7NuEyvmNwGn_N3U-X9J&AY9$UBj#&$ zQi0TqK!{jYU;XeB-C2K;>5MWcD>{NrXSBPcip^eq`njAv3oJ7!v35>|^U9Iw<9Juo zD*`PDVKC;Vys_XP8VwddknRn2#w-?5l0dPd7@06;OjnM5yT|pVRSCUgbG6x^cZ`=Z)#0zKmO%#%%R=VK*6*PBb z2R{x(ML%p^o1V>30}&<#g4Qw9jgFLqDrGUn3mkBSjwWi;6bQU+gC@t5$ETBrVUo$D zgKJ*Ml=vodLTCog*sB;!#M`(m^A?IH%Ld*BgY=s?R2fO!hEMT)>GpaSYo-eGxd-=BLIEWK!C)2HpguJzc{nkz7jGSlCqv0MMFp zEitR2FVZ~6JwN8&2*!%G%Pe_cQ6^o6+r>*vF#K}=VT9LZVU8U&29txgpeVS z9T7P2ne(}~xj)bj$({oaIxKFZYQgVuWQ~*gfQS(3MR~>)4d^?F69=v_;d_oL6?mHs zpdV&^E98WCNq*eIwU2pW2CcI#@ib>U{$g653OfaX2nTUK59^s0a~urkp`xsi1F{}T zK@g-2wG-JGh3I#`Z(C$T`nHu|ro=Hba?{EcLoxsMMqWx(LJ`}kmt>Mt!U&V&+Wbe3VChK_|V}NpqZe$ zDJ$}h+9Im(D@t3*p^;X16H3fOlgiY(Vtp~O?2D`jh)E*b>T4Fstjd<7W zFqD#(ebVw6b6D5(fN)wRLJwH~9%iz|=U0p&F8o06f~0)7S`hZJqss&MZ4G#_Hni-- zt19t&wKIdP{?80q(cFl&K&~3;Q>Yavr zO!QBKM8f@@xw~#GDAG1SNe5SQj zYrMQL{3^Z4F&-@@KQ<_Afl`lM?cN_JHK-WxKM2f)QLIxR7@P>z5k#o3^bRDi2k~q* zPvBh*{oxnW(=Rbphmyy5gF<=7fb0H_s-v6|3SS{ba8=$fJQ6Mw2ua(o8G25T5=Q4U z!V>`W4DU`seS$}^2T7*D@{ss3-vNo}Z+%FZ(u|Cs9^a!oaPkBQU%Iiw!+OErlUQ)V zjvY$b^!B9FI`9B=Utrvj{9Y@|mWq`GcT_}djVs*!14m0O2-CN%$i2*FKY`Tg<&=sC zIuoDNkrU2Jq%d*u!^KOI{%8YwBX4>V+uz2igARq%-s_211s1Ou}c$N?6!9vygn_rs|uX~Mt3bIW!OiW%-@y+w^zI*+v@E{>;LK~vx zAUGtA9qiQzN!CR(YAgRA00960cmcFsYmeJTvei!+B>s>9!G%PMdJHZukl4m5|1R3-PKj6s!mlm59iz4`|9lOF1wvO zl>5p(tsD2V`@y|*Z*E3^yFBe(T{Xu`y{^9ghWS4x+cew5{jPGW?b)r$=D{`92RE6xaeL!r zC~mX*`kUrl<2pS3W4%4&>Ssqo&|f}P^=9kNwZpHfa({d4+@7Czx2<*?S6SeVLX83`quj3@cLm=)9MY>~NTjwd#b zex(=g@%WGB?vWN!XY#7L`@X(+f2qsUsjA(f`gk+Ka7a#2uzM_oDBE%M_iBCSkFavj zJvv8|`|c}K9s27l=)fAQe7049j;e0yVs2K$>v=k2A#3REalieCzr*pkGY$JLQhTi5 z1*V|NhbKb^o-ps`ym9+-yIUPUL3ihi>0-PX=h3SN~7R8Cd(sQR4D+7uDf za1&@QCOlnE@!;ihx}41?F3&nqxu9b%@q&GMg3SEMH{HNw&zQ^J$(<-F$X?yEy`wwg+fT zgH<@*-Qj;S0s4DY-5u-7(E@GCQ($0%j~+7J`i{W0Rf2W7+pWs=V-s9Mf)r`Mf@4Fm zpW`0a1W}ip?I%KM86i~E^|*uETXqbO=LhltCp1Nc@Kcm=Uj zvH)@pUQc=e0!x@rrsEmxHV5!8po(m>B(qI*S6+7ZT+`lOIoNc=b+!w-R_j-qloppJ zuB-tfiCiMXf?~I>k=?dRBvH&@fX(65cz?SuPv3o&enKefUXWU_C#PWGh4~%=^gVge zUa+L!Z=9`nb9)Q8fnvMzW(2`);f8>%)Vz#i#y1~38w!P&|j;n!&_ zLkKb*PvD}L*?d09;G$>nOPQMhK4>O!Okht=q~s-NRDvw+D)0 zqTfN2>kqoQ0mx{V(Qc+Aovx;I86AL?o9Nu6tnT!{+NjA+U#k^SL zZU!&|sH~5t=bMq^;cPDZ{j+<=DrGn5qKlhm`?5JBaAMQvuN7c6HT53~0 zYAZ@Xiax{73Io_Sr(OBnPShd~{8~(XU&4z70h}T{DcIfi?l>HiiQf%S-aTw~?o^&1 zMt}PA8~5h*s~`XLrj{p2a2Kki~xW`r0H7cYAbw=Rd(@p9N8 z%tGK%J;L=O23zlr4L)g2<<X#o~13Uum!s+jh_uKVT zwQl{sj+;XJ=HYVQ96uhMrlV~@)0`?~rt61CWMjRKEwmkg?xA5I?eo6p{fQJ;9%}~R zcH325*3SrDjvENsLTPamCrY{LhKZf{tGmV$eFgm>d-l%yX0*E0l*+(J07Ta4c7o+X^@Boy7Ic*y)0}}N;^QR7jmL&^3LVGIcEcMR$m_lT#F!(gXH4{1rfz~ z4%Y{#hj4&$T%S9RsA5R z5TLNa7ej17cG;ZkD|URxTC+c!g?pxp#NF z^1hLInWLlS-ELeqS&k7!7s!A!B)<4>?sCh9;;0y*zPb*8w?3 zt~(^>`qh#a>%KZvHPYQv`Mf)p8_~UxQwkwfLmFee)+I3dVs>DfQR7}U(h14xEwv31 z>t=L!x4SeCa5RK66ckI&8~#XAVThXIcupur4Eais@zo(Ri2%))%yAy_FITr?hn=Guha3exE=qW!ulY?Xx5e>G|X;>ywhgG2`j?mq zGUXdTG#sNs(3Ox|RXxIDmpe*OVu7WWkq5)qVKI$iYuQi8vvH8=iGbuj{3WSU@;QO8 zQi;hoWEb5~(mwr`@(fz@9aw|a_CPeLsW1J8E!D`@aFkdxL3fM4de&HiIVkvce*r>^ z+tB5qXhD_8%S=I@+z8pJ>3zLD5+2*Hx$NWWre4bpJwX&Q6Cuu}3IV!wiTryp9|OWr z*a77{1_?A)PGZPtvPnKef;Gd16C&tAQ_nQI>WgeWx^~us*H8u(lMr?ZgASy><&^(a zZmobbwE6)f)p^?W)en~x6E~K{*G(YweRB^SFmoYB6$Ld(8HYrL($E|fD#SZO2?PSm z=didD#YX0E8T5VBmV^Qv29WxRlp@Y4Tv=}=lzc&2^|PBH_yiS?wOyc?0bjOQ08k2t zY7rHkRFwJhc$Y&_Jpz?u?ZHXvkMroVK zvek`Kef)$ZJamo{axhgW?stvK^*zc)$-~8i(-8dJX$%36@$&Tc{ik2kN18gO+GOS5 zD+I?W*JkSzXus~H+-&j)`VnTOJUXjDqEJ1{!u@{F2`BiS&yn(hBhf z*OoX4Jw=#om`>O9RN^c;qdtwe9GA>UvN7^Z{);WxW72T2H4vWAH_Xbp%Yhi0&5IXq z9Q=C{{5x}q0YqcHVkh(e=VKtTd(s?&In10|FYb=|IE_>{^%l!K9DFCx!TJ@ZyJ2PK z_Lh7Vu88X?Bphgy6!_ioL}6*;Mp%4Mb?yOV6vAGyl#Hn;rOKiW4)37zCuG%7La&*&kcr$$Q?5jqbRV*9u&1canv%DP15kLd z9_B+5@)W9#t^48@629w5D*Fy9kTI#MSb}I){pahgW1OQcs-%@0i>P}Ys8J0{$?FhvHq0rl`8OLX1g ztke8moKELsjYw?1iUn-zEdvfvMDaRDMp00Q6lIxHaIc&oNf(BUYbZmp6&)yq`{0!^ zTxMr>op`W8`n9degFV@DzDsChS?+y(qA+2KtOl%rJmR0m)9Xm&6#}$-UZ8C)2Uk6x zPC>teN^*1QlR}FaK@t^KC=7LOq?8V_k6oB+#^U%Qing!gixi4$owT%UaTjX7TRoqv zCVZSKf~Ejg0uelKc@bO$U8D{?(L&%jXsM`Ft2d(OavF4d`@ShD*?505f~itT03|D# zokuBgREmIITMOMyK!o>1FA~^-bOx`{(>6mSb4z}5hSH9g2{xkF%z07i`(^Rr_{TC{ z4E8zHKRF$Py(`dNlg+0^LCGK%xf4-^VG4L3YBz`_4<3oR@)~T=kSX!V6$8<)iDs-E zJ!Q!Bk&`aw)A`t;13`xAv(MNwvuz{D58F=26v{)ndu|AbzMy0f*beE2)(-!b@TU!t z@*pglA}ms&r`e(CilQLFM1o=T5h!ki0)QV-wX;5kQr1BMU{-1$ z;b>iPvlXa;SO@H);lcz_Oggk6c83Cxnx9ZMOz1;L9f-(S^*L?JU)llyvi|m#N#7eT z1)cE$X;4FCpG6TMUqR$HBW}xqFY&e0dm?+49-;21Q^Q39u8M1##w>c`W(dLw$tDZ* zeHO(GsyAMu9Z*K=u`lu6r24TBv|qCK!?z(9q#3`bFf16+sDW(PJ_@-!g&E>gjiI%? zJhrpG)QCJkG0WR>$6XeZ?6|UYh)m)oPbC5(2v(S*mm1xQ#bOB;>81bx$>$Uy3H0_f zF}ati&DYF2lKzxwgLtq><0du%}g`9N5v+>m~fh%-<%xWa)3Q%*dReFK3M z-+lM;2L|sR;cy~}VmCT~U`ahXGn`JxtKbFwo9;n1pP&ID0nedZ4eYt__)S<;SDsIa6^)cL7|Og#cJmXL=SP3}wcv+k8&nqwL*8a>u4 z#&y1`n?iJ|2?7`rKhhF=+n|ro6H4Ox;FOw6X4{pNdAo1{a7W;SQVA^c!9t3yRjM+B zHQvuVeBCjEUVpSsB0@p)6q>+LGe&X2O~&X0r-cx1kVQ6|fyi$^uQ-;M9LQF4=F1BxywKc$K6s>2l=HqN`x zK6LX_vgEv~SahO01#(1#c9*u~PW~*p%+Ml5JGgdKf^okc+M$W7MeL5IXf(G4C7JPM z^GmKA1{6k$DQjH8ZK#Jd5AvApvH}_6nD!rJ)NV4xjsR+m5XlG$$Qq#9r-0Ej{LUt^ zJ{{pbIO!Ss?6crLS#K$YDS7re;tY;I<$k;2=L-lN+50 zrw+koy*@%^=ymDZPG%la(ybnsQe;K%g6ub0oJ65m(m*eCz}S9nDU5IHpd6cM*3?pCOv%?hjfNp_q8bHiyiYGwUF` zUB4}4#7AmePAH6_q3(eQ^mv}8*rW=zqi#jGdnolZL!UR5+|U3ELNj47nx|hb=ReY3 zs_*Oi^{(1yf3DVfHiBaE1JRcvkGT#S1coF6>hB=7l^`x|`3k@BurW}m<_erV)@B8Y zO39U|ubyJE!z!R3Rv)<)0QMPwY8K|P_1-;vq`VgL$5=n7WW0oNOqJNE2dCuoe~0bD zcoBop6NE7r(Xh;ErzYvp=>cUb2#d>@uz|{o00sk6z>^~ay2x8$+Xj4b=&@8{ZPY7` z31n_~ocCc0d-j+sy%F}4&tg?HRKf=>SY!7WEDX7-4vjrQD;&67)xMf{o;~X|^i10~gDEbsakCsLwt>Y6K zPW|J_j>uGTPR)_*TrO7HBMPQ;PJzBh_=&m3IBP#lJTMhd=KB8#42Dcb%2y#?g#?844K&x;`)uOWs14 zkiZVw2c|fxp+J2NN&AxWKvb6Jxd5hs!3jw}0P=Xbe?T}FY$H?QGxP8pT$d(wI+DPH zT~jokG1Xa76r|h>bmX$Y=C~r`B-5tK!ZYH?S1-uIqKcK3FJk*#AF%<7ghir63W&Wg zyoH%>+7|@UP6}NS3x|A=IdmKYKXL%4^+8};NEC2rvNaQyujdYa)c5kI}^il%K^wVLwU1KG#4%4rE zOb?|&ty_1?(;~@~?n;EZ@|`?3QQG9;@+~3-aghGcPS;V}5gfWI6$mBIB6rSBlV$bZ zXs8c33*l8)eo#A6S7|`gfK>(ov>4-b2Wa#R1=Ab>Gq_UVNb>kZ07EAn zg)7L);AJ7h^M3+8&t+QwqD35TR_)xoB|~r_?;GHUNa5($*YAG(N%*PMnK^yeo}GA@`CeGZHTPO%4F@@|<&rv(o_X7KPf5|R%mamc_yos?l3 z<8n)BAhzpo)Ej;RDc|VWaGar3YB=n*$qS`RhKQK72rOru2}X8*N(-XR+Jq}~ zcj7>zAJVkNZBZ~m15zFEbd+YLJbDv`2l&C7CLU;*BAr&;K~E$#f*0!XxfvlE!t)dt zy3D;yG>%XDT)tTrrGIQBp}Hp;i@F{39^`X|082o$zqaKuw0=IOilB^vg3>U)7mv~B zj~N`nX7Kj1s6CE-OE>@~skxnW6}XMZ2+2iL!Uef_`g`?o*1U*Em`@#Q=B_WPe+ z{rsj&g+Q}f;>+n`uPjAow+4Q48Fm&XiaX!GzFQ9|5Fyn#uXhR(q~q*d}+QfOX5=>y;m6D(VZe2 zjAOjP$@Xu;^fB}o9mB}079nFQ(}80c+Y4#Zggm+%K2C!;(G!gq71Xq$bIwM8IuSwl zf0PCUlK?7;iG)3RA_p{d^jQ*;vpO(aBv^oHV;F?zd;X@NeDDRMLvnK-TftWJ_# z8K>LcIS<>cE&x2<@sS*H1_Y6F2~>C?m}~HaBMO!{7KO5}8BP_zE^rk2v`kEorRGm; zBO`)8w7nNE)<6~HkvOKoz5M;_mw%8oO;jd9JP{>XU&U=O_mu=x-OnkO>OpvdMULDL z)FtF?Q=T0fQnGcHSVP#BTHKd#vl2&;ANE!hN>Ash(FQw4925%Pbj*A?D&}y>AQUrH z5JR~Qs0JP9fGyWKnyPSK<^uQOz4-CAl7J|a)`1}ZN?}z)4dSE3$lSz|KY))IcGX;WQVgxa3Ed~&O=Got{ALx1(fw4kW zJBM<#iR<|3-OnHX5>K#&Cd6}yCKu@O!AYM>Akz#-ooMk}no6_-$|4QDk<>i@j>8@t zcyLzqxK}Yc<^vu8^#B|Uxkc|RMKIXOB4uT{omU4#l{IjSRzJAnOSBv49^PeT~9wAOx6IGh&a2@X`r5r~1@ z8-qgb>l-t9$Du1he-gR|s@$yCMY|1ENT9wthp3Q&zV$qHetGv%m970H7u$Sdi1PAa zZkUvp&*edPCq-dg#|4=5|JlZ3L9z?Z)W~0}rW% z2jz9W_FFrK4g|t>CE5gtESOGyr^Bkr)aZPhM5U!KIoj$W-p+P}Cpu5@*l$yHQ{Ti? z-2^RNOB|Yk-k>>-?3jXO!Wp>MEvrdHWSi=Sp*c9ieT!}l6g@~X_3>yZmY7q2fX@NN z(2k3!zQ-o#JzOFRZ;#36WCH!?;@E>%&+U{YhG~)#A6A{Lsk32hFin2O}Qi)O8%;IL2u& z)U)el5{9w{MYQB7iw`9!f8xGs>TBi2P%A(@G8 zOQX>Rtt4FjXJ%n z!;H*4eFA3#;{@>&MTu!HbLqIkZ`9i{f>FM>6 zVJiQ4vAy%bv7kf2piwQU>UH;&X+x#dN%5i?*@8hr!mS>J-9yF1pn2ydLx^H$E(3QB5PK-=arEX!xnU89XCO= z=PYX%1)?qNY{!N&YFg0Bh}Q`s;d*xuQU|G=_8as^+K3Yo;JCPf?L|FKlLu=z^5YoT zo9_2i^HpS8v|mnfqAw65!O+ri6wYp04@y8ghqb=}qNs)wd=ZGKPe622zrbG3)W{6e zi^Zfr?cEDKL6$w8)7u2#{^*Pc9FjYocZ(1mhJN5=2sfgGzwzz_^jotJ+S!^-r%}ztXWHuSm~3Pg|M2?e)6=ndV^3z}}jq1>i^JKpsm|j(49T080+V z5+k65%!lyYvfY31WN+Un`5H!Kh5s1B+tRyqV5``}k8Rw1tYWax*I+JjTf*ykhlQRn zt5m743O*TNc2yFeZw-!&P?#VqKn0ygQKXwFX|lwYIta=eNaNr&-&smXeW}4S;&Es6 z!`pFF*Y8UUgJ?}|sDCiC*L|Ig^*8Zre?)r-<9bdr^QfbRL!S{~(>mH^RpAE`1H{}o z&K>Q%IDiswFhK-9bqj1(>UqIUQ$Ja|_Q1^slVj4SlpvyBf2C4QGe{r(hXmml@B#E2 zKHMUn2ulya?a;;$ITe?jNO)jtKUQ?k%Zgq^K)Dvv*1Rc%bPc=;-2QH}F6*|lkx7fz z!}60tvex{X!HVTpIQtzH$zlmY0p~r;@Xix%IqqDaXcos{HzPU?eZ$PTw0QsW3z!T zG7=C=%wtFhOB{@E$~7FcQ|7I6mP+d3(GIGI=;$EMz#j*9ed1l@4jg?N$t0$qx9Y~5d+CfXK&hx16{~yWq$M|ACB<>SZK-|qd+y& zs^Imx)1r4wtX=TVSG3p>SO<=fdyNTn>F7TQMYarfUDS~B_aC_1qsQD$L2+D234sJSJz*?}XJ1yiX81;s#TXiYT3mLGg;xkH!^%YjW4?CQ>9 z|Jnd73X=xh0-G*=@|-Sx%E5wf+)HvqpA35B?8AQ@Jk5gxXfXoX3WbyG;9TvXOOXZR zntyc~ISQmqdK{;8Bfk6N8~2yDKfZhIUjF>%4VrjHYwWm>B#Ak5tuL7#>1U9dx0)Gz z$X=S%Od*{pY?y>p*yUxe^{6d~#TI9$d&mo3mER6sktETkv)%@W_F0d}H_9XI8gHJ1 z`=5K`%)qk5;@Y4y8Q;lzc}9OTMuM|>J$o>*pf92X*`G@GM(2LnCNXhj^5Qq&&eWOb zU{=WE*8C5qfN4WhLPvZZtU67Vq7I#pNg;%wMdu$idWCOGVB;dCx5l$BKzYe?f&dfN zSGXo&w8>gu%*pJJ_GZeA1;`Xf!n}|1XqGiBXK>bJ@ zK0Oy7-d03k(u6KJlwMx7n?Rlyb&xYadUE_5Ht(ajV3df)`oZ&u*g{|T3}_*86f#l; z$F3z{EF6-xyMnF_Hcq(HdGH)sA-o#rzdq~9M(l4iYPNVNcy1fv4}Ix(>DohWw^+Nl zo>Cb&pH%&pv@o{s;PZf=OdPU{r%UQ1UN^1JzyCcv-XDMb>33qV0vp#)t+dF5Gc!IS zMD|Id72_P00pylYZ=Ad-J7y$rGYZ}2-?F>Itvbf;vXFg_+kF{0?3*nV0P4@g$D3g4 z0~iGv4{1LcJi6I<|CID2NtnZz+B#}A8TzMhM@pn@%gM?R%RBl?$&{oA0n};xmK-k$ zNg1()gWlFW$9sS1bz^wFC(e;@czcnY^5SE$ka}su!T-ScNoL9TXvR4#biNYm~BvDJTd)OE) z0(nGLWiu~kWERQ(_4}S39_}6yMQSu3T3yV;!`PdFWjq_r|xtKD(^{^q8Fj91I``_1uq zman}oekfiPKiMa_1*funPswGE;~^$=+sy;+}7c^>Vl4%&KBE zA5EvjQGd}NE+&)Va5$M1qsh(9zK~Hq7VA~<;aQJMc|7ixm+fwQ`K&wX8wSleUe9aj z%&Hj7`u*W_FkXxYquF9HUQA~L?2FE6^;xv-S?_Ou|EakB`ENg^<3OFR*e1iF5@cDQ;C}wj`aJQ^3(9RD~zv`j5J0B0&gF*!TSltybH&vH$4)D0GwwL4i=B7Msibs=a z7%kfiRhI4XkZxaiIfx&7wcJFitolb;Q}~KiInOTGX1zf4X)$`1c} z`}Xw@|EKrcxBsj1(N5>GfXy7#@EgMjs!;bkp1L}2KHI$fQxBUR)aCME^NK#<>S^}w zLW4$42ICntb1)qa7US7;QVjY435s11@%UJt&)bdTMb|jv(R2)4g7qw*M15%dyqGKu zAgT*YWnCPOhc!Tz&?|t!ZFQL^kkI2hOYHas>Q96*s8H=w3@xF4D{TFtxSUN2U2_}E zN3+prH0UpeqxobG5bYOZ%R*B$NlMGG#M@Wec(eehJ>2m z&Sg8A;v0kEU^pKwMvK7+5}p)Oz)6e4;gj2Cao&GiZ7-F`#6M9bQduv76T9C2y1Q&o zyKQ;y0W420EQOHj~N9Ciddd>N_-py#`X=r`wHb=gom ziRcAX0}F@j#`EED%Kwgv(LkR-9KLM#rRwi;Rq-D|F9R5U^DS2KU!#FO^;|ADMLZn7mka`x+0 zg~>N9mcmD9#sEbe%wRB_PDb-te*%nv@1DbV7l^UsSue}G@6Y$ef1a186L6_HTEqSc zIuqi@U_QZqF9wTIF~Od%q5hY$(9b{X!N=~mhig^bU$+H}akG7T=Os=|y{Rh+R*9hV zv|GAopfYil?mk7~o=GSx(uMioZSSuDJQ-CV%r8g)3uNK9;rp-Wa}T?`)(^O9Yjg~U zfv8~!gc}VImrh3m*a1V+PO`aQ;c3#R)*fJ>61v1?K`A-rfC$BN0 z=c>2#G`07FkeBPr_A%9)5RiUcUe*sf0a;Y`*hs#dd&m{se=sL#i9?Bv+q<@R+@{iP z^j8_Tihgl+Gr(*usyV=~U4Vys zYE-d)DA(^*uQ)a|ceA`K75^-g+%zK?SGNlB83K-ZzdxIe27~EhJQ*R0Gq-{G(SvU{ z$dG*?NnDJPB=l!U0g?O-=R?>eVh@@Iq;fnXb8+qUTrNQqW{W8@g#HL) zOeHNq1h-x8um!*dgmp{H44}Y3pd+6Exku8>9`#iVwC_mxt6LBU-HN}};Z%v6rs=Gf zhxf%jd=7GNu9K+66k&f1@vEe>?Qw+5FnZp{M01C21MyW!*+b!=^p^S@A%h7DfUfLe+jwZcUr|45fCenb) zc-Qnff_Sx;%$6r+2b0IHt0`q$Z2+M0rNlT0pQ)a6q#ct5oFdZgMKM80EsySWvtL3I zbqsD^4kPKSL=*MCadP~_c<`^=N$+lpbQb?BKe>GGHIXJ|VSrq%kEc@KCb%C~+?xZ) zB07MNMfr(;wd+^FP*F~oQi@c|^LhEXctCVqdRD{77`OxaKJSjpjcPYx#)v2zjC;w= za%V3wH&!f)r9pO+;8C%rs`;b~^Z}pWRh!kz>;Ck(JXb0b)z&*W+T;22m+Zy$mNOP- zy*^%BK?6A+NHc_748cK$2=$PlzO5gYtD<+e?m{+z3+PC0raze?O-0nQn9T;m5$Kcx z2?j;DM56k6f4o-DdiU7ei;{pF2@|Ux9MEyMT`PNI>wZ#Rp-7_e5 zDK$o)Rl<`Z&W%;{#f$THd{8N4dcvuZv8#4Vgfy;pph3X@IQbSnL z?GY%2{4+s-ePIEmIeBtJ$npCa)8_*zOdd|zu_EQx4`TLAb@@x*Hri1Y@ z8rO3*>y_{Ui2ojD^1yv)q?PtjEBP+@_`8`Ph`VDb;ny{Z^c_@G62EQ zAI}l0OeX_mUZVwwqy^%ffWvCm`z4%xGpJ~0<|*{#0!X}do37QKIaRs(b<;eOt|ki! zECQDaD`xHrR{j1yAbHp+O8CW0-<+lt4f)m0n&(nZoS3`ngrgQW~iO~!kaakvSnitd>#0uU}J%9Llt z)h~T2&L|LQtji6ncD>)sx@A540!7+7ykd5iBzEWJ?jysvI<;%e{OzCLvNjC^qbf-3 zR&!gjC5^9`(R*SDt0%?btFnJ~c!;`$bvwLHxBp?aZx;TJ2Ps8FEKIBds^nq6B`x$a zP5e1i1cFgZK}|ks7M1`sNuT25c7tks9fbK`#csPo6}keQ4pSG&OPzthY>E+2L8mIA z@E4O?2G;nb#_t)CQ?Nq6Lr1_KMc?Jg3N<@WQfLrRL5>Tl!B&rdd1)ae7jA{|chz!# z+LiC(xtVwff~@{GG*@Z*R$olrNNU<$EKcplU4Um{D#`C$511f;97&hInNE)~xI336 z!$^wod_BN&viwaY>;w4d*8{SuRXUvP0wx|X63~rY?hK=3-J6p+9zZpbO%v_c4~i6# zMrnc$g+Ug6J%IwiydGrlo6(|AN1cIFL@X=7CCE+?d*FoQ8RjdgYx3FF_~>6juX4@l z5${6;e&ZS4cTYlUuIzJXDn5YK`{=C zDR?f#?VHrLV)^~!`a_sYS3 zH%NXqD3Dk8Vp&`73<&;tv$FBsxNX6nMdo>__^dQ~k(Ut5!;rKq<|~JyC|Vdje{v}B zdlL=PEU?@k4~2D3cySxv`#Cj~@==ZtB=d8tANoSS`Sz{Be$Cr5s`%y2?aNm$-WD%k zy}5m1(M3cp5PI=Mb8FAYTc{dEZvVy4KiqwMt?J{GPZQbN5y)qM>3L?03{vgQ_ufTk z%4n2}k6INhA@`XdP6qSoc+{V;QaY916`HqT&1ty>?NvYXhsVE7j>mLF)!gB5gg%w= z48_zTh(ys`j0Meg=(M7c^MP?V>yi~VQax%dTG4fh&p%zt1O1($BJyS`uZ{m@cdHTa z@v!^MY_ayc>FXU1*i1cwuioWhYYaji%O03@pnREt6=rCK`wX0vs(sdb{#+u|6SPt? z0Tu8HAJxkg=Ikw0Y2ZN502Ar!a;s~!57zRi-CTF; zS+jSs{=U|^Lhat)$h9?2PZ_LbWtYg&1eFkNG>N%~b43uH>28=jB7#7LiZm%qx-B>r ztke=2vP4)3ds?nLR_Iw}MKcqEV8scN|E^*fO=vWxmh4%OPhfKD#MdB;DrgZAj{EAp zTFo%S*AsTS0K_e({o!Ob9nDY$oQ*+P7c=wJPn7|Y!}j~=I$`=c>Q4cd!$C3jQdV23 zg{1yluIlA4uL^Z1;A%lkf)~FaV_sb^utzj>nf3Xerd()8pNJxRv$rM#(_#c%$8`IP zIlKnCFwhPJIuMOD>`W@E_uCVjCJ-H>GgrtO?AY#je1GLuc`?GYKF{w|C)Yc)kSNS7 zm;4gR^!KGTb5aRu9s~~ApO{Swyb!~dq|1OVkl{BOb&QA=l(VO(ZXD@y$)10z6cgYxbpTAMXphzEq8B|Hk;vOAh%W zy_YeeHlX)tVaj_@AXKg1C<@E$5K2fOz(?9zee-F{tFP?*B+g4Q?>BT)BB(w+e;!Ad zX#U81S`gVRx5#4^=Kw1~(x5-A(L2+dj+dzd%vE#A7$JaFQ>NHKIlka};8bAtj4+*a zG?bsumlaynLOH_#D6%dIAT=|QtxNha2zXQ%ve+X2zy}Gc0&UT)T{Bvuf^;b@J;L&J z(R)2bkP|w#Lb|7ObzUn91Q-@0m3kT?j<;syGLwh@H6Bs0|vpfWg zM#EYV3v36jEcgP4f;^~uI%lP04vZ)N@j=C%xzlXd*GsaI<=q{drWjCZYSMU_nMu4g z2@xl4Y4kioMeAc-TrIYQ(<(C{=zKgukp?GH#^^hjcBI;`De0mkr2*>`(-8IWl)%1K zm1j&0hJIL|QMyNkO^7MCg$NUcFM|847~M%!r`|8cM4r7+ib*GzX+tL6U{g*4PkE~h#k?hJf0&OTNFdIe__u|m~|qm&$gGb zWL@ljdp@I?9`y<`YN=S0K$FUQ@xG>PP8dxNcob-)2VI3&xDSVnP!^{a`UP5vpdZZ> zTy3kXM?%eTfI*;+=taVxLv*%Hv*QYhzE__Q*8AFJs@!K+loU_>8?YxodnGM`f(X6p zUqv8%I(iM=NG#qUtWbZVW|1`%@402GW)xAOnrn?cM1%$24z7wpJCkK#? zR?8j6X3(QyvZ#*=VOCVv6#-jErovEOb%UJfg{SDDuN?c#Bmp0_U2aMd__KfNEU05CeNHm5Z ze6wBG41^HOG6x*nnJ+MHkf&&wz!^srw{gS)Z8{xuOB?P*-keErL$!c(-X6Ecd_Y)c zI1OZEB2?TP?I0P#7aZL2?vDEu?K+bE>RO`Fcz;4N9UAooaWyRD?q zMOu5dNhW&FQdUc7LLMK-U{Gf6{g%YsjoxQ#E~xiMlz@WrCYxe_8IREtFd2`)DIq*# z2MrE}kpYgBKbluW_O(da@r>Jp#QLCu);m9H`Rm&^`aR#fmYB5jWFJ23QUg3Ql(gAcbQEc(y4E0|0!M>L z_iILqaV`Kgly?p!3O3K57yan(LG<@1`g;=nJ&XQcpo!7SW|<~tA!u+{bf2;|7rFK|CeG!W*9ieGErk-8e=srXn6;T$U^+IT=EDn=M2Lg)THT06Wg)D9NQz2_X(JN(~c{6y0LBGuC^7SvtVg_{h!el2CHVxo}_iO;y9D0ar*Wca+Ah#2M zdSf)hz&Ax`FOB1@DLzw#O0ZQKVOHD{&7j|q^P952%#z(V98Il5H4EFKJh+%y*^OsQ#Ks0>C$THoTFTn+9q^_s2pcSw#qB4CxA3&lGLuodY0DVC48M}ap1 zne2Y&gu*;CH(u{VR+Y;%-)GfQI7r@tzST0%y#Ox9LH7=dZ6jQgGehElzlW1zCiSq) zZZ0^18MqZmV}qwl9uX>Xf+&pt)Jfi55cL?+v2YW8yWs?Up6)*U+7LL>(H!Ua=a}Uf z+%ry?%!-8{GW75YS{n!?gf#S>TFoyS0f-n^7GtKt8vH0uX@dC)1zxHBss+g%P?ne5 z#x;<|tUWB3kz!6yileXV!FQh$=k<9<(nefo@LoXzOglNxmA(!RA zUSM>%h^Qx(L6`O0U3jMwvO?{2w>|gqQkd}N3pou{Y`;&Vp&*$PQrpOmTLl724_d|e zr?{LzqGT4omvJ7A=L=jdfvdYvQO3n4pbF$F7>*pfFXhH+_A)aK*jJgsW9W@ z5QZ2atMC~t{14~@9tG3K-kXZ~7G?WgEO@WhY%m@T5!ZoynoadEda_v!I)lj&moL-? z98C6*5M;^%JMTwp7ogo9C7Q_S26l@M2!DhMlKp5rfR{QOZ6Cs@rmUVp8jc*uLe+?! z_^Fx);LFA?m7g9^kdvD!9F29^YWeAR?9LsdgWa75LOA{K5O*6m@xTX;vVV`We^0W1 zPbDzjFF!?9wCp1@TneJ6rKG~6B57D$d#SgXjkmHw@Sm;c%B%W{3m(;(52j;q3y2VL z6Uhu0-$`#NOXYIEgz1h;xY4K_YoeZx42@kq;4O|b_d)<7`G)Pj@dFq)^1(0&6w2m< z2^y|YTw2V>iy0274MDwoDi@o4Jt^x=%F=6?n4*F?n2$KX#1kb}Tn`B^+co6aVSd;Z z8)DV*c$pN8A97<3EL@cx^thLc2i=!a6GJV(vAYR{9yDKZGyJm-W}o#W-&8mI{rUXE z=fBD+r-X^Jhs5Q`_DciOZOG;ZvKwK*Y|%%>gBP8lPk1az1FSa#lMs>>IC;w3rvO*D z&}f$9Nf=~0L@A?R3Ry3X&yK*7q00$J&H$Ws z$6l;9K4rx~{oM7QGRRiPrjbHp)cJ-Y*ZshYx?%u_fZ?r+MJVgcs)%PGPsnQPK%(s$tNI zdou^P;|^i#Vz|I9W~dqWQ8PXr-;;pHsSkA6$?-&VgP=kGPHUoAnT4QrIEImh`OkzM z>^FOz6M3RnC%Is-r9;b>U55hL7jsBL=Ti9IM(vI_Hvp`BI-ccKla93B z;Ts9AO|EO??yCjq=%4DP>KbwIWJ^ShJ4`=l32ctd4`|88WJ;J>gz$XVvaav$ z)mgN?!x>A;% zEVPTNI*bx9hU9*WJ3iP=UMgq-qIigVPolcOC z@G=pY5~=a^rw)ds*=+k(wuf>#BQ4*BrghSWAXZ_dmb=OiRcbTsuL6+=A)AJx7x9D<$Tq*(05^Ci@-KS8_DJHCr}^i-_qy0r;0KcPyft)&%M8q(bk3 zP@uUvM@pDLt0gFyi?B#Kji;eVtUtQdE#hFKR~fpx&v1gu&-+TViAJgVKn@vo`-Pqa zQ(ND~Xwy3`coWG=m!+sWeVl}BfpyWFe<)Y+t_?<-I-qk356h6a?rTb+uYR4}3|235 zp<~fnS>5N4;P;D9`6`GF^r{4QPz{}VlH%JuXgmBs5Cr$ex*XxMp)Hyg_kMFKMRYGT z*VqvroYg)84DIq|L>eeB+9u_W#0wlE28A{;sw6T{O-lvY7D4!Cgex8$D+LUih*fm9 z&c?Z%45I7BSq~&DxRy(3Q%6NGiL9&I3L_HRK;J@!W=`%J>n=C$>*+oeM&zK=vgbV> zx8QQfvgD~LwZm9Zw$4dvE$vRg4m8MYaG>8dJOK+m5{3oqEf_3m#8&w9q`N#V7GGZ^ z$y1V|6w(v9rq?{K@H^$y;@36=xAzxz|RDrvthdi z{BSHL`6Pj>@{~Nb!qrW<3dzFAD4#Z@ln*Nup-tj7b!`E(U7*lPZ@w2k!&(_N3iwT zwaz=+F`C8{ZONc3TXy7$1tDC@0c{GvC8w%b@K?$|3K{-LnKU*?8nPem=k=3@#NK=^ z0W>t$ZmrMgXf_f026 z8*B}9;)KdNMc!|D@moXYK17f`_O3j(v?o)eGE>C2WwB5euRKPY+Jya*E~O_sbbV%Wh?e2FeS_Aniix{BI_|SX^o-z+C)7KFDjo{=+tyor zLA$JKpXv4WS}R>;GVR1c{63ofX1E*(cZs7t9PL-Q1Fug8naCe|u`s-Zqmpy+KZFar zAlW29EpGUF^!L<1XVV>o*&QY3JoG4qsy{luix+QR6u-Rw@gG0G{^`~CKk9~tZXUuq zuer6_Lwn)I6wZjcTpAs>l(q;XYM%l@Nczea?}GL;u__3SaP{`?yL&|NJo?(js>P@YzlGU6q8+UFmFgYCM;SksViqGDCi;)+cyC z>DK|RfLu_BXeR_i8Fr<}sgal5TE#7lj=9I71mBX#IU)o3@5V2r0Fbn2t8TeEH!P(+VACYF!@5|##~Boq??<@Rbuj_Ciruxb^y~THY>L zbugVjB-hYJd`}bP0LRn=$&!d5HW`zkrUb~}dE-Ljuxp%^C$!PB>Y6+Da)@#(u5#uU z;-K7Qf!;g*^kCAa=1XLR5%*6UnXUf7W+jl+oHYd5dPZ6GB!fidaMlmFdNmP)6tdh} zRIS|(L^QnuR5uJq2i4qMNH!7+HKiaVItI2FIzK_!+U6s|T?1-4RjCv7ZEE~=9D&MI z`L>%+pe=Z}q|OE!?ZHG#A9%nI{Y=-Htn?9n(YOXYa^zetBZd#-4_Ed;c{>ZH%1QMx zf&adHCLHqM6XZfF|Di#aWB&5$$6FoL)uhJv_*SYeE>D0FLDHE#88Y$`J}YbF;?K_L zBVsZsIcb|FYTaRp>q}-us<6zXpSGg-`N3SJjYK-Tq>2Ce^IHaej0kknVm8(jeRCt^ zL2~t_DUyp%-6?*o3_nDP6Ysb}3f(aH&A!P@sJG}R3dFE|MF?LJzSxD(1ir*{G8!v@ zPm8iE0=K-;L0ZWy>OI$DD>8kw1FQe@_fqXmP)XO^dJae|!VXjN*Mv5>pyiObr&t2* zR}Skw_&l2HQ{ocfZqY8slmbm<;GF#wx;I^LvDx>z+m?P4%xxE1#MuF|{`_o~ z-TfU+SEMWo6#{(?vpKSX321~Nj|AZ-g7`CO&|QZwOqbp^$r?MRCLWPLYCA=nXdils zK3V*NjFYisE*|-KC%8jACA@Q_6mI zIJyCDDU+j;JfDL5DbOvp&=XrKjQCd+@iR*J=|ujF(h&Vf=n0w5(FWVr5lGQt$F0R8 zKklG94sR~$ZKfy;NB>}#H}HzW-Qo7J6%U%=%t!_%F24%>|8un2^Y=a>e4H%@lf)wd zbd9O#Ln#lqmQC4pJB@NXG`8cr1X(K{wLt)WMhm|JIv60RL_ZjA!J7=_7wU+%d60|; zi>bx8oYmIANU`oaNRzvulI+ZGEvi4E&lcTq+W`!p3;sL});47c zo6!)BF%%S)56OkCZcg};e(wVGE~Y4m5Zl1v`dO@1V@VbW9p zqh6)jCV!Ki`5>E<9#YQb)c)(PXkz?mcQktILb$gt>AuR&Sa2!`?XB>@9L~;z=r0gy zsmm_BgO%D(1{#BpoDbn)<2Sqo;5tM8Ky&$~PIhvDTwKVdiZmk+$`YHb&x z^exvYXoO2(mU{%Wl4w_XhviD=cN8$ItII^+(6<)MPsz)~9dwj;M8U8P8na3Rg@4UZ zNP=GP+Jcq>AMdUQ{Pdx=Mb<3|I$ziv8W$J~>-#*3gIgpZP#Z7mByU+#lhB}!xGyF( zsa7B*&#N4M;j=hrnVKb8#jBrwDR@5ZKi?F+xBvL%ws`&b+c$4sy}Xr!_&9W4gkwWu zw?>Mn7)A(5=`5~|Zuhi<$7)E*&b88yP_=Xv3Vec)+*NN)~NMMz%@ z{B;5!NpdZJiZG)Ov%tWY zEWR5T7tlyOW7(sXpwZ51uWclXWOX+!zJ^7%B>#G!6A_t}nXF=K?S+jUHOZ>VjEu*L z6OmcHe?LEN-EO~IZ1&4f>)pM(uTJhzRi9k-<*+}VZf;J;ul(>?Pkws%bnke{e(|5x z^5l-y{krBa2BX1zFdPj><6<-z;-AHE;wJN(8{Y5q^-#H8xvjFBEX&PiQ7%8#ZaSY0 zXZ`+sG#K@#!@+Da$456et95sa=)ujZoR`DpYLhs@+N-!{N0| znL@P{plmOuAI9d2X&+)8K(fVb*q=;iMKN$Alf&YxtqMnlmGx7*cX#W%{mso`kAWY{ z-DB4Q<`;H~U4oaq`QqF%AaBWIUWr`{M#5 z?H9AbXzoTgH^-`6J%dp0*1J_E`%DL+e^lJVe!p?hz5Z-In~vt=`Ft?%e&jJm!0m5t7U#OahtGQTX@791^|sodPdy5D32iySL{IqdR-XhVxw)Z4>pzZeediWs z4Go4#EIyHviWRYPZv>s2K_Gf@;%LXKs*S>xg911Kq4txVJnvAkI4{$L1um`!J+ zqCav&m=#v|?!4L9oxM+UoAu&Y9^wDC5&)pPckBC>HA$WlX{-p^93+)t3pH4r*PE3) zJc!^$UAi@%*Nd{l-!;Dd$M4!dr}k4=5?8#$=qw<-#lBvjzGB@@CwPTSM(~yEl^YbJ z{$MtQVHeZkd<>U3E<`wxt$JwhVG{jz(@7sc9u46nru3wJn9VqSLV7``rNKfTpY<%d zwcnwJFDu6IVUtNQKu8?+r&vNp)HEpip=Ppm<8gm9niQj=7|r_g$za+a$kmkFngOYi z8x=x~uqVo;Yk%psde85cn|)pNj^`bgRfp|02_5T%&`pTWJ+7e-dkjxtLi*gsBs;>S-hvNa zAbP`vJg7rh!ORGlp7op<$-q6bZ5Hs0xjz5F)S?H+_C(c4ky==A>!_M zIvtKj1r1>`FUCWNaf;_WPuBA}u#3o(9)PY_EwEhF{KLM3p!DM5Q;kT`HcgJKBV=V!`GYH)1SU`{ZJyVwK|xKuPnlLV%O|xu9(dVpqSBEutP!X z>%%?xq1qU|&ZmB&n9l~2;SAuV7#8#Ccr=}k1pkUXE}r$CKQ32?&AC48KUYV>%4)x} z<$z0DIe)u46Q>Sxz28A(Ten;YntIkd9o<7uR0nEZ>y131ZR|KKYA}=Ue^~EMxYg$) zT)YE%jKF6mk8B`ZSF`chlA85x`Oj(bYce1Xg;&Ra)$57XwBd0| zxLmC;`#KJHDwD3K6a)DFA>7V*+J|%P&&GW>hApdBKIM|PVYR_Erw8T_%Mv*ShAAIps>C&jG{6;;(Rd25Kb`_vBh1lHil@=g ze)>#3_``0(Xh0DFVhL~%^UWS0zW0HcTjKmq947svehma$ zXZPeUnmBK%S?3}pOYRjF7qw>&oKq9rFCcYTk5anO*i zgCGdg#Xv4su6NmL=~s8_3V_X$!q^&uvSrSLpPDy4)T%RS&j{90=hU(4mBh ztqo>p($EE2o&oG(20$ku1_Yh1eAZ||AK749fsP9Oy!FsGJ z37Wwz!oEiFZHk z9kriU_5Ba!Y#qLvCaPIc(p6=+ZZL%`6deb6pIqoer*2xtfdq|cIpJdwlO zG8ooVLkB<^&qsi`09M1ID3IEVp~q^;!CQ{df)iEckdA-c_4_YmC&@xd#0Pwsvpik)myA~Hq(1ahSK@d z)rU;2;|k7SmT|j29zjDz>_P9{i}$yG{$TM#pNr5_0+XLDec|#fD$rL|aPIfVgF`?V zjAvlz^ji90%E$*AqtmcFynF+2%YOh-RtWcBVY*Fv6@r)Kws`~s(4F;>uS^DmDbg2& zNN|C}2_XoWwzVsnssZb+Dv&z>x1`?IRV~?v*dV+F5Vl%=Psm7&)eo91hkF1iwIL_K zA;u#k8$&+90A4_$zeWfNsGgElGjprHR7-a%>uU@Nn5f}+JQ@Q_jE4R3pg(q#nXW(l z7{tuyuF6yCHr4JP9z!&x`tnf1hpj;@cx~2eBvFkB&?oU)sxhkT(7*unyn1jL=iGXC$sQY*QNW4UZsi zknikmY}efs&Cko;Ia)ksXJ&HY^pCtYqr9`fAPrrHYi7+hpya2oumLzYi@<$5O0l_?*TlS$v@ z)4~#DD;#Ae**D(qT4G%C6@%Hf{DLvDh~S>@!I?_x_}u0E$sa%eODa@hpXnTs*a0IC z=2Jv52JA(SCr(TnQO-L`$)+K zgUJ}_J?O>R1XaihJP+4NBKY;JvT@c10xyXF0_B!DS!CpGQ}AxkV>g&&JN*P_Q(Sfk(F8^^(emYq)2-RAxq3{ZD;8G3%IzGmPWzKv7^-MJAG7u~mH5>qa z;N2SJm1!}+UwMWeo0CJDh>)&73y^cUKkxASSe)Vc?Iq)cdI9pe_du|tY(0cC8BOQ? z@o-uI?J*k{CP(zEe*C36{j@&4I391|bbqgwzn2>^nqOak`0(qk`}y_HZ&mgI@GiuJj=u0?+ubX|j zQY6vx1px)uA%~-@BOoh;-&j>xPH_RsGuvbsT^i~pr7H-n0Yv?x8c_L`X~ejv9SL<| zLvJmdZ%C`U3t%m!QH?R*P|5boX|?}sB`hJf<@&6i$|Rn;J$mais+NdQ;9^qAdj)3z zKuPr?pS)^hJCsyykX|fW76%^)Msz$KqXbb*M`P%_RD+@mBvC*)Rzg$27OCUsXzD|S zptOAWL{jq%NW5qCMM(%zuiT;GA+gs?dEF2te&iP;l+i|@yzxJ%_D{`${k@byCThqU zAtsx@>b3*hHX04a^Vt}M4wUw1BT!~jq;!qWLt-g;kR--o?E{e=8^L0~@?9!QbfHqV z140+^8J8#FUJ)3PP%K%SKfg2|*a6iriu$wy&{=O5`!5WcsNc_!)T4+w;s1h^MZ3dA z&yG%vCV~{e9qR)Yu-xq`6oToN7~vbXD;b%hCn;~;S1(>0dTKZvUV7evazqIo3lLA3 zu7*S#{o1vAzV5l@`AF2(gBt?p$G?I{RoRH!ifOgPFa&Ag>6|yj9M;MLA#DI*oJlYY z@uJGcuQN+i%}QgLxQXm{-dYK9t1occAi6OJVs#z2g}1<0a2{%8&w7}qlmzaNh3aP!IgmWqvrZt^b(>7qQ({w(lDsbFw5y5*855EU&6+u@Qn4M8E zK_L@_4hU!51he%$F1grO3(pGh5s-YsAK=y~bhf!Trsb*VDF@5hts`i6-cn>{-5AFX zfQ4CM7=$5Mk!mM;q(y)vIRLYt9*+C-{R7a?`m|=6=W1}c9Jp`}v0@91^h=-D+&ES? zwK4ZdXW_4a%*E?y8I6(8!o#Hoq?rKd8`W{TZ4rpgHuJKXl5#}y6rVWYt{P+8zPVr> z=(o6lO^qmZFLIL-BU9wVKlgWcjTxF6YWn&ojF^Gq@Bnr)a#RR7q~tVbQpNTGy=6A0 z3nV=;vS!IunJvi)A2)D#e-It%V8uHiDd(RbpLXKAIYCwX#4j-gdh%Rh2}59UhobaNaTLZ+%Ty)=S+lM+=9Ia^&5nY;U3I zqAFPj~0bgmT)x@?l5;W7=?C+5%Xt@5jQGY(Z>Hi0VfTd;_ z2Nf5kY6~uwH-$BWt2~0l7cR6DQ_)+%K|50A1RDw4WFkA!g`to~5?wtk?ToFHmX6jB z`_BM6Uj$B4B+-vGgF%^Ky9PHW<2B|2D}W~+mqGudm?MfLW4oZh8hfM-Rx7k$O4b{% zMYh)7n|C*A$;HOZM(DAb z*vV1SagmLO=Hwxe+k1XU;c|_53w89RTrZanDgnKOGcZTR6h(mu(b1-)gnbZ z60`9r{DmG{&mU`1!+;HWM0A0%*(+W>dpN`RMXOSaD+ulUNh^OmWtnRDnXDDN{*`RR zpY}j#9m?-OT7h*`dm}(brREYaIuw|oGo#a# z0ET9R-hdX|)vLt^7FA!pdu?qfQgmP<97?gyy?*n~@fUx6Z}e<14X7vjN)C*EnIyfN zKr8<@L|MA?jxumI9j4$x-Eb zP8OWRXoCW!4oqT%Ch95`RxJO~O&v`choik{d$tjE`baM?UK}c1ar>MPXeo7V^SKP1 zYEfTrVQC6h;s<>uCKJ?n3%P@f6kfvVbbTL|SKBK1{^Hp=2z&qjol zD-dAfAEf2bnm6Pr4XfDy|HCgYhP~DKU~NfUnLu>l4_lp_o)%)U4I+6H5#k&DYeTq` zkzg`779?b$sU^|jux6PQA;Hu-sO(zNlBkA1#TkX|QX#-L>{laKKelY;P~V>5|B#rn zvlxASeRP??szXb$bq|oN_Suc*Ls-akL@d)U+<3-n`VsvJ z=(7L-u(_~bHCCP%KYzG=Z!Rt5r_7O(jyG(1nxdM_em4{vCIgfl#%_ovqm;eMmLgkz z1D57CeD6gwJ#SF4bGiJL)!nqxXZ;(^J<^$YXWB$_a|jR(+7u(U z5e#Q;#&#+uljboRi+k0dj1LoiY5*ljO0+pE6F`6@9iIyfNHxM=q6p!~@BZ(3_i2aj zt^Y?c#6wD)yUqmr)>y`XR-g+A)uCBS^Ql}$#FFy1C+zB@w-(Y?sA*Ed3Un&sB&*2j z#4%mv)7i(9!7%e2^C3th?35g1I{|v?M>97;&j}&~%DVOAyK__;)_eMi$M8*!uYqaR zAE3eZpV%S{DB!P43D!u|sIZ~B7W$pt^6nl&Y#d6r_U1cA+xL)WL=+!J97_hpk4-bz zbWU_a*_@i>h{;z2gn2u6`!NH|Iq8^_mLg$C8_oxPt>`LZ z9tx62Y6rSRUY1ehaoFzH2XaOmH;@Omn$0IuYyv5OqGhYPVLMSS8jWPe2)fwD&r8sh ziT)dGqDDb+GIaB7lVf;L=Tmr3jWn`i^l6qsUPmHO6uqLKC(3YYF>PP^S4G`E!TyqSl$@ndyEBn zJI72gfvla2hkt372QUdf0^dUIjN}dXQsUU07;o$aA!iltMz$}onz&B1p+Hk z0+Us^%$m=*h%Z0h&HC?b3_d}N0;!+5k)szqirzPFxUrtTS7IN!1z81H?N2`Jfo9Z) zP?db8lsvaICWmN@Z&SD0AejF7!|L?9hawry8Cb2q=_Am804G~D4zsR`# zeE-hfDi(Nsmk(SC7sjU)I@G5-`mo`10JaQOb#xt~m3%yz0A&n~G0K9wW?_l)n8>pq zW)>?N^F-@JhSI!}#Tm3WlHmQj7{msh_)DHPldyfoE185QVIsPke=i>sM#eKhxS_d^ zZq7cMQ454If&QJYR~{QEZf&g1gz)P2r@#F2o2pB&$#8Cha|+cY%t=Quj&eZ?leyi% zId}4}&9ttsYMPm}&LdHUW*Rv~k%SS;Jew*ne6KPOf!Do|U1*DN*N$OG%N~|Ea8UXj zv1Z+^hAuO7@Lku3;RL%^iUAtnQK8}SMm#TM#*-DOQrB#f_%$Un^!OcV5`dc9^r8jm zELM9UY2LzjS&KD-eNCN6CN>YTJ5T3&aw5c2?R!9tW44~4EPBd%Q|>MMXdxSJV}d%Z zt;5cndFIK``p&*?WHQ{;MrN&#vjE_4R9z!}7(f`B&JRBG;2p2>XuXgf{A?Q(b@gB1 zP_W}dHh-!DXw!_}AtDXa`iJ2U)-2;CiySEq$&toUCeM<;%22dSB?&R}=rn!SFhl9E zjIAS}Cg`D4C?$veoGso2QxNd38%yF-S@Mpu1?G-Q=Y_CRryiUNI2&yAzzG%u9B=}$ z<2VZ(mlOBn25ri0Sj;RXkTjKAE}$0IRP2cL+KC?Idu&p*4t!kGW)f}1^y(A9gKS8g zz*@noA$6V&Fd0|CK~m;zC$;#EY0v{ZeCQ%(Jdu&gg#B#ez!$Z=poalIW3gEy1V^1t z*;LI4;yq$XE!|>QnCxo7g4<-+Hs8Fmmn?laH%*nOI{}gvjOUcuX{HWTWhQbB#Nc1w zv28FWEv~bL(#3Q@LpGO;hM^a?`Bl5A^+)@21sGEH2v_VmuqR(1w(n+wL&FJVI{5T6 z!Xg0zO&W9im)lnl_n^F`WKE~iL={wp(!PaI5{Y7Bw}15uoJrT#dEoTIX_O*D zX($gBCaO$0BaRP`i2&)Cq91E<$$qXiVGAnKx-w%q%js49`130t;p5{l8+@+4P3Upq zF=yI_*virSwLqsyC;W)++5s=+Y{eP&q!n}7Mm9oOyg73w6o?Wl$#fRyKF)bc5pz!L zbwXVP+wE`~8hl$<+XyqaW)T9{s*21N)JBC5bf{`%*)y-ZaL+*{@f8NKlLoyulfPQ( zXd`&;&*jmIT2vHt9*b>j?{%VsRGoy>j#bolCO{TwjU{~gOqQeRkENi3PbB?i6OTQg zSA@=fqifMl7WOiW0@(qNgDqF#s|IRl$ZFaIlG)1rvgkqnC=MOMC_U-_^2DaS(jY2B zZ_6DB1aK`aGU6QQrLT>-VuJqxB6q+`W{U;7`&?dGv zv4w=lhedAuddiDPH zx8L0D`}c3(JN)zA+duzy+aoLo0}Qi8uP;wB!2Wd$Mcwn}faRC~{Fsi}g9%Uv_6cWt z&Ds!LTwk_&zIuzn{Nn!kuN>2@9lIi-y+Qoii(op`1#~G1VTV$%%a5aef}~3>T!N4( zC%S&r0-2HkX@iw_{EB4aASx*}Bef}>R@%6=R+lPx4(Jv78bPz*gatIB$NZI4`K^RQ zff32>*al*18?;sp&XfYsKn^+)(9eS0Y0d+kafTE&(q~1)i;J*11Bc5E3iL|hKxybtez3+(LqNLAQ;iB7 zKRO!%MuA;MuTG<-UNXTKa0^QNkwVZe6}rs84zS=%LJYjHQc29}hck}1@Mq%0P(=?X z>P9l`&G~YYLrkJxoA?ePZ?bciE=B;w@;=XlXwW6vWdBY#xqo<|pRi!?k7+!VA+}*W z?@K~uO1Bnf>liIYN1rkkKzBs*U+fkjg)cf`BJhMq6l5h*vxPtP>k)~O9@ra70NFh} zy(HZTFkTG7Cg8tGdoX0MPi(TGPDvUGm?($m$dOf`xlRw6a6C5crUNeQ&?|Yklw?BJ zar5!jmN-4)h&{<0=wNZ`E%?aByDA$do|?WF{lklMwiQh{0Q5GDaf%`sr_pFyO#1kb z8>7#G($Q)h;>{lXo17Vb0aFYBhm8Y@93-5{xk)CaIGol|eY3H6N?K6uez*C`fNuDr zRb4M}86268xd#4T0;O~=59%a5eH44xCPNr%c~6_kR9&b%5&P2 zqE%E7Xw6ovOu_{9@Yram{^~FY=u!JLztIQ83r5LA z7)YH(Co^rtC!?o~G+T&pvn$;)d5d9~UD}n9m7my^3BNC7gzlfU^LgCMx4+-MfAPz$ zxMd+~{{SV!o?2|oxx^~KB0MK5*pj-gc;HEK<==jO@$$pl_ka68FW=w3_;8D-y#e&b z34`vZzqt>8dw2UED6kO${B}N|^Qf+0qM%>DsZJ03RSzv1IB2xRto-Iu@s9prg`kmS zVj*E@(G%OplgkY_b+|kn+eRA0SsZTx zx(26x_fd;R{ihhS0Ts)<#A$5scCwVV87vNzx`1go@s^QIDU~Qh8v9w%bpMMqcn}6R z2%&w4CS96Aek#wVM!)4A-HUQOgW_Z#cxBd4n!Z3Cxg_+J8+l>t7W~%}2uFn#+js)H zrROFbm-Q_qDf}3BHUzvZgADV@WPY^=S}U6RIu0DqW!}SvCme3NX`Q?gTF4OnfHc&% zO3>4ND7o`Rh|wS_ZN*f4>NK(~|AMy2tcz=JK1H zcAk+59FRT$JGu#2K#%&KwQo_wYu*m404ubirKJT`T)vvYzP8YfNr@}-RbT%n4jL&eruv?{JqZxsZX-2Jey-`D?(u#pNS1;Ko5K1cA)aUp;oPP&ywY@cB zAcp5{vH|1-JIhSp4>(tGx=N{9B`fGZ{ZrCiWn1rH_jnw)6oO1k<`f>%eQ1$L#Eb?T z86PTZfs4+#cFM|&GXCHe(r~b=-YM$Ny`K%{xkYw=A@1K~SWzwmKrOY(u#3|CJ50GDRloHClJjZVspu#0FjaA5Ho@HX2w z=&_`1eMes(#)b8hes1KRJTW!s9k&|Dp(MH%P@@gpC$`}0TXmYcE6KEj4^KWgT#R=F zG>o21HoDG`wHLaxH?=9mY$d^ay1Q@l(3GB)*AeSc3J{UIc|%`fwN07*)o%PtH85rB zsIGP$tr-Vh-acu_c%t=AXRpb$aPGy3u6~*9&pVt)v$5l{Wje;ECz0K=%*-8mikewm8_}BIeV0A=- zLlr38JdQ`kar;U{+fyaK^4H?5_V&XJg_Nfhv=`G45=DC4-s&6P_G^R`@8XasAO%Ji zVumK?!VpAUd;KGybHSkd{)aTIhn7+A7dSW6BRUU}ifJ{E(dE@+rVHZrL6L`sZHUGf z!ew(S=~zU}y=`JMl{R8Tk$AG64e2A=is8jXS_0jfDr%hY&Hkb#9Fir=ux#1yXyUOk z`?=@l1;Vm`Vey?kcn>BHJpi{S{kUG1#Hlh^PO64hQzE+m%bsAq8GVI%@=@$h*;z;< z)!#J6h4CW#a%*?njA?A#36*ZCjQ2+2Z2~s2Y(fgnvRAq2pu4&~xE-8CcQ5216dG%d$_=DGm%LTzE z=&qL|P(-mfRPw?btoXj4@Hbv9s4VLry6oX;0si0=)MAE{^--k-OEp7BINoK5105TR zMsO^{e_Zahqj;z?zzvyZuc_k*d zv=;em+1%HDygQR|Sdlo6hIF}HDwMp32mn*W0qh0qPf!GRQ>$cYQBoAiuWcLC28~H` z5UP+imt2kIxnXo{OY4Swd`=Vn9{1d%v+-<{pKAV;DGpxe8!>U-Ki=kv9mj>6!UZLi zu-38@_Zp{ns#SctH_?n4c;e$H!v*4nkHaGUY!2TT>^u$9L^}To14#0K?94e>{I_i^ zi)Da%;9!gc=%`M3DcBrN01X&(yctXyZ(&5{xr5)u%C>B=iV(A1U@t=9OO%t$C|ZA7 zwzhA^3x(@{P(+(}L*fkSO6lyWkehU7vKP`4-yJ)(Y{Bq?H15TLYvWsE@k&;_#t;Q# z>rLS_;(cyU`CHb$ggVXA;Yu-CXu3du(+)pO5=+Ifch##l^s&nfMvk}8!JRXil zi}7Sef{cc<{%8?qqYr)ErrbUjWf+d<kEeq$o4@oHtQ+JicAMK< zS*KA2v%lNkgH0JdZ0_&M<>^$ESh5iER30C$g=HPPyLsXflHs4CYw>WDrJ++uK9&?xt52=Wr^wM^OGL{C+7eMe}V{fr|&oQRk|w z;;;czaa#pWJIgjlt5;zh>c1!9ZleR0g{$Z12KqSv|9m(}luWoghva z@ztwkRUOyW_TNSkr)YqTW@_>7SZ;#c8AMy4So^fp5_%ZHl|)Wyj?UGdN?ek;1Vrs%m);JF+}4Nxv&Qa}RczjEta9lfhsx zo?$|Z;i5mC_rsv?OTcV4o3OfUcjxVaPgT9LIA6*`cwFuvc>7R29N&d~b+5C^)HhQQ zo2$>S*cE%O3;J|kZVyH2=0jH0c^8d|C_*Cp`(l54dpg3Xq>Ad6HK+Zsi*1{2b=obT zj9?(>W(xvCA~vKg6h!|;jRNeK+eshjbUg0B#r}LYT7ZZHSk~#JKN^n02qsGzdc_U1 z`s4m!F&-|4GbsIVIGD~R(_t74RlOdz_YY83=zXy+Hq>r=&GQ70Y=#2tmAZ{fBqb$g zOLKh0dP`WUt_i{|jb@|KU`!dALvjc6#R6Ufb_wrrn!#q?-froB!hY$#%ITBMHb8m$ zLzp-y!EiR7z%UPIVFE3nNg>w)Es?!CDgroB9${iPw1sAN`eMd>oajp7-AL3)e>NEO z2Q;d~DHzn$>UcL+*vSPEt`a8ixQBhQDa9v`r}Gv@$Ye0T&8Ow|2o`=ZA52H{@c^RSy>KcgNLoXK&0b`Ry%jx--Zen3&t_-KP2~0L`h`PZ)9iAa3(+ThcFy`J@Y8 zaSTyExV>8E;40nXo%pxf^P8R;^g(#6?m~a^_w{gCzskJ1n0$*x4p?j&ju@G)LNWwP zvpK|P(g({X)6r;#7-Foij*R{ARr@2Keh~3M1T1lLJeE1_?iqK1Q?Lw=H@$~tg@_P_ zAHM4W{$o?gQojpdgfGLlO|j3Fanv6}t0z$GaeuNHAo?85B-AoTr1!(IBN{xIC4>|2 z{ZsAadcWDhh{Hr3*Kj~b7)-I!4KD~g4X=B+M=-El^EUbvM7seFCj>;Zh=YYkVR=Dx zi(v71fR>+^h?|agcyxc+$;HO97!^lHhzQeMWG1Vw2q0D>w3%HqH!vT*qf{}8ab!Sb%F7? zKs19>IKgI?_N$u=7c1%*W)3*wc0|_=^4?q*fHqYxH+1FGU zr-!Q@Go>~Ue_tRT*{+S6;*O3<{MxXkCum)PWgK76yyh zEKlm*P7oc)04HGTd4t&MJ}h-f&vSq`QoUO;uf}Cbyk0fD=_@3K#eRG42_-!aOs3JR z9>2ewzu2BXFUvOw(f(Sj|GM1itR9bBBtxyf@IyQbMm{`(AZ72g+x}Vdhe7?P*=Yz7 zhj?2wX_MMz84JM?y!CuE7)*wUwe1zdba+WH2i!fgWCa`9b$ryDcV7yzdk&QpKL{=Wbn^buyR*EDu^nbTR z&b};H;cz?$u!hpVU%rP!z8oxPY$J0N>X*zcF6f;;>xpU<(sLvSo_Y!|r={AvPaI;h~T>-`j1} zRm-zmUP5NLt*N;HXV3A#gA2G!3E^O?OBGz@URERLLT2MSE{j+(m~L1AzxwH?pMCVd zFNe2>RU{=)WQnpb_MkNedsHb#hxjV zm3-i~ilDLTT8w}t4W~1JN{i882FPkU9>cRBc`^P7>XS!W5*e7b#Ox#0Kp)4LsHz4% zWs-#yWU?49BySxdVOk7kl4QZE-So~6$Uw^KWchM+-XIy-?pDY5VTfb{3Csk7k16w? zNX`Zdd;v~~GTVeW=#gTs0f?g`SCWSWvKTE!i~eFb8jqk_{n>oBfU6z!kp)UHKB?rFgH8eSo}c__czi!Au`&=S`Wj*ev~#Vk~pE zq)W$X3&~7w>S(9cf;O^X(g4Oqc(n$gbSQQnE*K}GbeoYR7O@F3REwG58VGPI!I&|^ z%leyYL`lNskf&)e!K4*w13cqgGEMXAqSEckDL>`b!Q!GgE-mgF5`j2yYgk(c%#&)a zsF9HRk4B@eU#W5RU|j8L2U`2QlwCzj5U2K~8)rPP&B#h-(nvCbWL_J!^IS%3OT5tW zR3J+bI-HC5#KaQFX1zN`2<&p+?vSM4AuzD}IfkcJx3|xyPd7cybR^w~Od||blrUpO z@2=Xcexf?R{{HJAX_8JVng#lJ_j{VhR1ez-k}!LLl!v8-&W&aDVKuujmQ zOp&j_`YnhX0fFp?nF@c0iE>(tI)T~1{$WaiQ2_#+k6;mjj{t{Y9UuV`NTxK)X+)GZ zKaWDHjE&KUG&RF-2Y2~4&0mp;9}uu1j!@*jQUbzr2nfJHgR`Q?G@+=$4!})5$65fg zWHlot1;1XFiN3EbyVsTcnQ8)wcAx-KYHxb3yZ)rEC1KykpHMTJ>`HMTzg4nD&sbax zG93i$3}9k08xP@f04?Dks2D*Op7X$WFr{$(CZz_bTn@})!g;&TB5+Z#7Ctz^Z0c#C zo=|!W1PkaJP|y$8e>t?xc=YZU+#=q(QB)NmnNnSxdPFc%MSj_W{W? z6XyU-QJ~#?A@}7e3{ka&2n`knAtXGjwYtt0Qq^+d`LHrmSzHAR{sM_cQKOtengNMJ z`%tMQfDb77_7>F>69u=s?bG4R4ex;=>>Mm7LMMJ6u5*5Mk6r*~kZQaI{aHK`1wo*n zByh?(#=ffpSVqChu-5My*$>**i`kFfFZYQli|0> zP`UJmKSB)>s=!1Y3%LqRXga*U6n2ubbDz$%?ESMkoB(ZGh7TPfj6;k$jf5pPP-0M_5D6upPN=B(><>v;_Z7a$9Dv74L~f0o4dM$6Q3 zz;;lCq}Cw@%_*D*oi%XZj5_PvQz+? z0&VM3S$_eENh#Z?u*odlDMV01`xoep&gg0ZIw7E^$sYr$>N>q`UdIrht01u?9<{*}V?sOW z%V83dcu*ZDs&hbR5Id!`fe0%_SH(TdT5P!KCw~u;zema6ljQGN^7kV78#-B5Jxw*W zPba)Z(i?kyQi#;2{&L{mTvV#pLP@8bB<*0Sl zt3P}9< zCx(8rD+$xDie`Bm6r^B_J5H2PC=JjSf$8dqR>>_MTbAYWsnxb&F@pUC6#3aG2vmD8 zp3fONO~L}uh%B2yr#4`@uvR@uo6~Vc9-jAuB%K6v;teTo+vToqFd+-jHz-9IG|t)J z^4=Jis4Qpd!1oGv<_?^l6X=9QX=24Tm1dGrou77DDV6Yw_9KS*+k{! z>6l;BJI7gDu?};#`STZv=SUH?YX}+1XVj2slKrAL%m|O`|CDSwy;A2E7kaHL*J{G99}C!;T?EEY1M^59 zXi-WV0F!7`&MJ8;huMC zqK0tC@o88P4~VtvCjEs$FegT(NbwyVL!ni!-&#qUmXk3+i$u6ux#WlT)-QdzcVfG= z#Ag1a$rioA6QD!r6CN!9*P{z(u?P#mL(=7d1xK5p3v@zSrMzu@v{6k^Moi}VctZE8 z8ZUt&tQ)RwZ}!DSVG9#9o(^UcAhKv_o6Keil>@o}qG+@Q-ae&z;xc?#5I2W$xomC#BNilQr4+u9rcIHUZ0FYlLjI$uZdx!ZBD6I zlU$?{cOjOIAhEUa$hi|I*Om7P%R5qiF$^BwisNqKjTIx|D~kQ;3`Ik*Y7NLltcyE# z9C;<)r=^e|plbMJU~jWA{)hbnePrM)v_Tw!m%4J)z6OI_4lDHiVC#tg8f{CxQK+NA zStZay)a>z$UjaSHgE@Aa3;+>L(5En&PUkQHW*DENmoKq4l#}@s#T{S`07Vw)aYjE; z7~)lk78Ybm!e2qGqc^J5|RSt0d`|%Y$aY_N@>%pU|EaG@@FGI z!#gt&8eKE4rn6BS(l9Wx;1o7a<2n({wEYr<)o@TFJ70z~(nniveghbnx;=NEnFo;p zUUxO6IvY(eQg8>MB<$OKHb!R;aAS0cEy4udGNiNig$oGe6Egv&9d+Q67HBet zSD{LzH$_+*LB1$;USzT+QsmjY*Z|ZJse;S6(bPb>z1{Ke~MnVy}CkD z-t;i&85!Sliw$8c6NKoMXtwJvs@O)9YSmq^th9%=Z1`3kG1W&(v_!WW6uKcvmCIAv zvQZ!4otR1l((?jT%+m?1!qhHc{b44D(*^Q1zzd8RI(EF&V0Cw6WX+-miUa$dVmL|c zgjI-`Y3re`mVGZs3YL#(l4BdE!a5Q9MBbTnrn!c?s%WOoa{g?J-8Sezn4pe~y*vx) z03?0ZE0Yc(Yl>$y5L{{iJKAC>D=duN(PBfL2}yEYU-Dfudn6s(!@BJK{MT>87hnG= zeD(EL-zm>EE(NrK-g4}cxHi(1Cfj((0G-v5Y}b#curQP;dZrm17NDND@!D69>&Qft$9h@zba5K&`V#QY;pd?FXrg1+Wq=D#QcOYJGnXGT6jPKhU(1Dc;_$ zjvL>=mlmAum8ji9SJ4_OZT|%dS=MOhQq32d-|>FqtS=}v3AOfRcGEbECAuXs4M=TH zibba_SCrb<--U1Ad>elG{G0!)Y;xkEF6|Xbb~YL{`&wyDdhB}(dKFGlk$tA*H`2GG=0*J0~ z-`U{C1zY9NJ3B?tFq@*s0!DL;Hn%WC@+`XhTzHy=AymANLpz5$Rs5oFu1;rGs~oas|+XT;`E^gbit z8-RbDHDMyAsKcdSv1MKtHxPFY0`5e0@-t=x5sTYR%)wo~ii`b!8N3*wW^u zYHJHioTpFKJNHr@^Okga zb(zs*Nc}RMbyT!$Z2HNjxJfjkn+-`>HV$OkFU0h=fbN~;LV>nyglrNh!?xx(9DW*g zWpi~!XwKKKf;w^e>B^mi>5ElJvvBTGx~t42-7RSBxI^kFdq2FXGzkM3ziK5`l1LX8 zWfB}xxfVB5OQj@{3K69!kZM zb_3V)qGmJr1Mi?5wroYm1FU0BRnh>2m90;>+I%__RYpuS#Ntm}&|048(mssUq!Wc% zbq~(QR#~S9{K9LH^0cE z097&J06u|T*<+lwFhhUZ^FaX_Xj`0CPl)}gbgCw?0miyfd^4K>=^i1HZhS>rey{=C zjG0J;5P^bVFFvp~uIq;!+3INaaxDLaUf~$7-`?7r6I0_vYnFiQYGVhVt`n1E$ALz3 zh+=T)MayPxaFV)-w2B-pN(7-oL3?kPB$H}l%DUuPn>P_e>3(ox@Z9^YIcl7lZs}UD zdaoF|-yxeqvl>!G)mMvJvKTyp>0n+QXjO<#>i^*Z%Hn++!d{lx%`&!VtBWBSMie6mJjg&y%B6x_v0LHYc5{BvWn_wx>Ft>ZfNXFSOf5j(@nJs@N9WwK zAS*o(;q@`ZmdAY)*^Tv$-n^HOmN5t(2p6;z5zr46cDG0 zm=2|Qo~v30V|u<)w@JhkiCT;4N>31l%&I$CIOA%MBL#q%;M65KcA{kx&h2P)y7$}A zlX3u!6jhRe7MsVFJj0v)h!5MrH!WkT_F;2$3@ywD3b~C7dQ*}tLt>LHP*$0L`FJXk zL%3I1D>`4*TROdg4Z-fM$o}xv& zT?UNpE|0R~U;qU|FDHC4609M-CvaccxLt<_iI1RBFh7y>K|KY6O8)jv5V)BVLYwL# z_Q(N%$4F8Ux9DjL9C7X#`1o!{UxKDcoi#D4tJp9-0|&{>*$*J#;>ARZ8MnE&a(s=_ z2+(Ghd)ZkYJ;{GbmIOxY6+d-~toJKX77Sg0TEN1l(%U*BR#!LiTy?9~mCvi6IG)=! zu^9>LNDCq_c@IYxaHbvWe56ic;4h6#6n)?udL+W#c_R!;BiwA*gawg$sB+@0u3fl0 zc|*^2^n!vi76hZjTn

    o8Z%NqQ61E+?ZrvnGzxwx*^;b!>-Bwj9V1jN0Jf5IZ$@Y z>O}s?Mhlw2yBn!DefizbfB8wt(Gpo-&7SV4S>_Mf-bURcr_Bd$F(e4USxz{J40|?l zdLGb5oIp84LT=Cv&VIxxTjC}O+ou6oT7!_9r=0~F(zbXX$qCzC5pOp9#`~{X+$6u> zXbf$^6a9|voxL?W(<)yt#sG;?Q*EGSU-`*YLmW*t8Uiq=o)Cwk zw5LR1T0MkC=>f8^rryN%;&%SqW+g6~)mRJx3xL2R+Ax6@bY@bZHN|%xnykTb$bJxn zq}}Jy2(PfU0v+HWJN6E8l{!$gBWw?U|CB~zw1)-&V-b%>9u@&@PL|N>ZS3D8f)9L1vR5xq}TG5n}ZVZ94|vKT1yD^(3<&C7V|TmkR97?TFZ=SvE)c(TfJ#En|o%DErs{E(O{%n zMm)uA08UqTF?y$AU2`L1L-k%7UDcq@oP50edP|JPY|x4-i4jvlA<+^kPAB5Rc()7wwGv9jRuj%bDjL21f+a)cHm7^9nRyp{t2$wkR|vi=mu zenH%33+x^MOF*>0!v@@Om|)X2XhFi;Gu=dQY5lGJZRVA(`6MC zoQCDgWUJ0qo7z@iH>DGcZ)w5b-~901n;(^nS2Z^^Ljo}U2Ke^3E$xW#vi_Z{U&_zxA2$GzkTyBqlQn>0@h9dhCz%8qN6q{6Fw@-{6J)ZRUv?Y zv7zaq<=}(c!`)F-fL5JJ{^^JvE7^9y7s~j2pLM{>oj7|J2h5?B8{QYkQ(h}C2pnVz-Fqe=NO0GzFh*3#9n)WdZ> zA&=!Nb!_cBGmUd`0$TfIW(3O1c3*Az3^-mKoe5^dkTl4Fk$Bps;-`o_3%SJ3_e^|A zII$I$O+>W+ZbN8XMHGsFb0RMY_axxTUJ)HAUQtKbMgs0kz3JT*$2)UwUJ0R4wPoB+ zjyx9?4tIC?X6HwWvUMtfON}c{)qTW7vLFi->xa5FNm9gloE6Wdg^VM_#z!n*_l%g< z=^2$+hYy40~afcNwfaeC1r3Uo^fYDG~H{Mta#{!#2CvdK2ya41Q2)w+wVu;HAF z;Fu^+Jd+dO;lK_C92|gyS{8GjG=!7Z0?zrlI+H~sw#M`H!RXrMfv((5;Nsw64;OLO z-d=k({mO?oN5n{0DbHtVxvl^mZ+=ANvg*~xUy^FR$RwM>iD9jWQtcguzq-(D~Y&_t9PUYYs_>AQ8h!^2_8(BXW}+GhXt( zAOuGCi|?}}`LD}X?9P(7we! zGJ;S_U}JsQ*DDsY?w{{GlBqWLVk|7?@fM-1pS>a03TjY%5+eXxhLF|1Lz4-cFpWMx zyH1)~AAR-e%=bUY-~@W`CtG||XEPReYk1^k@=YQdoYX93 zwZJz%WaKM_3~4i3H%9o{5&i-F!bup|)mKXytJn7LBBDGyvOZiutQ{l@sp#3a@cJ;B zU19_Sue(4wdpMo;@sA1g7-P||$kyG=vD>@4i_a*#b-n_I;nUQ~aO< zKIo?7CK=cVR$M7cS7%(OQHTawdW_%)naXJDTFh>3Mu8BcYKQLw)-ijgY}sJ8t=5U6 zjy}6syZL581f6u{d4&&_DZ}Hx8lfE=u;kCbRz`0N$$@b4({bs6Uzn-&XVwBA@z>LG zi;9*Q8iak(#+iSm**39jd?hbp00^Z3^N5$O5rWhbDyK>1EGDf7O*e^%m~%GXu9m(F zN#g}AlY;u2k&=%UNXIw6o5u1plG1v}B=Q9G&%%fcYrRjvOfA1Nh(o=e4b;0%F%q(- zO-}va{`d1@T+QE)rg}Yr!`_T=@DZvbVSNQ5&^mj8CM~s+f6o} z#^_i^ExwQ-LmT9B%#-Dn`z_HEWZ?ecz3bDa>=OssK+zy1^%$BXtBS<1E&(#G&Vz_I z*<_!$BOh`onW@6{`SRWof`GCq*crzGiZM25`9vy_W+}!e+v8J>)Ys|~t`wTo0{6_= zbKSb@OgnrK>x@)-ii!o!GG-L!qn=8&(;m05*L+pjepF_0HPZ!MlN!)90a*Bu&OJFYU6>4Y3AR;625+=0 zsGCF%(D)*N$3jj*P0c#LveyiP+w;9DOsDv&2@Wd<#DR@$eMI*NMaRPDm^2(#OHmx0 zbfw00D&L)ZT|IvFS`P{IsX5`h_AY2~`x_p`XCGct(#Pkn!X>vdUJ)fCq#xrnf+t+l za-v;-9z78IiOxM9*aTzDqg#OcrDrRr?-gAT0RZ66j(l$_{l^!tf%O0D=1Y9l<9NO? zY+!j4AHF%>-AHJXDo*@0nxinq_gYZ7!FN{Y+){`100*rOCfLfM@l8{S_(sg6^Aoya zT1Bj4bWNdCX-u_T?s>sc@yTWpK%Q#EXJ{$nZiN_HUzNY&l5cOlB~9C$lRJ%ZV2vnX zZu{vbwbMa~kp!t7-XPCsZPzf5JmIkHss8f&_0#8nLVW)_Y=;mF-Ut6y<@XqDMw`G8aSRz&LEvOLtAj9n8XxHF1CyXwkoEF#|YaGbR6ClQjG5$h;5 zW8zJ6xD{b|)A%B6G`GjQyL$ev;knVrVaqdooebw`;p-fz{*7?ZIBa+WCG)^I>!_z- zS?~M}+gLE3t|1$vi@UM6og|HbsTC4nPaD;4>R-9sY~RC~`AJV%yGNs9l?sU&I^m;G zhk1J9jN}K5_F*5)7Mh||`1N;xX==4|MD2RlXAD!%_%fkd<+WMTz7l7WQY?t7Nu3Y7 z47iMTgeP{YT2y(>LbNB^KI49SD}Thpn8n}FCNnYUDH3pYZ%(WSut1j>m}RXsBqkRf zPcWiydR8$x6h|~yVMk_dh|(BaT!tRLkw#i}^GmD{Rkx-Fr+<1am*0l)ihx;KsRP9= zaTU;tWmzAl8mVqLXnkP6O*9QO639hx!+LXRlxEs%HYP4 z&b@VuRYkIU>;!Ar?QV)JR^7+B&noTRart+5uFfkr7`XoJt$SEiZhP2X+)eM->%-n% zc6+yUm-FIKosQ>=+wM=dx6y^0-d%Zdo9k}p?$?Ja-VmwWeNv3u{T@*iLPA;0p6Keo&S&pH|7c-&#O#b`JvX2Zd7Je$mm;cPZ@ zqv`GK>0CbesUMDqY_) z!kKR`9Z&ob zD}q4Ez&AZ7uU%jF`$v^7zo<-n-Dofzjf;7IGAf4S$pBI@c9XeNSvUCs-H+Gvs&wn6 z8%}1^(R4WM&&T~@KA#OHgQ**iZg0t&<3o8qZ`VNx|N7(GAHRP2)xUr9>fPV`+NmLn z)vBy2>WGrdPm1Du(-Zc(HK^I6aFAb{?XK*VA5Z6A^^Y^u`s5ae#qP1%Rzj6@vI(uA zJM+n4KI{)hqyB7G%*Mn1*p0xu)#Bj52R|!Y;b))kj(5<5YI}Dm*MA-N=abw1-%M>^ zmYXlnckbu&;&dv{PS_6;pB%NP)M*5%X<-vpVSPNFQ;D=EyhQF6LFgZJ@#Sv)oR$Uv z;_43(j=9YTN||3KDZE{0Gvixqg|X(xI8*Hw52XVO1Zzk;%I{5&Oh1>3pljiiyWf`Q zr%3@3NGZT&u9lS&0J2LNA&luT_{&SzW`pFgqLT5*ha1aNQUi`5XAe8mSuKb>bSL)*i}2-+qx9`i&mvt_v9 zU^E@gpxk?VRDAkX&*l( zKu~}NkubMfUY_n3hxM*uQ69F{_HsODA{^isWUm)av5HLBy4)Hl%VK*_3N=^gsSl(RUlH=0=?(m* zYwk~&yUW2>iwoTfVNM&8i7Rc4$ay`0V*&Sd2p9vG;dqXxZUUX}l(_O^xw>Mh>H*MW z_imG+U+_Xwd68M{VK%{k@#ENT@mYFQzl6uOq$a8Fa6TPgv^T`bWxW_~+2{2N z6lFZP`Q^x)VB^+{%YrT=r0D35Cpe?)rN1TiEk2x~JMg^dWA7nP$^ox}-fq_~Wvw|P$i?dZdRZSocp^M8fde8YI7MJx58x;6ev{`F z1QG(lcn#bDWxv_TkM+iyPp z+2?Ia>2XUxq7uqjKmGYT_rteezJ2B1eE;%Q%K8lW7AxW13BXStmI&A|3O%Zuo;iaH z1U%rL+w2y1I#~JfegO}YPndD`^Mz2khsEw%_Rb7OtBa%$&hqo354ZZ>G$rc!#%Rzc z+5&9iaRMhwKc+#(XP>K7dqut+Pi|K}l)I|OXu@5#=1X^BHDf=d1m@GhbU2ugi|GWa zK8Tr-p?gM8{geT{_(0*$KN!G1{W!@W?(Fffdn7@;&y!#7a?EQwBp}_+WjbZ8{@Cs9 zo@T=W8b9U84tZ_l0LyZ7gs$**QEUFI?k1Lbchpv4Ot(D`_Nq@~A< zKltF^`(rKhrZ7ig$BME>vNZ+C#Dm^q1s4s5B5@im3eBX6^5+Fl*4t&3Yb33S-dLqk zK-}j}txnWR#fze64}*NI2G#V^vqk)SpZw7&`IT`sm)ibi(-419L0+OM{}Ab!_&ANV zMVzP>VuuBztCSTle|U|g#cm0!j10!v!HwK+Vca$Ul)F+I&uzWQ4S^?(2UDO939uu^ zIKwa_Rf<#yd;_&8>vDO$gQ;08cjekA=pL$#>;LrOPv3pt`|78+@BZ?`s~+AhE|ZF{ zVS zpg_7}3O{;00Qk;f<&aew4b^Q;s|0^D{)(Q<-v2q zM}Q{X4(Y?`VAvngTO1)6ob|^eI5y@#i%zqq7Qj*9AAqsi!SF&B+NqvkK@Pj>tK?Vd zvc#ybnFBhFAnpvma^7xVU z@3!=^F3rS30|GGZM(}K10^sTaA-rA$G9Au-*G9kl&DBAmd`nq6J=>rpd zR#N2ztyop(ywYizlB0rGplxA3MeT1mLYco0C)gE+|1sW2sfF8H#^{K#B2(rkZ&smx z!K6Q!!?d7oiC0aEqQDDS;*%0SdS#HlI4!ma9K7l%yCPdy^RhWOx(+bs$#gUt7L!SV z0^(riicE%IAV3GI_9P3|1_%@-6vvtMyWB&%@9rCNm1%|dNklOko*o>bVmh9{Jj|hD zbN(DnApULK0~t{GBtbIChl;Vv~A}4OAiL(U@xz2X?M8shF;$gKu?XDG| zpBVrWMFuj;s0t`#g!0VlczRT@@eGh$1?{EY&d7=#ZNX`bpu{*YqNX=QGiNChVKU&v zEgoQKMKZ%9k#2Wk2Rjjp=?r<{F+?0f2qbY+1kkOuNxnJ3SH{tRCm8?B2O_+e^oC%7 zPW~gkIkfT3k#HEJnGfC|5)TEM z9^eRKJ}^j!HRq)j0t?UM^(Zq`79`h(S}zNTdZn3glZ3xMoE zG%n#n!3;&>?iBiO3<5gHk%#*+jF$r-emOE+cVm{4e31Oe+rcraI+jaj;f=J{k@gnL}p>~XE zn2ubDzCwU7fQjjk$!tdpsf>{Vx;+WFAyd-Ko_g`(Hns!;(!P^e0-_DCqJTxVFxglk z2c@-u)US^t(Imhx90onqy_)eN>69_{J0P&lIwvpz066m`_KplojMrb$Ka9c+O z9EdT(TJ&PTgwB9R(zv7EQc5hYI7uSbz8UGr2AvKfg7(sc%GNj)gxne=R90`>-)i8H zsW*bc!E{^<`e;1Gzvw^f58W7D2W>5SbQDaK(w3Zj)L}82Auxguhqllm+zPm>gPv#t z6#TCIdAq*ce_QU*>Df!vK1rPUB)EJ1-4BkK@bizZ2c=RxiPfsN*;Pv=iLKM*6;;7G z@(cx9Rp;={hsZsQkx`?8B_pDSPamJCcx(YCgrd&fJ-*8;TCla5g#i+hL@Zl^9y-C0nef3RL8FE9!#yfKQ^JbW5xAg-({b zKc`MDLTtBELc{GI7tB><0C`4KPM5hkH9_liete@~`0cIqL7+F|Mx#T3Xwn1PV@U#1 zr*zMtB72ujM_(xY4#}nGe24_08;%eHO6>YTW7U8$F7z6SX7*PiP|`-g#D_M82rPp_ z!b2aiaSUl{BM-}~>V=TTH&I<^=o6g`?!WygN!>J&Bcz1`uku7e`wh5z4#!2kj zn447^#CwXi}Op;{6$auzL=N!6xksq zY^fb$)p5B+ylo(r@jrg?hyS=9-XGAq_MakxFN91MTD-8GPt4)6RD)U!(x#M%(54Zj zAe)0y5%;C))!If;iwS>|gfV;zU^*zP6tWVo003*_%OiTaGaocjl3**IYd_SU@f)x+ z4BKN@*sC`$-GBY`=Igh92D)QGn`-E9Yt_a3%%1%wR4mbd{q6L-yHQV^T4v?6PmX1# zWIz)fhU&ga#utdRe;Ms}i%0e$r`;erhKZ~(fm}VqGwAnGW|^QrYc?H^PxG&if69x>5Q`D<6<)A=UXge&Ppk+w%-U^a%YHtSlOzn5t+wy!Za3!6! z-IzxKn|u%1-yZ8y(b6juSEg>ELv9Q{WIj6Cm6B~Q_*aU(8<^t`1h-D-tRCC%M4Viw;T6wV4 z-7o%q;xM)ao#!iBbl8Ndy8s2j7MnLO?|OFtpNqPHXv07yCFzCg?T*Ly*AtCG`BC1h zby=m8_N0%7)a_wmzy}WtsW`+tY=pclA}={7TAE7oYY4HDznea=C1X%>3*APdK#FNm z4aOn{b|m?LL2lHDC9`7CPhdigD6lFJZm-bwWn%!_C)oZwnqb8Us<>eV>1UL6*&7RN zl3j3&bI;|bL}alldm%zVb_@%t_OKAhC!*No8@HRUc}51AbI1rO(Ev)+kRj0*JMMc)VaUGS2Bc;PnW-!(s`;sAV|aQ!1YL0{)5Jb|33k>1gi!`Z zoHa)-14TvddZtQ)4WP8%@7ElFSYj~l%kY`=ls*75H8%0(9(pCOl}{RCHqAoQCU6Nmt)g_JNvDOcMbH&#=y@t$RH1yY4~M0spi{vI*(}}2 zf;?H(pbQ*M%F8eRzL@w9aT5s9TUFO^iTf@6G9w&l%{*(#OSWLA+NppJmF$R)1y|Xo zfi+dWx6rsBqK7Avpj`O^$^OUv@rwEs{|p&)I@Q{N8G?$a*D?|hk}hgAQcHw5`L4tc zJrom8i^tt@VSBk((0e}2^cJ&I5o+t&4ODZFS7oGu!QpafZ2<2$rKm?IE?@E^>k&;@ zT<_HiEZl9E=LK8foAxW0SmZ3IQG@;*XH86I*m{p-Brub&%Mr*pl*?ULLp$!%%w!#T z?wwQ*l6+;%@Q&jOQt6^t)@KrUd&mj<#c|#=^K>bZ4aPgA`luV`mdEuYwoJTYN|rUM z-g&V_U>(>Y0qD1{U;XH%3Y)eCx-bmii~ppEr8DA7CGp;DB<0OCJZZF(WI|ielTWai zU2oZc(!M7VLi#2XM=w=AB8)9@E(#squCBDPG42^#sk8#|%n}${-*^Il^Q~8#`X~T4apL zaRQ^H9OSUtVAbf!d6JFn^+hgjh;$-Ddo+^ah>&EPoNlX7`ai$4R_j!+we@2zUcd)- zLqbh`nITA^fg9pT64Z&%>OUMIc{mvt1&+A^013=u2-I}fwMDkh9yvfJ4m^LOJCn+p z=vZ@Zow-%r(1GN%Jjk*IdvIYewy51UfJl=`NHTTNpeqL)!~Cz!hCje-g7BZd{n8tA zKmXQklJf4@=DEK4K8>S&gwgPuz>o75yX#?n7iUzTu{$4TPghN0CipGjtN@gs)i`2! z)RjVKPLzoqDb6S#Sq|O)L6orKji0U|t`<5m24RI3jAzprUSPjQ${bF&Rj?y#qh^MO zm$(ObGGa^;Nea{Js^tcFuteyK7m{<71`0uJofYB@B)fe(5dtVUHrbA39~Mi;zN@Zs zV9)Vvtviwk)eMk#M%fdg7r0d=16@uFl<`5Gu{63#XZ194ki==Lb%vTDj>&oQ->sQ_V#I5{ zSY4S8BlxuJaL@=WYFiUs^ybw-$rr{bHxTH~Lvw@<;>GDHB0#AM)d5xjwE4av<-Xe` z69yV!S$dpI`xLbxsMw}aT~~aC`>zSkJ07R?jOWL;?`O`Z62Qt_3Psn0Rg9J(0(zWElHeLqMuio&Yo0wORfIaQ*(ydUE1Lnb9 zF|hTPIOY#!d+$UBh=_{RKK?>rfXXwAp3Ee7oy-=L5W-eqQw`iLR6XdJ zP)>os(^7J4f$S_mvKiY|_i)B`%6%~^0vP-OPb50VjGNLWr&af0g(KkfZi%V1SIg=T zSS&G~?E?CSU_ZIL)4ROMaW1-rEi?F(CBLyH-{h>SWy71pr|lXjhM~$lASsF&j$VX6 z>PFUZ-I4<5NxqdAfut=Zf?Qq2!HPIvW46698QWaO0IMn72|PwlOI; zJSuXE7M>=qVxx^wp&M_-cwnWJQ?? zCk&yg9#I#%U>HBlfQB_APaCk$rHP~1B5IOYI}3e=YMq4e}rQKdQ}XZ^hVs5j=iMOm%8(CD#Hz9csH+I78bca?MlHO@C| zMb}T>B@sMDMPHp+xESmhtDn*NQf6b$9vtZ7p`5~$t9_Jq9Q^8c{$^rBaFlp2Zzpg1 zxV0wz2Eb`Ox}s%FyQkB1r%e&m_OSMhni>06IQ0(}bF*QW zQ|;Y1E>q;F3R$x86J;mLMb&~GUF7I3BB1z}*PV^|hFYW+yGc9tnTWHH*h^0lpD7j@ z!q)3KoKNgj;Xwk}%{V~MQU5t-+-8uMr|PpLQt`L@vD(B{^YOYb*rbgL$m{S(X?As> z-i*_pW;pH1ja9DWpjrH%PiYasN!1A3*;>VOgmGjx>R#&hyJlZCA(PGm>iI|}q5f;7 z8Cwh1{_cyfzsi@yn9LuK^kH%Kg$;5%-J_m>vNxjX%(@2D%OGgo2x{SHI)%7THsWBEv zz}YSxEx;jvAgV#rNmkb>ILc)32*c&y3PfsvIkEzoPVqVVN?4=O^x5ztzGh$~^`x}& z(I}=tdaMDWZocG%Krq=bqT-Ff4k`(`N_@B=M0TQs*HpENEDGVg)a;~HpRE(Jnw;AC zQRZrL@9_E_$(!4wAk2546D-Z0P)r zB-yITWPKAOog{$E&T@r;=xl=w+v(q;1M+ZZU$SG%5BS-+mmZwZ7)(yLmV<87%_g<) zDKZCbwPrLjG1=tWFb+?LwnP)iLhBB7e5CXeyBr!`BP8`qOG881IxA-uB(_-dKwbO) zqMab2km(gbw(_^+o@isj_sQJe9udMp62hKcW4XlOi8g1_+6X+uK@$w#WV045hDA~& zn9r~$gy0)Eqi6CaDvoL%X~J8M1By8)^OlNgh-32DN4v(*=lv}1W?{2}Br@`PtU$UO zT)~(tj0zA$;}*{$VROQj?p>{+@BD(q+aZfm!x)`~H;TPDs5N?l-*QTz-y6C{#rVGG zW7xMsq_{F5Izvn2zp96W^_XBrDwH~Q2}hBofTXqrp)Hz;+K;k{aX9rzFhfG_&QAL^ zfWVl|orS*2$Hu&y-rHCI<9M#B`{u_lzfuvRI%Qz2a-BM&K3quT-vG&Clc;IaE3}-^ zQ5#Vpto~tLp#HX2^l!IBNv^`YHb`GT*y`<)B&Nj2_7`Fp@O1F}(Et&93DD-w zq7{A)VKGKCH`C;J+?(p>Ps0167?uK)ZT%zhYy7>&EF)g!o~rUh?W*>mN1Y%*U8j}D z>MxpQ+K_QOm~%-nSxI)NUClqw7k~f81O+L*mfgnP-3!UcsW>GcQGP_)DP6WR+xV$3 zCxFy)Rexhb>uLl`ThcMKKWe^2&=to*0iW1y4Vu85Ae%TZasK1tGDI?j{R zDtPK>`Jj7Dyx4c(HonlM^(#8Kbb%fdU@xU1&B&K}No6a9H#V$+U#s5b9(hHvA=HI< zTcHV-x<6fIup}kYS}CtZ6*?HDjiiB!*38d0gPBYU#ctMzgvwX2Oq*)It3c3>x)f@G?M^`mRXKgD(J*p?3 zA0LSU6vN0)f=q77RmAs375RhYNU;82rU4BTOmIlsHW9YkNw_lo%u|++C7KK0RYYz? z2`~SRr_mKZeLGozDFSK5u zSMcMPFJJ!>HkF_fq&HG1$Yq}RC0;m5mwvUVVP>W<(#C-A`y1o?-;e{w7qHn`BR6H`dn}A;!&5Q-fM4S^s8SG z#`7C^Jugf~tq^<0*VIK{Tf`jZUcLjN{qS?8R0v-QCaK$uLpo=0 zLe>-4%QT1g3r^zRZ&$zgmzq!qyzJ^9lHodxjeL9Cmv7&`{`0Gv#FDd(w*1MlDs|zC zVMDa3CtC&Q+O~$LHJzNzRXn{$g&=w*k^&4XCESANK{3Js3bu@(DcSr2l{r}GZjwVG z&9ZtUsZ=K$MX>We!E8Ye{f$WE%%)Q+CBLZ~hh_8Jg02K)gkZLn05^AfE`-$>u%jb) zb;*&5@@_YdUO`Ju=m`vATF51)qW0$dcd!5JFFKf6GH(n+hM6Z=J>WExOa>*6`ab{w z0RR7Z0kmCdj~hplS&hX49!WD}>|D@jX0%u@5EU(NcW zlef*!*XFQp+-|!%FVA1@?qq=V<&0nNPmVK=U+8Z?VzRrtL-Q$}L)Ot^_*HX%JU5Or zZI-8RzO~KW-R)(67_z;bn>e+l+PwQD-EXvYVQ`wb08TgsaN|uq&o8$4EFZ02zS1lGG6y6@T z7x!Y+95(K7+%&1QG z95kq|#>H%2P3M!Uo|lti>gHon1KZO1c)Z-*t*+bM#+@FQZ6^LAn!0T;mGf>7m-ea2 zA@j!r)(nv`Mi+-=uiMjzL%Iees|jDBhlr&ctF5+h13D}UYG|9~m}uHx#1 zzfQi{T=)Ags%uRPCB#ll**VYX)xW>`{hQHGVAW=e{hf|yXx8$wer(QncjrAsYzG~F z*dE;FoQTZb-THX?@?u0|aDs$@JXlUl#;{wNJrguAlGbos&Z+`zEN0`f9J^{Jw!|j# zb_i^`lK3sy&_bLZnnQD5UK&tjyT9(P?Fcqzzr;^qLnBkC3$TezlT$UKtlIaxBZN$! zppg@X-`!EqxN)+}C@$uY(jU#_?$5`=h01HcRMX;)Cvvlu4~csCG$xp^3$_>|;y{PO#vydiU6b8uo3vC}HlVMLnOD^Enm{b%ZkdL5!BYRtvi8 zU_rd|_eVFa>e-|&#*^uEyntUXi*f0uWjteG$O;xn2M-^$ z7m(`3Xbr~)DK-H#AD)NbC%A$s%Rdq3&y2*GBTPLh~FCL zH}`vm>sX!vs&o}VLo}aYHkf-ow~YY5)8m(R3yI(oVToE;9T{HTCqbfvS6Cg}?d1!W ze!&hN^5rMqXtg|ia1ZC>)sSZdZe`%Z@ep>{?v}u6*kh-5hF~G`Yq6Kui%`~`uDcz7 zn)1%WH&>2f4vV^&&nHDuOkl#Q!c7V@D1DAmR^!bG%?k{`98d`sbyAc7aC11P1(f_| zaq?ukFp5GZx1I~K{8R&zub>Q{mVk5cUO`G*Q#7xt9OImgKcLEwU-Z#Yj(|^APr&D1;HQT9W-1qoZ8>!JbL+Z^X2dZu;;G1WLx3LU>!7Nj3@^x zGKF<4%Q-mhN_f7Qm-p>v^^SIu!bX4yiowoY5QVL-e&7}=4a-iO$Iy^?@&JQ#DKuEK zT_g&lVt7w`ph5FivGDe=CWvp&2TXHr&+E}k&Ioj9n-JH2jOL>4hE2mH@>Og@yFVb)Zl;bkiBn9%wonJc)=L}A?)m=rlFnApbPMeLOqc={_DJb zcuYen@igsq1%Q#zVFcN`2XcS>AMPTE1w9;5g zKAe(yi2b%}sv(?mHmSmZfHJu^6D`wtRSm?2gv8i#5Il+79s$;N61QCs?(um1;GIeP z^Vx0h4GlnND7ore73~poG>7GC*TAlc7$5<3%`+pxFbd5Xl(ml<_=IKrf{coh-N*rK z&`S{wTs`dO#KYM8_yIQEotg#_iEJXXzL^oFuOX?IP(GLr&1z&H5>*PiYX70(H;o28vHF0xz!_$$FFbDS^kjmo@;T<&rLH`CIc{%#npY&M)vVaqh z#4H^$HkT!WXg()pal+r&2<9HVsLB}-7)%U|;bZ|93_YFJpj!*Sw7vwaWVXXXI{|Wp zEy$A2<}=`rVqVT>)48jl<8jo{e12SBkvjka^>!q3hKU(ebck@TT9g1p6IcOEu$au< z6kfaejIhNknK3aap|?oPS9EJWCx5lv)KxNTx|skd)S^kUz+?;j?d-!!Ovjd-aVq)f;i3Ol?SEYCtq*>LQ$}L z<=2S}x^$?m1B^t{Y;%PTMRupXj5PiBvAuV%51Z>Br>V61Ia)V`U!hb@7bp{yq-!w~ z%nUtjB{pDrK*+#}_nIp=-AeN%-IU|;Z?^5{bV@$m!qi9Mw8u3=4p3yc!%eQBAFLKL zC__16vwS3!@5ABv>EKnJ!V+p|B6ONGj|8X}Ne9@pSvh13 z=g50kfS<6OsO6FK8RKccNRP;Xyn6HT@ArQTCKZVwve>+=QfP_L2l@p=f}|sc`zo$ zmTCU|$z4tt$c&5;qRl7Mx}G8y7+2!a^M&`=c~TzmXbD-9+F{5FNs=fqK%uUti7AKbC%hH;xJ6bP(AQ!& zhk7isj;!jO7f9N>dZMFcUjCURj-Mi<0*uwHLRJy~n@%PSsTO0@(jj6L&TNAs zp^4&WJYwBD}}L&p!;t=lLE2EWQ)s2=TM=UK#KM8CuB+hVL+b03^XXi2O=(H zt_-dqRAW!bW+l7x@@a8aP{gRl;E*>)4GxH=M7TCb5pIE+8oOLP2FV8TK&VF9ml@-F z0&}>it4WQ#I~<~@MXcwZnrMXSul6ag&rMf_d?Nfz7}RFuQ{p16mvZQ_K|W`Nid{%H zgOEsW+Rl1evPPJBWIPRlN77OOL&&-OGdGxl2-T(R!_5Pns>GE_Gd8IP$_JPIzz(&`Hz$dQlM(YsEkaC zKW>l_T3(PxL6-;WH2A0KU$%;j3}Pw-q?)jBK>0gY?Zi_G^SU^xfEk0WtQIKtS5xLQ z*~4NbFSWSIm*m`|1caUzl?AEraU))BWpyEU zA~uyhM^Sct$S@ja|M2i{E9IwOE6kIJNd2UIaF3t37X^5hj_{9|Df5FF zZ}zA{ZP3vo(y63kCzEWb1#!@Am?t)4d&I#9>dsawCEc}SXTT`H%M~mPB1?&44f-+1 zQ&cdj+b!4|&NvYc9d(84iE}ldg{MBdAWJ58*F{3t_uGS%#}Ls= zzl#hfy_qm}TgXPNC`o!4g<_b?M|6(Hs3%~6%pg+g)gC4;gdb}?Trz1&od&&(Xj;2& zPzy#4O}q1a3l1G?Ok9ek4?Ec+6MvT&M=zpje&%UR)Uv-LR^A%}UCw9d$YQS}ni}dF zT@AF)7UO&6kVXpcVAsn-TmT8yo@#+4mG#WNu+&1=8c0$}EPOodzL02He?Xu-T4XAO ztW^mlj0Q*qM%7$;#OTn{{($gI54Qq39bi}ot0cY~s0~Lmy!-yuuK~x>t|tGzgx(58 zGm;0O%l7JOT0vE7^exnYn6pWRu);bt&}pcJFx4IJV7W~E*8wA-3Z^lgeFPkqAJ!-LzXl2X7=K}cH3 zJatB11!KUT1fNAn`EGWABT%H!lW;_F5iJ_WE0d_QM49QZ*uj_@{8qi~P z`lUM{Is0~yq31N`8%4_H-e-FeZ&B4NYGhggR?&72Yf;YG92%QX|IAl-CeXb%HPt_! z1Hs7*$*}nx8BO$zPKy!&9RL_+zRA6K!OU3s%*Q?H5C4Qp>vu+zY+*V}7J(@zVfi)o zg4*dPzWz(j`cHm^fze?nZSTJKP6M-$g47(Aez@LmAU?qH`pD6+4`V-DULNO&3?v*5u$^d?3P> z=7HTIY$QUb9?xEk)?d~r*UHKaEJ}zF2L_P0r`yuJpyy1P@U#-c<{BAu^mW_eZs>q}cl=cd@;(yW0^ z4(k`A-@bkG=Jmhpl5GV~w>iCJ7wBI;v9j{|x#ncJTt}tUxVNs&+V~fwC)F|IoRUidPmN4^?Xtw<*~h?WKq< z;jkuB{OK*N_9Tvz zXZ~`9Hfr6woyLp18#I&C#e`-K#oi=r$3pr}Ylt=MdPTnZ7DepdS|opHN6JEjE;mOB zfhz8hXAe4NBClV|F(DX}nCD-m6CLpC%a~?9i0;@sbA-YpMRyF<8pkgB``yy_-o~A0 z1ENOn$nMbn@-rF-eOq70Q-fyg4H$|*Xk1gaeM^feL(ACiPycg9zIiGIvMm8PoYfVPRQbR7i-KpMCicjw& z8)eV`D7Up1rw)AleB`3O!FHwjUOO;tX>wV4w(D%R|IhVhtps4zSLvH4mp?$x!`3QwAH={jNwRwJiqRR)LmW^~jWy&w^2VF( z`jR+Y6+W769wq1lx>#)*_@fd!NB=51<8V9zF$j;4Rp^ESI(hc2PhN-=FOaIYLiY7a zNyfene%10oaCmk$86UMq8szto&n{!~;1G z^yUCF1yJc8@6D(z$;YcqxPh`lmax^JAi__!DaudM!+k&4!%Y*=d7oS!;QhgO%{h>R zL<2GUkZ&|~WA$65qjJ@o|9sTW#HXU>f8TEtdu^$)%}_HQ)Ha*U{4x=JMI7~TXf`It z>B*(1!UH{$-3h($Kk)_AfjexvFkH5N$ig7|wB^zUpwK)g`#^60fwtZ; zj-GmL)=UD8j2X&sBw%PoUm;i2e>)i%+bAwEJ9))lnslj~ly&7=E@o&jQ}eWPB^o1? z=bV>Mz&*|%llJV%Xn!O2J&3I{&#|rS8n$2m=G{M*S+^^nAuT)>l7Q0?KbC^!Nz_Te zD#VVHN~9;)sHfq)vBo$xL#n=ZKwkHV+MFh-Sitj{zfLzL>*+^654Y7mH=;;p+Ci(;+Vu|CVZ=lj()qyi z)E0%0Ltq63v$oxA8yWN5K&>6%?e?k_l%5e6jnJrtr0fWFQp3`*UWnZg=mTiO18fvA zTSGQ?CXd45C*#{>7zjRj$P5aOyhevZaN3&(^Zxp`Ke$(a{mZ*Q{lWeC$G^P$;rG9H ze|z)x^^flDn^%8&`})n>V0wFx;GoYAxe`=d<~Wea_EcoRvRsL5_31q&I@a4M=;bKdRb?JZg$5$KF@3KzL#)GN?{g6hU(+}Bd#7w7{n-ID*bZ%e&$ zu8)~8MIN~GhzT~bu0%Z)%TwpP)n;^$q1SSZkB1lb4h`4X>qyL;VMbr~jwv*Hk7I88 z?fSj4P?R^45IvI3;0pJ2A%E0&io6%{MX^-uljGhKfpc887wmzKprMPu1d+o@vsD&k z7%e|8x4V!{(2}?p%w9yz4mccBJmEew{+}Kgdz9t&;hayXP5WDnAW@Ru(~ zK@nNHTY(@BO!jVGzJ!>^e@x;(iujLd{6`u8F^m7GbRz@B+Y2n6S-xlm^LT(o{73DX zDMqes&Qe(NAqj5cTAsVRbe=cOhBJucp!ag%R?{eCSI?KMSa<6zvNDRSDOhE+S+jSI zZEO>?U*m9Vjh=a&xJ~mS(QwvI->JOj|DTe_=;;EA&%#P~Yh751#&pMf+)+VOtvzFr z(@r)O^RUUAL$2!yxz&YzQqLo~);+0K}+|RL9 z*kDc6o}2M!Oo#beLr1@9Ea}t2q}IDwKzFp4Z_Y-DgP*l6pkr}xs z>_{|kOz&w-Z!{xBKa1%-jp;pI=vtL5>PM){3F&I0HD!b*+NE7B8oz|rTgJl(Xi$)Thrn zs}1hY89!@)V;9xYy^QHz#&j=ZIWOyxVEDwXcB9NvfBIWtLH9WU^~==&6>8HWO% zLXzn#h)l}5;3Z6xO7fttLJI}bZ^F@-=`$R}uwpDS{hpCVxemWN5Dpo&S z{MYTeidSC6E3aZPsA@a!70f|*nOp|Ac~2eis?0vH5={L(a&PS%wxjLW5Ud1!pvjB%*}CoVfHqE@U&5^0i8n(c{7-B z%4-6YRUYLoI3$?~0^>pa%#4DbzG6^3Q@8g;EMJS5UW=Gsi3_OrW#W4Kg|&MpL;N2S@>?7bp~cKFN>QAaOkr_B+Tnh zV0k7}^m`#77Llce?-NR#5R7Js$yLYXs>>0p6!C9kn$;Dc4O>l--eQUlI6oRSrc@nM zs;<#q0!%^dt=WLTy>&u>7pESKly;~gi zOVUGL?e(t0aQmsmsTftoKYVP#GVL+Fw_a=8Ut02dkn?xwgn3`3Hv*PwP*KWak7Vrq zY)L65&SW(Jh3xZ)C;2x%EqWVEdHX71)_2TQfNSt&ejuy!r2S++NJ{(>S@KfTr{ zDRH~n!$Z^E)Z+unEHdwqt*5m&V5m9_cOmrE0sR0y=m-jka{|CcxCa}(We6_xhM1e3 z#AkEth#2YKXB%^w5U{~}G!`hd@@Ov3)Vn!?Mk;+@Z&S+cjst|2elK08et$oxNmuJk4^(AT5cyw_K=+tEZTt zM1vli(PdQYE$lrRm-S+4Uolo@boENq-LHyc`$uHN1z=T6`ovd9@0Z3AC539;r^g z@+H<-UfL=x_4+x83~L2iwgq(M{YK@WU`n{4>D@f z5ZnZfK2Ov071K36wJ3IEq5#Qj^Ob(j%_>g84mtSg;?HCrCdK;LXOiS^?xsE>Re}4I zyg%v^Mf^_hcuF#C%BF$zHcU+rP23PibYcP{I;C zLA=xMVZ99|5@W#664p#T^iL&;hfF&-K%C<>KWQ-#7l!(;g+DdHOf!k)s?y&k0)Jk;gVN`)bKk1Z{xDYA&5B+HVwEhv;vcr z>R1?EA<%kU5gGGPmP!=^W_*MK2`+O!ULPK1neokteNd8l4Jka}o?X6Jhj+Tbm6tOh zNL+;woo7OW$_nqm=q`7-fdN3x#JapQehhay1|q<@tqP~LG9(~gkbV7ViPyzHQ_B*+ z+NCFjuFu(#&YNV0&2udKn8No29LhVmpG9z86kQ}`6 z)22DmVNCwy%EvV47`;LMsoSF zWdle4z;Gv{lGM?xv)0mjUgeU_`4isKv|AlNN75+U8?e&+E~h%%J8`JFtRa}lKKx{= z1EODBTy@A}gEx2hH+UHbSHk=Jc?c=quyG)R>An>muFzL5gi(=oMzE{k#YXTjB9lg2 zf7d}6^H#=``Xh}3m)ONCMpM!t{Gz)(N;ecGePyU2nHV%tm@+IMCYyM7APSUaYn!b5 zHCx<(skk;V_16~nOsZtCB_Om003l}uc|H;@;|-}pl2vcz)j>Rh&O1Amm^Zn$-iwUV ztx?0mbkHQFpD`!RYU1QJ_P|%)U~{}wO-TC{SL`ZxB%3eS7KEExbM;O{iUbUu8G5m} z<*#0h-m?qtJub0-!)%;HzPg(55ba3zP%fx?>?KHUoWteQlsbP~dmfzu_nD z?>~jUaJ=Mp=tMFDXC2R_-n{xpAJ+pWH^+B;Tb*Qa-KcZ=H&q8Myzw>xC0AxdvMmWQ z2^jLqibt&jg_pRlDVq!yn%xohvXX2r|C1gMm3#$4-u7KTzYRaez^nrwKf9RRXC?yd zjgB{fOu7xihbUJkvZeLMRG7LGKR{sG?1A2D7<%Gl*M4X|DOY`E;i+ALj@OnIWQFjT z?L97_kSHXC!#bGXg&rb!;^hD)+wwcJ4MDeB-41HOZ=#PaQul3h|!>q!uc(~!F@<+6WnSQFv`cjma7W{T_I z^Eaa@_)>aue=kOVe*2^Q-H*Th-Pf2s5-`ef^&W3DuRrjD2X59d0|%3qZDa|x86q+J znrq@qC;D$k6lv$Ky@Gf@LB62@_^BHb{ZDhSAgeP^o*Ec_qxUFb*`}G?fWLG|cI^vx zTomuLvm3PJ^k~9V9Q_EbT!pMRwJ7xdS@VCV+gQ=NDAkcqHZp^_{5TC6^cL!eNpn&)a{>OrD(uFo7zh_Su4=W`H z6copVjQM*~as`ykJWtPoJin`q;2_TPFV9J~XBIo|>cLGFcmWu$Ek{Q++T+mBV()rL z4$=4(kca6TZc#wP+nuTc#c13bIpuZvIC5U1DDX^bS8n{UxF<~j$&!t^p+Ai?TN7F4 zEGf*<88Y0xflDmWDqN3|puh!|cw>X4CPb?M{qTl2$fv&-omX7&m6zSCNV~H~y&~C# zeB^bAz0%trWebVV!DGCuEP#GbQSk^*h<;}aax@+Vp%4u8<6t=)jn^r-(EhDOQ8_3O z?y{5eOGd>2Ii9MCGT`y{!=6`>V&}hIEeHGHRn-uApYal)I5|ARf|0;$?UQJnA^?HrX^otf9RRuJHlY4cvq5wLQ~2?t8BW9rOGKE zfHbJvgtuSu<;!@LDGr)S&CvrXk-_Y#Y{|U>u3=5@R}5)H)=WhM_<65Uq$a7}8~;gF z#nt|nJ=62PUO_-OB$PlDv8c&a|3Sx?#8g`=mM}{chI=G>tcm!8Z1di?Vo&*Njc(0c z_G~z5i-(EJ@BpzKv8PoENA9I6r0mCDHucivZ75q{J%fCsn_Ru12^E#PNI|p3SU6#< z?ovtIh0nbAp{`Ww&DFAw!#t1iFiKiz#)*`VUfHWJLhjz7q0j=ihuOp|s|DZ3%kRAW zW_zHxbt%qH1iR0q9&~#KibTFm@OT%Ixa_KyCQ{e zt%3g(vU3~6rwU-{RsS->=zIfs#CQK;0%V7DyTR=Frkue`%2WHJeVtNxYVfK=JEr)w z<h77@%?69Lq$b&2bsy)xtFPf>Qw<3{rPn{s_VoF1>QZ?^kQc#2y+%47YP4y(UbYyR|hTc1ylUw-AEe0|No z+*kLmT(7ITc6IgpH(#``eew16^=);&8sI-}yFb_NVO_hQ+#lSx?uWsvSHEAXOSP$X z)wvot`Rt*-ac>^0Ls1OmS$Eu5^|@MK&XqgLzb^ZId0%Z_y>g?m+n%daN|1HA+pWs= z$J&jSMKPPtmeb{UG%tq38R+6>#r5^Q+t%*paKaA!Mva=s!(r#f!^L8@T#Sd4(R?`_ z7sKUz;-(-cE|E@cPQ~Z>PNZ3UTe@pzGn0}k-s9;`^){RI=Rhh3mtOn z!+sB8h5{v0=zooGY_<^e_2IOsa0{?n|KZMCO38`Tufd7!&B4_ml@~gZ$ogDDI@fpQ z{^{KjtQU`v>xnrJAo?) zXdGfGch&kMKlRMACz+hqZaN$-M{@}3Xgr@y=kxh&?q*|U^R8^9lsb8aw3X+QVC?n! zO?C77bnAXSmB%B5uu(*NfG9!+tX#0@)i(*=^749Blk*3NVx+ao3S}My>wJcQ)JlZ? zVIS0)W`Nqgj_Gt#6yVKhO8$VW*VoDwm90jGRKt(E zt=dX2BG4YHJ8%S|oxm1J9}|zVf2^vHgu-0DJf@Bzf}_cB2ALlZm!rjUIT3kJ)JDLH zSI!4VoTXlV`4w~we0A<#3_*ItB`1w?i=RkE#y z4kA05o;v}6GXZXM0F3J`=xbbRFc{_s>yYmRlvNVgKizJ-I+b)M;E@0g0oAHm2}$ei zYDcp&9GvfuAnwLc)FX%KMD2hZ)9G+A8I3^9B~*MicJrAR5B~r#2iw4sz3n7xH+NgC zm%QASt7X_e5C@ugtmAyJZ+Ov){2qE8v+=Be zUpE=fm&*m9W9AA#`ll0|xW)w*iqrWKN8HQm8t78&Dj(9)PBikEvu(D*ozP}+=7o>6vY^>8_fEA0b4N{kJLoO!YEEyEQN}LAaS)XSG(Xl zgM}3!rZO*uj;8lZ8VBLq?`NCgAr<7OjTN^AH1ck<`=lmV?+{EPmn5j(XBS0B6%tal zG>Q81D`GG7khi+s9aiP;Y5@0<|J>cdFF%!@8_#PIy_D5uyCbG?<&6^MpD?nDX??ov z-QD2;-${4{z4Jb7xru=QhGcg@L`95Hr_aJjE*}3kC(%uc;T$f&Vlpje` z)BaybrN-q7Rt;{Scq=bjYPh>Mac+Hct9phXhiX|~iY+1a`r9x+X7hKG)DQ&#Y`TNt-AP!bF6#vNr zc!h$@y!}LWwPCO`Sx@KP7Wu_`DnCUG_*Q6d zMFH8J`53{~Y(84fk(?MW+;r56d4h9@v=CDmvmwnq!|d^N?k01kREXwM`=I>LC7VsE z8Rauo(}aMe3nKsW0>mO+-~;$rmGq18B!&h`>vogzRKp4wz%v}jQ=+#aproa5{p?oN z9yx;@;$bfhM!K+e=+AsP8y3^~Y&;)9gC?T_E+rzs1|Li=zWi!;xP=F+sP-2Gd&B?6 zf<%#+l0%%o*;%e6HkypDBvBH+s*!Rm=_WMUK5G1KS49DVav%pYQLG;S7r&^=`yWlt zl>Zr*RS)RX1ToGQLObZw1c}EthO+cPhSt%@= z;1|_!4oBn3Y%!j}LxeyB2O;|fk_z{Tjp1P-nHdqiEeCWi;a<+?#SGE>aJF1R42mo# z`08Q3IYOKe0P$uHiKlaxX3Uim{xjkgrW;3-#bSZ9;nXd$ta!tH3NF}4sDL**MzR5U z$H{nx#Mm6+qsC?u%$ag!G|Biulm?{(Jpw=mM96;N;gk_$I~OQ2EQV6S?AP^Df~9xaE%Ap?KJ2eZi- z(cy3^`H7g;BIzDf?vW)dnVKWWNl@(dfYwG8E%hiGBuI3?^W1xqdF&kTPE% z>D{eddXQ^IoHIqJ1FkQb)*8><93csDbju*OF-CGD12!t%sgs|G-qTI#Gnpc^A6p!j zttPu2nSA%j2QE|Wl3IHT|aazAC1KgVJm26>b6IBjm`DlzHU_|NiG6+;^`(y#78FW(hu!;h8Rm z%t1~jK$Ic=a8sZO#lT_#<(Eab*VhQpaCe_{C9L8?1sB>XJEXUFr$m}yR1q1rmG5~J z&?F)lv)R_iUHRxWs*hR(j;_3;eP2L@O9}}LFU?PqB4%ciuMxWNk!CAr&_0xk>dfZ^?4e z*;9p*BY?niF&P2`@ZSOk4<6nEuD&KV)j}h#+CxlLCZmc`4-ucGmcnk7N+9wfl?|uF z+a8CQYUSh0b6J1X5`k2OkY@;mMN@Y5@?0^SOy|hYPv^+sAejS2A4yWQ1=jXMii8-E zC=mA15`~ZVWneF+o)wac6-X4wyelgop(f>5YQmdCgEtMz<`f?6CnE1iIblIadsTSU z)c`wP9cm_bc8E-}%129PCMX?@CvZ8)MEtcF&)f_mLrLI1a#YW5knxg)AzqXS(y^Qd zhog&xVWU#)2$?eZY*}5wPx3Xbpc_bwhH7qy?J|*N@(XTykGs&DFG;IcSY}HA!1U|u z)diJ5Bx_*TgxaXeA$NVG`O(7VL%F+jrEOK-GLkirX14B$c(O&gK7TD^lSAPN^@r(j zUX1AYfzxm*r(++IY1tP{3551L(Zr?JZj|ze6N1)POj^Ieo*&9n{fEczww5UiE;}^x z@cA*NAcS^(L4!brDEPDcwB4}I6&Vc$iaNn=%^fCGWFGf%%Fp^}Q z9rZs*uG?;sWL4M&9KRV{rUC??1783#LpRr*ni3;wjV(}KG!Tq7<;X~4mtTOLR5`55 zH&_UCq6{Gc8A>|H7%{Qtu{w?PBu#hOAEk`T1_PG%MEqsTW-m~eM&kJNu!UQqk#!>t z4_g2d0I+F{7`g|2n5YyN_pm*kFXc|ndmL@lcZs{dZCANA6!KB~a^*w0Md>Jn_d$%Z zMJY*IKDK=wH)O04vMWMH`;faq;ec#euQX_4<_&Pn%5>`MB@DoNqx#Dlaq|H|Iidr! zyg1GEYtsTsT>nHN)N4^yT5U$_a;qX^bnI^_N+n+Q2Ls+T2DJ)8p4+tSttM^Ot z6do8(hX-^+#T6ciBVqx~_Mbm73-J5E``F^U|LOHF?l+~Qxfoo$MQKQC7en@;Af+~% zj}TQNatE+PZ3+qZ+K$9+Dw)P+$UwW%C^H?IR7vrs#jgA+ZM=KMJ%|s%48<$!9kLzT zB1G2uJE2Sl;pJ%QRIsG-6=suy6WS(DB}x<0*FY~6{UAp)t6&K}wjONvXp8|)3$5so zv|4t$vI~MwP&)z-Am7VKP_C9-P^jaKn=le$GQb8*su{WQexJ&RtiBxV1|mG<9B4RT zv`3@ih%Rb@<`m7R`(+p{2@{=S8|U!50)S8mM7Rg2@E)n%Hf2#?#XE2H5c&pKN7fyn zj=Z;DGMCz+UHyFpUeTUUgd{0Z#3xfGMa`*d1Vu%JH7q#GdULBV#f^%nD@{gI1pnZ` ze8|Mv$j#AV*Mq`FvcN#}5+R^KGIul{qJ%veqLS?j^nO4jRW7};QUb+(5Je~m7=2k$ zFTJ8G#%{#mXg8l#T8S4|0x0kQ^^fkCKkIjmiEuLj_^_pX)Wdm&zD!{GeM$nVYn;oR zFN-CLYv^2BA|pL3q+bM7U{YNY?E+`k;MN#tvjhEs1Y$#JWNWI4s$nJkgg9|x1l53; z+T=TT)GV#gjdgoET#ju|(pZuuu8Ev&mrR38;f=}!`)IV{s$`X`>L_FL!~^k0@e65X ziXZRADo~??2+bz+zS6Pe)AratVJoQSmQ4%P&Y(fwJ14Wi)lU739!^xN?=I&J>Wvx^ z=W_4MoH2;HqE7+H0y+ZRl!#K~H-7>GIl=uug#PH~&$q{Al#(ORAz`ikvE70(ple8$AkC%9ycf8&B;>FuS*d z>9Cep--)1d>)XZDU$;Skcx>i4VaKN)T|rKhLe0-`$mJU53!6!qJc9(Mp;Pq!6H zpG;rA`|-P(XNGEvz+lcFj@(${}dB^=;nb z3R{P>XACTZM6-w(t>YQguJ#Wdy-So&-v>^P?CKT6*p!U2L^VRvH6?_;uPx(%4r`Iu z%tzA7*cg3a=tV)lQNcV5@`$sgo50#9Z5)aCD$4sy%!vGcK^OD-aA8&cU2}S*@|feS&7fBb-HKhK%Z`65|7OGqeeLb=X*L-oD)66 za)_ifjL$>19nB!4wh3A-kQjkq3&M?yiS$p3!Z7jJQ6n{9;H7O5@jb$FGpQqFKOG$@ z{WQ5Mq&2;?rWA^7c|s}X<#_6dFs`Y^EUsfSn$(X1`UTFpQ$&~AB`HLqm zx8W`0etq&6?a^IO+TJ&>`0nin_Cv8f&E=^v@?dm691n25A2EVJQZ#a$ig81>CRXcr zGkB*b+zg5);Y1&iQ~|vANK`cK(&{P;s}64KJu(>jyJkrm6x+(A7`w@QwiqFF z9$?L=^5tgx8TBp|v?jyA+ccw0kl{V(&y=Q47hn`+ zw`CwIt;+g58Yi<#vQd$DjRHI*2103)&+=zp>RMSDhIpzc4SQmf(NNqM6A-^IQC?+( z&IIFNWA>h+hsI0k4JYf=YSE+p{@ssn-LLQ7eE8Gg2x?m43Zn;?#PI-XqSGHE}-?c{>uk*niXoLCtU1p>X_Y(alCe4jTmxEF`qw(mqM zpg29VQgAl8^+vaq`Z2j{30J7@(HcT8B%^B05NXI3*;1e!yUx%skNFaD)TQha7%h;N zp_t=zDf)sjUW%rb<#Jlg=ZLDnr8b63@DqA0+z3uGe7DIG#ZYuQO_pe2gcqIKKC#UH znNh(3GASvMutSZ~J6rXdlsbneQJQYelL<}IZ3Zw!ZVO{PSRFjA88m-BK~ZUf#GtBa zG^c3}z{@kjs!x{OkWrL@jHPWipLIEX_Y5kYqDn_6i)TcQic;mh>41kPz@Tbl?h>2v z61A5}nNYuxkvUx*WfpM)v%fO#xUZ0U7rJz%KfRMBnH<&|p;XLV6P@D7(G((ZfNi-w zEvx8o%&RK)^TymkGAEeYE5>LNL)R;&qA|Y~%pG$Zv@IH?a~Md9rMkiTSOI3%cOUZt zQdfm5ESPq!vm4B+cm2cGksq%4>jt-b=}KPtJHj2r1hryXOUfZ<9EZ{HJL?ZN^Yiy) zrRrlVC7Jm)#*-X7FeNgc%rVb3o@1PVU5;p%LPDn34SBOihW_(l^(aFlUI;ni5*10r zTw!z#Rl6-*DNLA|k15$BPyv)ZcX#>xZDdHj=7tQmU78pgQd6DwpeeqA9I4H$zpxuNR4*7RuF#rLf(FCMGm6%51M8o>+PVErOg5 ztKq+~Kq3j8bhJ^*^#a>K$Xzt()%J7xSM@}$5{t3y*cEGS;4c#A^uN7M!U5`N>2K1O z$UW)l4Kx%0ESZ&01t3Ixg5Wkcb)Jn>;|dznd3wy!pdX-`f2I_ol-fCn)|tH-S~=!Z z6M4}cS*I?a+%mc-pMdmqv{`7io(vHznEZI$wUO=wJ^!?LyZKg`_%v?}ZA1u^Ey<;Z zBSy@g${|bGm@UCsN(djO15L`;@#N(vmf$x{-WjEpuMplcp!X@L&#b2Fh$I zr=j4WBZS!tgxO(~-v?)K1aT`g@5IqpBrLeurF9}wMse3inhuL0vSFBPJ3IBalu;kr z(%Afv23kcV&SA8F5g^Hu22CFx5#H;APVxB$`W6*B7SgDNG%Kk>$oFD;LdlYYgc^*? zHxf>EV*XRl-RRg0o+X7Q-8lHybm{gcJDKcjDL0PW+C1)GpXBf&ZU3fOgI@Z8gr3T` zXr$f!o44=HqsiRt(Ft<{`9NH4QFJq$w*?<=J{&J_I12{t77HY~w7)8MEy$HPO7U}) zx(q%$KOaD--s?;dTH=ac3@Zw({)n)y%8lT7s+0=t>lN@kK9|ZQJJ*o$XpF=$+LF3Y$X16B>C5Vw_o^Cu>W*D~C8d!1a^D=Td)TNygpdD3+b? zkqnU1@1Sz|Av+r7KJ}!nhTQ%cXpF*55j62kEv=V$lWYy6jiK@D#&KljcCSse5`@xt zn_HD9VeO{=w-WXLrmlhpl*!Dx|5mPzB%EFAQ2st<_m2hfhNMlL^X{i@qFr`Y1;inX z1>m1zc8oeJf}Dw7CMb-kTg`xK7CS$pc@**Uhtu(tycH_uzAGjpL6n>Yq)(R^sYbyB zbGfJq$!Q>nh(H4t9X^#PzU`U7*QDEkz0oG#{#-*g+|V{L+sNZH)CJeJ0^O`hmvG0M zw6;A154{h_=g~ML)Hi?y?|olJ8nWOxBCHIJ8}aFDY<{b7)SR-0XQCzW>~HiOBa&Of zlm6z5{0hn5B`oPqkh00x8>E%nOA+e@ALR+o7yLx%N~h$E<5{9fv3^nfhqkC|U?VDJ z;Nx{UlT5_}tPyo2w1@KWg(=Jr#&h&=LBdxS+{zZbW1vc*O}lx`^5TTt z8GGn42m#xTV=<=a#9!isT)m}tNt()?So40WzZmRIE*03)fQi7?*GLzl490aB|HUD; z;wEOzIV4+Bj1XrBK2zR!r`z-;5%m-@JB;)Zpq~eA!Z+OM>9P7N@BOq72^9KdkQ00L zi3=EKs~apaa~AmjOal)qn(|n}xzznxHbJsZoJTo35;bsLSZ9|mcijL_tLfZ#hBE(P z{%k^D%3kR2>1))|=iAmA5oEhZ*Lf^lleRZXoD6)olyMxP8`PC%3>xF73bC_rC_zwu@lpJd?(+Ap^sotVdwr-V!AYJKIKp-Wr9?w5+Oee3 z8i0aYRMJ(DB=Le1Yne6nQg=2oId0=XIA`Dc+ zmUfboI{pDlV)1+A&}eBQ7SBiTkq?)O-=`S0!EmyOR<>5<&EKNib|`kH-F8`weg>01 z)``GK*CGOlvV|N*f?7j%5_SU79TMJitff_I%#g}~_#q$U?Ki5&IH?R(r~C5sQBFkH zCDFfVdMA7RJ@UZfNpuwIZ%y`M@l?Qh!XV4hUSurM{0SC8*3=Ic^@)0ol@IyVz=ocB zK_oT!sSayG+aYTamplbc%$xl^J@Uq$9>%Azw^?w*ed-?L6mc>t1pdg8P5Q2aYz2)M zg#*@xXoJSMFOETkyN+YziqK!G&a^tp(jG`nKir_By<8o1^uRY#`Quk~P0U4lDfHe} zB7vFaxZd2bsd=Pts)-tS`90Coinr=+K4~vccJV6f4$*@sXzMGA6(ZcGMPzW=-rh+& z9FPPp9iG?*;~5ZZ2@hGiy5^aEydChEc$1!WK-r>Rm~SDiP_iSjOU5H#%tWG*=|Q`i z7q^}n*Y3cCVNQbrQQwI>GIk9vzb<|tL$NjhkItNs5!ZO`!QiZdy&ha7+f#MK@@s=nshb% z7En-6f=l)qC#U><71q1jnM~oLHnNuZC#gH36OYK(Tprp3v_IDSc)$t?_P9KZ^+E5? zcbEvjy|V_28U-Y(KMFKR6U7~;kyX$wdNlO0vo@k78+y6}P1*l~h(t5gK!B?OTO9P^ zTn#*K^Eni>5+_MSoS@a@S)WMXBpgniSJyq*uj}Yynn@sJ9C1d|mT1?#8YHyBLQ`0v zE@)qkuTnC>^#12}KYoxI;9oxc^#1+ZHw`f?4I`-RvxEAw8zDqqWitaQ9~#h@mEg=^ z&*VS-1B1x87G}4|O=Mpjo$0eIgV&^&wd+HBKtjacH%mk)3PQ@O29QE|>~d}Xulg8* zDcOTqx|ZN1|I?eZc$-BDTd8M~UFYSN!i74hQ2!A&*5%A{ICl z=dFA5(+{uT`2&NET5TPDs=AjRa+#W)%!~y;EW6%c2cSSdQL|wBAC3d>>)kdz=fMy2 zSpZ}si5j`uG-b?JRf&uMc(|OkguG72Y1{od0lQ5qb#N!}3KP7~1gFE{y=+Jo&(RZr z&UZc1G0~}9A#j4=05(6A5Riz+uCifaHY~Q@$~T1&F>> zayv52q_WA)2t4ZXY*O73`S9G)^ZT&BObC_52jUS&>=?31wx$ zOBy2cg2}O)%YL0IBCUk2vrn27YmoR!CCR>ShhUlP>%1=Z;8&iR=}cniW{FBrcekiF zaR{0w`q!V|eR!)odHN1vd2$=C@5#wg2Abk}_MJ1&EXGl>Dk8bhDD1s;TvXlu#|sSI z-Hmi3NJt|R(%lVG(k(S~he$UFNP~hB(%s!9-3Wp-ct?kM&hMP_+;jc^KJr~JVt?K% zJ}dU#%bD4#SMTmESA!Ytq~0%U24YrFhn{-))#bcHb=rld`A~v;Yovuv&~ZaJ@%dwYxyL(Wdpfzz}R}h^SjtDu~tZ9u@vLc(jCN-mlUhliXp2pXe zC~i!ZFjD{~;Kdup$)?ALRjYrq3iiBj!;vmnI$uR+l~H|etV!;iDy_^CCOHKCl#~7u|cbHJY3X%=5F6yMH~UT z8!ISdHy)MM+qKQ2U&Ev$HmPlZUnt6fT;7#JP(*cz<<>V?0NBoUFxQu*n?$ z#M9CtQcMahJGJqyl`GM>4q6PgI2z`>yDt^KaIcK3NXP1_rEO}JzTmiYji<;dh8ndf z{7=u>VD`=vG*K)=tJULiqrM2P5~?sj<*~I?mQkVJg}h{vWNh5nWu9_aFczQO%K#ch z3y)s<4#5={pcY1No^^RelAK-%$lap}F!=}WY##7A)*x|UfH zHiPnT)$1LkOF64^lgfq}XR(epd3h1B0XQVj##F!Ti6jFl(?$`FM3h|uX>xB}*Trd? z6q}d?R2^U0YO1vz`v}|Lw?I8SKHA4BmPYCgi=V8-9tJigiw8w;D1!9fGMTYd&nIqX z=#LoC(qBJwyWjs_gBE&$0sMJ) zr=njnx_=JeB;z+tg6cJ4>?gQ`)?>`^r4dDOK$S0Zd;13$%SGb^f0_Hfv&R&_y5g$K z`=tFF*V;YO^B!kDKLIte`m1U$#EX4-SN990%noDUGPiBb>qNnCr|iC0<41Re4L`3h zF@1%GF+%qU-KG#4mF((MvP(uZ(`XapOd;ZGAi}B{Is`|Z%3gX`@o`z`a7B}_qr;1!By%WI zTv%O8cM%#;S#p8?kpy#4z zG+VwWf7jv3&bdXxYTZ*Zw;N;brp5(vNzfzA*Um=}~6ObF+SZ z%UFT^>eGCg+)2q5a)app%5d!V&^;B)_V%W!>%nn%1%=j+Q_rT?e0^2Es4GGC9Tx&j zW8qS>_e_Jy1A9SF)mv7U!h=;Wl5P(1Cw7cDk9j+J{N8_P3?nl9>i8)X{igxhblzFx z-a7x_DtA)m&7JvY`xd!u5=a8>|I(yutav56D)m(p4Nvfo11ljODNFbkh*SQ&qdNf-U#4JJ2@3E%2P6& z5=$2lK2e>JvW_f?OQ-FSmw@HF^R*2}n`_^KroM?#hS_tPV|}lUCnq{xM>?eN4)jvU zB!gGq-$xGa5S%4wW_}j=g7j_q{#Lb^UCXTQ_6Rl0Mu2G4&v*!7f+N^HB%CYi9H)O>ni2fbKZRH(|1DEyvYLfPV_503Rcg1B-#VoEXVg_ zUr)3dJ=qIxLj|glH)h$Z+~$fcuZ2C+%85UQ%2_M*(mklLIiWLdSrFZcOhCT7c8*x2 zr^RW37YWz;y!SRHY`;}Pw}Q@B@ezWjq|ei*wpIJ zV%uS3#Ar=Y`nERL1*z29zg_Ny8nC+zts_A3U-DS;uCYbIhAn#U-Cxc-z|zo|*wj9S zt}CIyG3Tv`547MpTJoK-U9o!ibv#S<5oI7Csnv+bIY(#PKaR#ty3Ky3adS*EosN(z z0G^_U~ zOw}e5}C7=r^fHiMyoxG3T_9KtWap;nP5``??ZI?p2zy)48{TV&pSp=V`0&3jJtu*ugU9Hx}2*khr+2##l!Pb z9$O}UFs*3md&Tp!KgOQ82&I~qpPy%@E5D*57ZCU3c%~w_8~t*L5j%F*1@_TRTOWv2%eqZH{6fJyvW4_L=E;T|UMWEI( z<`NV*aweCGqu_HSRU(Uo0D2gF!lB~pyL(%@ zId>)okNT)vOl>^l8Z8BdY@&PX7LkbYia6ekQiprda6IJgqxVAEMI(&9YQtt+&&-HT zn3_0oVpnr0=!_h8_-M>zp4CeRq->e{>U$xb!uhhj$fm`slXXUX{A2(v7m=P?W_HpI zJwQ~V``#f$EW3S8W@hcvMp!LXbsCb>E-v=4siZtPE>7yVt#n0tqwDRj=I3&s3oJ3U z(%KM5i7&l5h^XoJ-H77gXivhArM9I6H+NQ}n7UagHART@qL;)ebY{pD+f3zdd^n&c z?kQ2@Hrfi?K!T*X6gw2qGSP(Iev)oRr_^LQ3%e?5oTFRVCFMgMF(_I zK0?8wYw0tnY<%3?@U)1^xqzz0Zy)$Ko73{7@8q`uDbcdub#?4ePq;M_xrB!cC=}9p zE^Ey!&cC#CpwzN*q?wcQJ0zF|@+uhrT>G$$2?*YVKaQS-`5B%kGg_37d$r?+D;UxK z)gYOMU#I;N&bx^2dNO#a-3clVxC)C_Hp~<=;MoLisHAI!BOQj^W3+!xYgJwyVQm;7?_ zy$yZgn!iA-KhYR&{X4FnUNXy_(KkfhYNVg_X}$Tnk)&Jv2StNu5%1*Q930GzvXWda z`1w-!-d!(YtlS;jBliS%Nm5tQRVTCgqxZ4$!lXt;q_`9{omBCXQb#`%Gv<;+=Yq#; zvpQs3sDegl#iG-7M?X)GpmQ?MrnE4|0y$0|V*)a%^#n5`vG%2XG%;v2i#wur zF@hbm@N^HVvTEk$aC#lQcaM*No>|dY-=TjLF0E3Y#nBM!x*OP+3Rz+?d~m2C>w|IX zNoJGKTiIV!FcZ^hxt5Nf4O7uU&7y2dj@7mnF`Ao6SD!6&4>KZ!W!=BrVd&NL@BRF& zD^9Ih4>wbMc>YJ77Ee+Qr?vn4C+}0LWpl+}ZdiR7%(Z~JtYg5J$u>W%$dB8cf17aS zr#`|m+m&d;+ONbF+;}pFXAI}{I0L;QA6nGcJ*c0|;oy;6lo@_Y!4c(1Cu{mE<;-4} z$oVgo!aIvTi}KGUwO|&o>Uj#vTA!7XiJ8=svZ4z_P9;@}>h@YM^y9H59*5XiuB0(9 zY%R$TD|C&ApnyJ_+d_dPai9kF zdeMXk$@h9-2J{}tmHQuuGa|P`i2LHy;n-%%&YUYv2>!E%{SG>Q@!O>}k0ff#p!rLN zdemkyR9e@4-7Pc{Kj_Y>cX>$Ib7WAOB7EjggJ}gA#dILLiSP1EfgZa>e~PEdB$P(Y z$Ld5MQvoNIV@4c@WC#4Dkf}%H>CRMVM5qb-BCq~jjmsY|>S~!N65E9losLc=~XUv#f5wTqRfTKtxeYCHll@#5>D=N_3#lzq7Iqf;JI6a>+ zei->tMcas^`c>`!^pm8FG6k zRqd`)$1#)NFbu*x=bZT@`=m%FIa%4m1>N1fWoTq)T>E~ys;W?&>C^;&GL`3Dl+MS^ z*Vb$9?mvCz$PHWx<3MjirC6<8@xi%2KV5LI6|qq|>+;?79!tceb!h4ly+SB36^t;& zk2Xd#)aqWGo z0E?IPG~%A$rcv69bRPMqKx#z%LR1+hNe{Gr$8F-z%|yLyA=T5o)iO4!B?{)z8D%x; zjj_)O%E~&^@-=g+1O(*Aw#>r>!J5i<2^FDiZIP3xeDrTipOOS28%LC}O7Qh@`$sGZ z(E3LzPc*h1Bwd;Gdc57Sz&7T|x8R2^ZmUv}y(2Ps(~zJ)EIsvBypI8!sJo=VL~DdV z^_u=U>7X1lSyuI=OQM9t#wpiFaT&tcbP`q?JEIu|tD|FgOPIGzNt)_27v~J@$@AkG zB{XI>S1LC0B_W3GWYV#!Flyfm-&wZ30xG?t8K8;ubk;5-v-+9UjNpdmB(mv#Mpv=4 zwhH~C=p&LSV}r-&2(LKmbHi55*>k8_NukF?8PF|ua;esIn9|}yIQaf^)`<3g%wJ=q zZn4WPa`_EOe}-Q%sW?^u;OUSJqt46Q5IJzm1Sy~Lg*di^`XX@1nb29WxEa5##*N1Q zO6))o7eK=;r%6)R`HS7#nmuXucz&Y&QOs)PxlX3gqc6EzKGtEIWE5b3Jm1xd0v zzlvK(iPei5EFG+l*JYzd36^OU0?`>yy3mmRK#BCTo)N` zjg45iy+&$~T#C8DRuACKbc<5dzm2WS~)IE=q zD{5iCrEfw@%^Fu1EUlK;klTZ-eT-TT7f9vxQ8_G2zHP-Tdq*hUGqD}#n%iB#ZeXxC z?lc$2lW&jeGdxXLe~Z$Q!%CUd_+9>|&5f7U@MzTUoHO!M!VHU@9M1bfv#HkOZrQj`-JWs^v3f3J&=l@}Z3{xorbSb(UD|>3S zMuIwSg`iD#Zns{#<*!H6T{pZrdH~cA#0&z0LObK$zvm50Oxn z!Oyly#N3cv7?b&$&hJ8e$aQIk0JD*Q-@h3m7ID?hK`}}+K@!h$geH0pLzgofE>53j z|6b?=MUXPlUCLrXE;=GqdB-!64w~znb_qPT&YJELX@zNty~f8nX?rOQ0oF|=&=S=% zi5n!JCaS8IpP!;!YZg*UU(nqm3&>|IIBF`b`4-CXTb?kl?uqK=qSEy>ML?zHU1;bR zDjSJFrwOWbuFZc}GOK{2&av7|xD5Wy>JD1TK zsi$b1){lC7tlg8~Id>2l-g2`>#3rGV_{hF7u0r6rtyr3M{27(z=N24{#2dw@cu)ns zFhcgkrv^>V__eBSEs#G>pd07bJz~T;pFyz4%bh&WOm?*Lm-L(^<0nM<^3H_ti-!cG2QBE%>8C&07ku5wm8hH*5woPP z4ckb_a>>?;iAIx?(LTKrFAxdA*q^#Od0U9nt;6_@*gf_W2M-dh$L0X{YSXmc^^5J7 zZ{#Fc%Y)H&J|}*AMp!&Ab?^dN*q+aE$<`D83Aw2|TtXXFgpSbL+IGU=vQOo_M|t=4 zo-|5E2s9Vx1=FMTGqr7DyG7B%8gBQK#xS=iGJ5!#ty|8qNTtx@;>T*N`xOC#Y32+@ z0rF0kW9CE+PXWfy$U^2maa3Z3FqQ^Wcb5*^8~WW0xQpE9j5vhmgj8l?rX?_`1h-Vj zQ)f0-wnETRRmggANCqN$_%lhi}dv{1Lb#(aS!gO5Hj9jq^GKrV?1SF)s zF_e5UA(3zRBK4rGxX!JgHnK{@N>?jY@Kvszir9rKmmSMkc^qxk@rNbWo|Ob&kr z7k(GZNM1leENf$zv&hgT)5xgqD9_tNJJ;D0x#t`0AKrM==IZ6~II}_PTzTjr7ePs* z$=V>Z;X`}Dlj9Mw@=__$*SeMOOASrK3u})bc+eKl%Ys`{SxIvX*qQ#>5E1trt` zx^Jxiiyy%@np?0Ws3wNZ=jfIa&yeKsTxx7(dupQZ9WETAxxGHl5k_4j_I#E*_B+na z=K%NzH64r+ZUo4bo?HnP?{(LXrods(SB@W?_A~2fcx6FrUwmDVzLBZZbof`ZLfCLFyA`B zd5-0r;;=aFmIv;YK3(GhEpw5Gku~tnT@$WboTlxd=0IqKrxwlW4oR26P$GjG|uxR=B4%eCgwezaOWl_eio%4~Jt z`k@|5ls=i6L+X?#f7F9~5kN;*r*-*JriB*{S^S6FlekZsV~hCHWL^QgL$UtMMu9mk zq85uPzQY4v7F&Z3i6+i135BYYH7?m`W#J1VGMso^Z*QGd56MX@`M8UHoNX427ty{z z#a1ux_I}yGa^K6b!656VXoY9nrX+jJOTUF(-HfiFHk0OA$?gk188_Qug}g*?esO_o z>s0D}0$X?Pn1!`>$fp$+{-ks>fs^!=opj&FT@xF@zEEY!?<5oHo`UttBm`L$GYy%q z!zAPh4wF{vdW!YdzGf|1&?J>KXkEKq^{1GBY?zd+d#5x%`-V98JXN(^n7YNnJtlhO zr5bj^{nf`Q3T^Z3GB~W;x_6oMICb;~eHq3$P&@RKU#ch9^G~oh z*+{X2PhVG^c!eb)u_-;v-s`Ft$RUDmz_hA^@2?qn9$d1X*&jLdT3@YI-Gvtr#SkEe zMzmUPL|*wSOgX+sUjBO4F1%dgF<+1j1*f_W?=wa6J7%B%W&VK0dF73+YUf{fPLQ zj|OdOei>Kq#4<<%Ra9h^qu3u%6O)_9PO;Ave8Aeye(_uOf~ zIAUZXzkS5K@8mui;sK|tVG zxr*((CZ^`kyB?Lt9yzt91YU>;J1Vv?$&y71F0G~U8dO%S?;&WZ#cA-B0iksT;%JSI zzcQZ+h6rUzVepKMnQiycNh6A;g8t{-Fb5YwI_E~`!aKg|`@T}G%I6c828Uo+eYd%L0QHv}rZe+}mxAMP!k>NN;+ymu4x+1n+uvK_kN`UWP=bEIqJEs>j0y7Si zI9aN{y&-oGbI!i1g|e5+>}BNuAe9nGldA$oEoj`B=4o)-$TOCG8%uswOdS5q5s3zCR@Gy zaR>SQxe~&&eNHv_?H6vVK@My4FUD{tclQpe8_MJ7-G1<3X%O>2rtiZPcV0JO&B$Nq z8EfLJguS_-=PQ2&`z_>r-~*+pY1%;BPM+kq59sl ze6!andLBCGoHKlkeU5e3aC)BA?G`>&OqA^9aeCwMJR1niDHq?dl=om6oENB4&AH|df%+u zGxjJJa^b7zU)*(N&6fstFz7}4bj8}3+lVCatBYfKHx#^xH03{tm`JlH_kiD}`80!6 zGWmqI$8gWXK^jfTTne+g2kYXIHu`wC?#j}x1_mj$b;67N4U?ngHkSO#D-ls~yVU@f zv#@Ap!+2YRj)|M~cn_U}j4t6J#&fF>D!H2Kl)%CGBAL}HT>o1B9UBeYx7`}gR`)4u zL%7X4xdc|>Yf$JVcZVHb0%>aGFjPJuo&=a855eNTOp(2IQ?PV;G)d4KqsRyQRPEw| za;3l#FH_Ohx`iypAKPOXUqRyA*thj}CodBsM&^&@9XosJ$T_ir=DSBaud9*p zQnI$B+eB!a^q=x;pYHI#dR(i~`~D4sz?5WW*n47=tOcuuWm?6y9n1V$g2&eBj6*q| zyvZZQuL@I`R1B79&dS3qG$~o9BWf6VT)Wgr5k@uK3xfo{&4l^0iK(O6@Pw6UkiNon zl?xi-pH0#jL0Vh>#{0^iuy_6vu?+Qej@yUNk8pw!S-L^>@k&l^KC4)j|IiH=;{T5-_VFR5=nZv1+7*^2iy74d6i^Q#1D z<_3yWWXJN7Ln~R<92I4TK&RN|9m|i`_~#Px*090sT~Q+@o7*|%uKNbeD8oZg-JLPH zLvU9@A~FnrLPPeNySVF`V*mFmkfN*a*hKFhQjb#XkJp{OR@zmVPITqhYY6TdqO@ zTi_TmdXJBq_NaJd{ztmmI6gOp757EIyQB2u{e^G$hwLpmt_E2)lWu2~d*^Reph>8T z+IL!a#<;3_&iSvKbP@dN%!$=}pKDn-{g`EX+>v_(`WXCf)&!?}fVrlkvMEPqXXMnv zO!?^TOJTdPkb9J?lG!lJ>+$#cnhcFFpB3Jls5+ovscA-GfAS=I|CUkiI;2AX#yVH3 ziwGeJp7yCDz2MOmavEt$m@^UwpToBF_hU8$4&w=7H4TGUXCoA=5A`#5FI_&d-UvJG za-WMeI^1p09c^8VKv7Sw;BV`s+)owVW0hGmJC<`c46x3OL7Bj(dSM{guR$qrXHwZG zCwF%0H;~ho+2?5CQPt&ab{+@hI>yz#mDV8J`c#9szD>=SLxtylvRf>yzl!`Lj8Ras zrcGi=RnIT`O2{x_Eq9L`apzI0t(w+yk6%`HpX7P#(`yFXQb2vEtQcd<6T@eovjJw# z(~KWu@RR2^?NsBtUO#6f`G~}|=k=Pl>{ZSaWwZGCw@p%X=G-E5aQr%WmO9 z17)xi4(RO;o++(bgSN<2^-Z4Hqwt1T)xxFEI99Bo7F0s(ijP%JBDi)Y>(rWt!kA6- z<1NO>RzAPWJAHGvS|zCKP^=2|{6m0`n0~U&aF?Aqj2{Cu?)+X zttt;E$wPiKcz1V|K=agm4fwv*10SyN(i)USk>sW4vI+N-z47`V8(HzohM@^h)L%ZM!XOmsY}|TB2>%)a$nYfLgXhRRFUP(;&rZ28UI(yzr)H zk)PP3_PNuHSIX==v&F67$zo(A>z}{Abg5>0`oXz$rc#2yzU_O<>%Nt{jc;On*H=4^ z3iyCme$7K$Ot_p(6`EcBGiXP9IQiE)pxwQO#)X56Z|n#t#d3_a6-JEK2JxW>mX+^H zIFh=Oa>LQQtX{%;I^wcAHuD(lJ=r_W*l)nyG^j$2@YksSNi#hj6x^ha6}sAFBlw1s zpC?Xi75@iIA#ozji}us1Iipn~W`i;q1j|f*9twrA#?-f`C!lAZ3g*_FH>^oQZPO6n ziweJBzTBnmBB~)RnD4jhR_~Whk8l=4|4J!wR;f^xi;xaO$|*4Jn3Ds_Bvf; z-3d1)l#pvmqXwQ2=#!kI#&3qyUsbz?vf}p+uucR9O{zj zSggifLw<$um=_WBiK#k6m3yawIJ9q1#nlV(+i6_)zlcbrShe zHLFDeibfU^afOFz@J;75?hB0~bcLj?CwSp+=-+jI?r0AZTvs+(qjYo2Aj5 z&QX6s9-_05=2Cx*Wx`aQX-_{p;5u1@>h-q%=BCbXB-M&kQmrpX2Qe zhkhN^$kymO-4Yd>3kg8g6&BZKq<)(U{E2-mocYFnh^pq8#Q?y0ww9yIiby}Be?dxw zmww(w$!wSIDJx?Q+`+6%_Va43mfgn+Wna~cvPiuo%*j~t zKRBzK#i|DyG13p0D2PAKzgM~%Gjo_N-t^%28tQI($IoZ%G9|f%U3=JR@4YF<&Y$hP`x?r92amO zy8MjWC`sdzy#%e_T+;W65S4liptqy(Zp((M=cT6#tt{r^z{^HTztcq)Oq7}^ZdrYJ?-z*)M3Q4sV zx39kDA+vbzdx%-}0arFkq24Gp6mDf{>$~fzuclg^!rv^suO{@M@m$D)#YI=Tfg1W^ z*uSc@TE7g#ZSZx8TLO3NSV4p|Y1mod%HqrZG>r9Ln+{Lpg*u`{(g!)V&`(uGygxmo-yGP#uH!s`G@N$izc{q<1_NY75vHydH z;L()(9Y2L+QuwELzL@gDLxJzJcXJ7ME6>P$az?hgZxXx#3Mp`&SvW<-*$s~Ve!jST z#&W)C<~}nMRP0A{bzfMlypFm|)gvOYO7q>vH>}GF?OK;SN3t?PvSKmDXI&?rY4cB^ zk(HWWY_W=rtz>_&UDx6X-_lPa+xHZ-c^&zsVlHmXs}O7GW{66_#N$i+>Rm&!MPDoB z+hag%lv-_%0#OIXai94Nnzlyt+YwbMXTz-o2K#4r)nSXHv8sIAw7~V(x$6j2l8DPp z***qn^9e`CkqmU1d#iKbL(73cmy}YkfJD-riFrw!|6 z#}X590EV6?_MK#h&C>3|!}6zc6noyJGo#u@u5p-NgQejy(i=`b8JJjyuTYe@#Zc#mL`THOVKRgslTJ-o^x^MQBqh=PA?9u_PXcLdl#yQ+F9);;e z;E)E&=6$f~IwyRhkyW8uRSvz5%xQZN=XzKzpzKLGgG57*CP*m~qd(H~nz0$XdL<+R zR)*0UC@3F0=sx{IGp1W%?KzMHwmG}ofSA7G1r}*0=y4ZaII{5M`#n9C0ClP1_$nxC z^tbNzSEi?UEPz~U|FX{VQoe~q#W$Y-8a&V08s~*iHQq2UgV#LaXC7tAZ6?F)1 z?+MnjuI->)Po-QpR#UA{DE)u11`;bpEeYl%4FqmRazQ8clT$wpYU63-)%t8`?Yw`n zDL@a^lj|p_<+;;XK{pdS&VYoy;z&k0gn>=a1XG*GRkuRM?2_#$95o^8um>0`8W9=o zp%5M$5eW#i3m%cM%VdBrgW5v$JQs@4Lu1;?F3HIQ(EAgz@${j3V16x8myqoDn)|$Q z1D~7ULvE(MthXa+ z2PK#*S;WviOS`f@Etu6PW!;_7|FgP;=O*up=^~0wYrCVr<_W6VPFGe!cRWts_jQh_ zwh&x@wD_%o$FiHY5zZ|*tRIo9TC1rkb9c7f#P6WuIzB!knFe46=?x-d=7hU_2zX}& zb);70>u)P@!;nifLM-8ZjYnt3crN1f2s^jaINH|IULJe-i)8Zc=WiCnehwso_j;Mb znB^>rD9RsVg8OjdX7%721CV;L2iG0n`Y=qjIw$Z+XK>5(MNZZiH9Xy@{o>dX7{S~~ zSE=J#SpEDBJ!$}>-Wfq#4OM}BK(D+Idqh6BoY-#G`ZG%*TxjtI&=#RwmgOgT!$|mI z<)Gm+=oVSf1G^pKO5Ru{c5#)m!4Wo%FJrQb-?cFo=cPzoT@nnwyH#gGJVQZCXXclh z>inl&DRkX$_^32RX+^0N zET3^7B{0CEghE&CUfcD4$669Q56Rolt`u5-DuZ3HlbupKKKgPEks&A~UhY(xw1rIJ z?4t?4C2^G7;?-87S|H0HR}dcIa!@7?><6eW)g@?;mX?!-VjNmKAZ~K1Gh<(A9!W1Wz#f)#&XJ@-`5iyTz4Q<|qrADggflw+W zSeW0a-7-e)NlZmcr?EZF`OmB*Ns*&%_2f0eR$tD z6(Uhm*UK{ZKRz42eM|z~!KaOs;_5xCeP%3oipYwKZ^p1E5QT#<-=_SsobPaGp~R;k zpXE!i@8ewBQrgZ!GhU93=ar!Y1SY5IPI2@sZPhvN)u26m2V7ppV@yB?o^Z7T?AWLA zy0oRk(ePnz+_0AC%x7wu1gk0;^G-mCBdRJzs`3U=t`F@*^E|dzZhXU^ zM3bh?Bbpc_n2agFj|~H@vw`CbH}Vv7G6efa^^WVPnP!q4mmpeU^zEZTFDsvDUV5AR zOBhadiiV=YscL~ZHpFE$%fS-XXr&=7JBKn^jZB<&Cg5A=#oo4lPRZ@~z%SnWwJ|i# z!+1`NXj;y?(|TGeNC+-C^)sMd`E;2V>6Uvt^BaThV*N$0SWnEeQhp$PrV(K};&Ce; z)g|CR|NMT%3t$X;7RcvX6@1p(fk?LjTc;K6K0|i1dl&lcn0Ptm!2Rdt_RH&&1x3G~ z_xWrZsKXh}^_y5aS5bm%!xEap6N^srN$&0&$0;L+!}sm_&2iciVOo`<@wRo}karuf zo{UnRKlKm9PzbB;`3^8o%J9N(|Iq&o4vy^ySqREtQc(g&U~#ePQ->X6$2X4%F*{&| zgil}&tVg9PDo_o{bnH=0IS_O;R1>~*;^KKN*QGjZC zUzm2r%|1&0O5kJ8MSW3 zauchVxCH4VVeD+TmTDw`!L_&A)32V*49BDL^26~}NwIf=;ZuFRw_;ICfst_X#6~Ts zeZg2_GMG72XK-Xf`<{5{HWYTR+N%!@}wbPuwjkW;Ay1`@sC^8~b~~&lj&QX74xEq52H0QWOR>d!aXj zc=)x>9gOvT>EE=i-ifSAJ(UaBXHSAd_lOEzUfBDJ2S3Ki8QG!kuHIR*a!2s%a@QY< zA>AK}i3s$)5R_0rDcK*2{x#@(C@3j_l8iqT<0DYg0wn~{?_WXb5R}+Kzwd%l1Spw+ zexC%TWl)L+r5>^<?KMwrkz&{TBkcV3ZCtw5pkvv$2V-`Ez?G8>l~+AU{ArFgYwd%#DSg}9>4xN3iTkRyS$+bcMNv~ z1cayo0z#po;1B>{tqNFDD_kr5*7B_-piLgoVFU%w4Fx_N0F(emU;x?_K?Q@3fyA(& zvVSQ5P@XrLHvy{=AY#ma7c+pf9yJ&>_=Nrm9V|wKi2X(XT@4b$fU@4y*wjd~O0xp1 zksxZs{}AK;ZdkZfxKzDly(CzS3=#j8^Dmo0$H4WtykrAK8dVws9-fZMEv&- zhDe74Rh_yuPL%Bh&5n4(|1UmGlf!GWlL4P>wg z6{O0>%Ek)!4)+GDa3P{!Bl?#JJg9*ILZHZ3%2xu*@E|f#unaPw@02g^lrN|+sKGKQ ze25M-^dHLnWkm&b1$BmOhAbf69FWOOK>jd4K!fSR7LaZQ^em+Y9r}f&n?Gb7V;Eyt zPf)LO+@yz2jCYB50fu{n0!)!YAb+U8 zqaMb`H?MDAF>Wz#V1^9BfQJA7E$DZ@{I1+DziVx3ZF;qOwZIHHg!x~7$701|r75K; zfe|PQ2=l-EE_htAsA{1 z^}pO+WL#uyqi>@JGc*w7e|jB396@|&a%ci(9zmG@>GcTg2y8D_FBTwE0FZ@8OYyLt zgT2la{51kH1s(?In#Y>Qr0%3HSW5@df(^?Q{5`@z;@@j3Fv#s!g!q91tcRh80N{52 zl{t7J*%00kUWQwS1B)3TT5#nd+K1WIE!r&#jC2A8;0zt`g9D0@>Y?7hC+-YSy2h53hiFjZwGeiq+T$ar5 z#(~7(#zoiwK@rIS3a}oA1plZqOlUDF5FJ zWW}QgEXFj(bWeIu8jNxLDR$s^;P}npn*pGb4N%3(N%wE1RdW0;t&$B=S|!^j+{Zs5c{CoI#u6Qmm#`mXK8DSaWlFpJ27~}s_tjD^? zdQWjr5sV4^DYjy{V(IJ*hMxW@6vi0F*o@nZ3&sTh6pNOQmOf@bW(Q+Je~Oi3mSZmQ zE%AXd;XlPXpLafg_vjsHs{I=OB7cf)xoo*y-r|A+42u3KIBq;{oN1V82*$+z6x-n3 z;0z@WB?e>Se~L{xPC2fVtdoE-iT}n*h(L$_Yj$sIZ)=zPmivOSXa9|XmY~1Edfa>5 zqZy+a!I5Cmhg|Bd~92h6{if3ZurO9;kZ{5SUZ9kAiG;T3HWZ2`vQ z{uG!kYo3=TS{KHTC&Y62rQC;2D&!Jrxh1YfZHzk_FzXOhc|%MbHT{ZFx_=S$De zan5nTrD^;rRxVjC8Rr$}1;#Z06stn1LYZcsW(H$ge~RtC+I@9|a)biLwEq+X1_*;< z+-}?s4C?$TnCqJB`iASx!|7P}Pq8M`CeSUuD=5I_>HR4fh!%*JN0~|yHt z`%L&@@xvmAE$88kVDdNE!_pv4fzjP>De1AMLDMDy!LqKOKm{k0=9LNP+oirtD07Mnw~ z;A?$|_TgF|=k-+P^h#-*eydQ2$ULthI+||K7ZGopha2jnW7693bMq zHSaU}XY?Jq9XdcOJD^p>kqs7nPYSYbRSVF{`OtSqUPoTj?$hpo*5`m$H7E85mH#MM zCJi2!Q=(I%GsZKssFg9d{J5XSW{1l$e(LLNqPg>{AXJFRzG5CGBbF9O^b{~|zz z$bkyE09*j-ZR%|xg!^9rxI_L0Jb04Np3nXc;~fSB@c0V|0uQ`@5uhS|4QgN%0Vo16 z0zga{86Y5>*;Dx6HaG&pK+OS$8-Wi0p2Q&4Lt&w&p{B!J!(4!XNK8OL6u?XD0rdC( zJ$(WqaURG>{NLt9VuA|&bxYoX-+@14H)97OUqi@;X8kw#U}2tVo@s_(h9BTW4e%Wf z(firI_Tgzje|u=tnf#gj%F~sn0MITpDDn8n{NH_9&>um%U&~M}dM$c}W`-t2=L^wM z{;DoW_t4OE*mT%T-ArAG&JUsk@6LiV1mzd*67Dh%`hw_SfKU+S?;TpO@RtF=C;?D( zk#vzjv_NQx^!FP4OA1~|ss*YAfPuQ8_)7`{k%~Ul6S7o>IsrqSqIIHmAW|qG0HXc9 z?E|jCuYND#D&Z<;EN6r$fv^za@6Btl@RvzZB2gk6Y#YCf{B0%>4kG*ym(sA(uxedv zUH=loLxlff)1u;{A}~M>6c8y45CNk752G$TFFZf9e`bd$frt>{f7rA~w@26G+2i?_ z5D6mu50hH8TeX4ywxEDWp@7H`?SGimrrxF=suTK`oj??b@IOofMv;Lcl|Pjqk|_`s zBK;4W7I7AFN)byD{}Q4>g#TgF<&`cdnxLDY0hd>lfXf>S7$CarznxYuuV{f1iI||{ zbiYT!73IUAsidx?J`+C^hmb%F2nb#VKp^Oce<#7~!JN&U%_`$6Xk38H1Y$x+@KXKX zMLtZD5rq*2An;fGgZlqu{f zYzPPi#DS17zgMCECLd;hux_w!flC2swm!5J7XniLCzu0q7reC@tOW!`q&z4fFboh6 zLW55je^V@YK6fZ|D0EwNTR=!4J_P*#>Y6RRExmNybX?F-_AlA9eV1Jp^&X9T%kvvO?@`?%_(nU03t>3@&1~^75d};vGc+2b z)D$c}KvTFvZ@e?&oe?KHo$RD3@PV4Zm3rfe4o`G=JpFMrt;&#tGzH^}%T%$CR(-VU zuNi;MFcb!B3RhCW=>CV7#=JDfD#x*mV zng-*eQ7k@Goy*5@rpQI0sGv8EAHL@h0l+lWR==F}a@J25{&XQO z;m(|BDIb4W(S?=*V}-(4pwP{SJX1mKi5w{*Ov<9AEHTWU}z8B{opy08Se zV4DZFylxRb*KE0F3npO8nRE3~KA9(bHN;&?*HJ|v)T!l4t+PpIlm0pJpA$7oGM{2_ z;J$bqFght1_2PBCt{HR980K6vfH~J5{{- zsZrASG=qa$B5@3g93H)}{)P4Y)IfZga~)K_K9f)9Z321);PAJL>AD(@yfF5KvEL4V zJFG!1KEuGmK@wJZ=%jVhI$w0YNW=250Yzmppfu(A`Qe`*K0ow4e6A#zX+WW>1Xa$v zw%@h=zB>J@(;;Xsb8Z^XXYsabvZ-g>*UyHEy$Jx43;_9Sk6e4?n(5bgIJpK##Yzl{ zw91nQpFH?X;WLGXO`buSB}3%;*<^gp23%e(f3^JPAvdG8Ny*ZAzQK`0R40awoDhF= z>o>PPJnrFf%(?XdzK8j2p6b<71nsQ{z~!5;_pJw{i?2O+?ZJ&D|CFyllOUhFc=>r3MFmI5kcNN9oHW zz3};Dz_t=ZeUqqWKaV@^e;KFN(ag|G6NPQvosjR26nDfYL=6u)3 zSMzOpb+Sg{KC)W!ePj(prO0}A!LtkA-SqAz<~+9u-;I0?-xHLr9^#6$dTx=L{Jh-p z<&F=Id~l=zU28y5Z6v7nMg3r2Kln$XAB6ynTUUQJ!Q$(9neqijlH|a%_~j>uNDgUcJ z6{G?i$c@Juk9{ruYw65+a~*Tun&RV|d8IN!o>KlTm_}5WGe^%H`xu*N}D!@|px^di%mt zdo)EKFE%9oS4h%Ol%2;L)o)b4X5&B5xwU?Ld+`!yK$5H`vy?CYUhwyVGtAROANTzTQ6X_Ickt^35ZF z3$w^gbNCKtoPwpT23i@9+&Eh*eD~zLC-a64*5-?U3Yb9XL2 zO&9$50QNYG+_K-tcRCXkyz+kJ77OsySpH+-KNdcm_i!GI+?s^%9=^+&s9=@vBe!-1 zEVU;OFL-#t2dh6=%_6t;Ws%!^@!ifO1&fFjQr|WNu;lwsj(>98>F4wV+!z+QqYvNX zOjfXH;|1*P+Pd#8M+&_c0$eeR+_{UFIa3s@Hr*XNRn=_zY#~0cjd=}J@3QgT$@e-_ z6|^zlU1`!BpKSPKLu7hnI^d47$ld7q_c_xPaG?_8uH%X{j~=AYRmbrG@OBnyEaLl} z>CK7Xy+;!Nb@s2bUE75ajUax{L5r6=GZe7)%RN2`dq?j(dcQdB#c3>ZZyJl-m+s>g zPFTTepWI7BT=K~yQy-c72@(LuvB>>dywaJeV6{)~L!&Ev^4l)I?efp<|AesjFK3aj zE#(KCSqfJBf1ycf|$U)&qCY#;Lntfnspf=K{B=A+fOW>6Cl#JBH-?;qtMtLw*DG7FE{ww`uO~4}5>POe~Vc zqP}!J!6Jb}fyNawF?SV}NgM&&xWuj{)!p9?X=9oeQ#ap)RR0 zhV}<;nI#iDH-Vii=-MH!@YQ)Tv2&~0x#DhVN-Yw~3Y=OZ6MHeA{idLM#~7Yg;FBpb zvENj%-)-oT9#fbdIF}(4`(P70@5|^ELpBs>`n0S0u#;u%{LpxZZViv;8!zbVyC*WQ&qjY#}z&ll8IfN#jfs~)gz|RAK-O) z=EL|MTN%HnZzv;9?mK-lu?Kpx2d4JPjKhx%m5K55TNwYJs=mEc5Y)&Z;Qvzyn2= z>~a5qL2;y=0-4yOBiW;K1`gK3BmBqyEt5SOW{>9ksoAogp25Rsm$663t?|8=${rni zX9~`F_~wc1(JA@9rY~0G_x>szc<$M??9nk$P=NE?)8pBr6M3$HO!7>cOzg~Zc4qys@iDko;P(S$VrRRsv-5{fh%1bal!=|& z&wi0VVq#2TLEz2RGO<5)V}C3hIVpx`a-gZ{komAbma^A}kD9Ck#gc*Y$NjKbdf>(O zGO^buve%D|o)QoAdf3WF!}IZEnHYAE-dHeZYCO;z9ST4y@ZdI?*t?zCpH_~YrsVO9 z!appLiT!Ca`(Wg_8JdFX$^W#CC^TJMYd-9qW9(hq8Xs0VUq=AkS#Lh~y&#$@Pp z)O;AfX&@Sf_R|(AO3GLKrkNn+1b)y(CJ2MR%t@QRSdp?&oF3qOm-(Q$C%(&OEK#i* zNI@v>WD1Wyvr8siz+Cgwbfb%IAX=daQ$3&1W2yxvzP#_#RV z`28nluht$!F)GoQ+sudYPm&q`)Sk0OQP8ewqCiRyoJo<1IX~RXoc}YZV6A}*|6~}h zR^&5UN&n&go3MQI!}3qCsPw}Mo-50QPkyjpCdPlXf$=8}%w4Bzi72j}FB9WWVx;nv zHE+Et_K9MC)eQ4t{M&u-oicwz9EBH_$b>ek6vgQaHZ}{hhjt0CH_Vj@TypVUvv8Bv zMHIi$UnUgoXZ-2FqQbc1?inii=XnAp5@wpx{G5(V_`1W2=5?9<EQ>4tUam|i!cN|q!<+WT6+c}l6XQQ^hi|BGUtICGHpqmc@%YZ$yg#n^h2b)x zs0iN!MdfkDPxh9H@gHMA{p0OhDpX|4?&WH~OpO1ZRD3sXt&A&<%$12bzuLi^-)}5F z5J&v^B$=4=7}m^wm|JpCR~xi&;%2_@(|x;vkLSpQosQA?Zcg5INYSAwVBp&+GBN(a z5`33i+pAS$B#Jp7U_NL`g7|i|b{tV4qJR76G?`E|5#NSojXNb{$tD3I=zbkcsin5CESavv!|QO@LtV)@qqB3ByhZA7Sm` zdZs1H|2SDD=G=oNwR^@}WqeVr1V7p?6P9wj;5#sRFV{6pu)k@xOw9RSdwjE!_i{jAXPL0ximj68##j}6SF8)Z zcR(gM84I^REVe57?pXPoz9=vs=DdbjdF`NefR`&9g;X@WR!Z*Xr*LDU`Y`96Im~%? zr*)7YQUpT;^LQ7TnDZgp{tpip9^zGsm<-&;!!j}FeJo~wIJJr&)}g6m?}IIZTO@<) z4|A_BX6_A(t;0^2c+uQzf&~2EOJ!p2ohz8z*vVJzbX9<1se^kbEoEj0-X9A&8_=oV$Ns#A$}(waQeov_~USynDZsJ6u;cZgHAu)aw*-u z3?)Okz&q5&M56X zj%Uq>qhK|rxu1`wlaq*{v#WXd?JoG;n(zBbh<@LJif6aNlMXGc$zRLn;nNEYGz z-3pml(@mI-9a0p#ahoqQtpJ-mEt5-MZ};kQy5ZaE3x zvxC)@<_GWD$m+KKx;LvU{{0D7SMsmTtZv(12C=&B*Q{f8J9xP1OWa};$Y8}0}o$^dEKW+^8GhY z#_yruU|RWU)gze9e|q@tnK)MS@PE%m-#ZlK&`LS)4|*K~llKSTj0X38c={!5puaya zKk0`h_|3)S7e9HAbi^_L&$x%+{n_{6K8W|{+=-3r_Y1DUEe`L`<>5zh?fkC%zyrAX z=KQuFjmL5O@22Cp<0Tu%QXWNDe|{&Hy0F)H{zyJMc^to0-@_9B`NKD4;|Q@{!V@N+ zj>u{sY_|{Pz!#w=`CHP&G z@B1~jbU$72AY}P;ArF5ITz0>>UtPrxw)L5>OfXCu}G{u{mJXG88R z$8o4zfn(0^dgC~(=^`OPd44JnCkxgj{dpCB^BuVDv)ONBL;kZl58?K<&kF9vU00vY zJx{#m{Tz<_Y(CFDm%=vl+{PRhE#|rRZDi3ho-5iJo-5i1o-0}cG zzOjeZun<9V*A$UOJm#jI{O&z1ERD58Gy+^=?J^?1TS)Iy&77-RLc9WN>uo&ls< z#B)U@Aubf^AWxypb4B3t+~_2B@)&jl#<9DwTm0@qc2_1KJFw?4-pu7r7JF_#&;5Rw zJ$IPr{^$rhYx7*%J;Eviui3zUQGmVkO7@GnSQ53_Z?L?Vd)rQ|i-10FD8$JToYb$w z8dH0odn?0lDip(7%}tQ&J*+s~lm>wQSQx-+YVIFUJOY4{cs}?(dszQPX*@Vw0pjZ=iWXFzhW!$08TdZ++V_hZx``gS>t%F ztXw?zDcqa!A@P(I2MfERUhv%i*~grwWf;yOl$+MV;9nfW2`D{)uy2C-evY7PDg)-< z2O;k8`{!Nw?ap)mV&NCk$y!91qQby>qE_%+Sr33A108yZpoShYa(S+dRge^Mi2@mR zI1&4CV}Sc1G`+Z&=Qb2!5HyqLIvD=eBiLR(h+o{m_{d!R!U9jE;I|Z*SMA411u%br zp1mHfzI!XiJV}tZJq9z#*JAK>Pmt$+un+zD8dxG7zu2n%{zA6VwtV$gN522tsVv&x zwlLw@f9nPo9e`85|L>VBI?%Sn=|~nGBrZNPo<#@Ci*I&i(IIrP?8X8XU1wXf8ZBPd z)YO*McD1dhCcMQ4Ww<@Gc8hIwjPSCn(LZjtt&=Nx*{zwZc86^_D?_~Or8HJsO6SSE z?4dGNyVJhF%YHeA)s}&z&C6as&T98UQV`?LDptGCw)~*>;9*v~-^P1#%6J65cR3^h zvG*6U+6vnW;qogBSZ$?kg?ZWixvcg8h;hjG&6%wBAh?li-)+Nc57}140=cU&7C2&C zrvY+@pVc0X9S1@Upk5is>TD3Lr_4E<)%j>%YlG}#!&n`o zj1P3bQO@f8lwrIK&q>w==-dy&Kd5GPV$(4I!Y`wLZ%ZXXUiMlVt7|6+BlG%BR@a^i zl0f(^j0{pKlMKTDJizKYhzu`#H-lZhz_uQU{CGSlzscCyUAASDX&kJZe2Jne*c^+E zUoHe;oL{#V=ZkEsZU)Gn#LCo{i;;0p%%CmrEFONnt-s@(wx{#_!8^nLq*uE5lmF1u zpVHJc*Wc#Jeg3wuPxQCr^*#OVzcbCB`p|TLho+{Te(ZApcAvlZoRlXH`MW$@>F@gd zD1WyF{70ET^UN-PFTj@jht5g)#X^7Ir#JcgJ>1@({rqfy z|3`xU0q=MA5BzwIf6%Y?_y@l>-akahM2AI~eLa6drhrowh``f&xF=^60jC;+(B!wK z$rRv~0jJXPVE|HtaD+cm88-*`F#~nQy?*fZ>IDhOSBm)Izz+vLbL^R8l3z-zXiV{= z8w!T=P)gI6U4u7{2;Mk;(1nkN@F6K54Uy@KuzJm-6@o=l8rsSfAfiY=1Q4@ch3$s?n+6Do&KbppC?oBTiL;H&1#dZF(vPB^{1InirZJF;6sCh zkCe1c(Yqf!{AyY7p)vV1Q3yUX0uzPcLnAR!2tG6l6NTVIqj|0X*a11-%T75x;q-*p zvtG}VZ^&w=-;jj{iS}ja&02^bdb5^r=XbId+I_|M6@R1p8|r-*?R69=w3x6{QJ~Py zEIqT7;|&9N#ejIVQ0kQ;-jR7n<_{+QK)nQ{!)4KuEFM1BKFHJZ{r46JdAomDLEiqE zK|!8+GnCnKMdjQnN* zpuCNJ|Bm;vFYxNNRvQVGjH~VJHW%>I{vxkR&9E%nDMD-ScDHzShxUN7ByJjtJBs3B zQOv8;VQs^@6N)>F;_a%qOD7MxD@wbG(w&-i_pV-X4-{vJ;yt>!XAh5dCW?EB;(dyC z?@SLl3#B2HTDBWd#eK58;=U;ECy)VZpR z$KX;(UK(fj#g*~aI7y0JHNozWOA{w}q$b%x>C>voB<3U~4_2Z)Bz<8CM$_~8%`~NI^^icQ-QWw(N8yMoqz_#(k1tv3r`1{GBxjN<31|MPPE&&K=$C} zynANn0|)mMR+dy%7FL!Q78g|(Z7HfK0plJ#rb*`+b~{a>rw};92%H(uxfjondI|&g zHUeh}wB80S6pz-2_Xe7_cVFAm>_ltN`)Yx+GuxBEd$;T?*;-k;w+xc@=P^A1A7BSH z>OjGAfJPmZ*@aN|6_uBiRTggDQM$XhaCd3hE|3_E$7H3{!aGBZ)I$Y{Ax7#PNn>l# zfr^sCl5N`{gr+f!4*?17so{pi2#|oMhHEiKW~PxC6w#uNb ziAP(D$CEq_y&3iM4H zeRF1a(%7KyF5OaIRDQT{>$dHnQe@$&8PjL-Ek^jQg31;nd~s$c;YIj=uSX3OO7Mt^ z=d^8xz;+OT)3#|jc4T%V0wq;DP=hN=55oLPBM>R&+dxFu!JVG_b_p@$U>A+%ZYf1Y z>7ISN;|T2GJ7cU-=J{@~AW&xX*eAuP+`Xr8dkMm>bSs*r!@Ktu6+?{uSpV=mS#ETx z5JbuikxChWn$tqmHl1yDxT(qx}>bR5Q(7ei0 zoZA>jIc)`jHb&@ny0P9~xTR?8uHwCW3BA3eCS25LI;JmoL|=})9Bh<3X|lH;iXDnjABVdA8bzqD=Sk7+JAJhovOQ6nQRwf) z^#0BO&y}M0#|5p;AX(@3l%U~|vK%Vfy-Ue4*irqz@Tlqk9nt?grvG#ABdH`vx z>i;QMskl@Hc0$FE?>@&$rL~F}|(%qGXrDZBumO3;}(7s+~sL(J063KA_sI2hp zLsWJY??zWsxkJa?N{5CG+SjW*UyE^rBgYLOvnI1!McKZJLap7FqOx6u+spSJp!RsJ zLxTuyopqkW#UR3wg9uV-LuOhW71^9`bZ8I(gV3s%vk8kdPGQEIJRj>c!};+vsDp`` zXb-EM%?^zew7f;O?|ev1Y#cGccH|5jB5ifd6dN>)F_~0wCBk8H)eBvhv&|`%%tg)L zZfm$50!}V}5nQRW9dM^r1njge4JZZ&jv5?PJG-5jF@Uqj4r(}>cH6k16xnMzG~L!= zu+K2wFW}_b7%?t)_5p6QVq9V1Dgg(A6`J4yrvh-=G6y}&h`!%ZeSfu6<;3*!&SB3o z)q?S1RkNy{BhFzdps>tQ!}yqhJ8IyLTrop;^c3B-otQZ~R^YT{TH&Fh;hHdi}sn7bH4rqqv>i zj(}5Mb2}TjE`Wm&oi)L(ZfC$LuesgK@`l@85bUPmdbr&HXDMAV9armTuIRK~-Dy|5 znJ#tBfJ-A4d)aO(Y1ms(>!rl2a;c-XF{@Wn;XL--xGGWn~wr5>>N+n0(Xw2BrH4EP@0D)%ssW|d%`Yo z=c7OiyU_Oi_>gFRU9pbq%7t9SfauHC*#Y~%A@( ze6@~Z#TOSurKxfYn~S>HrIlaJ5q*ao9E8R<&C# zGMWVLe{h$Q6h{S)i6zldxkpq(RP7#jk9bm?5E(@xVkr_4JB~!eM_H7jn6}U&EMgfF z5x>Z261YRcT?r{7vYXO@8A%c(%*t{kITG+lq=<|rfu2f|Xe*>JB*a>BM0Q*xMf(WN zKP_R-NUF$a61cTOl1PguAvXIW9gHIBkq#b-P9md8bOwpFqzLs@wy7wQu0j#nbCDF? zBGh#$DY}b{CecHa$cQH)I;%(zBSmJUhex89$Y>J1L1H6GA-XD4>msx{Xh&opL{jvL z&^xqMwmsK%}2XVxY)q61aQFr6EE@ZX4<<92p`=3^F8! zM$ATHbtFe*Gzsh>N)p3`6o$kIL1LI8F*0I$v+BqwkQY2qwGzm=M+&v_Pn82BqDpDX6 zk@Go4ggQGV#bPg$V?~yrA&V@HEb+>h zc^Q;1r}7o}1pwSZ0R^-!wtE?r@1XM1$PTZ3 zro7hBV`_)eO?AY`)z-u4EyAf@`%@o zL@K-tU@A$L0}qde-vF94)7qs+?yfbRW>2BLKH zhqQGx=w(ozMCHlRB(FTh%b>iC9sGEX7%WHIMyYQ&Eo~je6h{}dkG7NSX{;Abwe|H5 zQJT>xvqjVFcDkTrl?8Xh>wV@O?n3JHhmg_R*--(S9PMx#4~zNvD4_TZ&3@4-lD^U(v!Y`q3{B*snkO}Bd4fCPr zF{edDi`k00ASOuBHPIECR&{i(mjU5*c2E-*lNDSL{;G;@03S3!#`>n9eqa*D#MYXi}kRkqroofoa5zP2{WPOc4#vt&DZ0yd}> zce1GM9t!K)HmX3(KGY^ohzi=N0<4pTvEUfc8`#~F2_>KWGbm%5y>%!y^yN>QwMtHKX+(KtQoHf!3!%4w|bmqs|BXknL zN%jxvEQqtybdrRVpV3J&PQLPMI!nRX@9CrsPU_#Jv$i<=*Ds~K$wc4iFauf(!0h^2gs(x*T|Gn+!H-X{XeZ~2JO0!1iYnT)P2R#6D|YBQ!A zV-diLmH_txfQr@#B_I)^Ks2L1@pGJspJRUUb2K1+jsyu~vy$K|9=8I+4FcQ(D-ql_ z1xH}@MrA75*^A60BiwOqIXbTiw`5PO*h6Ru%j)lutlRN$kh-5{tovB;>d)gsUzd{W4D}*N+sC5wbVrWLF`UtZNo4M&e zgJ{n{r3^Fs3mkkGZ5+{t`S6o`kx?e?q8~q@ivh|A65?8!IPG=5e@EYi5WvJ%hSOPJ9+=@rchX&CN&Z2iPmpEhvn*-VioW@5d_DbU3u1+xVr zYoT})VGo66dEH2wa#gvb=?d0h?HBwQ+*i3`@u(8{x2tHhU*(GBBP>AKKe8ppIKmi# z3;!A{0LCL9Llh_z2x1fd5k#>HHPPFtws{W& zDi);10P^mR0&=d9C%PF|{*olF%p+n^3@PoO?Ul^uiz^z0Oe2=4q6-1@LaIO#i&Qj3 z#>?IZOH(WofwV$!COa=CD$W{_M|KHid5*}2kzXo`H|yeMB9OL;EOA_pHvy|k83q^O zpcP*2HP==qfLoOSZgtm?_WH>FnW+Cn0UZ!77Px9d3WdtD&8R`~X2N#CP&P3|qN2Kn{T5<| z4P`1O3vCtP?KF6?$flcOafd-rmx_5&k|`APqDW`xa&oowxwz3FvK&{gnIUv5C3>{N zZNJ`0YAbegB3OLFf1Hs>Vxknm`ZM0NpC_<(0}E)_)Rwui~%=aYzd=Y7{|? z3FRK%ha1-_Ld#3`ly2QyR(xP9$wOig!K%!VBsgk}B)DkR+`PFnn~8ZiGil|jg~LV- zA02S3(QpOaBj_>Zqr%7>wL1pfW9T+du0-xQPVi7L&M*@cClL%~0udN15b@c*Km;TE zK*Vn+2O*uE9X0tNiJPpQJ#fJANQR5BZZp`p{#z zponF*KqS+~W2(LA(A%z?5r|~jc*@q|ef+#nfcFU|@#A<6&*-HP$O`cEr}4!2=cBBp zma5$_fGWVd2tFSfQ&1xd`XuozeyR5@D)ecx` zDIqB=W>*2aGYQY%2@COq{y-0kwNs^ znVufYvAWo4cw{Wc>Pnz}y74}!MEx#w5`Ao z(SscJU-!G+>rvj@&LWz4f-c7j(V>r>7NRSCZSm93?u$pzaxiJap+6l4NQwjLe2|?t zkV*$rW(XaI(jmuA7F>r>W;lskB?clKivac=bKLGU;T|dJj3SuPbQoj%1=iS$ywA8K zUe|{`nkR!L>36f)qq*w*k>2dlSslpA$$_M&$FN6dicA2up!v*rZ|EuJgBE5VJ_`eL z8e>n28Ftf5jaN-o{Rjl3enku+#w$~b;RC0Ro}b($nzb3!s87=Ea+uZw)X*!(nn^m$ zqC+kn^5~FnrwNs2Q)Uhw3g|Fbsy@&5&4p=1|IG)0&1(bP?z9@Pz^3b>)8<$Ov47r9 znrxA{j-DEPIc^ZOuMeR4&9Rm!HcRa#z!Nt|@bM^qsC!tHV`C}DT47rQP`WZB3&@jE zdUmHQU1eJkvP!NlV%fufV~4<6WA_!pua(lS6Yi-Ji;1`^0KrD0Vn->*+DH&pqQB%= z99K(7h}@Gou*|p2AYIZeCSJUAXT5b1b}%KF8W;i%PZM z&J)UFv2QroRZ#cEv2bI5J53m)(jE=CUe|r5v`bRScA5lFvE^?Yjo(&XXlLiilJ<7JJV{k09W-v5y3kSMrmG8`G%h*}p(F1i zbw%@%#<7V89lF{3p)T((^u_wK{q88KFGjre!t6YQe878(W2P;P#CwTcZ;;6pWU^!c za?w8VKBBa*-B%LmC;i8>?L2v*znv^k1_(gWPVqs)@LX)j^G%TC6|{d8qFl6Ee5hi9 z9T$?lii>#_F9YNs07)$=DjYeF%a*L1v>C0m87XTJ7t<+z5Ji8PC!&UrR&|JvQ4Gea zIOF5&pNW7&Ma6F~{I|E3^YCmj!B%TUa@8gsYb%KApcRBDGepqw2|DU{*oM(bNSeps zNeM{uU-P9T*g+BEi`k2V1t$Al%x9@FHCUSM6W($#bFIS9)}j&FPLGE}JfT*unltSqQr&vP{k}utjLU zs?e9&c@llOy+DbyLU3Fuj@S){@T+MZl#BHr*oA8REvS}sZGB8tt)XjGTsBd9lEVw7 z{ni`%ZMN$r$0B76v{OPiF?x4sFkL@g>Q;=ZC(TrXmygJ^Z8o1pYKq2+?qIh6wax9` zftFeWa;ep?7H{O57&;S-p|Jc&3#`dat%l^fYFI3)a=E1H$OYB(h+Iy^8Y!*G zrTxF8NXwKN(o#|=1RY5gE7VtFg(`>>DvP9wS)aDsox?wQfe``}F754P>;Kr)MFs7f zQpNQoy53P-ABpS9_JiBR^%T0^MO@#E>oKDx`&VM42SX-vk9ev_95aXx^7)E`TPyIq ziGIp3tvxnLu!BQPI_ewU(>>m;A_A-uT9SUYzF>S?+A)5YBUFE*#8fV{k$e6mfU z>IWmc({?qgi&$JwmL4C{a=Ff1)x?NngqSxDu~V#%=kuzOB%ohpMq-fXr_f2Upn_iW z&#{sy?2~O}W?{D80zsHfn;F|Nr!Bj$B%4EP?8?sVsmmRpuglE=d$cxjntf(6xr1z` z_j`rWGBidfyBIq{y{yEG-l%@mT+x^mVbob5k^9Z_LfBogY)K3r$dn!^-cedoUbuDd zo_%;EvaFI<_oCa8H%kwg2ZdS8s0 zI+)j{CQZN40nc{;?n}#=eWhPFbitp*v8TM?bh4EeKo&DBm8D#AT&@kSwBuQ?U^-K~ zQq5Wx`?}Zx`?uJB#{B6traORXpY+JG3roZt2DvnRJK@_^Uv0EP1l7aKQ#KRdEPVUo z+dsZ&P<(bM&w8>mJ5#PCu+UM#Sy(8+GaCak7I%d_w8L&s5?f~0OUYp&3eUPGi=8Yw+=o5prPxCQsEC57=PA$igW6rDQeRZJ~~FYS8e3dx(vn0%lxGKE?nUi~YuI z@^(R(Ejd=77_%9t(t5vqXvBf?%4`!ZVYWLm7Gox@!cE@Ir`)5xlhCO~omlrwN_t}g zyL~&V4LW+B^{=_?_FaA>WgblBtn|6vzti`V-Kc3ULI6;wce2}ex5mRMk`_h`U>Qf$ z%xPD~$_PQJor?>@^xmU*I*6@`x+1`T5!YgBPedU!i!O}j}tr(vyy)q_$t2Rs~26hXN zoLY*P|6v}0mmMCj)h=FnW#qoU%aFUI^)ptFrL8jiSGi~y%o()}n&H|63{9-$`E>QT zwj(jDiLD;3wOo#@urQKgvhm88d~M7XF`?FB5R{?^ru3U&&^&03iei{$Bm6~;_f*mv z-Msme-B=P#i&e=$&CD=OEA=gST@3jht)ymBa%D`KKA-S!aFOqpiQ!UuWn5kxeC15A zXVOfSJy*u$WX8Y2M7Q?FUi*a~?wpoxOl(@-7yKfYuM>_SS?-)G8*B7Ml?p8eOZ)Hb zDlb@?VI5LfiQ2}>8)}*MpXWpraPE#Zf5^X*K6R5b)2H9 zyiRp|CkXzoIU4@0FuQAh0{zYw5Jx%6;8}m!!|qzpqQ;H1?AfRY&!nNB=$ulcgOmF_ z7LC-M2_6Zo@zGQv#x2Huh|--wnqdwA>v64DA(9$xBE(Y5KX z`-N6vBgwAI5tq3Cnby2LJrR`z-hN|; zCrkow&q_olMi?zkJ$h19$X47mI}w%Gwp;fWSBtj0HJ#=qB9lO;Z>M>}B+zM5A~Fed zIy2iN(=zmyC8Clbg5Q^;td-~B7)x?b;$_cT}Z9kJG9!o97< zT3I4031aOJ#uklMf>4_?mh0IdA(Qy?*BFaQrsOewvrvHMb!eL4R zcQ<_|W4NVhq`xmWPSO6pp%2-nHGd}@rzG(AztCXn5nO`m9Wzcz(07=|rCv_=P2&>h7ESF$5zqQf6?<|(g7)qDu0%?2v7DL6o*b+h#;z*R zloJaXu^U59aZ9S&$S-6`0cn!SI|-^*rhPZw@l>EEug>BYk^8>jc{(G7YaMm>~L2d8AEJuL(>HR1URB1}6f9$~Zig1cP; z;-NwW#B~WN?`uKXguqKdEix>XE-kgxva@VKN(xrdI{HT#BvKs48yXK>kRTHKVC&fT z*;*|aL-39)HF$>=o(>1xBx0JW+NFjqqC#MLh(}v8)N%2xHG~&ZJ2?VRxo34}j{BDlXW72dVe{rwQIEUPz__{ zT#UPR0`|>gu+xTWlaMknL~TnDptq~RqHve;Hg0}Vu5yzRhAwT>y6xzYN{5bg=uC%h zbjYAXucWVMF{ge&+G+Thr+-w6%wWq7QI^X4@GJtjs)sg*e+h)9-GA6t;yl?0<(U@! z2OzH^;Ox{!we_2Hs!*Qg36lMrlUxpp_*e774j|G)x&Gi)FHIIunl4UB)WLMX zmhjDK_{Z)e;+GyT*e)JSLC~3dY6%$ox)N9^M?1k-a|19QNs+*5j;N>vjqTZ1pz*v4 zpkWZ&SX9zrleHCSJi8*H1+dt`#H+trgT~_~~7i&DIJb_c#Tu+7}WV+m;f# zg+X{|y}(@Mq|a7L@pGc=oSW4`~7hSoYN}w96?$IpfccG4c)?ka*rR-F$SF9DztoK zOnd|`#0~DeEo=Hz_gf~s)juNuI4nq=@k6m;hdBm^62Q(gREscw#0A+T~Zp`aY>o`v|1UTEoRCt{Q_l zYeDunCNx@Y&0a4o62sLP2X4FqCfCUd6UQYH6SNBAJ^&sOOMqdCMygT$1P=LsVY@ z@Ym%4*r);g5<+yz0zi26^FmRd>jO#LJp%B}x_bYMkc%`j9 zng@?7H)3H6syEb0-%NCi@qFb4QH3x(lo}dec}>S#CuGZUT6FKtG;F4TaGz9BH(kmI zV#pmEx=P779R<2nQk!~ljFKe0+&q+O8evs+S87TviI!0_to!yOTG0d*1rK&=UkX8_ zFB9pZ+J)xc^u>DSyuL4AB+M-QTswVJiNO?5^VbG#;A(wX9A}cui~b8Ib=-aRpHb&TGa%NaN}|j zzx8r;qt0o=l?KBKB>KDAeyw@CVZlnK0go%U)6t!hmC>aO6i ziKJ>cUei|fpq4q_sZvu>(^QCGQT&>)iP2PddRkQ@t!kB&s#?>U_^K+L+F5I=HHevJ zpNv`Yx@-?4T8wy2Tei?~n#OlB0zba0Ht%I(8l@Ia?a^wZRG^*OL#t}cWEv&w&Z*?o zP`pzW+~SscXrG#zro$H%O+|Q|s?FM86TaH$|4vtYN)x0h? z6s&kn6X{_ZjCiNUw9u-hxRj<8Ht|kX;d@0rje6B-0#!{<(@(3~(y6ab{txPDq^b!t zH9gIsmYSNL1`pH!uk|!6K~M9)T2;+5iHaX@tYoSx)>xYNs=E2Lq9TC*GpedoHGx-6 zRUNH0O;A-|lrx+Eh^lIqg<3e(R8_1gwdT}kQ~!gis#G9-hRE2|tRtui*dhV?wEEBB!S5G2xP=r>YsRNr^1QDhkFbPi#W2jUM>L z%_=0oT?_zi&0xF~>mc;tB3c8n8A6laKH;^OBRn32-@%KT|6PeUT#hhaSY`7F9@qVMDHmRjGCf)J z-%Bq=co`tBn@tepJ7wc7m!mrtSWTY^wAQ?bS4`lIPo0`kF1ZY4GDT$Qr3B6d#6PDs zBfH}=Wb=Xajgm`{JqiGR)eJ#4+1Ozh1>7Dwl+j^79q^dK(@7W}4l^y_%#1h9LxX-i zKti-=3l$G{i02##>mX03&A^8BYO_!77dC9NY*S;Z<>p?)dQENeB5*@HY(R86VbFM3kkx=Z-srP=py{C}3H}4T>FD~!iumfz^vXfI>C3|+mCX zz}GLcY)R{x-N-G!JnJxllMTDX+R&IV!9e=jBh)?1eePHj`hnDn4dp-867JF_Y*jM# zws>O{X~P(c*Jz8=qp0fT^3vkeg~r=Zn-F)3fO~TrwrWD<4{5_IVl8f4igr(ELqouX zq4lJAqKNJ|XeA7rFUjCl{VEJY3BxenD6%F=qmWx#*f92SPP~U-kjA5_GIXQ~2kAJ} zHq<7JkHc1N2wKFtg$)z4t|8VGhCLISFu~aA)p8St$yZpF(@d)&jMBU+$4Mqz7}QN= z6lubEw^-sacY0twD#2m#`dAxk6VA{!{Qo2l4R2v`G9s3&9$(6wTMwDg)2-P=Z31m? z++89;V=JU=<)av)}7VNxkvYo9tXT@QS~Vl z9WSD9zGrU>`(+y-z+9aMm}4ysH$(x|P*trDGUwj5Ei5Kspih{9INuMbv=&fOCbAVl zHD&{-V+)xKkm#W}p983_Euf6#=!kjN-FS81z1>;|LKh4}tpZT@O8^1pl|6vTXlVn@ zPT1h5?EuuXg?17~+u+U|0A;ogBuwylTLATLMI?$2Qm(0K0HCs3fugvO!v@?t(@HG# zF>WS1v=R#g4G>^ji-nvkhy@9w?a*2*NSQ7Z3kK+tv0x;>R4f>vOT~f*bctBdK$nUI z38QV$N-P+lOT~f#x@0V9nJhq2yCCkycJ5P;5%a8x%(>5dOiMMN@{w)?kZ9(T>Sq9G zfgFD&-L?*yh2AZf(J(z{(VSxQ@b-)FfZ7%{RhOYSi>Lp3CUYL_VcB|hESfJ{!3QU| zi1y8zv}rMMs}r@D31CZfD4P}&w=R7T74nhIE$HHffHCbPceVj)%O#)?7-x$Cv$JI* z5!X;YlLDaKW--A0qZHVtUQXo)dX>RrCaBZ6~wHMDH0MJrB##melvh=T3z*x;hmT5vQ)HHVzdUX0~0W}?XR|VM$ zp7j+pg=d5DbTEz>iTR#Z2TwAK(7i1cph=elwJrpb?pOv$EW60@*GA{=Cn{}q zuIb`rWZUWN`Q^y9mpN+b{xJyo)OeQY-eu=Y_5jRj%&pEIY3a&$AXJhP&y@c8&lxj-jA&O|Z!L@^_0}SZO_bhRELT6% ziPT$5@TOrq#kQ=*7>aM!qa4xyEwhbM@pOmy`h%|ZF@77=8c{|kBW>Q6T_*X^Z%R!0x(IxAxE zzZarV53LsaVjh=3M39)c$zO`;Ng;2t7gE%dJEfFb4NNwSln4u+C53Deqf$sc{)rdT zUu&s)1Sxoy6w(tQ=9vx%04ZyOxR19Bb8F4&v=tLHLW=qWC{9b*DWKK(=0=oeEJ54* z-WZ(qj4YLO@k;C8!^ThRnHMY+w?K`k%6e!P0IEFcJOE2fiIN^40)WGETtUK$msvz^ zC^tP@E!O6uomu3@JZ(gO3>ynlVdxRLQNF<;PbiEfx-;8Yjh!f>3VS zs}{0rA|AJP^?0O9vbPP= z82&i2Qjgn)2n5Lkx7ZMm+rt{87H=4pGefniM?7wyEjgiP!ckGPe(Ouf= zF5M9>#($q`X{)=`>sv_k3}LIgj(c1)CD*%m&A1fXyZ6Mf#jKrde2>voN#9wRS&PXv@rqu(tp9o98(6-PzNL`{DHQXp5Y(lRd*_5fR{w8SIf(fF{Fo{9ssAf0?s*d)INLr(p%#_shTfh+ z^j>SJu5l1=NHwJKvbg3d-M-h9`ki2Nm42J=X+$q~jxi;v^k&c?iZjBDf zpKbCq%F={MCeo+r%S8s4-uCS&B*OXWUZ&Ki{=>EBq*LoXg-qkCxz;cC^of`zgM37` z_+^%%Ytesk5!zDFQQ_0=O`)IO?kx(9I=pU|r;kRp1Y1(*r=1P5v#NzYkK3lyM=!M& zq{CX~Czg2%xzZS_xd~iw2I7BP%45?1?6;r5a)(o6SsgH<#((*IVv)=SlD@yieqyo8 zNO1HU{x*1Ks!(TFNhpldcOFK(dS)h*5b7!lC@8Lox?*9aE!YrOR^Sr_ zEUQ?EEAGmto7JQKfA2Z>-kG?5&;NP2VeaW~J@0wXd(Mq_yu6mV{!^c9?I+-__)i0} zwH@!z#?OZMDQHmB@$wX=UF$@JzHNEvG|0e-livkJvL5rEvG~KDCR<) z19XAuFj@NAQ1)0hWp@|Z^=fF_|EO(Gm6`9Pv&SY%9Ee!12nusw&v52m~>m}`wpJv$iwDp9&Y%ATB4TR8t zw55&H-GDSnn(!oRTBrcka3makIQLP9@D_q2HRq8Yc$DQ=o7Yd?cagX+iZM5w+0RQQ56wEZGzJcS! zCZ=6pD^!K%e0e0(-mZ&gia}w`xw`{i8vr2vU2`vH+Fv(C6X0;yt_~*{LEm2%;$^g< zqO%S9D#EMrrzOaoWk8~A*6}7889s@r$TrXX+2HdKXf39OpKFG^d4{&7S;BH7{$hL5 z{gQQ@p&cl(K%&|OB3xYvcnE)ACE#Vb(W}G-@RvxJ+BRamqNnTyYs8DTT_FlUM4M<%?>zQNZ5ip4KuYBkd?iij zVqA0FNK#DOt`~Fm=1;42(Zz+Cp}AW2+w z3C~1`F^@4ZX5(WLl6zCBo)ipEU%i9usNR#g54L2UDJFB*)vFRXM2gennCHJD%wYRl zs(z|r3{ALxQgACD24TRF-CQ(8ye?D%h6#VjpRDXnQ$DTotE2iJ`>Da~(h}1vAwR$> zXZC+|W0#gr5Zj!t`s~tg{G*aB6GU(FE?Z2TryH|Ni(S)@tn6&V z-byxJC{pV!_y~nCJD%#x-ok8RsN6J%cNu%DpR^KMq9ue`+{j|@jxpfK)jxEL!GhZy zU-o71P9_rw#>%^SLYgitW$!N5(VuJ66}^P*%l_p2H)nnH)JQI~eMBR^vv+JgYwi<|o;!4om1K9OUV%fg9v=}lk zS+<9K(Y8zDthMO-z12dAI??reThO&=+qsI}03$0jzDF(WMh~Ik&StV3{dE0?&Tkld zo7u8&V|ut~V>bq(@*&bpNBXlHgFI6(_OIu$8-r0BO@7~r-M~bH5~M1D)Eh$$EEyjU zmZ}nStio;#SEl3Rh0)K_(uRuT<5uhjPyuKUEn+wNxTe*mxuYCk=t#J&vKxKP1d`1* zTFTuP?5lbIC2QE1xA^Cag|d)i%q`z9G2k$vQh+|)^!njF(9IrNK zUlrC7KO=qER~zNef8ysB0cFaEdF-w=$}CF+C1~!@seTt2rk}w4-6lG+|6IiGw$!(k zfS0?S47^yQ%m&~eNn@3(J+&ZU;Dm&~cVTyXYlph)`b%ml=r1`Xzt)OXE+=02PG-!D zM;2LOhIqCGg4$`$|5?j+W?>k>BA|PHEZdhjK|uH918kpDx3b>b%=XpwOcZgi*nCZ8 z`|2AIW_K_EEEi2AeA1ikYfc?Uc@Uo-F?i5!bl=|bd=?8iL|+MiZp1=yWFw$wp;bF* z&cmZvm;?c+`e+CXC+n!96-rpgTV3!#xT!)Pusx)Jq=G{{HCPx1A)(0ew}mX+T>t%u zlZ8_tdXCQrv2f}Hk^lK%7H%Pbp0%@Z8vP{Rys(OeTk15>hiyeJIF*H`8f~@`NF!|t_)>x$SUA_nvbNR{noe0?WU}xy zQ%y=z3RME-+LVQ-n`B5e$Z#IA@%nfcZbK%&BuUjzEm#;PxtNqHqQn@6qCX_F@Z2c5 zbbBAn3ijxj@X9DwntDMuOHmrSptMEw9c4Npymu_q4lFcBl4p!WHqse|x@gWM3Z{XT5bZ^0kb z6k+;geZ!le+XcY$C-4p=T!&SBZ&8dPp8TfCm?>1dubS{iCzM$nTPE97pn8#0u>S5X z(TWHCM!!<_n^40p7`6A75>B;d0vA*+7VT7!%GpqE6_u0Uw$^cfND}R{!N}brT9KA5 zW#?ciCFT2J6+l}k+pte{Jzz*$t@G4L)qhr_Tn#GsM=jb87F@EKuj7%ONZEb$?B4)| zR*tC&2Ns}M(r*S+Pg*qzdukxFA!Xi)Z6k_Xg@yt)=;nC4JNrIYS1NxU!@i$ptlYvy z?EC2;DV5ZmXVcjCGlcrJfu8S7WZ(Z+fFM45VtGB?Fi9Zmu#tYqGi{{qj^jPq<0DDl z%N*?SEc$V4F?)OzeqbM^AA5YXLd!(*Pdq+GOxq7OXOE9Hq|hc8wOB2Z6rSD09v^4Q zwUMRpV+2)?1Y9A12sU5rni;qeBGl6Xop3UK0+E~`tNNueJBhhoumIMN?b*p%7>ImL z(L!15q_NLM3({(V#B~HSA*z*p8K2Z)CmWJ+5UIgVrpk}EVlWh1ITYReIF~&?S1!-Q z$E$`p;23$S4xs@9dMT06kaB$?d&vl3%(mj{eq#;fKoMsh0RXOs@-|^F<(SY9H^iG5 zh{$t&9(&19Y&7QBz+Da70{Z7&@x>MD^UGT7rQF}Fazx-ReG^0u!D7^MH^N6jpmk2~ zU@uK4{N@Z3oy*l_ycl1G=DgdMT`U6c2#%&Ofx+zJ2IC$0$iyOd1=EgU+e{R3oPb~H zseHL&^QGYT5}oY1E-8tmn01oSitZ_Mo7O598n9vYY(#KrPc1VQw2_TbUso zG1rBScd5q`fjQN25^LM1R_g+g@^up43JmtM8bS?SFACqnTKB0n(!!|X&HSbQQ_?-#_^0)YLvQxA%`Y{g46Q|to6@Cx?{dL%vi7#s)3&ENtF z8cl;VAkF!C3*@GnLaGIm@!n1cz>MR?W`^aX9YRbA_f#=B00n!&jxQFkU#!FH187Ik zCgF51yxOC4mF!mAenB8Sq3S0rZI3(t&wSqmWXJz^_At>~LOIZQT&O$QuC8*^2_$gJ z2*YNBEbt^x zVsC9k8u-F_Kb2ipq-FE@ICdE>8<7kn@G_S8;y0ApWioyUU+`aE22n63tOG8$(QRq8 z(M1$?obAFc_oh_1*B7zN!q|5Fp(DGTDV(0+_3Scs2B_nb8tgLMMJRc$HoKgUM6lt5 zj9p$rfI@@eXMq?PS+SQl861|Y#agkBXM3@?ZG@w*rm(kb(T~5(U~ku>AFsAyZwrs^ zyKULqttsW{4eaefvZqIr+1rCD?X`aF?IB{sPsOvh)5Wh(kU3QRIyZ>DJ&b;7PFGX* zHoQHlLefWpK%?Oh>&QMdqYiCywu71`3S#-XkbStyR8>YMajaZLf4Ih+A;yZPJ{o9< z9DR^Th-a$V)JMh#$g|CUs3|eme3WjsG+wmyaZLkVx=ld>X*Tt7t^evL-Dv9LI%ZRd zk$i6wyVIz;f`oUVHRR}GgV+^IU^}}5@e>0Q3zj>uO3@^-3|1_6V3kq_34g7@?ljc} z0?t}MZv+*HH6`;(AN-mJFze3livMKW*BRTu;6r%1J~VE{knsFxxhp@&hbed zhvp^9zg%QOHyJ*I0qir=2Zqn5M>P|srO$Q{cRV_n-BIuZ?dQ;}ey_G{K=yU7u8C%| zFX8Y*{d-0TeYO&{y;aA>)$E^5(4gi#-;ezh7jq_x#;3yZD;X)_FWePO-hUol(k{Xeb?3K$?0Y6JNU$lW!{NA z_FeZn;&;NnLiSxxVyI*8_F(8ou>_ZMd4|YGzqoY}cdBT`1?E5vn#i>bv{Wz+`D?-X zvV9u4)(8Uyv!j6-w3csz3s1)?mQ<0b-w`>^7`{z@UL86^&#vNhL2CAIWiAgRJlfOP z=_R>J`D1+)oKd}?bV$iIjnLSqTcO-c6NFg?u9ZU&$kzrSbCxh?WJatxGt2SLG-S7s z@Fd)wgqJibdq?<n)YN_BSvpT$%!egb&xESW7AnxmvF#6UJpgO>X@r$+$HB4o-NBnAnIFu?Ha~f7NjiYAqOf& zy}73MSqsd7`2c0ULet=4-`f-4&%0{N)x`qeUmBlmylC6Q)Y3^T*!K4NFMQJuO|mIZ zvHeq}f5M{M+vj({`h1oF)-LRM>@_^3)xg38JO$vqupD$JOB<7_cN+?mBNlC6YmeUp zf2Zv~Flzfvb;Y}c)Iq<6tRe=oG-~MONhmfr2C=54-^MIz0)|Z^8VPaw-l0+?!Rd1x zB=6c(L*D;50&lrO6$nYl*Xq8)nC5C8;~*iCfm*(`9qB2Cz+$JY5#Cev(Ezc=bT9QF z`kLnuP0f*t1_=wa6iRW|H<{d+KBZWq$RaUdceWTX){qZERk_8^;~c@g#P(Pw8Ir%0 zEcYCym0)3(E={SFx1c~v#+zMCHRMRouKE?4u_W7^5X^jIDexE!+7i?3*MeK{nuAD) z^NKPA!3Cr6Iz_%>Qon%ZcnPp68h0GRE@KIns`Bj^s9J)>s(gEo-liLG&-BCFEW8!t zpo8O0?0lEx8E+rJNG_QzOVY%AnQG?irXp~(8rsSWk3)c5!K57!W4;luI~0p?$^x5* zC58l;JP&50(hk}MFR=&@7F>DQi7LT&p;IXfjTaCBT+i!~fk?oOoBM&`Bf})6p+ONx zZf*@>Q3C*QqF@e+{FgQ~*4vQabYkFrz}Ark#=uC1=$`19QpB;IE-19#BU}-Vs;a(t z+aMjLkMzQC;XDO9ev8%Fkxe2)OL-g?{E;m>FN%-IDzxF53YwwY10dm%twt{0y)AN! zW$wePkh{$^Mof1Za>b^_TCpXs{o9N)UMuR;qDEhh{2d0tzvcS;5OwH$W;_z$vZW3j z-_OHK9o>KNIX2Dyy{e8}1r$2MZJF!kt%fk(#a8~y+l;r{IF|SFcH`~6hIrdyyzSo1 zT&EP{t*iiV7UN9|)x)b*z5-Flaa`mSlanbn8=ZJlO{sM?GQ>lS^<$hnIb}DP^g)~+ zI^{6lN*=&lobk4pxn5ZT{YKz^+YB$5TtyS`_PI{ubP6bW04J4B*A|5wPap#1G_ZhV zS4aGWp@N?~1aQUIugm1Hbeo8VGEwEc(w_pdt473*HS)$5qNx+IWVJP2&b0vZ*+QmwK1v~|AerocTukC zkT8doDr{#QE1`h4n^v*_s(qB|Vz5N>Q|mMOHay zdLXQtMaOG#w3BnG(Hi*f0FCP0cvA!5=4GiM#IWRoL^~&^BvO$YlU2^wH2_gGrdN^3 z7sEPVFWL!0$f%R^LrtV=w%AVOJbhk4h2Nw;Ctf43*=a)n~K};Og z_>18S%(iLv|L){3(S@UiqYk1*%()eZQ6mPyf6>2aNw6czjjCKQ&J^QxM4VowI6ABg zjibXtD4M8|6-96jqZ6v3Bltq}^RF7tMu;kFq6m)HtHdDqLi99+R#Vov*ffe@88J|e zxh^&n!;%QbaSH0>qPZ`s>2V9y5PVVpVk^O(pw}=N+@{GrsmqrnsXmk>T;8*4O z2*JTga$K*OH5AQ=2Z%xNYe~^gaLj`sd98mG!OqxDaEzoR@x#dszGRHeI=IC(|Cz);(ukn4&HRFI-}ezFdEaM&TM7_XWeXY#MqkkchBr@GAb?#$oX zL>`3gTE6Zo7n0Pbmhv~8BYZdSzY1ZX?f<*IgLauqXNoGpy zXwJXRLmnch_<7YqGwqFxiRA=Cf@?XDvsTVw#$@_Btb=z7qh3>e$~1!lH4l+ROwM#J zR-c;@7ib#$T0_T!!AXCTV#6Wr8i1zN+WFM@M0-;Z=|eou_JzG8H2S(-7dw{-ap15ca+H+ z(Oek-cNnXId&Z?p*)cwwbTNZ#h$5QJG|o&AVjzH@4z4Y3GgX}_um#wTIfb}gZmG6K zxkmO(#8Pof&ANY%N6u8jN9xHAJ&pNuVn($w=S zEXZ$YLSBtKNH9LdvhYV^R?Br|3+@7yB`=B%MeWCA9k1qAMeN!|=d-G+c4!IF2J}uX zyFm0Gn;I06D6ghGUTMp;pE@E?PqdKmEEb?YHYUR@YNK`#YhDUjA#9{No}?h*jxq`X z>p7R!Nv`Ko{+W-L&U$CLRz3b1Xi&iOj@MvYYh7e;12xn_OTkWs>+l06USc>Rm8wuF zaiYxiEcuu8Nvb7HLasl>%<_nMI@c0O#)S*;^lUX0Hh5YrDr083)*|I(SJ9;GrH$r% z2M3m4?*w5|9S5NpUhgc_d)1Es_H~S!qS~Gtj^A(_5;Ir*1bhDVZU$zR=>8Yj6+2qc zbgy?eST%QjbU3&#U={Lun5?SnE+`9~-*ETMd91Nso@X>ZBu43{QtKBmU%Wiu$hJjW zkPO>S0C;<;#2Jn@VQqJNnbBdc%k(uX0QPO17r(un7C*Egy1fFw2=5&nw`wuhXQMph zD9^*o7`ZS;OsDI!u@n|lEGl-S+MP7#-_hw8>-3|4LX%@!&1J4H z;|+S}x^fJ(P(BcRaS8GVNxctqABz7=BdQ`-aZQUY4IO%N8}ipfsbcJJ;wbr7?PN{I zYnW-j%F|zn`d`hHs7MI+MwKoXax6H`P}d^om)8N=SIx~dnRo&i{%Q`%f!0xUON%Xp z1?g|*s?65_<-|fKQO~L?B8jc#geXD*KeMPD$l_$!WMm#z!okPnW4xS%#UI%RgaQb2xmN?_mkE+e{Y{I~ z9u#LQTOeX}Bgt_;Jk{vq&kgXFYwVP!Xu%}BM`M_@UdDJO63*2^_6&h&2X;Z-56_gp z>A3g9vm|op!1u%Ow+RF|AI359hi988ezOH$=jg9ApdR9eM)%Qv2F9?x?>^e!kY(`& zI!ShY>+Z=Z$RA*+21Sz*3Q#W8pW|*{-`IcdOFWwsGFluZ?JB2QlvD_+LFlW9^nM7crFSI zAqLCA$zsFk)V9aVsr7q^iE&*?{~gYg9cv-r=gLsYL3^C|Jxu%-r_$Y5%$2SXkLLYUgri9%_}grMbU(P)N6)NCf!U@!%z}5B81`-e}plQmTz=T*KGi9 zGVA2X|EeH+m7WcAX_Y?mV$5eCqem+ceQ7Blw!_PsD2TEAZ3BoPvqxL|TaGr!EM=cG zBnax!bOr9XunsR+gVI22St|trbusrYNN=(NhpGu!5ph0*m_7})iC6*bEUh8738emTk7Ro zI50&6k(1*sgnsDUB4)>18H&6kSIpeEG9^Z7Hoi52m>Hxab$%=Al+>{ltFCX2(#43h z+^y*8p{SPAyYzHI{umn1Ay8Ck^jl*E;XqPS>9-J7L%oW*`qp?k8dAu&vSo(UjkhNK zmXl1~2;NN>Er^NvR*u04=WNVwRfv!Q=;O?4qx&!lWzyq5u| zVy+3&1uTxM*j?cR<=W-=4*bqAyD`_%vgDC01MTt=7m#4qd_%EiGjVJ6+v z-Q}9IK6Bq26N3t!Nn8VvK2~Sn?{n}n&geAPRb=qUd4bL|n0)Sy$4;tvMR0Q*-m{J3 z`jJf`7l%l0-ouP=Z-Rl0Y`OzT&MCSX1b>bDp6NDG3XN>p*%!ED=N@#qE;$FA;B~Sw zp^!-4n-Xo>oPQIkvg4ny@$Tj7K>aV~u6xrBq(`Y)Ohs25Dn#xKoqw*{uyG>^3PwuK z?qTvh%3>e>q6LL#Mhi#FA`;synihOZuP0J81Q#l%jp?!zZFcP#7)+zVoDk)k{m_~+z z73E&+fnp+N|Bl{*==aT;ZaG;aEJU{FS&o7W#H=cNqH^PtqvfPRu1rQTae8yDCOZ=C zm~4$-r28iH-fyttPf!<-N%$5XHY@5vA=_hS4+j1>+rt+)u)bgx;gz(eFo_AU|g z8ux}~+k6xy`Y|F%#9RlF4^Sg12G8V~TOUFJ?uNZ`uO*qPq`fk678S08U*K~Qxu~K^Gno*Kg&Dh$P<}=lpBmg-it_dJO4k3a?A`XFtHVIz-fT zyi)_&O6|;Q$$RP(A?Bz4x$z|G52NZM4@@==q$Vr1OL+! zUz9_HE)?G75qesxOiX#aI}#25D_m%XyQNsqe|j16&7I0zZKi=D%9oUzqB*a&XYNbQ zWz#>S``58(yOg4L1-5aVh3>i3LK-4ste4VsWhzz}ms&pxs(!)PFSU*8VRKzB(T$IFpx4W^#jhizp*|u5BlD5n#7Lu3CvnT#WqsT~s|nv@ z;e1&HtmSA@Y02IDpzI(QpHt4e&TNdfEF&D6r_h+RiM$o8O(y+Ojb)ZhT$rf zM%+KKS8>YlCr3|Z$p}oxyBqOc3q-2Q2&zB8QChCwO6PbUCR2GsL#=48rqOF)>PI_~ z7YBVkpBpSI_bW)z>lgUEvE0nF8YfuN^}Ne5mj=msm!@|8_N-IeqL&7lTd~HSK{w;f zR+W+Za8iw$w>p@aHp6WMfW>t-j|`5&VcLt7M;7m&3e1W!oHGWFs$XL6bCU6$6ec2D z{g*R(S&-Z-m4Fa|UMPsd5fgtRD{8K}W|xX?z0lUV%-tVzJ5_W=h0H^w5I-68Gws6> zSWc~Y?9Ub)$i<5zJe3ng>8BRT;2@#+S-iviIY$2#dy$cO1&DT177Ui^mZy)hkY_p>6LdeR8Ym_+g^z#g;h95@O{hs9vZp zdP!9id`(pEDpz{YDl!vx^;5m8NxI`j*A(*f5yd=#KB$}U&QjG|V3tOgwH*J`TlFKp zOynaWfmGHrBP2N31uSIGOf;5W6d-)sf={fUw!FAheC?s!7<$t0RMSO~Y8lnElHpWO zE?m)D{oko(m|8KtebZL-MxCVyeG}){*-H&f#3%uk$uU@!FSYOKHUa)joZhkT=}wyV z<39F1J?KYpzI{(m`tj{d`<`C(!;@>@^8kKeAmEm>HSJnmQ-RqnHI(}l1x=lb$=HG8 zGcD{cL>16<_QDvu3rle5WzG3rk=+Fky!c)9QaXsZspyAiEne3Zj{h``O*Nx>5XoY@FR~l|{a6XLmC_c~2X=+ou24 z0uH;|jxxn)r*fm+jR*xaNiez1?V)T(EKDNEKaS8-QeaS9D`{*mS#PLNC0;L|N=2`ll-LSR$9}qB! zt$)M@5n$e7#^@znPyofEqHmPlJ3L3S3ZzfWK?&y)?cNN1T=1#X(#Pc^c2E=UjJD&Z zcZk_WnPHrZ86mR4Pa;;xUY2Gsb9U`m+#~+oUDsaLA&kIz-1n1Yu~Izy%>6&pojHqW z2j!pC&5G+??PVQ<4aH_s&U&Wa1Ozun*vmTU3)YI{ndsRn(o06$%es(;5O2>9wwHA^q8*7M#Uw0s949*3_t1?H zaCEk`@98go-Ro)JBZA}|-{sr)2+xRP{~Y_CL6nOFQgQY@gJX$ka7a@`+{4=-zT<`O zyA%s~OmtkYX+Jnps8_9|wf$fgR1^&fwDcs}4@RRN5b9*P(M)h+qNqx$$o^DoNk@!U zL@wEncQB}Lt*ev5)I#F6*b{nOoX~kEh8u(DFS9K z<*@}+EnSQrwbFj4R_txtdS|arG&`fd+Qigq1tbW){NJ4&Xez#^r5{9uVOGO&W4N7S zfQv~)j!(9i&UoOp*LDAH7&s@ z%;iIDf^StLB~GbTUfk(ie)$TeR^odI+woz^?OUv9n|L@mH4&A1yBM!$`Fc6~%MNxS ziE1QxiUY;UxqL0OT**k%vRw6ftC#|bN}^uif3_-tByEPPEyr^Uru0&TJUl7e#tV)`m|9tJ@ zq(oPiyCVXKELR4z1AWcd^A|~Yd57^OpAFu z+`ia~D%;(QxrQTDCeW!$rfBiQIN;pva2KG(Db{F<>6DKapX{gP$27QxhdU{D)JSx1 z;aZ+G+F-geN;LT9Od^uIvB!oM7eyN^Rz_hpi54$4Iv;J&qjDwOwHDP#^VH$ms%V47 z$~aU2SvZ`PcdNzUB`(Az1hWyRuhY!#ys4k5!LAK!Cj;Xb$Mk9JJ5(7 zXr6=`hr%scA%-^N#)^Q3f1W4X~}VX$wZQ@fFbPK1jca>^59!CuDF;X z*r-9kFvKHQZU)1Xb6B4GMrG8o65?MX+2jbj>&qkJ@YYe6il zd4}?Ee_}QFG|w3BY7x_5oHAWBcqS2yjG?=L5i3YFF0js&!d6uBT0o7Oy`Djq{&WU9;&r%*vCsuQB^{nHr;ni4eu4wV~ zkzh5C>e-4G$5vxC75wM zY~I#9Jc^jj)5F`9!)YJOIxEE(U+x4(@C=}jc)51OG-y+h52)XV(u=Z=w;vCWCD!o_ z_YUQ5J2m+54)%zhwA32WVAU^czzLqQ-qGA$J0?F)DHQp>9MIJ>#XFh18^mNMDjP)h z6X~FJx0n=g*L zhen52jC{!*Ukn|V)XrPWm&o0tV#a3`4Pb!RCl@D8@Q(4#v@+Z!u6diY=lZed)-?ct zuGyaAVlhjW%#s9uE|WbsQ}NB>;aLjt)LQo30`}Z$i!aY&K@u2u9eZvudv2NHn+?XD zO^EkS_ARnlJc{MqLUv{(J2NG@SaHo&j)<0zO}{{j^~_jyW}@O-%*(_1N;}la@h#yV z3yt?oE;}(P##?aI!MNCv%JbM^7w@9GiS_3R*aHeK

    g&R_JCe#Haj~{@fGm!LXr~iEZ1z`AC3jwz8wWFrqY9*^-2Ob zzmAXdx)uPqgSm-d+hu+m_cVwBJyGWVX;LbfcC{au z@_CYNQP@)z*J26!?%L^MPM3uB*W}?ft(0Jrx4>VEdz#pyuu~NQ`tEwf=Y$LWYEX_EzxG@7|<2)Qh^Tpz5#JU=jyPx;^A%3xf6UgKkjAl zbcuoa8y?<4irQ!Qx8t5}QQ$-!0Jn2Hl$o!lA74@M^ojuvT(Rzm5ZJ0; zMkI!#BJ1zUORaI~s8q|}je8!5fsX13=saX%`;z>)_}|k%20C0O>RP8m_vh?XVO8qT z_2#82P4zyfzYl5+h(Z@zsp{w1dMycFUta1Ewd(r&aoo!uI!^OB!T~GmSiQJtB3N^npsM{Bww1F z3c3OY+$3Q?SQx9!{F8ZUJyK@AcK#gh$&P|9stDNk=Rqy`I{T-i&72s}Nylu`L9a}# zrp#vW(uSnWd|muAQEN&R^w>%VJ5*C+N5}J+rE-v#kR7w^l=) z`3CrxqtUs)QD<9q;9s9zO`WaarKzNud;|S7xy`Gt&WuVpm|abut>UF=qShe)YVMgI z)n~AO;QND#`kzju(0qga1>CbB3O^WQD>250L!i)n!~HlS1jE_ndT@qYmEiB4Tuq^E z;H7Oyq4_fW8@Xp`4C$$gfd15IQca`$+tB8!Skl{2LWlm7glYz6 zNPiHO3|ZORK8EcBxQ48>qhR~70V_VE9t$1n0J>*J5Ofm*arja?jr4pUc78x20T(Am z`ra7QhwB5Kxi{Hz0m144oDdESCHv0ZOju}7G$Y>H5a`OiP050D1#sXhFpW$+Dghhp zFe6p9BhZJ#1V1M_%!X>Vmo;(LX7Z-}Qc z3(Q9`?DZ!3b4t>3)p6swCCO}0=6K+`hx=io2N*^ zrj;xp;AWL{;NGnQxZq$E89~5ND**0a83f$?k{;a0V!Ht?JyQ&Q`f+^h ziYTRb16ooEpzwwMprwC#Nq_FES*@2uQGzJ76toNs3F0d#zFeU$Tsz@#s<0=gD!3F! zX!PfDq`q+N1OX~6O0W(u9jZ{hp}{2Xn{KmQM!-k~P8w7+N~CJ##fh->n*&dQ%wT=) z%Y(}B&p~!3o{>rEC<12orxTh2BZ3XMZ?@${9KEQ(vBHXpQ1Zw}fs2@m+Xco1n{eNJ zT1>bqw&2-DUIf{|6ksTj9fXs?x7hMlH|E-fFP82WD>WKKy>s~&CP`D@wF^VvjiIOV z(vb>Pn;Pt5vHBp+zBbIY2m7Ua8xz}5z53_!y$khvdllrUN)Vq2DjlV-5?n@NH(oj# zZi{w-++cUB73O%LD@wP-(~FF8F-XnTPFmuwy&aIzMG5xcrDMqhgK)XMRKBMr*b|aJ zR$ya#5Qc?s4QVce5I{$LQW(ISc?1GlPA(Z(S@QM78qbI+zpuBWRFw zf&;m4Lp4g!AnT)D?^tNKKz?u##{wXR5`+-SKfe;37FZY@#(mqWQ6f&}Ut0q{3oH$e zz%9U*YLrMsf%4+I=kl*@knRtY5+ix(6osl41gBW6{L zIYS84$&<@VrzteXHNk0CtG`AyO6Y^s+}U!bH7PNjm(Iv1LdTE-llBZS$b`VUAbfoO znz581bTpEb_?K1cdy^D-yfjbLTOXXm{Ry#@5Y>cCXs((x$W6id97}^(nSjiC8v)Zk zT44t^2N!U^GnNw2z9RoGLrLy-1ebDuL$Sg(gd$bu@6CnOm9QY@Tz?a5EGg1aK*)p^ zn4*YeUI^xxZ~JB}6qL6AuoeA=VAWbALx$HA-NJO^6WW3NNV_s>%JG;$tZRbWr|Z z29n&BG!7+lfA?6KD5iWA__7EZzNA?QAy8N>#A+u9T@xV_k#*#DfRA6-PNDj|bPf4I zOIn3mf)E3%(Sd{&^&k_THF`ar5TRBe#5!_VMClM}4c$;E#@IU4280+CO9x|+=SNC~ zV$h*2DizfNcf`=49mgpuAS2j6Jd_8rt(I2{@$p-neY=#1InSF@{;W3mT>h2%#jwkQ zgTfg+Fv;>HVstOz+}fq3jnGw&QhtckSJjU;D5Bty@CY8rv3xrTAKIOPXQ5D*IVkX1 zwkIhuI5eEa5kK}ECY877sVfw7j%-77^@a!qhlfY=z%}yqC z+~8b(X=ABH^&BPA&yf&;N!dsnexwGKCyINHAU^8IOeNBvN9;nw;s}OJvqT1nH0a7g zBh4BaXtAIm@#1Pc$fk82p*|Rd>(EU8E3HtJ6J6TJ2B7EW_bF5(S5D<7th9I>gpr4pywz~ zy&UbWM8;cKq`s~N&BSbrHPQh1C5zH?v;&?8lnA~v9cd^K3nUte6M3W&rmnPb>&PT@ z+tG3WF=j{me^ z!V~yq_bNOEiss;vR=5h;xlyZOQCG%W-kE@}y^h7_Tbp5Q@mFE|55d^bIEJVuZBeABHMcNuv*n<;2dl4X13thG|s9+=}@JM?=JAycQFTPT`H`$`q=8<-` zL(cR~S{=U1a?^rT1RUo1(P=(>$Ozf548k1PiWuvLKCY0F3@XJRYF99gpHibZFHyyo;h?df|>-l&_*&%(S{Q` z(`h_VM~5Ixhh`&u0WD(j19+j2jKoYH87APZrH!yUG)p~8)h|n!=P+VR53hG9zUBtN zx(pvila~&d@~|7k7CMuT$_1P*xrpNeff} zY$T2W7P7?f05BrmJ%`sS+E{cmO5h6+8;Rq1WHfH=P_x@ve}Y_(9~8VJ>A zp*G|gXped5>r6#BN<)oV2)q3@9$bypS(+=s6USSt&(&qPXgxHB6c&~KBpox7tD(oq zyQ;pqEQ~Ktj3Ea?2jrFX$K>m3>S@G#+0+|NS!p*`IxLZlcUKGS7hC(ORX;*(SFkb% zQe7lJkwO;Pj9p^zN3c*yC+Uf7V3CcArv(qLC#R(=&68%a_K#PqeqPARYO%7m*p-T- zx@GlPS+WA(wY8^gBxv!aE(tpPe-1v9^1ys*Rk@==oHhstBa>Q z&r~1j%l6G-`{rWl-cBihwpfKG<_lrOxVn0J@DVB&zWWxleM`v)(+>IebNN5)z>Mzd z=IO~ts$Vo=`!d+R5lL7AP__f}N61E?_QJmO81*$9tC)>{gynYS#Wk?%A3>Pw?diwI zsklC6e*xRSF&UVn+BMJR|23PC*WWXUPqe96^6yV!`&(emP1#uQU(Ce(Znrt-JD9>1)ul~i#_P1sGd&58zpka;n%_i6@E?lC(=c-?B zX8UKb{maNBL9N5=e7O+TnQM$^JfEjlRjp(D2eSQB$Tp*VtPd_vgsI}fnE<{}4Nhkd zPGk=*B#vlTeuS*Q7a9|2OFS$1cJ&W6*s(tB*d#JhoTv@FMrIReOFdY*>`>It(%G?T z?8yZ9lqer~eZLh6T>(3`gFVR<&ng~r&@#id%(L3cG=$*%y9Ilq9(!VY!Xi{dh{0O+M0fVYaK*ERhvIch=ZTT*iEN8!EvGVoW4ULY)v8(4lj-b< zTy_jbXq*^I95zvtArRzzIeS4lagjD_~EpWKXSAyqJkY^@QeFYa}^%sJ>X0tz%CWvZsnH-Z)NWV2t5) z8#_MI>aAgmqa>Ii>)CNTJD#L?<1N-uBcZ{HjHH@qyfNvojqG?0b{wX7EnXf z9dFK#x3hQ?L^UGv7MY}Y;gt$uzJqmu&RVeJZ53}F9%@GBAX$FLd$HsFtlmV9?u0Qo zkR2b&@cnrN8psil*>`+2J3fPXoiuc;ty~+t^+DJK_2@G8bVv5|3gU2Q`7yzwTVOr{ zB~K4wPmfT%4R|Px2ECR&J()e7Z}B$d3Q|ZtZ1*C%za9zj5Sz|>bO5x2 z+v)AawKnRTwb=_}*bC!GJ@kYKglB^(-1WVExYnM;_k}|CLQx`^qNi}zEd}4X8+iL7 zmPv)f^M$SKh3&+4$OT0&PJ;Zn8+$QLYMoX0Q1;?H_TmPTAmn!fL5mBdk^kZf_F|#p z9mGR0X;7uDcMPiZS8)S2d%1|6f`cqk8BVHriLCJQHg*c@jj=q`QB>&eor4M)>etiR zD`VIzi$!@4A?RPNWsO&6uvca)-h3YFe4e`K;hl>bnQGNfgV`$u?6hih@wHvAu#KIz zDBgKI)KygI>79=XBh*uNcDgn@-O4C`tiLROx(Pd-qIehZPpOoBF7QLBC(&8{?LS2{ooPytBFKhq!y?ra1lX!ER?Tw1et z6!uPiNCFiAVz1|rT69nG)#BO`_1hNg?FH=Z4bY3J3JO3}J|?%jdx@{J!=Y_auWVpf zyR)nF8-S=-UD+Uu8>Nfy>QHtyL-BRtp+3^VcQun;&9eBqf^GWHs(Kx}I*MH#YxQ+Q z5ycKxFJxE8v8xl9uRBtNtgUBPC$g(KHeU~I(E&ovQp9kJ*tOd1 znp5=+K#CadMs}?(yVk(&8;F#AOm^;NzCj@NHuZiVcC8h=)~x|}8iRvUf38ScM7Rd} zS{KDPn1@E9Mw)w-ZJzZ#eYBD1ni+?0OS+ zy_w?6;GxmLNTPeSFO$2h>a+FP^$zSh*8e~VTF|r{>R`QZ9KwO()X$f&n|0XD7Sus& zP#a^4Y?6DzH=D4VO%>mG9vVlcj=RW*MV+gLdTA}Y*@oT3u8ORJiRMzCoDgpIWH-|l zAJ%!HY-pqrK=RGr?B*cFH<5=X*bZS>8+?<{O1%1qIqc>Lc5^O`i#o=%@=g;;DNNiq z^A+D@v@!{5l=dlZPGvXq6kiSxO}0)zoZJ@Q4DPC}-fzo3$YLMNAr(fAfSK>po``#g zFCXAJ)rb4CTZ`GPEk-Z!%Rk&tZin1j&u$edzPUU!l?LWfeDhGHuKKvjZY%7z@P<>H zN$2v9&6ibfC$ifO6yJOvnkK4PeAs1l)l)wl#%?!fw|g7CKx94~u2<>8ZueAt3wdaU zsG|CoaaSYt^O@{cO?In`Q3EcDP%p5G$L?FsU5(Z7I`+Pmy>Cm>aoiBfY8@1fr>-B7 zXl>M8v)GMg>;~dx69Kp|Zg%Ct8t~Nf$05AdrtX~1ZWOW`TN|Vr`8#n}dXi_Ne>8W^ zwW{}LvcI=vf1e30K;FE&^%Q7z&usrR?pmonxsH80jeR<&L9xgX?kps&PMNz^0&6L@R$Uv_a>nkg zWOp`_y(elmB$!s5FvGV& zX4TK@0gks$z{%astW>vhJgY=tkjT|ah5;U%cTfPH68C6zZyNiyF8j6%bXpDo>VcWa z35hRY-!^97HdO+{A@NIS=g~Vg07dSeN7K*!`Rx8G)R=}XD=^km<3)}8$XKpmb4&>> zBb@mbl_36SnHs>&)CgbFf*mjyE+zNZ$rZqvZ)wRk?pdks>4q%aryk6rxo|16Zw&y} ze9KChRvOeDWG z*qD3mY7p5Gv=V7f_%n+9Z4#Km-wc~0-WCc0rIq6rznu*+JJb_U`Jp|M{3*fy+}qNs zeuf5icEr;Qh(rNU{^54TfYRS9IE#Dxs7LdewtFa^?SQf#5Yrb5b}OuA{{z81tJT|A zt@>p+)93?Ud!V$e)JVT``ISTE1flI|jEp8qa5fJWO3OmqgWL7@q*}0Rpdbx2@TUit z+Y`OR#Ble{XWIV8phBLc!bwR5Z7;6v-lr(R6{xjAE}6A`cBG|Pf-9{IX=F&@g2a7s zcxos?*vp&rC9}2<-^tk5)DlGe7$stgS&xMJc#@T10S|3~?y_Ur(e~kj@qMGL!8KMZ zS}ew_fxFcA^~W!~2Hd8DR;o!)Uh21+%sfS1j+F%!06v zmf3>q

    +2?fO)YqunD^hY>*kge76TzYX+;-7nOJIImIw|x2z8PBi=Qb0*O=TKh zUA8Agm^p%g4j>;}>IWOvGH_7h z$}6^b^$WZ#tGvvm#leOqJl!#*3$$V8Oqo?zcz?#Z?}L#02OD2>R#}q&c?m%_HsM)H zjM*}9y}UD31cQ~r!R8koxvV&o+tM|I{DsK@jqPChpiZ1Hj!s#kGjlG9)!X~m+7t)F7YVvT7eWM{+4%>TV~+r$F5xHhGHvYGX8BQ}ZmjKUh46JG3^>~6wKWPq;p4wG29 zOtp+pLX%QF=>Q!TYUY~ooyrG(_{~9NE@LAb?eDWwgT`#40Qo*%vaVvZl2hObzL9Gq*dIsb?uxjykM zUR=;YTs+9jJ`Q3z;sS8O>j-=x&W~bY^fsi<+jTF6!tAasEd;(Xeufp%-kCgI`e8d? zCC4oQsAM#Re!pGUgw+QW-jHSc&Ag4oW>6?IHVP23-0{s+M@{H-7P#7bWD;Ot_5XI0 zOBo!|C$@NX;fB!(<@`4!mVT@r=9A^d&VEgJcleP>>_Zb1*1LqmxJp<~`XMh6-WvRG z8n67mO~(2==Uu%3Jyj>)&j)*`$Byff!EqZE|q+uJTKoUB+yaYEf@BI;?0G!(No*Lw~E=N-7@-l>z! zQ|X9AW6gUHWYD5`JqUL5a}+P{)B&oOX@469-y3H0B8^3&4e<)rCSQy@&_cO_wOETs znph}#5yKu2^}fPV{2ln9-f2^-f#4NFirKQVmO23b`d0&FwPmt)ekkW1;)d;kciOd_ zc`W_u`e(75(4{Q|8(wfaiwO|?YAs8&F_CobP}4xLkP?k~8Nq)w;Lo-u(ku{sCkuS| zPCF9`64_ziYfw-EKkK55l1EAkvILby?+fnKv$$e~@lHp*&t5=vLPjULq)F}9sI%V5 zGLhyMw?L$Y;)cAIid#n$X_X7yShSOgv?gxDz0YB3y)&69=_T@ZD69+3Vj^YPa31gb z6I`%Xe`iX|7k-o46I83~ldTFY`#Ei|cP5)i8>L!X#b&a}i?mZpO)-)7#AbxYV8nN@ z40&fp3-0v9kK)*k9>*9wUTm?EvepCQ2`-q}@61eJHlXe~4%%xC+58L>(TA5JnE`Dd zd09$~nI_Va&5wjQ2D`tD(R$Y;z2e@rFKb2ekS(h|XCcPB>BvoQQ@Sh_k&E4R5k`^r zCn&~un=-Z7`Dow=&<3pmyLXL==*vx!&K5aj1tQs&IPa#LNSENBMzds76Y2UUF}=}y z1oQh{L?^!6xfWvpEOq8v>}1=*E%4&j4?L;Q$09zP?j-#%!4sn_S0Vfe)8I3ADIs4n z3xr0Tt%H!#I(0%1E%hHWdxV#}z1!JDG^QrfJu8T&G&6^Ij`DtwsG)bkGVe~VRlIp2 ztX6DUx78xI?7s{4dUwkCC*-tWQ}K0b3t6p)GX8ZMj^cm?(1o} zFE?^UFvMjH^Lp8^zjwiXy|W$WQ%!_1FuO+MHW4hq-<4E5>XyV(m~TvEVcLFvtE*m( z=*N4XM|3hOS|eJEOhg?o5gd0?Y9h0L@bg>s`&A=}AFd_uiLPGdfcf(j!1(>9w7;&1 z8!ltL?a+nccURVJd5ERkz`?2tK_EspaZ$M_w>G;dou}(bbU9%)37Ln@;)@k50>N<%clia_tS^{5eEcS>wIq>rn%n4Tsua zbd{w+^3ppgt-cG_J0Q=6pY+atmneBBDe~f-LuA^a4x$9&y_39z_l|?d>F7R$U5&_4 z6|lp!bL~xJctCrH-y^hh9ZY0omi7+6dG=`61Q@OmyoX?h9CG`kzNoXKLI0sVI^#1M zl~w3oFuAR!Q&v1^hsGgyoWIAz*FfK!;5aq2nymRTc0=Er=)To;`$e1*?>FSYj5U!_ z&SV-5k;!Sm%rVvtcW9j15xI$-m&9zbPEAJ^)I6@dxyK2ni|`f*g&nTWnI9l0%dnAeFMS`WsY9DIz=?Ht-* zB2$8Y3bN!z6PYUgy~X?Ugp|0dkRIu0(h9e=--Kk|OZ@iF*kdVvo2Hjx=R`DW6| z(NQyJSt1U~3%42HoM|wV1FJcMmUdg?$_LH&c-Xk#~56 zwLfG;506B~NQ!N_+CoNju^UnUAzpM}M|6qbX}@FCMpbp#hv67Kjd_X84?eua=0)(f z^lupUad?D@EVLtfc%+Fe`j8!)8Kx2E4HI@fIP>yp`5#_v zBCC`GSF3nIUT$QKl`n^vn8;cpKiT^V3pJTC_td_E_xMDiEvyzcAND@HiOD_F&ROsk z*L8>QF_8_5173EPr`MTxkA0#0@Fo-4L=>jzV)cD7Q>J>q7?Kh?QlF_-Zo&Oo(_LZF zs#5}?Z7S|?_Ds+{0*QB|K?1r*pxBNyOhoq=LxAp)`X-|BACawr;OE>%XWll8`H=>u zP+w3#f&)*5b%^@3#C7&`?{!SIBVdIirdE?mF_*$t5>4?j2djDy-2pJ^OigzV;;tQ+ zPOpz7p2ifWSh5zTDwj-?gN19&(i*lH4VdYRk zrv)-wz;RZeh7=rUKjHL0(%cm4<+_Cpbd;IbP|;~&3NMqz{j5ZMW_tx#ZXCgKmPt z*~Jt#B_VH5M0}3-2lx(-zz=fd@>*2C_# zsVFXNufv194gp2zDpQzAd=@0)v(S4S+iXXMGSxafN-HUBR~(L5a+|Y77t-;_u$?x7XIcVw6;%vQ{Yo5C){e34>q1>a(?h;x1-Q>}u} zqujM+{i|PtMRyPp#8?;V`n#~Z6}8AK%#p!cl1QvOz0;f|^O-I>c-Ad=x(mV2H-#?L zp|B@=vDEtn5W)ebOAvcM>fs8j0k%@*HS~r&qi!L&hrm-|mh?&qeKby$w(op!@2JD# z=Dm}j%m;GefGNB}MPskvk9t5HA}hDBw|!EbpJge(%sT;7Hw?uPZcvNTvuiU!T8dkf z(#M%gq2LKxuwm$jD;)#$)5eh}CsuYX_uhotBMb+3xN)-UtKHg4*NVIb$QG`7pqieV zJtZ}O+D&9Bg-Uv4~g&IduI3U;hG?*uG6nDYQ?1(a0{fC>>;^33@gy15j zYuqQ32aB19&5unMyDRhnHZG1$@z(>cOZJ(aSGVBfRA+gGS|=h6got$$Q>ea#!fPDX z$P-rruGz1G;0QxshE3AYzQk3iJ&|q;*FYLXO4|pU;n*S|4Xd_4TZTr(QeT|#g|21f z*>(O3??s5XFnlZFo)>sr*>%-MDG0MjaUDFzEwo4uLDq#acf(hxMY3-N{sD)Fd-+1< zy_WbF5(0TCX|)M#fOn~cATeSX9et~KUdm*Tn$?bh_%}I*Erci?_3diOtDUdf#`*y7<9Ir&V z&WtLYpj5fu6i!s4++YfChA;5UAVSMEg}0Crt2}NDgvT(|qGn_c2_dAkvZEt}c(!b} zZvwEJga=*~kIyejJosP2WA!y~(mMD~JC4*CQ+TUm*h$(e)I&%x;shLss!BJNF< z+#mP7nB=B7<16AHU+F|cS0@?LWf>0c`M96^R<~_jS71oikQ9qd;Z#S8X-W#@O%MKo z4_*VoQH3*t&(S9qUSbMoa`e`CpTR{ChC4I7>e9u(6i*`lJsGIg0Jgf()MJg@tO$O_ zqmg>W|8~7jr36k4bsV zxw>*O**J|N&_EHdnv{v8AuCO#%-h}Y57u3g`V=yJ^BzLxC!>)8%@=8)&-K{hn&*2z z?07*7W4zM7fQPIA2M)!16b;Minj$-pg}`k$R?Zk)5^?&lE1sw(~ZUW(t=C-{w(l^r!I7jNnhW zVG@BxUP^ke^L`E+KEltf8eKwMB;~d(tsX(V^+AGTA0^US<-8*}<-8EQ4L-I}IZv?_ zY0G5iI0of!6Y($PNTi*~?xjLq=nZ#Cq`mL4;r#fdoli(d@D!!@C+#Pmg$IOm`=kTt z2J(hT`%f}mm4KstmZSYA@g4mH+6RBmCmSQJOd%p5tTtSw1V`TLN0i{LP2n0PcpFo= zR_WaqL$WUTH=bWZe+t(tz1y3@4R4a*>%FoReBu~nUDU59d!C{DvDmbQTkbp)sPn+R z+qeP_WjqKW^U2MDI`b{bx>%tkpbZ?*o9qO`>Fm(M!qtL!kZZD`N=jv)^l^?7gwf)J`KpT3D&x>&n7gq zEo@1H0RFU|Uw*6n-;n z^PD1AGu5I-L8lyHYg<}Hoa<8nL-%|eg1*`mxz}lmngvmV_#l(}?oZ&L4^P$JH4pIb^@$x9mOB5bR!#Z?nYq50x8}JR)iXjEUI;J zB>UI4EQQRgZZ|ywO&eLY{8mSwC&4?1#QDBh|qBn&KE*KCd_gf z3aJ@cpZ>^L+RL9)y=-7gzcNxEITC2TiI0w_{bM}HZhwBY06#ZRlojrQYlz!AOzX?F zzddzYuQNrsapF;icSqKnqF%wz=|_)jFh#wO5q&%c63V9(+Qeifk<*ixAc>@wNFop& zfH;{pnIgRoqNu-OvB_*Nx{A#;@qPiVT$s*uQJUwHPjjAu_O*p|fs&>31(G~%ICj%# zNdK!nC6iihPW|Fqbhj|w6b*2rHZb@MZ>?b7Aeweh81GGJsX{pM3Y*o=S#NeDz-FdM zuXZT9_EiE&_x=YvbA>^si>gnur&W_DIt1!$7d?sR3^AQf_)0(Elq0%nC+mrh{#0|5 zHILu84RNBAzow5%H@x2PK{md%r{a`GM3J7zR7Ltf3~4V6nxgA+m1C}FH=2^yPUJYn z^+bl%r6+p$+Qe6lR)F$Wp(lc(OHbTtOxeW%@*OX=AeAMhj7u=!?y4AIl?Tv<+oqP5fm&H$(~d?dO6|Ll11mSC2*z z3X8mMZ^`;){R@$`gZJT7G})c$;sRa7-G|)VE9`EHbT_x?Het%lgp*BAWO<*ALLqj3 zOHvMXj3p318AXU&Op#u6u%l=yvF`|Rkq0$Xgc)3vu6y==#TrNIT)qu97G90kOUkQ= z&gbp+MVOO%vq8~xHrL4uH$^sPRZ%eMQAF2-^?-((0_h?!^-SgISu(*p>Ht<9pf7dYbf8wRQtK`~(gD>iY_yx<3nO=8|1 zdBGP~lC*10(E{sgEP_s$Po%qepWT@fDh@CuXEKMiJ#9j1Y)e~@gB8Z&ao`)2C2J^* zp$9%=&}(tP6fIPI7Fm&ttolWZ*>cxJYoZ(OFo3mCj8QHQUK;Ui*=ocq#)71{MNQ&8 zUJp@KDWTF1oP9#Z;^saXvje`o!O#K;q8)@1U8;C5vvfpW5uPX!IuXr^eTlhCW!Ru23`a1<0{ zVO!ifsejlufMH<+cy;4OS6aoLYX-8Z`ukn(gS3xUNRHMZ#~LNaT0F18dp40-B-a`| z(ZhS3-NquJIQiQk)jDe*%dn+uoN$muU;-_C_7_|pUrkinm?GV-4is%vq0CI)Zt%qA z-j}dxi(xtyw@+?yI#hW0P;_BgOVx#6&LjBtrbvU-i?##^vM1$ySsP!^Tp|B=@c-}S zeat31FePEz2@_IXlM-RqmIVuVszj=v)ImA4Rs(K}^5oDfQkP-^@r6nj~BMgC(! zzJn>QqqD!bZtzvc&lMvtMdV*^uV5kmhySWL^P=J}j;EBhc&0JzsO)-}pL7P&;3)I$ zev2|#EuFk1DCatq6C=Yc%GW8%1q+E@rU?|MS#Yfj9rV#^ivL15eP z0Po=`DAtwfq7BP%af8;DZ93+6c9$WPS&7VO2cNTi4JMpLTQeGWJ~>-60Nmk9h>FFi zewS#LL;c|?M7^si)~N8}wsx}=S=)=nrWuHrSQ?@XI#b*?scrAZEY}Jq+F`bI%`<>h zwBIuhytuC^))Vl>opRlrM`mXd4#!{E(c%HV73Fu-+FDnKa()iqXfa%G#pBeU;3L6> zQ=&h?eGrNBbH=|Fk2A%3UcR`iu;n%0t_jGW$#hX0Dof5+Wxj!(q~cj_Mx?GvFf?~D z5UqHoDemUjv^)DW*gMi9`Q;%NKP%RQM_S;BMWDEc^3mn2dad^>PID{^ix<=;0_RgR z$N)(38i##^G_+}p7y9PfeajI{{&wqoTfvoFpR@fCwa_B%o4@UQ-%1oK%#>onZ4?;A ziyaAk|4SA@Uz>BaIwgXk=ctqzs@@VC0_ya zsbZa+LO6#N4_Di-qjxsDIk(`_>YPnjZ&hsYCx|}>Y!rGZ<7e3MtJtV+%*OwQy;iZF zQ^9_3T!~KE41pIsz`eSPb*8vq@bv}Y9Q@2J?oZUNm&q-Q1u_3`;NqoXt1otPy*=1N zieci!8j3b&3*^j<7a&n$mnjONjK@dfU+i9341#;cqQzK4Q#@Ff@k6|irs01W1+muL zzfL58&28Rul}7?3t~g_D{c-UrUo)9>&w5+K&m|9`mmEVfc(aQ4S8=LwF0q8`eKV%o-fIut?~2e6kNyFv*P ze6}}Ryxi<49?52K5M>*7jiEG-b!dTW0ul*ebDJZ=JgWu^9P^jlsmTa%tfOmP2yjdm z&v638|A%aUjb|&4LFbOP1mI8Z%04lY1UQz>4j&K}xRDe%*43xLC@%^R(y=~FEynn$ zhcfEB3ZaZwhT#7z19fr*gzwD%vN;W04gv1^5qIM8Gy@hu#? z?t&xzG&J0?>*KBb2FmBy4UY9c9ESgLq+B?)n zWFEy9kO_V@5Vb#@X!446omVXDyqiKf-;Aqr*B#j^$sXu)U+i-4n{hamSFC}&#d5a# ziLu_ZXyHptmM=#pRi2^tP6!J^&t^$+Q>=%pi)SBTzi@N>!|kf{Dl=OHT@ZT8#T5^|C9lgVsMWkHuFreTgnyBBajK+&icuf zf~~DeVP}0Pg}h>o|1Fj^9%Af2+?*2nsxy-k*fp6I?~lD$!+nr2SgxCFH5;{O8R@HR zUnBT4MhwFj;pG0x-$AKv7$z9@brZdFjmceKbtQK}<$t&tlJl$1P-_cRt?89{$SYp- z4heCy$Dp9EhB9HQ9#dj;pD}t}`up+YZidPclTfM(SFq~}0l+^BWc;@` zXgUd`Pqs=p*Zub)pxDV~rdZdP#W-p$U1Q!ldk*Gg&=jxNQ$8n~o8k@j9L&iUrg&rU zAn#v0*%AzM4>m-&H+r&_Dc(eyO!7V+jens*PL4}%3pt|5!NmW7C1MM=keHR=$J~rQ z3Hxueo6TE-|1n(iwz7rWypNlr2!{3KjHD+IT?3G;^@1PMGj(!?Dc)vBF&dDS*<_E7 z{*x=2l1eKFgr%0yF@(yPt(sHm+e-n{_;+sbsQY$k;-$YxO3C%0XoCtstjuLB7^`MM4=>bRa@ zy(w=Ce_fZ`BB9KqunoRWanq}A$n6@k$M*)<0xyV}R>0J94}uI&!MNiRwLe(R3X;<~6m*pSsFKGc59_24LvKKR?|I{Rr;KQ#UXr z!8XI!LU9pc3twpLPUQkr?uEJnadc`(VpkxrPYrclp@Ords!FGVq>%U=XUR5=*`nuC7zy;bcN{H zBFmrAwy-+HoG^YBMB>>+`w{Uc0g3ksKFpQI=?NyQu zzoz2f+nFwEedNWlk-{4L>#)gks^Rn;fSOYSR}Lsu!|Az98sksZa2jUM>3J0S>2e|= zlu0#s`VN1d#x-5N;9pbOv)fIylj~V$?HTg2?bx55V*=4GcJNQnHPNni@K4V((QftG z;klvA2Z+f+Z6dxdUBG2!)r^FNDGUU^9Bw3T=j=lC6_Dn62cVWuLo}aWd8wq6!WSeR zWb^4&7a|?x^y$@!q=O}Kdd+#H^DT(FiKII~Z~tk?Zx{I;?Wv?g-W5tZJUklhrKDSJ zqP>-LYfQ9{knRp8-G)mfohx@f>E?Sc4^9dF8{GTv_O+94z;C;;pnB!`y8MJx%Xe~t z-^+v1w|}=c(f)SEiwRvKp7lSL~-?uO| z&CbfL78Yw`N!+B2t65vTrhtPck6p*MZ!uB5wk~?LB{j0PN3S71i@fb{_5K^f{qI!< zhBYQ`%`jZoUamjwZ1Ec6mO_8~U2G2uS@)Zx*Jf#h$h(g1E%tuZsJ7Js*IvJBM4wUg zdX-$VI$z?IZb}LL?_{Rh?Ide~*wWTzM8Li-4w9ZhPaA}cDe#E~OE+N<|97&9 z=Gw+{qeE;bm^U=IuZw;jCRYBP)~L@8ygEh|lP(`|gK;k4%we+bycxaStmRrJc#LOv z|96gw;=r`{exoBup{3llg!=y91x$KHr9-{u6_s{uv_*;6u+`iR2NL@7zfQo!^*jd) ztq_3)CVHb2Vxw#b1oKAAX3;Vn7-pfROv$ckam-;CxhPEHEHzP$la7uRm9yOYfTMOd z(r^{k-3K|1 zAwy*Axs4U9H`TS*(YI_c55XY+xD}Xx)6zus`nu?(bUQxGyN!sf@OEI;@C}s6Hyx7- zFV}cnW!l2$%YASAVnFG{BoWsLJEfZZ25a$eI$QHd?u7Uz+jn3mNcB)Atfp_e_&&<` zvJjk>b{~xAZ@OY`r7>{zn{J$CJI0Z+9ZgjC>~o`2?JQ&7wBYu|{M^YzaW$P>oA6C% z6P>|6uk`j{Rrd|}>6_%2e%L;%n_*9L!kG+FGDj!bTmq5Z$<_R|#`_>6C3NOWrrH>S zj_6kb&z3%4sE}Z1`Z2k9DH;}MV0WMC@1LxaZOjXd_y-vR-9+apvUjM_eCA3Moo@+o zrk{x}u=?;!e^WoYkiA$dlsz*Tl;ywcymFiwIRoA}Gwu@k!WK@#7t$$2a-A9Pf;gp9 zFdENHX!^(iYAD=C%J&A9F%sCATwLCheHw=mSfZV}(+)K9qD!;2Q{zo^nRW`c;d1E| zUaIh~RERS&-Gn*+2M(Mw%b2X2XvXSlqV@kQ!OS_c%tZATwjI&CNtO+voUex915BSY zD{JwWRBKSCt*yq9m9y^*Dm=5wiJ`i#JSFQ_L)bjbnU#6kWxc4MdBSxYyMqY?U^aVyg1-3HFeT-_ z1TbKbKP@E`W1^dK70PBp*#iI0*eZ8#wOa}8h>KT!oWp$qMgaDkv2bff_2_j}oF7}g z$Kh%Jb`VqY$dR}?E!}#Yo3h^yGEwz^lmvv@2!sA|IzC*@WaB3MiszBr|00`f!aug6 zOd@VhC-@ns3G+f?$>4m+!{XmwZA#SXQG$!%{>qgU^HM;XCj;J3THs%>^0z~hJF@Ni zz_&zrU5qWRVHHkkrh%MJs%e4q?~AdHwr?Tfza3grKyWxjQP|Jy9j=ps@}Q8gc@Pp@ zGs}sipR`~gmAGK#96Kz8z{x)YHMAcuVmgBS; zqOtWQEp+lQuVwK0bp70_k0myEtHkRyTn2X%2t=+r73lBikDKUW51{=3LT`19+QntGNXPP_m(A-%Zj*EMiydSBowCnx4DwCYh2< zH%GIAz1Rt4b+mzH_!%f+Si_SZHw?e?<71yp^Nz4HP|x2jNiO?cU#v+=AB!!mlEpDd zmg~cvPQ~g%+miK&Ai2bpba6;_wSjKmA+Ou}M6JGetSx}AV@m2Q^GDgPqy-fzty9~f zA{<9@u6L-!c@86dtS#xa&XnZnMDC$4zA}%&4o^1lo`A#j`+7{trIaO#wOK;_Ft5n70~`>q5ZZl$$8W!{XG-*xdPy%kPR#1fW*d5sEkp^pHok9Pd$YmE7`yO2 z?)oa}qaEo>D3^JBu<3iO1HSK^+(7o}C`+a{uP2z!rbKU=E9plljrgZA|1|LqkQkun z_dRQ2cTtB2q??$g>QgDXN=Dasdl~@*MAi5GFFv{gWltkw)!&p1(7p{6p_%Ue9-MtP zz?58Qc5sFzZQ0**d3!csO4OgSqvUFKsc9(ZO>D}Xh2eD8b28JfSW{-Y55CN_^?aE* z+lORDrklDo1)rK2PV&7uoIUYO$u-);!7BNfcWsbox%ullp)ddnI>CN83xn^hId4^Dt%tKv1ZUH0S`X@rJ=+u?n^w0S&SF(|HY3q?*g1p5 zZ(>T^@%oZnE5n(mE}FBryryKR)tG0~P028;FwZtMCAh6lY=^TMri6}`C!2XZFL@R+ z;%wXG)5Frh%}Swxl&)zyPz%s-I7@i#Y8npwO0(fCCgNE<|J#lc)Bd39I{Pe4)UzED zwjs_!nV-${O$N;ONIN#s)|BXj1SN3Wh{3?TQPyBM+s>4X*6wpAkJ0{jFeNu>_cKk2 zIAxv;dT-qVfSA~4lV6(?ay%e2=&f7WJm$6fR?y)u{_V8ZKShwYJSU{|JVEA(w_r{gMNHF2$7GmKQ|R zpa}n&jCg+cVG6NrNG$2)`UcUi{EaJkXC)*)8cA)YIhbhNJXnaCM1iuci5i1#&^Y;)`dIrv>N*IwFl zZm=ntmmQQ#d-(efcCEel!40T^_0hQzR_-XbTJ$Bq=50#}=p$O_+(_~7TJ*i=uwiiS zMkY#7$%If5B8@`sD0R{($G&kl@~}cVH^v^`w~&8(8FFr7!VYJiBWXSFr&ubT8_Oi> zNn891i;Qz{E}a|4bNtn)IKGzQ_wVVfIs6~oK;9c+N){^VMu4J=lyoCa$>QL1*RjNn zresN$5_*&=xik32-OR=^Xz3%t-}3Fhb2piiWx<~^DB|2$Q?fkxRWtq^XSSCxYUarf zUNH=ib5ocSXSpzs69R5> zW+hI}_!^~H=0qU^_8Uen9>HMXh-i4 zTT((*Fr}+JyWB`1sm)Fx9w}Od{h+F}M7|@JR$B=RAbJP zq*eTQS;D*^MXRv#sA?p4Y9x}hs<9(kQWa9w@7ihNWm#BeT7<8A;m7`xT5yUes09F;U=g z-rL+n;t5GR;Y+7PwiA-VRIKV;o$Z98*~rgMWIG`#Oogg0=c|*duE_72I4y*vFcGV| z)l?@{-7l_As&X8~YpRo9(YsaE(Ui7OigpBbTPjIAnbKC4Nvk@W($?8Z(ri=O#xiMD z7gO5y5hZC?Q`$~R+Rc=hQ_Uta6p>Gh-x%sy-(!MFp3O>!i%c?=9v?IOOPiA|6yBYsu znOZeGG3fpfIbU)U1~8QKIy^WwyUnPsaCzw+nbz9FO89R#vmxBzTiPXfsGKn_=}Nwl=&6%3o5FthpK zLKUQQ)mkF~$Jdkf^=wZ5maOsC~q3(H(TXEhY2=gwqd~CF&hM?_qNjCm4J717y|@jpCxyzOC^? zW8^m0^{l^mvnC5)l=uN2f*+ckr;mRyjzg2`;~#tu^%+?C6KJEWKR`#m;$nEatdP5W+&kMMsGiphLI&D4>A8Ra-s|st{!Yc6h@fR+~BEh z`g2Gi_y)rlm_3xu;uX3HDfxM=nBoo(58ySElL7M)2azN$n7ay9C#Vk__cMDsW-y?!NXe2)o>+(Ui{vJ)FdU~&K#;2uB z)kq;&NOp7XGN&aThsw`e?(0%NvfqkG9tO(KLzJc^6VJlr=Pj#t7)bwDmVA9Pv0Q3O z$0(NgdD9M}#xu0}d8>SR(hFx{^7GdC|M&JjT#1aWObN$$LK%-XLDn{hLMY>*xheS} z#&p=x`fw#%+-gc0O!8zO?}hRB+=J-?{#AF8h~46RVLYmbdYIDNtc#>{GQso><^0oB zX)sF2)zDondRuHXivPt1?EKSUyR=k8FiNM2aJka^*K{;6gej>{)Tg-YBmHYS(HUY& z^^nYt(&@yfA0FDBg%39|sZZ3eSi>di=hMf!cNW+qbdxEap`QKHnS|FL53b#f53`x# z+?(J@vQVreDKr2#aiQS7_x?;-6OaLbsLnQ}dVZ#KcJS@CY;Cs5E4`hqT;-k2!T-yc z#8eVDl6b4^DVju7wUK4>M%L9tH~3N-QG*Gz z+|HCh>0OE&^6nO)ET31%biYP$8|>pY*!y4%s*^Ian(oYVd)Suas&fORUp-HdlhUGE zu+yf<%(k?nu*{!zuTMLKtBoOkvrOq~hu<2-4|!{?pycQEg5R}*pMRCilh=7?&O$2Z zLk8uqO!m&4BVCHjQxqn#R)W<{H)8cu@^C! z?@bXhCdN%vJD(N&Y$T|8Z@`pp$y3y}O5B9xZ6lVs-hLo?-w39p$6y?02S_omV3R9s zxeXF_j>~ED=;Gkh^{3=FcH2W>xBVkQn)^nWvQ!<;vUUXZ_|OxDXoLpcYh;saFEj{a`%$=-;Jnl%Ki z4>C_WNZQ+qYKkND^r5CMFWD|=!oIuR9i{V_Qu`pKz>fZ=tfB3D*=2V3N%9)Oo!nHv zHx?N<%q!S}^4BvZm4QB{dWkjSuC;iZPP6N`;Fjq7A-u$OZ?DkSbf{J>pI<*f7jduv zD{7QCWqSIg3>Wb;5L@z^k{5=1J0K`_fFE{@OsY;4BJ~Nq+c|=P6mN6ov4`g9uB^qN zUAm7!04NZH9ata%v=(xOPlqs*SGfE)Vu=ab1S^H(UuF z0geq#Gi7aEL+$L5ddZaK_-OCFbt(CO!W8&Z{|js>cXabqXz(8PeNfhv>AitvnX*P6 z6UzDNAbE%?dqT+7kUfWL!{WZ!VfpDGm{4U(%Dl3Uq{2ZqAg{j0knAhR>T@ zfzQ*;O<5;5B%KLnY$)fU3HZ>SNh87inl(eg^@H-O$W>cWoI5;xDsx+b?Hm;7Il(`d zA#v3SbG!PDHV;j}#Cf{CDa&?pt&0_`%n>U>oPXXhh3Ksb-Q3(DddjN>!ST_mEbdVVCL`vMlj1`LI(t;waFPk#kw-<)$1Cg}`z zNua+!FhZzZ2zdi2Q`XzffIgP~% z_ZDw^JG2FL`%K&PWI~`CgzeamL_t&L?kg;lbvhoO`X2ND83?v#lHX_^$`<-tTOHS@ zOe35z=zzvmlwD0^CWUgI9E}fzHO-y3w>6WUw|8Hx@)q(-O{ctt{S}x!^z6tlu|^5x z_fI?vot_*`@Do`H=xqgYgnm0vsB$Fet=s3W*lpKVyax0 z!eW3k6$i>Yp{$Fej+b4HvR_Y2EITcf`5IgW&p1C?yzG3GJ&bEyz)uQyI_S|7Ww)M( z!#b4xOP$2BICuFv1kST=yFLzgBg%&Ezd5n+OaO*BezxIxfETfF-g+sVXL~ox`&Z@# zW}@Q(;jn*Yawd*#=)~~n3B%KY7m~KZSZUxQ#LVIc~s&iZnt_%m;SDX z4e9yiK-B2UBvvCHyV~s7Ng}C#IglW`nle3oQYPMtIbQw@WW(5ac6@SGyTU;cO`DIP z9TYWXlN7JpMAOa<<-7)|`Yf!CXD8cOYFF<(ed|7C5uk`wTaCWHA84Y;#8yxeN9yJ^ zhk;^M-H{#j20bxZVWPc;M;*|-DVwagO|kPDS)b&OnX&jJw-jOX;$sIHfLy3Po zvW0`G7k!k8@8S;cg?VUcCR5UAe+NLg;RRfhhJvO{y%c4$#bloE-H(~_960s4&dE*Y zF4ZW1e4$AR%?cE$PIv0d;zD~i1%bE1f5L*$mN2E-Gw?_3B;FPew_m1KX?Q?xi*>wrdJgAfP|%cZ)uG=e^J9hg*f8W{_4oXui_ecN zq2L3ABBhl?@m4^i$A-ygQ?5vtV}s!VY-ys3DX+^BTj~974*tc;@A>4HnfvhUh{=#h zX&te|A^F`LHn+}{r`SH_m8a@BAWuw{RiT{U4M@p~{lz z_X`12?pfgFX(G{Ad(Xnd^+H3YxIiN`aRd*AO?kRvD^8#F-eIiEUm(UqlR5x>AXX$D=DPfap{87YIOWY`x@Ltm}^&WdR_ujhUw0-6K-ooL%1N?bwF=JDDynk1VP? zwh_#oro5$EfaT)V!4bjAHTbZa$t_{sh@ZcNb$H8d;h8_5idz1I%}N_JvTdj0S3Fef zROK2{f3+!Zou%X#=g=na-?=II1!+u4ZCcm7OxVm{!l6@8-``7)j}5pzEu4vu4JLwu z|IS6v3(`z^du^+O4ASOMP80)O(2>cSnC?2Si)kWEr07s!U(nH%ceDW0%R6yIwn$)9 zenDrqP3*_*=0f75z*g@;NYMgpAQj~J!On5X0A)C4LY#V*W`c)UUnU8@F3oiHRboiLB7rZ=$}R6I(S?9!%DYLJ zjQs5WCOfmu`!Pnp0Pg963%IAUy@cxC%K} z`A4NaL>J{3V4YGhP@PyFB8&111~Ivt(%@=ApjLjt)lAma;vu3azu+1s8@fPK^jEjz z|G{qT0+XFJ0}lG}RC3Ujrd+Qm4U}K5ltErkrA$9lj>jn&fRq_kex(Eu0UuM|57gZqKmZ4J7Yc~@=;VtEpWS7Hj%JN5tz8rX zLar2O950Si2sdHBwZH}O;!PK!;8^6@0AB3w3kAm^XIz}lLO@s;1>+r^g@W)s7EF-a z05B_nFuOk5lwYOuY=BZ`vv&(UL^D&EE@1CF#uIZ#2qUEvIg6}2wHjx^6;v=S-eaK)=h<}EW1$Py zwgoe4au%o8%tX$DZ>M0Ea26%_*WAu8m}1KHvXXMRQ5f+pdAUlLsiu60(q$U>VW@8VmVq`Hp>&yL%13@k!qoL1HA|K)$lRh- z7qYv;m9VoO6m7M^l5weEy%k?sj|_O-)dt%frTlbq*U2t%5SqVpJ>&T zPgbgIG38T~DqGF=@~Nass`ox@%RSf_+LK!QswtF%xJ50k$CsRZ6n`Ey%%1ppmAHnf z7kr;q=bltkKF!&M(s&mjqK36>&$r^~1}%R6(+$4{ z#rI^$_VBMkR{pG)_BHI;JvKt2nisv=g82S!M6{_XpXCspEiXMt9)mx2d){A&BqZ&g zxfc*U-JI5t73*J`669P{K3_R}0WFW+X`!574|Ur}uEzN`hx=mfw_gv%Hd48|E%M42 z5m0@v5Z22cD8W5y7y`dg5gFlwl?MN1;p}I4qIfd#?Ap>sE`tCh8-Pk*L z2g+cF>ctgFg{EuA+IF%>YfI}=-EC3G#^H~E^&7YQmX+I;$fDK7U5Pj5xNoWPT?z4o z$aS*$Z_JJFTDYZ2Kp^8FLjD``Tx~zbTOjwwC;xK3)TVA?g6;?JAl+A(@{Mk$-=jOm z%-pn{6llT?jW?D`ND2Nmc0c2dWqwrU0u@c{e5o*sM}Je1 zo<~Gl3L?XNL~!7gF@i5)qwl5LE-IN52qA@&5dyG?!EL5Oui~hHE5K3$dGrSCZta!8 zVf@lerlf8bOL*&L3FS!FrLe|SR3m(e$L4053fzq$uAGXN+8pxeHQ3$8+X*fB60F6S z7ADt(ZlJewpflgWR@LkNUSObFYdhz%wS}glwQX!i1$_a#+j@H^BA*;`*Tpy~fChql zClbb8rlMVzV$8UY-R&Tt@5d7QCGh`C>s^3^6CpJNBoax4cUZ3=r>!@66&eFlkx7v4 zy$=VbqG95?G2a;hZdthc6SijB5&`!PshM+oV^QS^|?2L=@O>tn}b|o z%+k6$rv&ny@14^Wu-Iybsn8cFE3$)c4nl8`wWFd78_cr%el-=|F=(_uz!S8$4O5%! z+*h-w_@D#g*8c-;pusm&(arHjR|4$lJ#sVhdok6*e-gw*2DGJXGGMNCh`>|47ZQAL zZ#N;_qE38L-dmIK4F>F9JTfqoR`Vkar`p>KleD{XK#t{E1_|_zr z>|-i=%Cf1G_m;u`9Mt5`sX0!jg!KLkv)Y#Rmx{r+3;_;A(|XZHMX%t0En|BFO+|0f zr=7i>aBA%x&Qz;D_0D{EnJerTUKrM$X>4Y=snFXuD*6Udkj)GSlU~VD$o2{_XZB8D zx)5zUzLc21=bpGO@`2S4 zoy|-Q}x>7h9x_hbA_ugtMu2ysrjUh6Ud4t(p zH}4VhH92QeEj$XDB{I?$uF0aL&LeHu+$2+h01qo8uhZs`cRicy?mY%0bMIuPi_1s} zND1YT<)yS;)x7;#i zLrVV3qwUyXmr#R761HI}xiem0cy3yt&L3}w)0{6}X6)Q_4i93-|Nmnb_dg;l@%^vU zTzM3SDB>pb-f5;{s3X%b(x!)ZKZjyEQ!PUw;zA5eTf9aPU@ncw3!xvpUq)#HS|4We!tP&%J9dj}qsP@KM{c?hJTUz2N`N5(uV3uN|$pB{%p- zdZqWyF%`G6kt=YO;d1;xkEvF_zH3ojiPECf)1#cczL6UIzx()+MR5&I=A=IR@4jFq z4Ea~fiN-urp#dEgw<%`xAf^kR1(Z$Iqpy&ITn;Y4(9|K&tx z1A3yd7ZtM!q_4LJhUebROtl*YduUJY-E1l}@S^|eW9*y*(&~|Pp?4rw=Opo8dFiRN+j4dFmr#5xMY}f*g&#X zFoxEUVtls7c~=L9D)1;(z|COwm$(=Pd-uVCds5vkR48EazUL=z-Gn!q@F?d zMaFHuN}q|I4sX_ z#XUtzP}jJXFfY@;b{I2ZOO_I2E1}@%3&$V{zM-5m-_}PJICKv8(3=C}mnlH5;sprY z4v3E?5OW0HMwq$Y=UAv89>UaUPyPDzF2|LYHS7J6lHPv4g@A^bm|hwht4laTU~9sn z3Zt9hj>(za2cuRBH(hP{q-F}>feK-qrjKc)L@YJ^!$TQwLPtg#2kKa+MBa!{=9e&NBdvU=jcWoHBP)&c z-X4JOShqy_Twqhrv6Olr>5sAHt&buBVDRk$=yjxziQ!^UnS8M(YTPi>h{(SYXTYvT zDL9@YtCEWt*P^U%7=K|4>$(cnB@6x6NQqce8yyr`Wnvj3e{iM)SJdT4wlO6=sN&iX zjgGm3TYmDlR-NunPF$KXUj%NaK1nULwpFsQ?nV3FQnj34Y2qLeY;@8;3+P_Hx z-sF7<)+%I`6ih9S!PE}ycc7=$PD~Hlqs2G z#&1LhJ2HdthMJgr2qo5;sE+eqgRcN@p(9;f&*afCkZ?I$I!SESYrW70UP_N?3`1_L zi;c}+q_~}T&S2ou?^oN{TvrowE|6GvZ4P-kAG3QCyb8EL ziy$hC`X`N-bDS(Rs_0ID{Y^~63u2ecw3_JcV?Km@(U96_)jlo>iXh;7+JVc9T|rE4 z_6~ssi*8{`YHU{@u0xFo2jz&7Oa9PIv5RhjQM6SEjfLlhu5_v5f6*S|0YBZl2p% zpkiO__;*4OgG@XwonPG4{}|t#fK2+73&>(jY7L`^4G4Zz$7Tg$1A}kT6&U4{S_CRg{1~9pkq9uz|8qPV%G&vZ3H^Z zyFT4|*7*Ad4#O1hVHh`2XrJiS7v~vHaXg}Rk1Op01Rk!>_O3RuT$M#ba$ynOqnSh5 z$W-qyJy8b2C^|Ih`|;H|>MuQ6c_@_GFa;Hv5BeZ$|&v1Xsefz z7aJo2WQO++mZedcB+)x+7a;F&SsJ~=#Pn&Fyx3UMW~R3v=6DqR9bIv80g}sMq*Aek zosOf(+;1e?#Pn{^7|ybYT8TnNOkgv!yuZNIiIO8XUSK_+IMeF||3ZT{y3xcYIuhSZ zIJ3QfK=PK z?ubnd{*1xw$TBe=R@pt*D~AuJ1U~zco87$%@dZSUwTdXI^6><4vx!YtLd`fvK=Zsp z*wm#XnVKelkIEl6u`nwkW3@P6vF2q?-j5+DY@Q2(DQt+=N=KU5Oxs!@HY-?3DagFp z!5>pH@aOF!`R?$_G2o?BnQ9k&o}eIwo#BHRL3+I`1bDqP@VJ~y_)^R#cUeblu3~|_ zdDgFm&v~&sNQ(L1E7zyw$1sku#mQ%iyFke*$ycri^w?q(o1dj5T|h7ks;w7Y6CvBlob%@=St_>dr*f;%_U-4MG=Nh9GPOS~UJ?Hfmrj^u+YUAeZ4GU02-9Z6?qzLw-Ga^My(GJr zhjR8o*u<7IxkCl^yEv(;Ic@zm#VDXzW$XDDP~bI%{McPgZtv4h0h}kpEPkaIzTC~! z6o;yBj!42Wy*F7Ht^`_YX|$pw0kYOcLUDbYT<(Nxy8j8&N;lI?D< zGWP;!<>U3imzB9-?aEYJH?LCc;1%8zP+}iVWlAoO5oh@`SD(YX5^k*Tu_F8kJo=G)k1pgupXXe$AFKmVM1ifRE@q2` zKx)jpn{TL7h28-^TU=l&)dy4A(2fYQ4pd&I2;s9tg{<;+!HoC_T=3D|NiVGNk&`LC zYa@Gjx2e?AC6!IstJU5vFxp250{Lj&1*Wv?qA<;_zJ#*QRO*EymFc3_*Lc5x&)_4N zHXm(iO!as}f}c*0-8wkJ@x%Pm)Q;#ruIs)Zks1~RZx`3xVt5S|Zk$lR;7tr4Z84Qi zm5VZDj}SS5%4UdZn5mzG!QvkJv$^ouT5o@DO8zleddEy-Zh|G^sZ!kG$^KfTYOgSZ=KoZ4=LQ(@rfNDo0lMe{xzFjUt}t~DMxqL0YX-e zB7vVhI41XahwexSjKKRax%vW&WlgyG3mo{A?G8oE58rcfK;E(GnKt8$Q_ke5F`#8g*W*%VPE5k2ft#CeM`Sd zYk-Am(-C6tT*a~vA>#S7pTXQzTxO;Qrn;AA(;J+BZp-xoozSaCY(?vtvKL<{V-+o`71v9Y6a0PAk^zV7Dw zk@QNJY7oBeh9P6a19dG`IS4?W3V7SGnznsPJ{=ttO4(2j4KO90z3Ri+BU#o0v)>Yy z2eiPdlPodlAimsVFSm1PE(Ft9l*((Y1xCCF2gC&9&ugs(#-G;>XD{kl6YNtzqE%S| z+A>#7uutd3O|Vbr(FBWIV0fts;}zQQR9!K_Y>x>SZ+88zEl|S3;nOAV3P?wg+_<@} z3dwzu^%_kuThX3akUcg3%znJ%q6L4)o!L*9n98BbDZ}iGq>?9HO!bzf)6d&t>Wx)Y#|0mv=0;xS_{WJ#S}5ZmgOJfaaC4wb+b(^(wCmER4lUm- zY)m1r_}mTk8o%9)1#IwDvpSEXO;oI}6*ChnW+hboPpz2gD%yGtxW)f@7Z>aLR6~W+ zEfG$A!bt@Vb?OArM~gwX>VPiOioFvn3IYvnMKH6i*t>egMuNeW8f5TPV};W%5e^XO zK@y<-I_DHjKOf8_p+FO@I54rIQXsM3Kv$1$U{KCj{b0{)Rv+jO;Dr+9mtT*y+U`p-*ss^R3H=*2MDwjA4-AO3T@m>#f>T!6pj*m=kd^#|>n4aDn zqg~+^Jx_75B1p5s^+A0N-l^ia2E_>w;a z(r=U}fbpi%g_Bg$fALgHkK1C$Co?7YcUEK20oSqMy?^=HGn4A@%H(E1xn8g+NQ5Vw zO7&_~t_)ts)+U?0%2jNomG{jI{140O`0R_*g~*1amD%_H<IJ{yPT+AETQ1_H za*b^TS!;z8T6;f%Tk|;fFpe*5njN4Ji~A&N=q4e`Pw3P}Et4d5jo&sy*(yZ}x@G-kR)PC3!I2B*AtBO7UKj^fo232Zo;YFj~8J>is0@9%?A1mOZFnqLy9 z{CzNpaiY07s&0&wdZSzf{A$nU^(iJW2!CvZx^<;1pwIa*(lZD2VCS$Rhrk|rI! zoiI*MbY;3YO>)VPFJTwJ7WR)2@1#X}qN_Q2nYPg=EBI1N&1=jyI(g4Rf}Vg>KhZ1c z?VQzE@mVe?PxLZJo8&4$Lm-{4VyiLLXalqFGgj_1++hjk?w^VI2u%w{b9^!KS#Pud{G(+)* zSK}w#D?sK^xi$5vF5c^Kxtt&u-k5aPs~RO|48hQP z{h}AGSH#D+AjB)o(XN&z=|{T};uWFHQv;K%KPVsgy%*OfsMt5YVs|8i(aaLPy*IX@qx1`G&wMc{dC&ZI#iQ~;TY{Nnj_OgEqrDCg z8$3Sn7r4W}n98Jasjb*_X#@SseMlFz_&(J-`bhAT!(y zhg^y%2qSXehuo(Ea;w}3it{pqqM%GLag!Jg*+gImxy}BP&F%?+gL2yd8eN~s;j%Js;jE2-HRL;U+g9Bc-{SvsfGV^mdWq! z@Oe64LZLE`J}5`~h0@=Lq5o4z8lOI_{-xSuS`-$sf$A5hi+O)Mn}68k%bl3~yDU5E zkAS9Jip!Z2#`}qH2BnFn>~Da#V_VXWzoXXQ*Ct+Pqm+zi&;9Ky4^IU_pUqeIB?Q`qSg7!fXNphmHgqs z*Y5=ek(HT0g4nv(D}h7jm)KEXCMOmsbeKsPruQ?yutxSc2&dmK>oY;cWo1Zc1^)&B>k)=JEO~Q%&T!vq~d^_7XIiFCHoR(=3e{0w@0+(Kf5GxNDP^PS_pk z1`%g*|MFo~orPR^j*47qhk_t?cn|>CZCHXeg99Au{j6^lCU?InEWO~*`qJc{KTD89 z-;<*m-l1m{H;L_Y3cXc69HP4=I~K>2{JCu80WSpc!wsqm{-&)&P3|(0iA?V9(@iG# zn{XCmF%~r)5yoML?iLuuT_qneM6Au7a4)b5U;bJsHh2DB#8C`$3g75N)RCF66H$*g z_b(rgDty0uII+$B=z~__>js>|hKJ)h-pxrnzFN$rHg`n`c3Qm6T|Z=!T2SHZ>Lm;! z8n2){v>A)_tHmaNX{Jo^qi&@zZy6yOfne)Ull%jOCg=Y! zfR7EM@gNj*7Y`z4h63?`0tigeKR|;-3AOVRuR&X-a*JZU)xlL4NHBq!GkdC z2Py1)-NxjLM@hcb$czf5U&Pq~4<{n2vRx}yR<`RG`;LW0zN?u?+pZiK$_8|Qk$wtz z!Z2U7Hu-Bs)?uDi+l&sS{~U7l*JGJfwpK^6O0uFqg!YfI}5V}CV+3cpd;em40} z>u9#S0Hb^-c1#;Ubr@*R#CW6Id14zwk?48=BF#7h=Vx0^} zQVQ&WW)dDGT;jJ_oxM|=#301p=|G9KcYc%R%QYb_PxklgB@TcN%RmVJ4p? z#wL45mRDi#^jqQuXL|p*~n5lHDEUtwj3cBpLkEUB(c&^TOmz%_D-3Mg!WGN?IwGt!02A5h`VGRirWr<+2mjh6f}_;GmiTa?i6S5 zLd*Ud$ly! zAHSV7(mSabPl7Y}*_uDU=^0(bzLEjBJA)(pH@)nY>`*h>DZaVWTDf2vgiR1j^H)4l zeAC?&h=!-2ts{`g3KUok&rB*Rz8R`!wpm^YX#rT zn12IJ-8Yk3kNo#Q&-t~Lzu2=YO}pPr(RC4ss*-eUQ{9^v!4isI-%Km*~EgfVsIY|OS}TH+fo=h*+3`~T1No~@mfmD!RhF$o{5@;~Wg@L8PIP}F1=$laZS z9_(fwZr|7aTD1$VN}?x8^oyf>SL@=4Qy}+u3VPX-<$UkOWl34jPGL%XvYepw*aGz8 zG63}K6jLDnCk4H&0%ie7z-WA!#v}?DSCJyDovxUR!rEj8o1{!46%#99X8QmDA%7m- zilt3KAGaYg3i?{&Y4iFKHw(S*Z%E48+h5v<;<8<58rKS zIcrIsob8DcZ182D4_?+3}bZkS^XP1Pz&5k3|=g0-<4w7%MG)e*P7Z0A&j9#o?Mi8<+w_HhJ5YZfFVy5v7Z~ ztF!Q_HIr`5q1a+oO(|kNH`Vej_YCYX>#I)9>(_z}5{|p@ z-GzHfcApLzo>zj0lE4qTEZ%Qw00VLI*&gKomX&69i)z=0q!kE%UOV zfq>m3CR(T{j{KG=f@M(yQ7~CiGlc_L?jeYxU?!o0iFZi(m?4A`vWZiT$;kgE3GbDw_gaF@n>-g?ok-!R*hO zRs^#@XIT+!r4_-Dp{zFKppJ@Q_~wgX_+5nvw#tfNt}d~&8etqdqWghNDuO9ODvMzH z#qO8ibtK>eO@RbiDOhltO|J2N+Z_4Bm~K7sX-;|yYH7XR*iZHiV!}55ACT(jALM94 zciL>;Vdx&(P7UmDn-iPEOu<6guZw~^gM2y<$?S^4>tY$ zl$tDtorKMnR@q2lOQV+K=k4%$s!T~BG`x18q|=V+weV+9N_|LA4*F?U8x#Y6XnSpJ zp1gX59wNXJ2YsMmseq3>OKqO8(9idwU+cX+9aUB{RdJA~Tni2ukh8Jk(3was(-t$L z7_;@Fav3gCp)K9Zfsoc9QGLfQVT@^m8&gKXMnM|#tj1=O_uZ9MJYnUxWOcINT}h%U zt}z8q5;vQ@pFX4GNce`8--4aNpFYDCgpRigg11?5cZ+v$xVDm5+|>~|Lkj$OecZLB z(A7<*gO`CwR4sn|I99w!C3#Vt#VoTxJmWqHeTfC~DFuy7ucc8A4Up znQ(x(cv6V!x+r&3urU!->!Kcs>LGiV3jf4Y)gZ_a{dl*w4x~XOb9LD;Jk#G9l9csA zVjqH{%uTENaNbRb1ER^a>eE5 zvl1?h%#x)ST5&MBab&3u$yn z=D^y!rRnyJFU6Gw$7(n~gk2S%O}LeGh}U3tlCm?eH9Ji5_V&ZSGno>4HEDmD#2^Se z7>FyaY}N604AF|pd;77+nI&(u$fMHZSRJo;2L1)IcUN_hMf-I7;Q}a% zEAIA#5+G9C;u-7?=xe7-J~en@DZ4{nS~2^p>s=U#|Cci*^nTUeEY-X)kPR+3VR?Bb z+<{EwnCFCPJmbczZ--7q59g|!d3U_lW8N=KaPA4$+y)(&Gyu9hRM5=iv8H_I6 zLs8R{bsKo0-BWcS3H`d_nm}Pp8Zq2USHYcZrXf5s?FW8LtZQ=ZI09~UxG2feVlGhZ zW!O6_@=e1oz*pUJJ6A)|hTZR9iqPs_qEK9gXrgY9e?)U z#c?!p%x+KLsMMk3&lG02r*HHGv8S(S(X08!M0?{m%MgsE6Lmg3f= zkXEgN8pR|wkS$(<8tWy`in?<{z2i1ki0Yb`JQKb@LqHqMCYy%R|N5|B(H)|7V^yZ+ zF4Xj!|K!W!9 zBLaX9=>d1Y#{xBsz%=*D`+uF7+xabVW0m*kqz%`0MzC(sy95n^Lum>y^N&h|#x)@l z&84=OGaxt+76+8@D7MnVJB4GSYo!W_Mj>$&oHC@$qji&1++p=ngmj-`-f*D|DL~rT z)7Bl$zY(RiosISsPm){&5~L+O#yJMqys>su@#{FZsqix;Jf4GS={D6%o^GnzB2R&n z$)ZYqde*i^UKP36XGSw${HA;oW6HgHpJ#e^+ ziG^o>=$znR!#<2IOjsOaPKT#DBFsE0P>$UmO5ZaAWk5?`nyR{T71%0s1ck-!`8{~@ z9JNe%y6mkPmYcRlT32VIC@Eo?&)_V!+kiBh~$TRH2#1z|{)m59w1nNA5IM9-G z@kij*mpWn@?QLVib7i>mG7yJA^5(PsJG}2biXy$45(h$ZUAVntPus4rT)Yo{?@>0_ z+k_XmE&}0&ZoZheh+VYvKB-gH=}_UftmKnA+}rYQZ}<_`P4#v)L-QP1-^33qY72IH zcQqq0U@>yxD7?f@Z<==n>vk_F``$?jg(Ypo9S*6HcZKyhpc;87dFuTvIVtPgBO&$& zuTZq#JIRFQVp90g6sKTk9-UZ@wfFF>Zr0w}Ot&U(b^`x7poKM*)lWTkG24X2`y{+F zBlyo$OEZt&C&xN?S0Ekjh2Xh&S@jbbymCJ)FEg28QGtZluoZalfARP2_<}>--c`D( z6fIS6D*8ydMPVz7<0hKIV&1#Tg!R%<_;Fbj$a`W5d+g*`WaVWH7dT8-4v1?`SKcwZ z$u0xpnmb>=JLcn+^hX9rZcewD*FVouZIRQ}ghg?Z5ndb2osZEoZ+)<&KRn7;rzZ;!PCPmHMq>hfu!{W+G~rE| zvKKcBILO;9;M@y2a|^-gf@s8JP<|{^Vq1kyP6nrdW++$Kl34OwG{C`*HDL)<8{XOn zW+BPj#$LL{iO$-f^LzW^t2`@AUT~wLuk*afS)Yb)xW7 zi=;>7ZD)_&yxkx-IS^!W9VjfN~x-;b$CP3u*jv zS)&VU1wS0Z-;bEW+U!3aAxA+^b3m7KR@aEj(*o#h`M{TOhxbN{q^x~CnGy@W+KMA^p`GotxCX;nFES|A*l)BzFZ+6$!urxngCJ^P zYLWNdLOP=y>zP=+iCk3J|3=iWHJnj;)!3dQlH&;*>BlzAz^ zKjG3VYMR2P!wDL1O>|Dmdex7$Di&H0h!pgd`T=PCt0pRO`A#nQi0ZxTeFF8ut1ajd zQSWLO{A_7`Bbt4HiGQ_KpjIX{VE=^O_Udi+h!dv);~58S^r?4dGzz5IHa=!q_w$Rd zLHOnOXVC7w+8!k`&<(TsyKcQ-F86*#I63{tP(QgT;S!H%j1eyKhNLI5U4II%RGaEFN)6*|$_Q1yNT7RB!e}(B1FM zi@F2Zqurq~9PVOM*drj+0v;`mB(EoU0v!F&pUEujB{*1W3aujJE;rblgz7Y~GZ_4P zpb{4DzU5TkaqZMr*9_jWz0`w^E;ogJrO!UZ-rcx{O%#A%?^|_iY0>dG&bTYxx>Fa% zz;{|ktZ-uM&ByVTG--9~e!orTEwSNI3gi72P9OU~`1gVE_pAL6QWf;hRkF$y_7kxB z2b^M(d3Oche4J2&2izU}ZGDzpV^RtS5b^<`)SrO^e7A?LzS^O?RVe^aP~sl%R|YlK zG9^~}#P3c!G?K`5I+dzH&EVMVep`>v>t#dv`z?o`?ban3-_UX_%p-1{M0ak7TG6fB z?6sEIHf{Y?!LSLzUl|T>tto^jiZvP(-YbY$XA1Ajkv+2B6b_QDv%wTvEyqA-!+fP@ zGrq3okYhuUGmj!lH&g4gd(dPg=S^rlHuDhz1lJWaar+9)LX0 ze+Nsuv!fOm-(K!Y-0yvwgt2XAs>0@}%+|8(Pm_p>&8ARpQN93_3= zGS11iShCC;!|pObiQz2tGFZ{eqs5G*hxc3PT%e;3{;V|{8f^lFW2JSvh8%mqI{-rb zGDzFYRXta&*o5|sZW8eFm-091&8={8U;)Q4#uSd%g*U-^>)X7E_AofiJ3AC*AX&dW zwwlABRW92OZE0aHI%0H4fDW=pNEurf`x1G+6*b-jqP_U>e6W z&J<21Iv(_PVpY66o~gR?q6H2CVx+hjE;`5czLU%5q(;UHC$0*G6N{Kv7NG`CC$G&=^F?26)6UB)G^@Wh!xYX- zlQ!oP9k_%M+L7W{t;T*4*C(z&rMNaT3&jDYZ~@!IZHhmExC=DZ88CF{Q3HmnsG4}{ zSzntGHDG9YYryyu&)poAIG_}Ydjqm|719Ca*ck6duPO`}eoNrM!5h7xiz!^J$gxNE zv0gDmIspf$fd@J_KvWwQ*FteGP8wiYsw?490x-@y2S(-^NzBMxD=KDE%POdIceQo< zfq+xZ>b|KUr`8JrveTWJI8Mcdbkgb|s~F}vGAaToVPOiFJL3hi0);EAo|Ru$Iz20Y zuG+;>jCXq0*JddH350a;nWATXZAMhj`r3>tj29EEp4C;gn`5H)`}tKEFSG;85PmDn?y;gEduGTMUf`sTg+<77F(J^@jS^ar0dDCsa`&uM)u?AvA;oL9g8-T zVTDq1Pgh#bl*&ErDKN$zq;||As~gOxH^}}5rf{ongl&$mGLIL4j!nZ62C~|I2(SAa ziPM`lkrACZaY|C40+u+wO>@!yMy7DP(6MK%Wblx8Y%B5`GbNS`w3#$VFv;dz@tdxM zyHoqItsF#S6Ol1QY9XF$D_h4ztZHStcWerZz(}yaNkW^uc9j#q)tEpLLTnek+1GICSsK=GrYaTEeQMmlq!Tag-))Nk;}RA z?n;^Cav(~Ud+ep4zdywUBBF?iBs(sLyhwdJ0eHp$#BzUArW#Fv?a>yO@y0XOmqe)R4nRwDz)QT(wHde1zxb-37FXqw zp2qCy=+{tg9RR8i)KhhXKI%>Hu~)HX4?w;-kPH-1Em}SpP#y40q=oLCmN*vo!|0iL zTkzM^L?5VUMn_r&UnM^}kZdBi6YzQ7UP#9WK=2NHTH);u%Q}CJk(}rl6*r<L$b<Af?IHX|MQiZ6dh-<0hbuiC7Po1>Vm#p**(H zfjbflC=TZ;pje#S(t6U4;t1!SP3#<-tdmZDXTcHjx^R*gdS#1H1geMwRlIc=(n+@b zv1}1rOf`|NGMa9dJuV8RUwZ-{pg=t^NVub}+RP{q5&jt0;iLA&bB>v@jnSZzAHb66s?RxWs#r zxZ@s~mhfDtjYN%vuCNjTop!3wUmV0qnr0%Rpo;X9S)XQ7BK?VrrJ>Z=NIhEFQXqVO zG?Ype_K7V~MT8a!K-Nk9^8|c+GU{&Oe?0Bf6wACfo(2SSm~Oqp_H%~~_~BHReD|ME zuEJHGZzCYAe-A0h09tQ6&GF1J5sCZ~8JHIQA+M(}?_Q2)c_{VmdVc7kI#J5Q=J9<6 zA`3vqIWV6|1ww^7ExJHOBvfQOMV;MauBHvbAg$Z|Z8dS!A{s8kZ(7Vmaqvcc zPT@8ak-J5aDVF?f@c#HzQr1BnMh-S;T15~`9hYtAk58eUgAGh%s(?1l%AFhG9tawC z5JJPjmNnV=v^*Vb8Nc(L&du(8v<4g;1e2E=LXqhi!GEHmmw7XYgH5y}ox0z*BW(_) z=eEUnNRS782~yQ~x1#bQK)Q+|J>^mZc@QGuEM=gx-C2`))0Zg>K-DJCQ)REiT6%irFEn`arL#eb@oP0F8 zXVq5W0-R8cJGo33d6dX;AbWcf!vjo2oMui(9+80{ZwV*(Den}R$wAQAgA1y98rc_8 zqLQeqkVq26#qz9!AZ!O0wrsU-`0>$S#a<&#wp51WITeUPtmCm%hUVB)we({=l}Y7T zPt{IDV6gj6@q*4l(0)<)M3&1~7nog<6*k;1pfZt_95-U+ABHmJAgpc&7c0-wdAl{w zvQ9CsqBzAI#slYQ#zfR7CL%sD%zGlt{FrjgoDZer-SZIE%%OVfJVC#dL%`0VWW6p(QDwPp#YE)FQe>U8Y##DVMr6G+RvxNnA{(5s z@(>Ou8^29x?Y)J!9@OQ1JmBO(ae7rq?WRmW2s9q*%~aWVDK0ebv(-LPi)Uel3*DhU zc#~Da)gB}Y5A`;YElLYE3w+3Xa;gB>$3(UQzT>sH{eIfghp)Ex5i$Q+R4e7v6RzK`F1vR8qvc?i4r&_e2YZt_Uf;bu~RvHjVS@(zilNsHpXo)lv}m zx$T(1));u#H}M9n+MYfYxv5O8_l-Q=De6Cy2~KB)rd$j>H%)KEsL%ugY)MNl*n4jK zSeLil4#?@?Uz!W0(g^+5)aVIDP0-{zf8xP7w=L$qsgqxdnmM|IyrSl5&MusLhbam= zYjJKnQ`BOgbE(KpHAO8Q-Of!jMYlO3klWszD{AG4KyC+9L>0>M#@;KK?c8*x#1ZRt z3hW{Cl~sf)-4w}1pQ5&Gq>1;PjmU;RFSn|Q_1cQv<-hYw%M+;I*~n&lnW8&%m$wW4 zPV-VJ{~b48A?RZ+1dQB4H8_3}wYiX7a|dhG2t}?vn3H?v-p|zfC-z-QWuKT_d#uRC zKFl4Gg18q{Q5E(ke1l9;n#=`4-`X2hxr0qn2j{qud%r2_nC6ZfxkF4*CqkX#eTv8p6LBI~EC*-eHi>a1v>2IP2C?ix_05? zc$_<-O5cXuiTFG*hG|ye+wgmoduwsLZ^KXS<{&_w^%>`)Zi1`vrYK!}8*(R@qVBSW zCz_%j9A-1phPR#|?Fw7U+T8m-5%(}tP1yYxU$oTXBB?&>VY?S>&1#iW-|E5M2D{IN zmrm{@l|@9iX(7twE{>H4a^Vh?yF}#y9Koz4d2Fx>S8^T#TjZ|&eg+5suql!-F-5%t zL4XAw!puIhCm%6IefP_pTvm-Xq}ilVn%Z7L$Q!1SJ%C^ z>>pu$!SUEc1t0z+s`MuK^v%j$XNn|#Zbs1n0U3Gs5VjWHZqSRvjhJf0P%eP9yW%3~ z>K!BQG`gN0Zp@_ok7CVXD69@QX{yhd26vO_9Bu?sDDVCh-50#TV-NC*2BkSf9&T)k z20KI^Zeoh=FD0}sL+MAr5DpWDwxV0``;Y2WZc_TCku_fe0de)zk@g4=b%#NH<(5#< z(BP$1Le|!_D#~DYw>dYZW2+RqQ8y*-yj0@i*y<>~S5UBWSiO~ZbuF6f!cFQd7)5R1$sPtpFCn-p(-NFS)|Xq-g*+JeA33(v04lb(s0n zVr}Tp!@ub;JPi&%j@GawwEij6VJ#sUVArf72T`OU2LkSUt7L{K!{6w!a?cpG>CLIgg%h$*ob zv13S1%6Fr(g;jNk`fMEXrrZ$9D4HRiAa5o+X^Tf#U`ad-7JK;d8qf&W3n$}=lzq)8 z0jAah<{r|+EtgJcM9j(oaNJG%~OYU5`xl_=w2_>p8S@cym_PKcCE~ z6$nBv68zm`1Z1-*TA=%KVeq-W1O#~x^JsfK)hhvSdK1F>o5|JhON+8MG5j~{rywZS zH+8-5V_M$?3~x5TOeOCJ5-ob(OpaGvxy@zKQ&JB=y_sx^9ue#;&UDye-lGmXZ`L6$l)u>kRBkCjO|$cJB6fNLH_uNdT(*Cl0Tq0$9T`Lc>$N9>Pb4dXJA_it0lO#a zM>P@At-s6G=vePA6lmz5W;!u$cGihT35f-}=v)ti(upR1g)ZJNZU^YIn5vVU;Q!$j zpm|qXM1WBGOHUySUfOT^UfR~vHVstl>m`t;uFl0bm=WHbw2tGDizf*+VLyOw=gk#Nahfm!^wqgg zCcJh8Xjg{z0P$l+5&AI)f6$qItuRFzVzy|#fP%b@b~$$Qeme$bwlGz{9Hm2BI!0)$ zr5*lu49C0$bV^)SiZ)A!TTIaw`sN%@_p+KNWgV%*l<;*C6_iQ3U4K$oeCMOH0-a4( zb98v5jwzC>Jw;nxf5^%y+Q$CyJVs1#2W{LbV@ejHGPvXso=AO9atsDnTAa}EJ5Vbr z5$j2Ai*Vvg7-#DULr%D+0*-Be-yz9>d2rPYz7xq&K0-k>G+FX4iTXp zY;rJ@USjYa(e1-q-gnaR5htx9_qRl}Ef}SX^HM#SH~r$ZMqNnr4a{E4m&s#Z3fV(@n9l zTfVn1pDqk9Qac%A>F-9PM;#X6d#sJ;hvUaaT}J zF?&LZ@f7pp4rQS0#=VoW{-Yy31!JxNxyLz!hbI6BM?0C~W&&9AOy@+#JbJktx3_uz zql?cQ@LQe_e#DRfDc`nsw11$ z-;iEquDG076$0!7;2OEvZ_kvsoz zS6cR-_9%v@gX|D{_Gr35)Je~W7NXa9#5mf`6yG6uZYKbB!@fy%Ze>T)O>r7gez*7M zekcvHb+mhRTPNx~?aDiD8t>!v=YH%Q^bG13>pWfD!TESGucMuU0p7oEK$#v)HJSms zmAT?FUcXB_-hbV|E_;|_d77xWv-H@*WEOYfAn);hj1zGl#NJaQ6@!+{{FtZN zyd+cHHBAQ5jm-=UrT=6&J{TqqL8Ub-T^?{BD5Yaktu4h>RLwiuT{(ZTYR!1lul2rg%N2xsQ&%Cap0doF2z$Ap!boxo1Re{j?BS@$%47|z#CD}` zW-DnjTqkL_pH%0jmB9l|-au1)uMX!v$4;0x$m(nHRLlDii}N5$VlLq0^B!fY36>cA%9U0u zsjc2gD`<|`c+?a>;5Kxic$kZR#k>dE=TPrpa}-(0R0Sba3^?;J%`mQbWf_7ZlGU6s z!d%{}IE!E&w9R>|V=RIcg!5LKVhxd7Ji=KKm^U)Vsm}6Nnc`7Sb(Xi<6ptpvxXMKN zSWX}cT!vjX`*9@7g9M!SMBE7^!=6B*(Ag50qD&l5sn*K_pUPXyfG=XM+|T1r-V>%+ zt~?cw5nUeh#tA@cP4W0rLi7L}q}T#fJb9Z$m#2F$lf62KMVF@#b3r*|*?Ow!vGCyZ znYY=GKv2(;jxBsX?$BBSw#gJv&;>P7FonEHqOQ%`Y>FonuwmZspvKH2Ql3gE$tx_5 z(*2Gx7V@4l#Txducp6)I(EH+VpggC?F(nqyr&&HCL_dh)Xz27f@FDR$Ddvr(<9MRx zIV_CR%b3(C@2VyWm9Af`VTLL(S5fAT+ON|fsi&9oRn#0f?|fYsVY! zlf30L7WnCv(Z_x)#jJvaJjZwsrq-&kR<9gd6S*j)75Or|GaHS|-KFBSY-K#|1U{3L^==YV6&$3$EJ3?mP#g`t z3o%pfAQi7?J9v`jBm~}fZ(|bEQb;RiM5(Bl-}MXU+)A0QqQ*4C^i>g`>tuJ}=-t~) zvBbnJ-YAzBn74_LO!PjOfg(Ma67M zt<3FosCMh1B9TAJln9Z_DY5z=ToAhk;s4#yOx3un$2EAZDcc)uN@`~~T$Lmd4^wfs z7X<%Zkj{4}SMRK@P1@yoke6HDooq_Pt)|4vuhX!~aRz!9U;4mQ~NC_jyu3+r*Se43`okJv1@9N>bRv4DUUxhqLXN5;ITjBE#>( z7Pb)`l6gdcq2`z4E19@-+!!{-?JN;z+r?T$-fK$W+L;n@ZYgOdrWxk75J2&>xi!u3 z#hk2P&Qr_5Ebmv)G@V7>*}jR-gbH^QHPAzx?U#ag=;4lKjU{Knkk0mp!3owAfv|5Z zgum)U2>Y6n+jJqda^?}{wV@jWzxOjGw>$gD+5V=aHL*I|VP#$ITcJhFBfnfv^9XT- zihMIC>z7+~lymEmX#H{oLxQ72ZhhFof?}q$D2OK7kJ0&pa@9N*-?oflvF(1J$bSE_3R{5au;D+;_V!P;$SOhCnuJeqAS}1M-`qcE?OI3g=f*Ra|C+_uen=y z@&Kp9W1e+tS?ayM7Dc8o)ocQ6m$tkcuj^6A`?@8)Q!rkMo?CLS^f<+o+;^ITeAN4R zbyC)Q;KlEaPE5mQ>WKohH~8^tjNv`7V7alAQ!<#XEDNRo0qp4<3>4?;Dogh(-W+~F zKV*`c^Qh#ty$yBVxq3|E%QHY>1b6!bFBP4uYf4lL zQ!>OI=$JP&Gx+nn_}w!l8Ns((@Mk?!@&E_1Je0mK9sgtfpPQgE>2ztivP`O@u*~_3 zFP_wz{!uiPzCVCWtk!cARgE`8n}aM!DgPYS>bXgAs*`hAaOWmRRVVw>2_$yRFkLne z!j&+#ld?tl$w&{6B{UJkm-3=O%;4GHUMe6hoYc=PW~$=gpb%Ip zj^gZNu_+lZG-?9d#VxIuz&_4B&Gdhqq#N6{qWCnwG>9NSjr}oE`j`~Fv7OyMZAvCv z>1Pd|Cj;E)A#0s)Ah8!51Z(vFgKE_%JO04h8V_q0k_B@!& z`L>A<96qM4o<2+}dcLhGnWp6bAtK^&IOp*LR_yt7m3p;i<;y$zg=#(T4J-p!vE{yY z8F&{AN98}ZK^~UK`R*-y-l&bduXtX`!|FYcv(fn;O`$+@8Wy|O&-X-T&!{p6=Y$uU zA`54m^SyM_Dmr-6^7}kApHDX>;;&OOBlyNVmlY_P8T?}#{_bu{W(D&m@@EfI0yi1A z(9icYC36rclk)%hUZ!NOJ)1n?J1QpIJfgFOlFDbW=gDX2Cv$jU4{=h z&79w;iZ~6(krq8`i>e|H$g|Y;oX3$xv@|77OM}a6yE-Oi{R(*dRZ8Nsi&8o#!=N}u z`>PaFB6^gPWfu3FLg^)K?b{ZJ>gK8>+E?+7m9)k4CMC;tSFIr0HhX(vpZV2&Oo?}u zau5g5UK&Dvb)P9&sk>?wo7oadzp?IX-A-Di@^;cMPMo^2jsQuZ-jX$J=t=JnV1^%p zRDC$83RbRDFw`~jhq{31L&&_3$#Sr2o2}l-;a^wNfL+`thr=9RBFdVQwP6CW&HJ}* zXcHRe4>u*AC56E$)9e;@#dQnceKX(v5X9@l%{TGgOppqyXg}P-6tpT@QWc!cry&Qt z@xvz*>F2V#;>%VhC~F&tI{x3NPyTR|DG`lPpk%%5eP(WOT>Hb#retF$r=9(9iz(UU zoDe^J(v)oO7tHF4W&7b)Q^Fv<$De}64bs8|P>KuwGugV#D{U!<64_E&_0Z6TvEUHm z!Bb+dEIsXo??L`trYe}3V%FJFEM{GKL7gJjveSDo;tO+4iM}^o@|292nNQof-0rrqlZkpy?r2k`-J`@eZ4v8b%g?57AdfERXu^GLkkql;c6ZlP2y|K<@> zDsDcd^#kt0F7q0&%Q_UI{@6){s1tR)GO*p>ZevP(B8kPZJ!`wdde$!Mi7tP;&6LU= zsf^Ob?ySwcChXGlz6+7`qnS)KTG>1$yW(zPs|O88f;}aRS7qE|MBr85rJLACGfk-k zl_^behh${slr|+K^+M^d+=()?ndD5Nr#&z&`aJS{>jIN2<{fc=g^I_IW}DLH!Xrw9 zY%$sUG5Fv|bC{|_pMTnP#YKMi`?m+WA1~t==9p3q7*pEP9VeN08+)wpz1SK>Kqo$$ zn>f&^0xscPJtA8eA(@R)g!8G&X5ZxUQmAiSp`BjJ?Ul zTB6WH5iaxy7r+yyRCHIRozvXmm3dt_q9$G*Hs{Afm=fdAkxMc#^d8Kci2;2)1e4xP zMwHHG3_^s$&iFAf^RfSqK-Gj+zxdW!S24yqOEtpBtD~&nS!X|9gWNU#kryGr{I6)E0JIP!lCw)pWgiRE@3eFo{eJLtILdNx*$hYOw7 z#B(u_lyx!S^z^}(Ca~vcOrW%%fZSiWJ2Fe}60^?5fGNG3;50=*T&O=HOQZL59?~TW znu-$5yc;NRu?bTZi&`Oe_D1@#xZ;kgL?d;OLsLY%XqXbX*J40fAet8;>0eB-H;}k~ ze+`}=d0G_98k&S_7`lNQcVV~}o0w7wK~p+Vp0j4&y-rNKXiVvSDK6U7#S~LIh{I~` zy@!Qyu{l#>5ne}?8J!1L{ANoW;dqa}K^L2w()%6O1EoU*?aUm?9)sRqD3&h_`YcZ#E zB7tn}eXjxXLC!9YZu&|l&n{8N32|47Yv+5s(|B>TDV>xipwVmRL>n&;;^{>QT^A=M z^xDxz+@iNz*%nsZ#xB9UM#SwTQ#!?Uky$!bmLT%z-gBZYg9?dE79Ugy`x@WxaDjh{ z9<02%?JY6Jvnm>{AEPmgu##B4op%VQ&5O{bT%1uIccP5Ua8?&*h>Q#uYPu`B0a+RO z&?b&&hAEw?TWXdIXT`kP>@d~3B8pi%c0iW$p+Fi4b|@9CK#sgPTaG-g3lc0V*ieqV zY05I}&av2b73m=l_d~@tDLq5WUxYMyagI=Wbi_G^*F@=Dnd5nae+Z-Vvz!OuMM#Hq z_c_s?bCCe_UF;j*aWjb#t%_zTx}%r`t&3 zX9KYgD!R%ngSP{-)x?~g%?lhC=b6$+bRHJVSdh15B!TS|8=Wi4U{Yi(on5r3qHPLp zbm?SpEDTmv^i-7P>2?qBBZE~HJstI3&_URXo9MfXAnF-yJRVUf(bfB3jt4f1i|Z1a z+;sdgyUZ58d6yAysSHI`JV-mM6L)p_f9G+@t}~@86;-Qb2_SEEDN)tU`xj{UE`g<9 zN~!8Zs_s!DSi*AU9HrFN!N6h)b(bn7|M z-TNN4$R)`4m%7(L1RC%@*yg3~vTfzYqoeV5+kP(Ucj0!4b$zWWvb>{ zcEiyK<|@jDJM$>9HO!Q%MyT{DC*?Bl>EZ0JPb|O!FDROBxV{eHk`@YZZGTMMaA*J* zPtL>n;L=1URe5T7-O4IYT}ak~U;(xj5&jE~wT*X!ki)$PcVZs$5g*#_9;`plYf_gc znljlDfwEdM806I^DE+DED5>i!I`HJk4rn?qfw*3pqgEcpWk!@}i+h-YmctW|6KGx4 zN;*f!qDj^-;ZS~QuG-~%iUNUX2jgsAnq$gvtIOJL$~-5vGOwQ7%$Mex@0KMK^t&Ay z6<0}x#9iRK4qkW(GVP_uVlVJz#9ZK`>@6h{UBPm$@t1Hqy7YJg(cOlCD4rT6jKlSy zu#04hQhM<;o&P9J1WgTI=WEcH9y4WfS1Y5ez6=t14P}szn=bmo!6>F0kkoV=G{hB`Q)Nu34UWp*k|=<@SpcUwywikB z<_b71b+jfI0B;3j~;wn z!pzog@CBpI=(0A>(p)gcl(lu1=7O=N><(H?Puv?yzdj!A%wlr#mW(7|gDu>wy3>Ud z<-AhW-Y->g5H91KS;ot`yhy>1=e*a)W1b3TnKIP|m8A*D$ZH=VZ1;J;fc#ppnklgo zU7>LX5eCX|rPUsyp)wdj+M*l^j)FBVh_=05P=Nhj@R-JE2wm>JZ^i#yn}VYqFCz3Pvp zRy*wqtEb?nR9}WYQzn6f%kFf_X=Lpx>&-5Pc>e>*BMe3yZdQ{`#i${!bnBk|(q@TA zWS~}7?^+EksSNN|lmi8Nhj4RIN;yx;PxP(0s3)Zc4FRLI>#?ABQr0IvC8-k^G~<7$ z4+u9iWqp*7^mRc)nAa~w#@5`F_2ULqQc@UVLAYmPUApc7D^l4p*}@`H{g_I^a8FYi2V zd2ndMQ6N0n1-}T<4fT^jwS_!YUNu%h=A1mkgW?apF#$1NBfSU@GG*%UQ}&?q_+;Kl zfqAg$R%XS(VdOiXj23Ur6A#+Z6rYTVlE|1jAsUB!yL+H{j$fY`jNcM>v$Oz9mM}T!@cP*3gI5k)n|nDQgueqg;rOPu5k5mC^KS{bYkLfR1r! z+!&>HJ{mJ!7h@UXNPCESBJnYAhT??Abt+(;_?MG9^%@fv+V@8??L1) zgpg4sl~5L(5;nbjQcxS3s?4Og5GBHkQG1g!yIg)>c=2DT|%C|x3Nk<|cB@=kR?88C!G zKFlZ)Ac%)bhX}tzS2!lbBgSYWmz?Ur{uX0J%PZJrk4S%uP1)je93yT{uyJ=4!{HcA z!wzOQ$WkE;VTIe`qJLTh%0ZD~Hl#?RxFWlGL@C^6%H&1svL!CY2C{O>9%c7ayf^1T zM-L5Eq<+Hd_&V(w79{p3g=5bl79`H;o78GV>YFkN$6E&P8ynSwd33-zG1dD4w0;pt z0Fl5v6OlG(unqn7q9HpX5JVzvD;?b^+y{Ta`!o?q z<*I%uTje+n^H#ek9g#MexHV2TjI=dnj}iW9-s`LhW*JGXku89S6j!|R)mX?B44x5T zi)je1QTrd(7lNmr2>5KIedWVk@bxs?KR|n2HtQ4aj1d82Tq_YXB4CW`1adIO_13EJ zkau)E8tuwdJ=?;~E8@?V7O7Tk_I$K4);1Y$c{@6u0CqKHa+j)XV}`TYGmm$vPD~G_ z7lDpOICS+%RFnBF6bjZQy@;BrNV+MLx1Y+MWQ#Mr3y}CDP)tR-S4$}DvZ4jul}}(n z4_$&InQufFxJ1%C-wgJxm#*4O^%5NKaE9AVOw&M;=D;UQE@Ie~S;A&|UF_ zCiEi@v-e)693jH2vZK7VGodrjy5!9EE>A|0JDF-W1-46D-VHe3Dg82UrAF>FfpYQF zDX%L%-f7A`Yv7vW{T`aX&!8Xp?DoVb2|eyRe~;e}K$D-{ZptMzaZY(MaWxl_Rod7q zn6JcW2r3<%;@jHO2EKgdaK&-hXuyW%c|X8#KI_Jm80inY(}dXEe{d(^>1Hy^8%i^c z*v$M;`diEK0o&uVbkz{Lnu%^i>lf=9Vg-Iz)1B{c2H` z4Bvt7?K226pN+QWzPX_XRX1k&#CNFw`fRi*zb!*>)RIl&Qccc7enm$3pH!!pRIE(F zV!8zD$$3bclwdsNx3fjOrSrwtP>u>LczOaa6f04ztjg3Ttt!(^x*ddGb>*{?pN)=+ zrCQ#;@r;fH1PRkq-bSvwa-?ku&SEdLXV%w=8MNOPM1mlSL2@i_r|3y#?IqrKVY_xi zaaT@>%vedswc#Y4;CEYa-td@gd72>EI^`_&-s9G`2Hew<%R73?hXD{<6$Y)zjc@M>RPlAdkeL_32ZmuRXsh6hKtmFXeKDa5gE6~;R zRUj*#IlOQ72}fZimM7v zO@sC-(EAx^36$(=`-D=My{m8)g8$VHP|4MX5|q~(z!!!it0PnEPA_GSow;L^5^yZ3 zx0>QZCnh+=*gJ~<($xZN%H`fzpuC^>l3YzQ<^6;2XK>){P5E7VW#MWEQ+{`F4{ z?P$seqy*n;$~HQga$fa1vC7-M692+jbamLRcVng%(02y4v|1!J=13aHL*>ua2bmJ- z+r7ik>s{rLhl{2%YZ?9-!4#EF&vS`@CSM)t*mkVemNz(dujBxRnR2;IR*tvAgB;&5 zZ1DS>lzsIIhSQfAG9r9w6gGMiK?9ogwvqTI&vqhOoxQ zW{EZ4^VmAq;7EV1Zek{-i^ZK{crVPAR>v$A)nKl7NV*2~0+G3j|4KCjgUK9p?21&Ei-kXJqoVaaGpxP}Ki z${%z{x>nDW4|h1ahCMQ3i9^!0`lj6C2yZ~q*!-7eQ5~Bvt~eY$?)@6_#5HWHYh9}l z&lE}SD7|Z=7I)LUA-eMMFa^;Al6uV#JT*euCWiOxbPlhpDIcx-drWZGMh*#iV}l=f z{MijM#yHO26W$kJ!v=A!4^vI1FWWDz)2EO}r>`#>>ziQug6NCD^#ShX!dUr)U^Xp} z$Sa?iA-L~r$|n&xyk7Mh&Z=MD8AYsi2J+1k>%8ZP*r7}{LM->7D;}$(z<`c`{cU}q7HO8n|KB#~Sff@t;6He+E^AhX zPof6YB-f!Pxha|qZ{UQoyXbeY4h9Lzsw;ciPDALqQJB*eiRa;2-ZQ=4#*{DB9ls=q z1|{>+Qo^>)`w>>{byz~K_pZvFMIp9W`w^d|zTVrEFP9;%uvo)2ov6mlU-_2%{iP8q z5ThBGptMEJlwTtNw-Z3!AYF-`>yl^9tm6-o%jqw?(s4b*l&_K=S0WOU8wN60a};<( z>Q`$}Xbh9AEJqqs>fdT%E%8z8YT7qi;yV8oUqiY+#+0v796qL$H9Hu+^FIq<394vOwKy5aVl=a1(370s#Nb>$= zF(6vORHFllLpcPv>nmIDn~Fzye2iyu{3Np1SIGDuVnBF}TWH;*{$(-8zrvJ@4k}Q- z&ACT0?`a+XN>l#S9zs_K5A+0M#^(!uoC|yhDUBI_l#bfD!go)`f4^_1^c@ERUxjTG z^}J9fVS;FIePfNpa!XWj#J;`>@7mZ`ir_$O`D0z*Xe#RTQ50-46;wf;Ob(^K)6BAh| zCI7^e4FyS)bdkgz=h#9c?*_E2H)=5@RszM-EW1W-)JE~z(7bg6(ef8b1{cYXAWGh- zB`6XfIw!8$MRJ4M)*H1ZMMDaTCmX}RvW1VLkZTBn zgSdm8Y!WyA=-@I0g+|7|uqt`zWQz9|P7pU5Gu22QatLUG@=aQII)Fo#j2}?xCb`jA z#t+xuU05T|#f#%_O!R!Q(m9kVTGXNJt>MH?V^fjh2uPr!soOxzYp%FyVk(+t6E{sM zwS2KgrIwS;ysM9(KE%Hpt*e)!goEAyhrQ7TZUhZtnTxgGak1ZM?JxGRvA&0goXGXX zW-D^(_(I!*5V`6GuRg+o;K}QXmTn{!x4C_Qyo%fR>qy#|idGy*b32f&{y^|R&l}^= zKszQ?DC+qjEy^|$iVfT`Qq#TF>$Z%Y9gl*V`^Y1(jV<*ps6hYLQ@2OF>&+YExG>w9 zinhYyD(-M+L*}*X6a2#*juXGBMLO96D1CN0GH@=x(LwbQ3imDb5fH3!#*>PCT&>w8 zS?l&K4hOew)}Cw0`_UDF>tHG*z)(epOm`?_UPplqKRXe&mR>e73e~`kj#UOSRQ?;l z=BamMI`A?T;X3QlBJ{Uu4BVM*@zK##bavC4QqhHt<6WK@I#%0{WviR8m7`;Sn;G4N zQ95SH*zKXzA5Ds3hI+mY?2>)lnsfkQEYOJx`1nb~t^*Kl;wV97c7YoLWS4(i8YQOw zc!gcu;f0>XIpoGxrs~;+w=+o#OW+Mu=YrUZg)d>2EBXX?Cb_(dzP~1%?Ys!+&F7%E zpVvxghVh}o(1Q_@gwH`5<&ITm1-)ucrh1>wMm`q6=dG&W>K0H}*k3-M&St}{OvPQY zL+=(ynKyua;2jbOC=TDXVy+0_;Aauu-uo1W?$0|hC3aki8_B1`{fR@K$g({wtJwoX}@1K;tqY0Dh3bbP7Bb|Ox|8}V;3RB!tl?-+5+=XQmYb^Jl zh_EpI9GWLoFcp&>NEsDVtSa2*O|@50?aygv32INoQ)!Lz?U)i=FEOJwkXP*3c44a>=jBsu>}?GVNwpQ zb61H&yRNy2-F0WFrxt^BDCx6z3}i~Eou-mLd&j*@P4PC1^HipaK6}S~Owb1ML@zpe zyJ4xL&)zYJ$*~lBy(oL{<|-^>C$?}p?lBeO2vsr1-5R!ebFKa!(3y&PF5(a%!J40M z_4fe4R4lOSd-P^17TOuR(+iD9i_@8EGGTAm)6zO$icKq{K-fn^rb66_Dxm#&8=*&R z9u+_*al0h57j6ZNkmeR_@^gGp+M*{3| zg{fE}!&({q*>(;U5s)fYaftoAx0>Pql}w4bzqV&3FnZWa>6;bM9N}Bd*xyQ1A%T7> zpwDrWggp8Xo$T-Z>lzeU#gzDn==yUz1w1;arPY}!%C_nT|8))fTV*O9SBySkg=(9} zYg;Gp^8R}XiagF#1+muwI#nUAFiCMC_U=Fecrd>IhQlttVx7pft%~*bVlMM4Hk@XM zcYAN$fih1p)o9hX(9*iBCC#jV;=F7RlBHU?cDGrU^%xNFJawBsQQgmRM6f|homGXRAI0vC;(|`LA zvND+TrDCmO1xCCtm!0(hQ|oOZ=t$y z@C&`L%3cQ9kID``Lj^seEsVj>zt18rhM3E;3ob)v#DEVrueP9SsJUD(_*%xhfV=jy4=5kY+z&YmhWzpQAX>++5fg6g82rFZ5 zt1GSowE|A6&#+fkvUjKZtIHJuLCbX0_kdTj2|a>LW-Y0~_^7%@Nr7Lqa*k8m&04aF z@Gmi!MPG9n?n6I>(~Zq*MVNa%&-s}Fkn*u;9KYN&^!*$(3yP<}%dYBaPY z07H!yeelNuyf4l6W-hnZ?bF7p%23B#zQZY)(6VWDneIU+hsWufD{Cl5*clP^1g_i1 z%!u7BBi)3&ur(@&`9)5<85!mM5n^uEGfXw%A$I)Pfu!v0KzrKbGakOKiX<&qT}=VvCJOs1(0dKFeN%Z zzeq&?pD)m^LmOh9!;CeJ&2+SRs|IupK^2^-$Z*{&)(fK zIzBC;%J@lfD3al0&#JO|vTWDWIpwhv2a~b!x z>1sVZ;*~Z9aQ8#&dv;sZ@w?D)Q(;}m+{TCchj?9hzW7zknJu=p@mr%L$b+Y z>5S?*5#64rgBScK&SRt^w?yWI(l5^g)B~CH#H47f{s`;p@d(Qx^_LN<7R{K;8E*WU zmmhHam3hNB=D8MPS@W?FE3ca8Al_3?7j?|KcM#97W?3wd7Mdhn7L7l_9PTmBV&cZ> z{0tko))(T6Q}&)_zVQIwvxnMaP+Ui&RIpKQC1&-Q>oJNsU$Ai%or7g#vdx?hu5V?R zwAP^S;Gy=!-6(T;j4qzBf;;4m+fU>@O!+Lwe-rcMBliF9KCFuy|GXy(^svHDw0#Ia zqP$ze!R6fPS6GVUGADlqlU|+mDfP`)XLW2OVOD5!^?0+Alb>rRe}=g%QFSg)g*Oo; z&}`&QBm9q6!#0*Vwy~#U0=7Xt5}H^ZH~BHES@z5dnS5W=sT0FC>NYMPfXVGn{N%tPOh@$ND)Md#39I56y?%?-OmYLYA!>m z;;6%1!4LB04d>|K>lb7Bh`zC;6n~8%$ae>diXIT;8k0Qj+31#+GIuVoVQzGfak=c- z;*aiei_0h%SMURZ%O?bv+oRLr7on4sMdmYhvAH#)PEwQYRIMd4U##tSx6Z=%Vv?;8 z1rO5WSLbYn^@Q(5KfJ|BL}WhH8z|erBq~$KBf$hKsZ13#atDWeThF?5fgJ=7^(Oi^ zn9FiE>+k@Zh6L#EzK<==mK5q zFvL81z?|G>*JqU9Xji^n?I~HHLv(yGA!6Jjd^!$8(cMX+!Y;N8hN8#hm<R4Pxr$fQl={Nc{k z4cygMh=PX-X|6)Dg)iNQuYR06oq&i!oV|1kxT@wB!m76<T@II3^JcrT+jbl%1I4o%7;e{+!#|ir49_!H zQnY(x@3Y#xres;C;87E^;w!PNQ}C#0EHKxA1IHCNJHPeZS?r`GmV%eVy;@Vz~B z?%)k#7my#`FUGOW%^mzfZmIt|1$Pij2IuP5 zKm=xeRR>1B&TVRCkWkRF$hmm7B~wfLqOJ`~l>O{&$hl2#ruY*9;pES~h@2MYioAvu zxYE_$YPNaZ+%!Df(p*W;aP#o&ZRSdMVy}(c{&G2A7d1~Gcvlu?$!9w;LA0my;f}}j zmV%x8=kCGoezudj(o^Q1ii=Ziy~7V88=}~=eXCuV5Va8bLQ0FAd-y?)rLVc7*PE`m z05vwRH&6bj5I*ykM5CRX^OtVd39Cdj5YQ5H9wiz&a;`P@0B;jc`AhAhM8hwF31X4< z-fkFEp9S%Kb~t$uwfm&gH^~Ba_3plxV*2jy2CsW6~46Mjg8K3H}m{->#ls z;ku63Qjuk@h*!$#D}!wOBbzsvWA5qz%Gnx~f_=<7FVa)pFsQaTXg;Mdha5P*zWG$T z_W=<4+ybVW>^C;&N~_6H2Z)m`BTQvKw~)!5vl(G3`?*C-4CoWErt;tiX|_vqWvE;3 zfh!p{z!VxbS01ndrqF`9GR(aRi^}H8gB)>pZ~t<%1#17?%Ic*EyCGa*Rg3Ij&YnT} zhr6CLu8gqJTx_1*5Ix{3)(&MhG1b7#?BSV9DJ`BC+=i~YJ@F)CZSbAT>~E#XBt+xv z#J~3l&p#@EpW9@vj1oF9I@3OMY4gSir1(6R$mr?4wKyqzS8b-mZd$q;>?xRFxxzvn z-dc>#cGWgl)R*PT1lI%eCPvsrFYhQs_FYYxs@LmUe7nNY_(Iv=x~KN7#trN+8V@>% z#*+b)I(j#OYih1c5=>0C5qOxDab=poiO*9A=AD#wzxAM4%TD!1w3a2P-GQm5gcHvL zW$)^k(y0rm4?_lJ?*hHv)k#z;0%M0LjNqf$v_J3aV6MpZqrjCJ0wnTg3ydAjm6=Oy zm>{%fuFzTK6e3~P0>BF^g{#u_z*99&1wYO}sYQO2pi_PAIG19anU-$$<4c#>-+B}( z05<#o8T%6WsE#A=kw)_*&X!;hAPx;64gu!AjIkNaeV^tw_i1xu?n`fGgyfK9G2YK{ zl1#(v z-Cf;P)m2?peT^nB;fcMD(LV-@m-2}zPpUP&P^<5+EGBpp)u-lQ-cL6Zka5cL+Ag z9A`FLA9e56#f^*jV>0~8XQ@wR5y_`pS(NbUl~1<`caXfD>K|{tO$aQb_ir1WOevjN zJodLIQf153r#p<5xl?Muw@0Xk304hwUcQ3fzoSp;Lr)|-FMSATivc}@^hx^d;WZi5 zk`?Mx**Wy-F22oEf7?wh!Q}i%$3jmqzh$JHXcrFlMlOs@GpH240{9o4vnqMM7p=f0vRATPdC5!kqgqHxv%i$M%D**WaUdCsHYGo=i*f)`7{>pZR%Bjpc}B0< zL=jcv`8{=^Y1U^)00IH8@>MC%rZO@w2i)okb#C!}oEo`7m#NQUeyn^oH>k*1$K0SJ zl)OsSn9VmenbIJ9^I~xYZt6o(Rg*<+n{K^F!wF{m%h$BN7PEwxu;TO=$u9mt|D@XA zYeTiKQ8lxn`)e4y-&&u?tS^%zmEaBN}ZqaO|J2Mt-02!njB$3 zO&h5Z-`Z*h%(Sk+gDHo#RsL{ps>8ty13ZLd_P6GvcO9o;qLcl_hw%H9C!<`)+zM&c z;)T=Fx8Rr@PDDQYt3!}_1_kwm$%PZ4VCM|ac^nb(-!KC&oYa5P-~KCIiAoQv8aY6w zroC?GEbLWJU+bug=3CI7pJ#o~7Rv`S6+{$lYgRlnKOIq1mw{juY z{$ZJqG=_HV%6claRre$9uSw|3KnxNVdIEsUX{&-}j|y=p3}6|B=5gBq2fTEVw4jh% z5Ui2e0YbD7;kU^8a>u)A1kKc~m&1LzBTj^=kyC7Iim2>j>-JyJb)`W#+$P|L1!dQf z0ipX3aueH7wd{-aH8`lxgwelsfC1C`I1&bbJFH0L z1RV*3v_;@AqWw4%27ge%nFW|;nDm}7`{?t_3OoT%hLk1h;9RvQsqex%y`+pIZ0MTY z1#yigCs409-9?vkR%7dRyaJ04k~TU7@dJw%x^p6~ATH6=)Ao@_Zu5KGp42jIMwcPpu*4rgNy{W@&218b0AFLp*_*IP@CwsnT zJb_uku?>kqn<9Far1<^*C;`QOscYi->j8>o$pkmW#U)2#xJzf5MWR(j9mhm#aLl;p zlcLZ=nV%GS-tTXJL219j|9*e!5nWZyy{5lR;8-?&n+S%kq%(d(`%v#MEv$Q_X0Ni| z*@QxHiY^tU9##!1T8HW>1J0dvTB2?!d>j8e*hvUbs%Db#;r^hs0pA{=8du};Ex2%( z5Wu@sOkwlSTkSbKOCh=SPP^u_6aYtc7ONVGJCxLzI`M4^)rk|>5&};KqX3Nx#UCR; ze`y%KElwJh#j6yGmK>=<(I4Yd5~Qn|sb*Y%kVDb*Z5ma-7M?3iiA(p6I&<|TL1(65KAucURX-Sw0wK{w$NC20p8o3Lp zX0{GNue0pOVOCs%TXyL{YT4LoMlL-VB0B*(plaqY`_I?RB?7Fsi*M~m0-`UM4x42V zPSNJeAWTAuJJ9HY=7eec+-w8Oz0pnU3!Tk9VmfJGj2qR~DBq%`&6f_V8WSd|nJ*dx z-xgfpOWSmYg`zR=oo-5c9t&3fOq%RCy=KA67NLX4bHaiZgN?5B&x&e2u4ezsW9q9ub;LPJAyjQG-*7&DQT=qFm0SH??QYEfS-{|GR83=5n4YsaY;H zuOKj6?BWUxQGnWgU z1HqF$U1vrD+6fj_1r2PMd#Re0($ZCYc%1%*>$lI%ru5#bW(_muav`u?Z1I4D9nzy2WoJ%6WM>y=HD_w6K|mcM8&69zich2<_r) z7(_0Qq}PBmQU-9=w|60hDjb#SG`MC&_-Z@i7Ziw`5mU3i#E<3@=J>e5|2FOGx;$Lf zY~&qNmq%cn+vLB7qh(ONs@d%S_AY`jO4V$kZLH64vx|QR)8@Nb^vYTf?wYA=JwqqW zkl!r_(C=ocnr+4&)xi}kCb|lD@w)?!!Ix!kGui;2MP+-W4Is;+QjBa~2F5Fap5H-1 zukX53**mRw#^HlSZ*IzYUDGCQ1HLm3*nQVhH4+c0*+ob`g1cIK;5&@#?}~A4>=5UO zmITo>5H*%h;pTUgQZ>7!+wIXh@KNguG*<=sRYkkhD$qe%tH3M!(Xfhkszy%FJzukr zTDB|6JTOI20;?#Bzk~Kxbf8zxh}>@IsQrQO(B^#PF#gRN7n%;`Z~ z>wqZ02_f-Gg0tUxA8MGvr14BlTbvUjC3|uR29YXq2N+WF36b*AP%SY8=sKqw)Az@6 zBWvV<-Wo)5KEMs*fS_v5Xnz2|YCY@@;Ad`a24Qi4{eg-OMo=?dUhol-fI$8Ly8{&+ zw9vZ#fE*F|{6T$0BqOZdN30+FK0@jhP=pmqbc#b)ckmGZ(NN0uAGx6GKPGxYF77lY zeBVoGDpf0YVFzood9*Z6(f^dIDzrV?3e!@4)x*&Nz?N zY&3mYF~M*qVRoKc_Dw`_D(*FjSU3XyJR4Pff|Pf~0;k@Sb|;#!uUP0= z?2%b|9o#K^H3MH483dBC101YX`w>jk3g>dDEZdk%kQgjmK@6{AnH#Ke6!x80eAz!9 zkHqC@Dz)geRX^cwO8s1Dbg5R)^%I~iYJ0Pgm(&(g*)!IYC+?=S7m~|9d4kFY#OAK0 zpv4uB^5sCW+9GarZGS48Y2E&x z4QZk!#Zog6hUg$4RW0itY6ntjul4ShyQ!xuOks9*-`zrG<*J_AVv3Pm$+DUj-c1=C z8JvW2(?TjcSXw=VM$;=k>w0c#HYJz6o=atA*+fb0Jyh1R-d>iPO+%9EeR~;|9cD;9 zoXTcf&m2t6rsT5E9Hg=%OxclCHpjZ8QnQI=%dqK^LRn9(9Q;u`n##7Z;;Yke$p|KM zDZZL2mcd@3v9h}!X*N$sqkwoT2X?r@!WPQE9)3aYVV^)cd*U}QZp&J?D+tdon#1oKb7rh{V&Kc0-K-b zG;;|@CgJSRSHrDoHVB$T6*sO$`>d8TGlXX{g_ zEd-p1T(BbD2uE_+Pqo?-&%UH~HZ|LC#qUYYrU#Sijo(A{&M~AWXZ}hT>ksWyvnjdk zAKFt{<6hU!r?UCh*B?&JCf=)S*!1;>QPxwtKoF*LG_G{D-cJ`FHXD7JP482DxK<9l zsHLR@SGrjrKpgfVE}TFtc50_3A^d@s2l38pmue<;xBl2WHItId{;@aJyG+{rkY-YW z^>UZgOq!8Y@5^1NtoY_7wd9;%>0w=&o|;L?Wv@)9vMUXfR#DlW)|1((ndDp`1Y+T+ z?rbV+HcZs6p|ZWKpF;8I$ei1=^h^eqHB9;`=^an)T0xku)Vb2zde)mpmUyOHPlBN0 zXT4Ohc(=9dscfMYgD|9Ik}1og6w}hMLF(P8nG~>Um!xLWtRzC$E}?oiN!iVsNqsCk z{8(x(B^O3EDlJP7N@}-Kv-?_?r=(_6QBuv9r%+jQEJZE(&{z6lk>}pjd`d2iY*c!O zVKVKfxKe~gO>0tfs=uz&zpSx@azL6{DWxPlXT9*4C>0g(jF#j^~1rDoQ8$VXMn5fQZ~sPsM7d6-)% zCCU_Li8`|R5kKZ@k}XS=cVE!t>~H5ylPfDdWH&TnZo2qTp4Bkrf+Iy z**$dChFibsi^85daY*a3sqknkj>0LKC8do_#i?{%4%4iz4Hd?^E(min4;o)(j+c6IryJT=*tw?Yj0-a|g|>an=`hr{PwgBzk{gHx=&4g-hxb zwR^nvi!>&mom}`AWb@UToep(*RCt1AhoC)E8GUxL2Zel8yp!QpXDWWLbqV%$s-a3s z8*W`9``T0I7qq)j;fdC-3(|3GfS}E?_3HwvI$sKRrNZ}FKef_v%M@nW`l&^QyGh~h znp=~sFQpl+>|{siOEg^76-eP8np^iHx&^0mjwd65*Aiq&E{%Lt+?h{zHr0v>W4#I7PE=(;UbCPI|j8wT? zhf=3K<|)>%VAZ4+5mTCp{wuO;>cnNP>#vFapcOluMnqO5_43$ZDl8sz-9SzBsn)MI zrV)|k!oS`~g~eqqsVgR$O|z~JO+E714<*%nbtn}cYzR)%`B$b}znPbYUCD)iGmi=n zHHEd)Jj1&EIgH4Z>{_m?HWGq-DDJ5nCj8QV^Gxf%)~90^7dHy+zt&UbGW%Yq9p_or zEnhlrElWcCmX8XH=Ug{hb8EI$zakB{k_*?bpu*xh*NxTOnqz%qZ5nPR+w9+1ONGUE zE~y(&1fOd)97)5i>WH3#X7ed-{!XN)2+dy?V*y%8 zop{o9+L6WrqT8Q$y(@EaX}3h11RWqo0RXC#srS13X(spzmJNOTkiG!2XrYmj7K^k@ zf(G;LLj>gk?g!`Vw1172LjQN7xx;LpVO}#g-T6*f{ojcMB^Z?Mq&;n1Mp-rGuI!^- zAW&LtqcsV7qNi#Kff1j(PW#-8L7M+Lc~_=h7YmpcGp{CrN%KD^366N*b<-(+ePs#a z*Ts6@;GBirr@)csacj4;0jcj76Op&gYJXV7PPIp2xh$WL$<7=CM)9gAXq9yI(uC16_c+azdy|2#-w z#CfmN&ihKc_zN=(yX~$5rUk#96phvwW)K{48uxwB3fZu1033XV$(x`LL@ zJL?G2GQ;zSi03%ecq#d(=I+1rd(fYxGv`frMiPJ zNzAV=r3T6Dc-<<^_qCX({SER zAPW8Cebk<{hVwcOunsFk^9<*mxttcPcTzO(|CmRBBqmU&V**$?^7s~q_r@D<$$OK+ zU3v?_*&u?U??SnPRU2Q28iePBrD@J?spi5iDZ=`%Yei^oK&jhIoX5?4f9~jT-l(b; zoaY4L{GU6bJtcJ#HmK841Gi=@E}KNrx7;TJ;<5 zrkr=>C@|55qEGcSHBnM0p@ljfTG(d2Ki#|2yEE^FoE*7F5Boy!foDkl?_((|PS&j3 zqxXI6RCRlaE!(YMcRlvj0!bshLsQg=jGLLZM33XfR-(9vrb z;%Qb3eGR45m=k(QNvaN3B0i^V$RPG%HJ=5?e@rcb)LdR4x1yZlJRV zs=5;ldLU{!$t}dkQ&h_?>yr*%yBLpN{(;!oT@>%*z?Ck|2y0QPHJH(-Nd6&EA%J>r znwF!+QMdlUE1k+u$e(gF4_W~HhNyZR#d?Ucs(S2d_z)X)>1U?@wXOUM+Zuk-n}25c ze>jkT`TX&K{#8lU- zi-+2DNO#i=ubn~56JPl}RN|q@7^oYYK6XvGwVm{hC*zLJVb#s!4C*;;1GXYScx zLOu&ehI*yGtG{j@_==CTV)x2nccy3Z!Ys2Ot%P*3-pEqlopRxZGIu^`r5Rk1$*OPX zZCZdw-z8XL3KjV4vEr<0fvWF8#c>%K73ZLWDIPS%H+!2lI~Nmhr9HX)W>w#78v(%8 zV~(9@%Igt~v+L~#SL8M^J+;7@WWdy!&e!XB!wH7OAx~q!WOxFmTu;2VAX1ZQ`idbfJlCW%|t;uU#>LURmmwpKSWr;ZsfqefO&=?;#UHYQgmWZ`OcS z6%$l_KR=4d@1iZ#M%=CCki?WGw&C+$ul>EA^vca>A+roIfYlAfrO*MA%=c=AoC!Xx zq+){h_kXk(wSBLrsvm%hAu#0V-vg;)(vnxHvtG@he=_MG3Y1>WqJPAqXVRH==^bo^ zuWj<$FKlpDZ*(!;h?ZtVCLwL3Ny~CpN;oYVR8G)w=~HPAa&9*{J*Emm4H>d=Ap+6% zgcl_cQ=KEgRm;q$M`I z!}AjXqqiMe^~)Ul^n!wgT!nqQy@S*}q=&Ay!}1sVg(9>38d4}w`Ulu0dO``Q^GfnHgg0rgMW?*zOm!_o(^@Ox1hT`TEIJcOKWx z`pRx6b)#zXor&bHb*Got2b!v>t25e?s?TGR4#>MZxauHR{aSa7MN_11{89fPRo#iJ zmh&~*OVt4v?bl!hy*5?OKsR;6yfJlivc86ivDOS>tUdKprS7S!ewwKozjZ9-YG{dfo0Q zXeTK4*Zp4mb$?pd_Z`aM_67w(X9l)9~?>IC_EeKM+^oL0Uh zIh}mXld55;%s2A&I{sKdXyucY=Jqz72J6ODA8FnohnJ8nm~m`b7q9{HBP^ z)vjE%$XA|JogiOtz?6TZLt6QghX{-+)Sd?${XWVJwjzgOR&x(m2&Enh4?Y%7WA-pKOWH?l;$jK)f|P1czHb0bUD({72YaxJD^cg{t35AOj4NJCMO#`VWJA6d)g!4wMS>Z3g6{ zs(!oHKz$gdj>sC2C9LupB;3avXG8;~0=dJ0JOdHiNzLrbAX&r=Op!1R^Ucm)`_0ZQ zVyQqr5)_zkc2@Ni5xUxsL8k9UZ^Gk#b6_joXqN#wP}NhQ=xPyzOy7;(ybmDnYo#0E z{#(tTH}6yRd$pAHXPC{T%RE(A!rGl6dWONIG9(~aI-sOtAqGjVzpjS%U((VLqA zb8{=*=zwV^yenGBa&;hsOy7;(JOYqMTIoiQ8IVU*{UP0r1~JT}ZbYWF=z_zVJh)_w zaA`&!lj(f@5o+CF&eW{;X!A#Rp0_r7?YA~I>pYH0dDL{Bw>GMJS^{$w_h-4oyXlR* z>EvI=0Mr(G$@!-(-`w1i`s4n883Pymtu3nl1OXY!KvI(I>4RST9RzpY86p%j(RPXI zeyy*Qu2px^#DNv+gVdq)>YdHh20wA1cpxezt)$3 zdg@R6Lw(TVcZRC^GyeZTkduBkcr@MbNuWD7j+H-So7h821PwY5P^oWliKQ~zZR}kbyLzJf>n>i6zsv(CN5zkc(ZHkx^ z@jTVg)(^mxy_0HaM=_48V;D&-G6qL>=n2PLK6*v(8Z?MT8p&H0QZ4CkCY1t>gybza z{I{}YQzfBz3oi7n9Kt47cEXb*ajT8PY9kho#oW-r!`R*OsfLb>+$~ErC`Rs9wkm1J zW#n$VvvSzfe+0xb`;S@Q;w69&jfHW>*9Z&g2}gvRYU#=K@z{aQoZ9_?{~MR zpxl%e{Z7j(rzIR`r>&QUZc@V(b)%uX5NwKSpd&`FPN09ZuRD?JW%Xj_MFTpxL!A0- zEWN_*1YTw1{v-Rd@z}${lHAaPO~B8_s)n9g^6#T6v`>o*fE?Y+H6-eQ^9{Wz=L4LH zb+2g$Z%rf0-d;y9t6tOTT*4M^U|esnQwVn@t|!R9*glu+Tt&Z>6Jm-hyWyv zTwSV9(zR!0>XV5nlJvhUp|`n+kc4V6;AA@Z3rZC+dXfbGh66Q7tg%1e5U!7hwd!9M ztA@Ue2L0^EJ^wFDAmT;b_3^u*KQoek4$x!{T3;FFweNscch->HNf=iLlJ2;t#h5^n zhhn(XBno!NIrWr-U|>s7$9Vl;83r2MS)&>#40m-(_JdyguSXXl4eid3F2G;MBa12P z4PW-{zmCJ-C-`qILk}h}-0rT0ggB0}Z1acCuk+$fZ;UkDBgP1FsfJJEDv z?UKVt+=?UhoE|dD|JEKf??q_4(f)s%N>|fk?8`YEsS8PZ%@MnX+?o*xYz%kGt`P6s$rt; zgfpmqT2gWq=PNm~&TU{>$NpTR9A+wBoFaU8+Tb!`Qa~z!p1PmF%}&pC4Q~P9EfB6V zV>Q>smTGuFz%5V>I>I$46^T5qlsY9mu9Mlnf`BD=w+PKSZ0=`eoijkd;) zaH8QQly=UGg6*6^-h_EPcbuv{srVFi;*eW%Jq^6SVDmMFP2aI)!Of%QRWZ|L28dT~^Bf|Js) zGU)%HGnn&kzG_%Sm0^7nL6Py+kc99PR8~_itUaP!tf8E`66J3VWs@^+Bt~a|C1zWz zX^NFY1g<4b?ZRQ+(9IPN|2t-6WyB7=R*Z0T&?vM99V5b`p%m@r6SB$=0m!5DikV=# zFb+Evtk(O>4}n29A5{$-sO4BYMBtjWJP0z_#RRSjqF0q6e!T(bs=tj4t|~({Y@(wt zu3`-l!E4TH0!M@1dwu9N>eN|Y29avyH}yyDj|cj_zja7-0sy# zUF|FrunTpo*E$Vz=;jh`hqP;zYS=kK+O=9W&^f19vFwQ&!&=nobR^|^xPI?6YCG-v zU^H6uH##ccgT)7IP4D87_r24qVfPjudFl5assbybsETI2pL&rVTYm*c{Hm{m5CeRr zVK0xOzXAdG5tPjgrI~aDbm#d1YZBh?O>e<~uhH>&4%N&6&Z&7t+hhQzp8I})G(M*M z_?IJ$`~4qa*${xKh6CIP{Cv7WM`*NUZKd|$+!ex#)we@9cmBHJFy-2wL|(I=(CezB z2832To~t`JpNNLDJP+6jfsg8%cXBqC>G@*jNl>t~&>Jqxpx4-54wwYr_?W-65O<=N zWvKHFvUc#-4XHD(9}9`C0bGpV_I?82A&AWy>a^^O-sBQOq! z2tPi=ky-bQAksr9zc;aIyiiaEF~;`sqbPqgZPSjqO|wkXj;cmldUO?cQ|YFiaZQ{S zP5Xi;ebe?QHqBXYsl||p_JxD=rf3=Wb%z9votV;6(Tfhs0KKPFs)Q zgo=#cbqjX`<^gTej7-RelQ2y$C}El%~OZc401~q0- z3^E{DA|#sBpvdDf5&v8sz0Kw7PL@HjQ`!d5RJ74Gja>xAJk?02uU^GzCc6FpR!KyJ z)b01XoyW9aPK3USTfB6ZE{0Yf2Ss8r>XP+EG9DQe+3M6N607=%SD0(%3`V z-bXd|BzVWA?R{IszPNiOg}%b)`Z`vT(=ZeIlJ!cgdriXBm-vbsse5CfCLIvUw20EzpsEn56-daTlHZlKB?*7x)OVk?G+bDLFT5kZu-O`>;A3lsG2xdX_3pcTE_*k7$j$)Sxw$?`n3`=IA|N}f#-TKPU&~~e+=U#i>kRUZ_mCTN4U1uE_~dbk zbD@iC4Qqd~tvCEcfZl>pzoctsLyw3RKq`V(Cx)MZggntFpYHc=e!GrcjHS2XC;HOM zx3tQ|Hd=)ARXgD)FzP))qaIfKE_9ahYv^>aYxs#Gdgc0X$L=BP^p{7F(x2YEId8L_ zg?%_@@L>SG0@Cz4K&0zO!cPpOHy6$QoQySGKoWie7RM8VV62r$P@H7p>8-A4x}xB8 z++lvu20izQfNC7B*I0rGD%dyzD=+DDU)4BL-v9*URO6^3>}$!R??tL{wEve!=x2Y` zIOc-?`-t73wW@KfzSsn9Q;p;NpWs+Nv`IbPIG$LP#ViuRHJoI@Wgg8!_E`nrUh+zj z%c2s8MYEAQJOAk&Si)ff~GEmVzDMhS}+sm2G1LqLm!NHl`skVG%E6m0+s8wzG!Dw$(A zBvPP-Xd_ZLB0%J>dDk2wBI53f@DrQp&3)==T4au}t(FWZ*C#d$SBzf{8VZ58Aa#o{ z#ZZ{QX(51aeqyVDV+wD>mu-0$Mu{MG!l`@VukT1Whs&kxG>;+e2GuxSD7#TL&d_&S zpq(J+OhZm+_E|#0Evj*Lk1mlB0UfN~NwSY5{~b36G+UM+=_b;iu^y zqlkc$D57IXK9)}&89I5Ux#o+4zM6!v*q=C_d!Z9TaE5{>@aaSf-6AB!!0^OLNChpY zG$f=GJ2eSUA@LN0V+x+ehtqjOM_K6ScR($>)34CU|EXI_8qPN)gq^&=^v+|daiP!< zUISK3vCcRFdM*|kVgyq;tsOefZlTa)+(+$~T(=@!$-5b7uMT7cQ zb%%z$Mzpjy(hBG;xS$ouLF4fmJ#nE2QhT6NX%6g>WX%E66Bl~Yi(BorPizzg`54?| zFZ4osuL4}6gS}JSW3>!uRephL?~TB0w*aeQt;;V!&@U7UNW%kC+{A+m7=A7Umug(Yy5K@@Fkvk-;XkWUcv$0m?oIcP zLK^w#8wlxmDtBg<-t zXY-pFY2+m}_skl4#Z5Ber6*%$pn7I4GOR7=)|50*C8B&(P+qA#jmHeit5oB0L3y=m zJb^7>*nWYURO3leV9%^oji)p}lhz9x^b`2G2L<;e^Hbvn{qoG-g1+n0;s)LH%)Wvf z`H7}pV#`l^r0IK9;~8n~Ue)9=4BDrfXcf`5dnT+JcOyz0v`rqyaK3w#w zrYuJIVwP(1341R3RFfs_xoD}TY|S27*v#U##0;Y>!8UkvaTLAAc{kJv5RzIRFG6Wt z9PP)F1@6Ao^|U-*9D{^0`NSVr9-ZqSG)J6y~8ic^gB`up5$Ec=uLW!}eseO<;>cw%YiT3SXlaE+a%+Dta@5Pz;J~PEdt_ht3j?l$f1w~&bGn=&$ z4WEuSx(HY3;%wvWo6ZsB4BA4v2w&*p978{2WhRI~Q%51sbk(Fp8ZORIO}U0VGgVWb zN9Z$4HFXm5%vMdEO-Grd&NumqR|xc^osf~W!vDuCE-G1KM$zzOG3M4Um@<;u?t+QuYD{U<=?7?1yV!ODv zV8*1Zp(`?-UM~wTb+3nFyST65JS`QJPVaOK=KFqR*lz~1RD3Tm6xpSkdI&{!tEQf! zAND{*dI{U15&0r!)Tx>ZgNE<>RTD+xuF0Bt5s^`%AQJ5K3XeBj;Yn$ylfPJzL2o{J zj9ZF)E;CE4<>_I4Xd>0CFuWpkgbsTDq3nP_KY`t?-eN z&D-kPS*n0et+3KKOBGaSc7n51k%JF8##th(Q@ixnoLIESHEWDV-_%E_Sm6Ps`?B9z zk)fLUG0`hBRZ~$BcgYGU>;7WJS3p}2xWHz71+?`*w&E+GtOv0bUy-eDG!?TeRgt5b zbU;ovEJ%Fcu!tuBb%&{dw^Pv*Gl06wnGU199nB()W);2iXTjNVSyY1U&%z;?;T65p zx>6N|NH%_t!yye^JO35b2?A+csS22}6@8k!QWbsiwQm|%3J0EtE6n|-XY5+3wbO{0Ye{Z!K! z@uVt>R1+f|bpI0R@;g=2 z14QoL7|bNIwavMO~cW>+ToXn8vi-dnH_;A&#q+C6vNO;D5VD_Dp3ioG?kfKnGKFkWA0W$ zD@_;XR<==1Gm4nIm2FkiOqQj}cB*OCSD3q%?N!rkW^QE%^>ou5;;w8O5>vw{w1gmX zB|2Z_@N_}s$`ME%(Mk}x5}l`VWZEEdB~0GRQ8K0&ZfaT7!d3~LQ#o2Br$rFC5@v7Z znC3y`N|?HpV;Q804FRH#4OPO*tsIvaM6Mi5bH5}55V@fe%SKdo67JuOo4!e*-!Y?mzCMhhes(QbzURC9Gu~q(3F9wRrdsWkF z?U%@oEcQ!G1sr0f$kg|{&}-ZhhaL_&)^b?k`(0qZ(u%EXvK=dBpV9ycXkp74MY~fe zER+|Acrt>x0s|L(hhH45ufX7=A1O|R>oHtJ)=9+}Qr72E#YLp5UcARqRsHS48<-Dz zahNM{&KZ;tN0b#xHv4tGinv^#wh1buOWBe^9RpX;2mg{j%d?;-C^L|2EaWfPNF zyM~xz?Gp0;3Y+v__Zn;0p(fex0%tp;^{+4&cjy3>?0Mn<6@!G8>PCM4^$b9sG44w$ zkUJ$n^{;1C6Ro(qCflA`bSmut|iK9K6rk+X@mb!7{t+xdSmOvCE zUo<<>5{P23i{?0%fb@^O@HlkjI_gnP`&j)%Ve#%~^%Kp+2yuY*PZSpKK~XmtKbWzBCbR<}j?C4Rl zrYc66=qND?n2``5mysA{qNB}hvtcB3kT&U}&=Aov#w*d52F)i&G0a4Zn@{s*uIIxsV?7fWorUySLNg;_ zv+-?q3JGKHGK!!~bWR!;V0Jn>H;Dz9kdDqXEHK(OmTl-fL&b7Lm}aVAtPRh(MQ5p4 zTSME~D%MU$?dTj8YcB+yt708w)Q-+mv5rL0q&HEoO<+2&vGO#Pf|WB@MT3a&%T%nh^uLEx%rDct(d8=EMYPNc70cJ-X5w~7hg>B*c(AHR>?*o8rK;B& z0FkTc!|64^IwY@4uL0I0d3{<9umPzXT55oe_`ESq_k$f4-IUb*;B`hfx9EOd4LR4U zST_;vhe5;cqQKXwSb-tudKK$o6!-=e>nWt&sA9d00^g)!y@j-!RjiPD;@C8TtA~0f zE&S8y_LP#V9kwW3)aVXl!<+eE9T?K2hrb%#nRXO?1gVd-GKymQGKxb9czW0Q3;l!( zkEobgtP_1y#rhjA>{77-!iC)`HqdZkkBSWvF6>pYV&a1ASrzrUR7@FH-^T`PgGaWQ zvcY3=u_>-ub$yXHe0@<`DYy=$a(!`HDYy=;a(zi!DYy=ma(!t!DYy>ha(!6~DYy=; za{ZyyQg9tg<@)kODYy=$a(#s>1$HM2Zd_mKnA;h5+$o3H5D|{+i&ShVv*r3?u;m_R z%XR1-EV(D!*rmsWqj3%~BAdlhtTCBmxWD5Si zmxU!2{mI06bt1iKU7^@GHeg?!sLsdq8ZFtnE3S}XsJpoGRq*rGMJ-(+HuYi?f&vf( zpsm2y?&J1J@MU?+ZZwf{O(Lw`8uCTjONKvXb1(J1^_Xp4mS9IqJ+ue-nr!bC4`+x2EA!SjB0C4T|Uq+><0r&oHp0inGg9m>C%=MCS?^W%1U%;DUT zK)jy9y4eXSo$}8QOAd^>Q_43xBSU91J!isp66}w{biV1Qw_wK0N$X4!rf6c5IXr(e zPsM^}O8I6d6`R6c>t<&adysq9O+Oeom535#f(ru;Mi`f5R_f*e)HSo$)_{3A0i+2P4PeR%WGQ(*)%KDmL9gd7z5T5R?b0*i4zT zyjiSbvqa!-4py<*g#MJI!NZ`Rz|cu(!K7vkr9t85{qz=`e>TmO+sy}%{s1OcFneRB zUSR*aZg6al%%a_#q+)ZWx%aEsJmJs-;1KN)ye2!D({l(Sc5_h@hiLlfCRF9k#rd=X zA$f%=(^umFb$Ju&@=`h+pf7Ja`Z6VhpfhhSYmGq*gh3E+3MJ8;@=aJ)5=y!W4Y%0v z2O18cB<=a#goay6$jg>%5x5N^vzkBHqydSjf|FX6Wx@@Hf$rp572MP+U()27md7f1 zs#R92vujllx~gmlT(Z-}^dpI^g8N#PQ$R9pSWG^S6Y228mibF@r!*R#MR=Y-NCXUzMX`w59Qy>;ac3hQVG=QPS9B;aXLJL@^k7X@OQpRam)J z)x%Gx_9o9MS0PSY)ia+CiAW3_KpWAVJN1n!bfKzV1s7(}+FGNHN)m8Kpt}k)IluSN z@>kP00-Qk)32MTLd+Dv0I7pGZ-5gstn@;3Fyp3tusxm$)KYL z97YG;X4R|~3r(uva8}K3b)iWWoX)BitD-?C>KVG%!}F|au`W81@o+?|=9!T!72~%F zL1u!h+l3Q~jk>H0`!~UvH z8F{%3^;V6@OZAA9OOSbeZ8a>7>XGR~Y}M#j)d=vXo@}c|uc~(9`;L@2k(p|AuIe%A zoP%m~t?IGOoP%n_UaH5XaSo~xhN&K(=p0l}z?TVd4uT2G{Qoe?4TA)QWKP)G6Wc9B zt{$#pd;FJ|LW5P0P%*^i^i)9gNEM^Q-sv;C^nQubRHIKH5Nox1jEWuPC{6WP7_^VE zwOWnP%pndcR3kETSfVu56I4vEZY1q*2vCfs z8d6a`D}UaY=3^o;gtT5YbLf z5R2-0?o5oHI<3Y&f$I4J(#+;iWR6z)RxhA8Y1c;eLVQ~2b_pGr{KGnDLL^}Ufn&rT zGwehJ=(wUAj>6KHm>NAmi73PHLdHC{}hxrwkv^@jYF zTc$lX>rUr3`l@YQv~8cx(LqejPlPb45yD8ea2$Rjh*7<%6@DV5QN1}WKQWPBy`?!n zF?(LUH61^eNZ6sB%Q*lf9xn6nV*}~5aDxM?!+^FI> zg-frftlp&JCeT;CS;gBKer{3m_QKDtD&9f(xefg6DE!>6;&fNtwWOm<>{R?b3~Uak z=jRb5A4$*8qewoQ-|avv{5*y%$I|liI8u+d!p{>(Pqp)bF&Z6!WIM2<9+%ylAGcBG<9f~5d>-G$`!O)Jf6BYGKh6it ze2OqEgzujUW*=_m$N4JWSKEqms14hS4AQj~shRM3Kc=m8bWUYTko{!7@#6t1UPPpn z6KPtQAvy?2wEP$&{l{ZknQx5C7S4~ysQ3T^iR->-bCqz%j5e%231SQ$X6%9H+$o20 zD|{W2^!ajkwkqGmIw+C0N_@V;B)W^!ODORjxJ93@bi1o_O6MJ#)4?ei;m=o@qK|2) zte1?OeFW)`w49A0Z5lcID80?rOGeJ_!q;7?*Gopu?ndHncfDlf>>hmBV-Z(yn7yaf^%srV!bcBA%i7Gx>D^4^>#e-UJqA99ddGZ|SfAXe)M%gC1xy8Y7Rp?--<}jOp2pqE; znT+GeS^cJVbs}XRFWv-KCxUZX@Fu!CesAO-+NR_IcNR|^J2uId0-aQRmjCj;0DfYS z#j*9j#m;4rL&fLlu@{)D_*`~%fTxPj9LZ{WN_yRrlqU|cakh@TsN5vPh ztAqBb_+sLo92_OCj^Uo`>O{)g0h@LyTpi86vi3-AZ}xQ(_sTlZo8GsHlyyV~92=X` z7LJrDq|&*usduDD%5ssOo5mK7lu-lnl5M9*Stoq#l-hQRlyyd8=S15nQU-RF`Q1K1 z2e?H3A>ptHSB4z@iWBZN|H|5__%h~SS$o({4>AABI;i+^=3iMy6<@)&6A)GLmBPQW zTouPQNZOqa6jXdQ^RKLvimws=b%yPMQAy1g zN;Cfoky^;hF70ACJCQPUy|MtjndWCE@1COqu;U|hr-q6>t!+?gjDej!rnp^M?{UH5}=og zZxT-TQE`r_feH}AE!yh=$|}CqaJopvxA7DjkWumN!fDuWJ9r8WEmrZJ!s$UOu9uP} zAE;(HtyR5Vq!lR}g_ev;F}=*39*xw|ObFrh7Dq^;|6AVXB90%01Adgb<-NNb7D!#{X8dmXM;q+J)-*;YwdYp>y7fz2?aS1;G zJ@f#MKLHsP=kOCyQ1L^&NCPca@x#LDNh*FsFVc{+?%01ZoYww}_1a$GG?`wysVw0j z!uT=&YkNs{C#(2z?Ow>ybL?I)6mc&YN)VZY72E-Jv2h-t<9V6gckla zgdJ=B?qpfNot2Z7lhx}$Cl7`5>CQ8XUjK2g{)z<#&PS-n-_Gimlcn1F|G1a_Pq)(H zthv)Uz8qik@^#1b*TnL$^~e1-FUME*U*%0>IIiO9KO!S%h_`rRM&_MBz>}MS+Rww< z8TW;8l<$cjrplLMzsqyG!z=>J%s z^JSpsQ?ww~vMy!iSUHxyjy+V8qh~FF4?gw6kp~Vv7#%A$IytCb&>_5m4>G34$H|C% zz$a}!7jOTitU!*XJb4-)OLfxdSgNx}<6|kmM#oZJJ_0`3R;*V}c20J;B2N&9C<7nO zUVQ0=qgx0a4>R2#>lMh!R{5H}*{bXBQ8>rC{nwM4l_-t8xN=ZfD`m-mZo$@x0dMx5 z+zd);&PgQYnzZKJbBf{q?UTd~PdDLMcVQUzjWNf12*Y|3hHb2$`!&Z1Lye!Xt63Y9 zc;!^qk~_`Xn8XpMnE&T~&4gaUgxoSr*b|DyBX>@2IQt8bTYSGRpsobXJXrpU?2@w}V?^+%Br ze^u9jZ=xj$>DCkgDTXHL7fk^ zB~Ws}2uDy1EY$pa)bYFF8WvPl(ZYK&d>P-!{G^B+dTQ72h7+)oPzPxk9VBPVQ5$Ub z7+?X8@I1dl0~?k}3luO)WPE$aCq=n!crNzxK1$?UCUM2wGT%YR1vX&x`ESp!&?qRW zv|}!f1%wpIyPaeG(tlS=yOwn(Y7&t=@Sps@^y6mSP$#)lIn)L0U!5a{b{Ri6Wyaq0hWYCvx`8$?{4!D1vO5nUeh6;TjdFL^?xu>)2f?)kp6%7 zp#M)>bsjoj-^O7T=W=}qol(~zGtO50V6pGuB5*kib=DR7jFTzH0(vsSBRm;~gMJ;) zu#ej93xb{uhC=W=*u`}Vd?$P-^CXm@W?CI(eypX-ts1ru82kBqtW; zd=B$6`$36!d4%@aF&4>;Q_QGxU>I;7*GU`ctU? zE7S~y8#9jjY=x&A&`szV&1Hld7`czLO4JUQe`Gw>Q40zB#)ngVb}k;diuGF$`0PA9 z+ETy)+V4Du!*%ot_dqH8ov}WSZP;!V0jphnULSu+1dDizH z_SqBgbOUb^Xp+nv4dHta1HuFq8XJ%{jw1+i8VCnB3^O^l@t((L&%(pEkq-a~e_Ei$ z#3n5bhXp-MXFwk#=i_W!pN*a}TP3c_vOXN|vw`It+*iP6*n1ujW|j&~kh{1;<8^fiPoufi@w}joX=hAQlu0nVSg{^H8_a$zCHXC*@%7*l>w(rhrb3e$;`vaJK*%u^ zEPkedsQe%KeD*0k`nLNu*#??c`9JcZeWz6DL8Cb-+GWeJ4jig5(9FRGk%0mZtis6( zW)OkW(h%(kbQFP{CMZwU!9W$!x)34izo1 zuFd0iY74^S~q2IadJ8`-mX)u>O3QA!uvQwGo=r8Qr}Y0}3;ZFa2|g zazl?PI?X*l8iR`dLY_*lXjvvupM{yO&e4tg^I>k>eADe0=wXH0i}hL{B$j@Yv$;CgtGbq0z;M!KGJ$$CJTusEXcpam9LH$cLu@ zCK%>nOdYx#u&QrKDe4}&kTEn8Psul!lYv84LdE)S$gD!kg)b{~gh78=No^>!Zr{%G zg%qCrR7L~0h>Ql{iZx4F0px_iv|8ZNLGpIM`dNW5)CEsI84hkoX(60|@v{Pe=%PYv z1p-ByY}p^ls?(tY)rnd3QZ%p^9vOq(Av9oGtfmabX7YBnNV*$Gyqd$ND+n# z@vuNK+x~RI7YaBc<)|=-6eog~zlT{FlR8XQ^1PC#}|apGS;8|DiQ z(U!!|hRZLz@IN;ALPMPvy22`RJRsmbP74!KQPsS>wivnG>i>Fs zl*FfP(}<@1?81Ls?h84GmCXT_Zr?tlN5TMM^qsc8&;(OY)&eBQCTcoq9s@#=6&vg$ zzD!Ryc$wZND-QpAB4?LbOx&uIfz`DdrXG0Tfbh-0Lj$kwTeojd@P%gLF=HP_aV&j z&|DQdCP*Cjf3h9ToeR_IgdbO<>BN)%Z;s(#r}R)i!1`m*7g~v@)v-Om(oOWHyk?G< z2~PB8pQ;gEaTOkOeV<+YY8zi@H6D?igwCavf#$~=UuccO$WX#D0>XPO9>wR+AZqZl zzP`}IcGPE0~)-0s}O#a!Cq3+>=-5r&*J zEK%1bm9i6$@e;|Rv`D*vvX8hoBBIM`c0TG0J&Ha{!9VbhxVa0D5je6Bh@ZRh$R2vl z&ON@+9$ANB>gQ_$iJg1#U}Zm_6-*3;T<@dnS8x->wE)pZh1(l8bzs@Wr;Z-x({>eB z%%&YGoa_JDFc5bqc$eq@8A1x7M^w0z@a|C+?kv39rNVyZ-EI}`BD~w9!uia*y(-+5 zdACoMhr1D*23glP_(DhVq-2w$!ii1(>l@JQqbl4zz~UO#F0yQ9XPeCw5M@{9_l1t* z(Qd{XpB^iOMgB5B@HmeC(o>+(avEE#Gi>Nu7ICIb|H=>Ux6u(-+LB8o`b_gLS4jN6%uc%N(gu;G?e{M(O#L8!C8 zv|@nPm&2?d&1L{8^`(IjKm)Xq%p7y>J~R<1Z6xb7=LQstyy!3FUXzwfjJ;$f=z7BK zM~DKTah~u%Y26@gBvX5fwUJD}25TdkehtwBGu!Qv) ztkduyJi4X1KJt{q#pEexpPenguu!7AFFe@k+==Gu@An5#oeGaJ+G?!dK8GMFeH*8p zder6#kJsjEc#sNDAe2U1|Fp&zo`mObp#&vtm*jF@M+y7?X$@+fq{5R-tvF`8Bp0>f z8*L-A$5>zK=L^rk^LGf=SNZ|k3>6j^KOEHV<55X5DRd|M$@p0Bq!Sq#l z{-%s;L@1~34hZvTOji5CtGm)h6WZbK)$YdpZjHIBeD9&3H!wJ?tQZdlSBkDm?% z8mm+o+r{*4OJThnM1R6+5RJ!b6`sRr!04FEXspF3FpqdT-m=Sw`odfAv^pLI4MQlW zcDi0cbBQBc@pPcu4{0Pv1Po?FcpEm3;%^-q2Ylq(qQdjJg`V&NrZhe+}+-(6w;(y_Ovr z=8IT({w^BmoQX7uWcwo73YLbZfgjKUfThCNF~v+-q3fnkE14UyL|&nEB6)b+IocY8*&<4X#kKc@*Kj-VX|1L~Bv*wW zX0JDrr^4%~MfX|1-0O>U!_$6kRu-L)-hA4D8zqA}b#9$oMkH&hFVY>4VGBI>NC6&U z%P;p5WEI952__9kFX+=oKO(!4?vT1o+9i(^sPJZL(Io4uOMQ{Pc>acByXb*tdmS{O zyzMWHB%TNqt70M3X2TKlT!4p>%eIQp7!pA*RSUIA|uHW|N2BUdZ-HTG&1)Hql8b7GDi2P z@Gj|#!&G>;Rtu5gD!eDxf4v`&AECl~3C{KzLW5Pu^vssY(n>bLig-LKzD))?>D{b z0Hcdf2Ze+8s_Vvh~(7Ut~I-_Alh*5Dso=oMT@` zB!MurPAzbu+}yn_4D?)B9C$KS-&zK8PFG=Zxx>dj{#s%WKArIYZayc{tb z5cAW8HcaI-r(k_!G-u{+Y{5kEMHb*`M*W4p$U;#O2z5jj;W4uMVOL*dF&-_fAThaS zktKLstKb$4?Z|u;K4nOI+W(EwXgxmRa2v8pA`6r!B2-?eA{jas5?Q1onMM8&F-sg- ztRmv}0&pbjcZBK`>xTn;ku`W)wF63Yw<4-i*7kG$4|ARzM*W3sF44L_9Du6UsEDkn z^+YTtEM+B7Iuhip?Vox<---ehk*%scLdP-NQ>~}= z`yzYrv^o-HgDhwV(S`Cl65)RemSbd(iomJW>NTR62>7IDqNiD3?(Zv|i6^zzYHNav zM0fv}`v;IyMe+n#CvCn@hxtC$S2`CDoA0Jj3-jG1kfTH6gf3$S23W%SZ@Jg|E^PLx z-SA08u*!rR@7Kc>ed^*rJ6k7~MCjTvdxmv=tgrM@JSpkaE(wk6V}Zt_DuUyX8LoE3 zXIk-IsVC*#(x5@S7aYV0CaJlocED#@|GCyzdI(Qmnjw-w&=4t@Q)WFdW4!s#wLs;N ziu7QZC6S(tCqDHev_JE5_KGdlwwk(!D-10K;@!!yH=U08X_ zch=)Xdkv>_jPS~MwC{|Hh+7{i)XEfJJQ1GFro=wX5&Y7-Q|vj`?Yl>OXS?IchoW@7 zX7=Pvi*;9cav5h#xavE)oIi<~09XNhP>~|Tv;O`kp-1V{0DpA3PCOqONaUJpeKf&$ zb~T=SghbqmdFsJbtPNPIm@=Bh`D6@`+SDI&ar`d^;wNUxpSXfaPeT!<);NBeODQOt z324V^6&Yk2SZr@^Efb!tgui2sS1oN*|%cb;{rpYQB8Jh`dnR!oC=eEATl>8yHI zIQxlVzO&nD#JdFd>g+ZZ8D^>;?*EWlgHI!L6lQ+*NZ;Ar<^)BXHV^gGSCYX5qLu9HkFt@!*O_3Vb8KiX8Fr^pvt^}~H-8F*4!1MQRKu6}p`8C7Jg0iox}7h&na zth*^ERJb@x4ORing5;G%#*5@>9~T#6-|Fit%fiD;i58kFb=Z<)2OJnP34DzKL_(Ls zSP3TUu!(g3J_~okGkj;Z_6$9cSO2ZP-H=&D?viTsB2TCc{{#pAV`?kowY6>w1Q-ye%HbSeHs*nCS0rB{W=cRxucLv#}=Q zh_Ad|q`hvh|9VC@WKxj_wT*&bp2$=^gwV%nROK@3J5zk+J@FU|iQ$krqCb|1vGR^R+72$-gsnCH3W>D@s$_iNgyChmhu1|hk_s~%$D*#coJ|U%cZ<8 z9-1Q{i-2qLe+MINc~2FYp{*AB1y7l*`|@5Yf^${KfGO{-BC|`hSzKPIB6G9>Qyx%} zx!Qgy$068}c^vx%{0JRyVn1ZP0uqeHLq16f@TJK?O=9{emWrSYYei=@){3<4m7YLs ztcomP0*_U}$U<$Y(8ono%W~@q*fKIEI(ToKt>f=ACUSt5Z>6Y@h{!IKe!i9LCO`1tnt`0F#vhwz;-EJj%0~6 z-YbCbo+D`-2{KjxrF%DI1%G#Hev`nO=CB zOB2`Uyxt$UWqS{!$yx%K>;Z5d~8LX#ca{`&>32GxJM~dd|TsD-ki~ z({pVcE*d_OH3Y<}u_xj=OGSyLT-mvF@ueLC*{XFn3NRpf;BV7Eb`&GtUm3r|Yj zV%pD}72}82f;%Z8>wNBn){XSoGqghkWLco)3c}cqQ_xb2W!&Ip( zHZN_XEnHl9|KrZA<9JaecW-xP2Lsfr^$ffc@@wIWifV+dpiO+O8%6s(@99)f|;fv z8jqih(YmozR+*P}(KaFOwAaefkdQl~=0t!rO_FZRO6rJ&$6j2R^_N`Mbj{bzp}1C# z$^Am+heSE*H5`1J4J$`J10hLlT;-U^bVoNPto(Nvt z+b(u=BhP@ly77FXZcJb@>&E6xhW>7;8y)p$5QR*b;o8-WnMqyJ|HCy}HI@!E(l*FZ zjm0HKH)rL+40Jmn7Br$#GVVBN@c`Qa!tU2y6B;MK!`3<|}b!=x;uo!|+H|B&kNTz9308 zW+l#2M>1J8ng~JZ7)LdZ)g$C#>!~@Z?QRzb=(eZkkZKe+zjT7u?MJL{4i(jyBAAp^ z{F_5rHHx=hQc7p7+DEPHgYTv^yORoEA53-M7Z3)}fvfg0yZFzCL^YDly(TkXNS>Z_ zK;zGcv}PeZ2O(a9IbgE-DmQL&BD8}i;j+04>@s#y+J5h|OmgF)Oc-&*fLI$R+D|8i0Nut$g z#)BlQG1KS^S-wlAR2zqh1~HgY9vBHvw`0XPM-ZD^;wZ*>j$+hdgVWXUG0K zSFdZMF_m6-jK%~Wvtn${<6tVq*y;$-jDwEd|3)q=#zl;%r*yHS7?(JDap?wEG3xli z8Em<_=dP^vHW;>W!At@N0qX7{#kf)kN>k+_kM(bbcXNqsZj6^heaYtLzZH^9%ba)V z8ZtLS8P+Ec-%Yie%jjuV$Dce*MP(g(>BFRsLz&iRzPq`tY9T02|7Sibx{e!szH~hm z^;$M|+0cGWv=gCavlA1?Rp3uQ5^3*c=?1e4Q>8&jyn9iMo3F$vn* z`{-0Rlu+eM8CY&P@w0TDU3kA`cQrZy_Of%G5qg?kcG9xDv6EN2-g@gX3)Bx~cXnpW?C$LDEUkp7mSO7C2r}!T%)V2a0)$nitwU8fPx0eLK1OXPYaK&7 zX!yCXPdzYQm3Kjix;`@*^OYb~v0S3+gEzG4>wRE4$l;D~l{N`g?V>#oLRIaiQR+gb z`pfOAyeootMMfEnj^VvxcVYT?Y=yB=Vuz2zVt)4XSV7Xx2a60-reK_>VFR@&2+E0U zo+yXE+zv*%T2*`W6r~EjWns%?+`cmJ&tt(lK4-GolEM9mK;;8$6&Og4-0q)m>xVPNto z<2+!hl(>Atg$v_+hG4w3^(zI8?N^P%g;ay|*7N`)$yU`tVZ>8)h^?56%ONW#L-$}8 zcD{hQ#9p>~Crg$0MToi~A?%sC5fuSNg=b-7($VfI9AdYCO0DbV9frVwF{$B<>EXm*=W-B=mK%ol{iP&h?z{nQ=lv z`-Sg`a(ws@A5B3&0RL2wl+3R2m*>(?s|rtpOO2nF8VBlL?=$1TsSibZMpy;%EOB~B z-Potf(-1ma;}jP)Zn^=EHc9&a6Mi2{$B5+c7PCiD!+Le6#0&SdYkM>X3oYt;-W&Uf zmgT9|5>&^C1Zh@vET0^ruhnrP0iby7P3`0))m^;T_6Vd%tQ=L{Ta}MSxb+AoV*s@e zitDA#YTe6ze_U|M`d|?$S{8~T(uNarT-_U)jt0jGQs&i(!trRUx~s?g`{O8dfZc>+ zK;3C>aN!JGprc6=qRG>s*x&JRR4^^0K=t; zjKT0ks~Qhva}%!aEgS=dBN`9vM{xr53dex@O07?{%Bty*#)Ulf=S)>T6`_;;j-p2N zyRV%R3cBCzL%;j$)u!K}k^VUoJWjQ$`)d|cgvF^S)B)bFodS#aNQX8qu1lM~a#9FDnMcJ2Zthz`+EldD*EfKfnO3zv*x{)jEZhJ!#QVxgFau~P z*K2`#3sZ+V2%W4}iW;s~Xq;;&Bt7l#P)MHj3)1Hs+16wz{F-zz9s^_%XawJv!$HlZ&is?U}$+Jyw_mYMk&0XgoFzs6+uyAkGe}pNv)I%Mdy_$)Kpt zNd^oOXe@-qT{d#eHpWc(c@p-MvB+$hRjtiP)f06s12xI}K1~z>kzMFQu?kdULc9v0 zvznOVIyAAcLP$PWpSab{3dn<(RaUk3tl+7hDg^}8G~or%bnXHrssXjI4xy7>fTFs( zz_*Gdy*=nQBqR5AR`m>{v1Ur{K+U3Eefn5dO$MS1rRtBHR5^uCwDRwlO4MX?FOxOq z8wUkzxAN~E)(Bdxunzs>Cgi!vs=iCNY22;&KCNJYy4(B4LHRfrqv>G*=Mig1)VI+R zw;^<*C4UDqJc=3~$p3U&V|35rX3SCSX8djHP1~&M3}MStJ>UDCDS}$y{nKfI7V2h< zcx2?ia8&&ni=@2>ooL42faa&D;lt>EUJkN*t?I=l&n4b(kn#qK+!|asrXE$Q9JBGP z&gf^NPjT(4e^h~1mQ}sXRQ+-x4-~mRxNtm>-geknXU&8(gX+<_zhFLiXg%U>I}9U4 zwRV6|y^34y3DvMml^;Wh+G-O_&!k>RbY=_2q-DhT+kYP@GG#ZJdBDwKL;hB}vr&<|#GsKadCw0TF?Mc@$( zm*?`V>f8)XfR0>LB&e$^)VUIbsE#azg4CP$>IzVR->>R?O`(7&B&y2`)wz=hQQcS= z9&k~qi}&(EP&jE->oX12v;?o{s-DhJ=VK81kxMnw>7p*g@Ms8d<9j;8hj>=CUO876 zljW_VoBG@`bv_Z{V=MA=Xfo#$t?CjjLMc~dclGKzb>2ew*ou609SB%f^${TutUgK{ z^ib`G)%ku1A6t>_7>3THUmi0Rd7KFJRDT?;&JRKO*oyq)Xb>1;Ri7{wNel3bUh2vu zbv_N@<16wCR!Qg6P?4u}DV!z>mU`l#IzJZS<16wB46EnITGjVz0%wRolDgbYou7>G z@fCTw8wgCcs?Q368V^@wvU(E~W+5b}`HYRiId+)FAO^)B?3T#-<^|T}oHhwhtNG|S z7F6l^uoqdEQc1WmMxDP4?m5sZ-|UuxgjP+A<|WqqgWW{RDyxa33rYMjo+Rw8elt*= zUx0AyBD&(CtFx5-BBRqJcVp%6`PC!p{6bOW-ro#F77MJJ1YMyuiIi6#^|?HCeg(qE zR4DpO!V5Fi`IYNcyArzuc5)B18*!I&=5>+2;3m-A0 zgKeYbn|&)A?h7<)X4BQ-Ix==0=95nxU~>vhci+OKfNE&0M{_rh+!rtkE9}t%O(6FL zjJuOqPbKdK_-W5k4YM8``hfcae9|Z9ew_+fSI#Zn7gYGjeSy%SEa2Gc2Poim2>Pst z$)iJ$G9P3_c)Unb$%w#L5Hy=%Cz6=WaK-#!D8yT5)lAi*PvdK{jG{{v6?dqg<*N%@ z5R#(#_>zpxzQr>=byQma!6oAYbz!Txku<=!cBl*6v1JE0lIp@X)?4gH@@M%d+bvej zOw9&d3c`;hqi7LbFgOR+t(~33M16R3suD|gj<#Z zQ+OC$RA4+`ZuA%}PAf)6*JCu<{8BOPO;#+|E5(uMBd-jkKJrqajI9u8RvbYJ3f?GG z#R>$v2Vq;bel|l@tVA%DEm|>Ftg<_aSuvu)_|Q$N2B?bFw1Ep(QmSH&(O^#ZBG6%9 zDo#PhmZxTwZX7FFg#lHwnmtbv6E$ndM+I`WYSyxD8KvIXp(?f`^oTx-a+UWR*cq$X zZq?|OW6h=@mDXtZ(@+(AwCBl+boCx&JBdIu1by=)klHjyRh+U#v9Nk?POKi9U(Hu${x4Ov9f2B9OLX9 zD|=Cn8Ion~WFIoHYIabLW7Qvqsmj3!JmDfHX^j2DFvJ;b)$E}-|d*jDJ5_pem;!@I8c`vE5z6^G_C};FDFe zUsKEE(R6}iG&R)pXj(T$Q#^%at_Ds>xqOtk<49?n9b3kY9=XOof9ejbfm@H%J^J4Ej&I~PBSl9(EuG->~!#qs?|O?E2h%;EM!WOs@#I0cQdj5gdwGJD}vd63Z%XV?X%79 zQnnsPmwG21#kCnjg;`Obk>c8H)q40sBPd(7F+5aMZnbJ-d8nAB-ho(q5u#2*jYKjH z{fizFRZl~gL!XQDO}+^6)eKd+&onttcnn1^2&8`fI6m5Zhd;_Sm^XK*%1o0q(dbaj zCIhKIo$mM)E8o~E2;ZBkazDA=#02G6C~5ew({+2d10aaJ;E14`8JBz5`1@x#)iD zDpoVW+5x(>Qn<&>6@u)yPUz{uGLZh|jhf!g{MN~5TXkS`sV*{&#@RBwU*0HL4=U4n z59F=@@oEQ?RP*3-cHB)alo%mJ`<9Qx=u)fi=+~yQO(8@5e1m2siq+Va{^!`Mrd&-O z4ZA_v&sW!W3xTLBd_%#9Y9`Nh5;dxW&C&ABc4S3E3sfM)G`Mgg(w~t}CWyw7xe5u798wV5svRp$IraP&O2lxB%!K%H}qg&!cFX9Sr z5_gCt*psN~MJq+hb*Ty*Q?&{q+F@9esSIg>V?M;QYW4EfQ#*|)EKB&OeX6#rA-G(H zrl`6e2vH|8VTu@>;fPM6n(N&k;h<^FHdWV?JshLdLfF5o>tWT-5NSNMGri=!j&ZXn z_Z8~zhO4?^2+{W!O@3@w`McquHq5Hk`?j@nh{8(s%v@DB2_gFCxuKwMZc0>HmXXUh zRW})-|1P+rOJtvKa9;NjYUr&!styG)MIU{`J{x@3sk*5M`k+aK@49EOeyy8i)#{4| zp4z$GJ_t3BTpS@6s}^ftxUK_Pt9CwlI|4PUb^#BptJJ>~sk+4oQ3qBdhQ4DZF}2Zx z#aN>1mN?uu;V>vI*h!3~2)40D#8{^4mPL2L_b)Jb)h)JavCquAMzxDX44@W^7)zi5 zmWUWjt=gp`#xkpR8SARm>b)+iZac!q>MH7g9FzOsKJoyj>UJRDOcWiRBgb`B<5k^G zhu^yQaFU^JyH&efbGO1H>;bh>*xO;%vQzwuHF(1)L)A}5=v0Yv%<#rQ{R~wku2RK}%HQJTrfYr-xc&elgOXt9@Ci#teI|Mh_6iytCA7 z!w34{1%O6)wWL!`m?D0DplVz|D%bmPF^U>WK<`M`;^_D{ah#n{d%ZuxCNe?$yg%8; zxL_^4#ml#m_!XBUk53#p}DE%js zL0N#vhga57q2SY2u7i9CT!zPQsCKYWrrP1J+tlA@sm7TIQMc`kRD7-Lo82U)er%Y^ zl@i*|k>V{vjafDlW4+V}#pwUwA^kolDLZuiFAdcZL%nHI@M5V7_Q0ed;oSuSc>g{N zH8T_XSMMd)9`$|`+oC|#;>N5kptMAZ`H->%>K>kYKg1|jO&y1Pw7qGE4z^0601(%ulLj?c>f8T zn}kaAUdL`aL40GrVz+uGUR~UbkWBYgQajvNF&XnRN>RoX*^C#IGyj&EWT2`*UED(b zIN`yS>LPC`c%O*}H(2V|b(6ZQ>n_}Ew(5F#gdKoAsW$c`Jl9`c+)f1O=U&x}r27z} z&(92RGOc|AB}j4g*!9L&W@;e& zth!{)W^a%837pmQWbk=;MOn zRxfa$&ymRdhQn5U4TFy{(-rOScayJ4SE)C8f4AO;-&S3J(|}UE&*!8tYJm6q=x_K~ zRyU9eEmO60RhKM;sAH|+B7<6SApM1v64#txmRk%ouFx3u%S8E_H1Yw@f1x3_bVW{< zRj2oW>u{KPCAh#VTy;adzf6>mys3)==BsY9TWL4|10Y$x3IldssxEUMNsy(!aa>)R zgwTnCgnuQ8>xF%iR2jU2qGTyGpMPXOs`A@jcqZWN@ukUTyXf2?b!m#W%zS%^4STh#_Ax;s4NzKBu7z0YA9L?5yCr0F$*BZ&8Gb+uSsnvW251!H6v9YU;AR2(_x zjTy~5p>)s2RU(dI?h(+5J6zzov_Q`UT=#cmpMr%G$6*+CNVr8JocAh5`%6$cdTY0C zj1~x}u_6$laeVg((aP$0W$9Nh%~6-OB7A&v;<})yIQkuny5L0s)du0{NGvJ?w|e*| zUE4^4z9ETlljhPkBT={Va2s?;v|aD?kw80GAT*wr=0KvYR^24sd?rf`ZAE*WA`;=_ zRK5j7(Wdbl_5ggE=Bi7D2wqJ3XxQbhK>l{bbYyBtbRM?SBr+E2daV2lIOU}DP&G7G zQau*w7KB~NONTYDFDnD1CSF}+esoEA2koy|*9w?H`zf^Q^lkOJ+1M(>B#cmZdH({N zg9Ocan_AaF^#h-}Pa#BIR2aTxkN{U4)r&*F(lH)_yLL((URY53xGzC-@&i~5@v&}! zQ8Mi2jZurp2^m3Eb&H9SL+YL7>b|iEAJZzJV^V)}Lftp6gWY~Y;7qS1Ps~Y7Rlj@~ zQ`PcBwx9;8POAINt3obQ%woj+ydN>y%Aas!wz`jB7O~)h(4q;Hg_i9Ofj9 z3(vRi!4LafCGO8u^i}sE`Ru5>Vp57^=pzOB*ToW5Ul<<;CIXdC>OymmG&sN?$cMiLxd@-#cMNI81Ro;m@;U@vh@SQS3X`JC@a|uy zS9*23yg$I@9H8pp3?2TSK*y@v)$P+&nCWf6hy#qLZol_|Z2+OqvV@;)R$Vqv zhl|xW_NdFd5u#3q4L@S4rNX1A(TYb35483~bx!rLS6$wVpkLDY#vZV<+p5Dw-?!Ib zl3sT}3J<7*?Dhb3thz(w_5g{jI$pe$V9WH7x}1&h@r_DR=}}ZYh*0VI5zGir3>`p_ zr<<_NdI&jXqpa@H9OX*!Wm|PvuyOJEt-5^S=zvvMaDbY5sjMCOq?akHGHz&(WvI)! z2-?Y2cxkTJEWbrn@^|sG5N>KTiFy!U@(|3N87qg&`3M+1Vla46%@@!@i)1WZM;k#T z9w4aB=kHOM?Og-MK9C2C_s23)kgHW!WQx7e``fL8I_!Ng7bTEqy;N7sD~-$fRvkH9 ztAHDr@Hl6Llm^k{RafE>v{%8fLlA8wfspcDo^A{aNv1vsd8G@2t{}*GMIq=4Vn5o2*_&PAWbBr>$(sV6thy7rnvZh{0FzO7 zl8fL9=FF$K1g>CUJDtI9D8PkP$NQm2r8H!@X-Y$O4}UvOT^Wp^7lq*}=i9$3aBib~ z*8-FLLl8`hqyiXX8T)f<{ zMN0ZEmqK+tPr})4M?FtgS0=mb8MQg3Lp}4ZD;L95Bc0=s)iS#jrg15t)~DOPRl;ns zZWu6{gAU0I9}eO+l< zg7_Jvc29Am`5B~YUxHxNI92;n1f#}(jG_3-Vyj-C^R4$v16^!o)b|xmmss`vgwv%~ zeSbbpazb62p{}e%_*gYAHAGR3b|H>dA?Q^wF|-;%EVyVwmvHv#%1Wy~MYo^IVr+tF8O%c@Gj7lt^uejWk?5bVN6BVU)VE9zk}$94%YyIaGZ7S)6m3?=pX) zj!z${{m?oW#89{Et$MwQSwF-hB?eR~k9PFYmrb<@KS;z=6m|Xl9(83if^OB}EFGAi!zC^@ zU&uC!O@!}Y{JpZd~1p`VU^F&udUH-^nnXXqZ9`bcWh2*X`dq?F~6`QRZF0W2mD;hqS|VgK7)$dn=B$v~>}Q!ot) zj!i?FGnj`237_vKBH4%pNj4&l_5QE`Irp^cCki`&CVALEM99f(A0lXqPYgr^lc)5` z81?!7>ft1WNG89uSny6JpPi&}<0j*&cU=;n?~kmLtomu*FJXd6&~%^oSxjCDoI#ny zs;7(8!^sFqIO!lPqpiK}*GO1*jT}#7ka#%Rs-NlezK#>q1k$!>Wt{reZuM|)gh)RB zDo5~6K5-FN;*Oil50n~Kf3+K|_O|M0d;bbESAyVEjc&RUNSmjX@#@tv>ft^JE>>}U zRgjJvdjd5^5r?40$gp5Cd36j(_p$2l=F6%C;pXfUdyxr1x;a~kr#Xhf;UNMWWY{S; z$^)JV7zs)2@;Hy9lMj2XdhC;4z|9i^=MxE~&P`Ac_eJPHf{@O_cx@qGqJv_jwU+cXxLLe;F5gXY6OKWu;{C$7AdSoSntBIh$Fw!&;6xP*| z8b#guB@`BL=t3OL)i@F!+pQj1C6*-b``C+n1TXT|Z{XepuzsWW>PSY`Z;~72grt>z zWe@B<=c`A~B8aiT_Czm8WJ8vIX@d$k<~eGTsT9)b|AkZjkDRsYw{oQr1g~0jTb@92 zy;j*%wU1Yic0q{DgOTn$U~gqCa zeZ)fweXpmj&`L}Fa+`WI8KDCYgml%X_m^0;KMEbYU+g>t(h=s$B=zlL^=Ll?AtFzT z|H?18fx!uQC{svhl+eH4`Hw_)~u z6rDTU`zzeBCdkiv7?7`?)(4fz312^?9!)XZla;*#slSX@j}9>Nbcb_DtU@S79~G!M zs~#PO5T1*uSB?wH%teVUijo|fOeGAq;E3SSJ9sBG0csu~mUV2l1KV>d`cl zZC{wq-t5YzBOfh>iKh|fqnoFMe_f&;9VzmHGYCA)s=vqk3QYI}<%+<=tfYE6FV<;!{z%=Y2}F?T9CIEsz)<;UGfm1`GyCNt_QOX56XQLo|~i|-LPAV zsh|2qjJg_+;9O|DI#6%}(ef{?ohM66v5;Y8`r0A+N;(7fa%}K20eeUHe5N4md0oQ) z-Knm2p_2T{M0Hi|raJ!x?r&d>x9X31UxjKX=(zW5Fp2>3)SuveTSA`np3juee8RZ0 zzxoAUy6uDD+6^Rz2{{nmw>{B$%?)jj_@$3FgTyf-(rCvy;dT7<8t(cEcQ{sY6$A7c z;SSJQ;jWKW)__f8>}TO~Lkv|_N+A8oUh3*t1U;P(EJC~Z;6M4@PM**Q(w{n}V~G7F zumCa2x9eRjoYhqNvv?D>k4I>~zvXMx)p4dyV;zahsMY}?5zwv~+IuAjcR-8n%afKw{7-C#;FahgIARVVaL!Hn!SnV-g3(vE0@G0B_f4T9&!AEZRL82Tn{B&|<1-s>zB~w3yvb_l-)~)i5BD68i$FZ{DH4 zkgIFdwF_dGBz8gAq=JgDW5PRVL_NG#imx5^UM6A)F%hwyV%OLga--K+BD(P?^@Y6i z>v>I|`p5?L1>+~(&7J9ahC8%tJ0`d@pLHvFZ8yGl;0|Nl&}49EB71PEmD&`pihrTN zv~uT-XJ!iJHjKGEVv72Xgr|F|)?T#n^EQuK!_}9&sn#@vsP_6~v+U$`?U$j2TGOnC z5wcir7|C5BO%}pwo*OIHN__i7_(Nk=>j(rn)&l4G+6OCf=Y42w3O-p4qkWnjt>`O9 zIE@D;dwo9OYa2B=7+qW*+(8cnzlKxeL{Ph(Y9NPKl_OQ_)|;FZH`G0}L)5wzdpr$# z$=)!I4l-Ac!X~!l7~LtZ@hFSrm$2ZZyvJ)Ysks8XEhpN`}Xr0GIMC&Bg*E|t& zjItWEm#l_~ykbYW0yl|7N)MzzcT}~`L(r<*ND=AxTkyIq(BU9Xq|Jh2{uVMa1Q|y3 z2;@9F6#m3j^&C97w$8H}%!_Fa)7dmfs2Q;S!reT7o=NPEQ9tRfTGt@BRv~W}3Nrbs zYuN@Lj2zdsY{Q~MkQltRoj|>=)A!$%t%B@9Qm^s;4qb_I)6-64;|Wxu5fGk?Jcf$* zC*4ulYpjOZ-nR?EGhPU7xXXJ1rU63E@jiz0{RG|Z@xCtK8|HfdE{$V0;Egj7l?WLm z=~(r4foffc&_Pl{Mv;`z9VBI>V}VMNa{6uA{8+6^B95#VE7Yam#!1E2br1v2%ZcN4 zR>J}j2oO#%vqS(Fi9moB8!-ScAu-0OXE&%;3LV5CWE3$7-9ZdSMu@>Uv)lr^*lt6u zFxDZ%v$*onx&bm^^PFVZU^Ofg82~NUG5}lw88~LcN+ScXt4N0N>W@%-=#QH^$Uw*_ zG7!3h42+CubcE~4RRNQaZgVUKM|OzuN4UFd-2^dKix`+stPwE)t<_=xTqjilXuS~w z@CFiNf_i<1YTbg+K@37h5rfbj#9*YOD!yMLM3bp195xebX-qe~__QmDX*j#i>#*6k zZm}A0=b0)Ao4^g5L_8ojOG5*Mx5dAYc^k#tDuM#q=F?pVFfZKiRQI1$tveB1h7+tj zNKY`{ttT3m3fd)W`7n)i$jVhi1Z-0Rb(8zCkKVe|YJm53Vjd7&aWyQ3L;!br%VBgQ z2;1z^#R1dZ<;scbE19Yl>S%X|3L#`fg*<;$;zkmgwY@3gs5L*uy7R2oNi^rJ7XgG_rN2`)O5P?*gg6qx`W()|cv zj>rV)9wP~0a-Ur}S$(ZYwW8)SJ4iyvD3UNbiX?=Ol!S2|v>Ia_VtfsTs@6=1ktbqg zS`GOk2A~2h2Eamy!7&@Khc2xTSh|#4IYs?&vTDsj=pY6mqlm%iC}I#kvh@*;6x%$q zWt-aL?h_H)`@_j#Fw1Hv#-0t;2*45%1yHFL1>g~>5kN<^Bw1KX)BEL>Q`M8hRjVI? zkJRWj6?JyPB~SiQiqD~#s3S2xyw5bkeaj&Y?Z#5hj0RiFMIQJufC#4?&I;E8xz|$ftpnJ8fe#m-;WSxd#4yAMu zAyQbvMH80sQG_MDSy>YIBoyKLPxz8{qwSalF_9?JG7+b=U=QLRv>I^lnd?;)lsGpW3ACmMZNoJ`4Tol6a*;*JW3gM!O!uTkn5Z+lMq&7Hi6t%(lC>@Du zxH{5#95-(*v>I`cfjSbP0lFgr94I0J8l?3Gz`-Idpdnh?LP$%;)hlPKH{(_7VT4Fw z2^URR#zzRtor69&D$e_6Jor3pHKyvi0O*st0EB}DyskNH1sm@mM($F-+M`;bgO7UY zPE&Z^OZuA7(Rg8e1TSx_koeAmXZ|QMPvy)W>aTFxq4lWMI9v)65RTZgMglm(`^F0J z4``%j^(e}WjTdv&OPI49M~Eyl!bS4=%>@$IOMDu!Fs{Ie$22F zG)@!V(FA$uB3#s=i-||+aZJS7s`U&)q_BjGCM@Bj2}^iqrO?R5G@^}M9ND2LoBKhgGgjj)-8liy z7MTFur4=Q>IU*CFyR{@|Ajw>6UK#5Bq3W6kAwm+yMUjN@Q6yn}gd~EC9JvJR5aWGZ zuDj;38eu1)Hb-Cvi$M@Bev-Z?Z~?>+2(PPA>jSc^aS@3zUw!azQ`NOtgh)9E7flYr zN0Wo_5zUY3MjN{LgJXK^1s7l|)@p>Ygk=G^L}UR3FKa9dz-3$|6mz+jB^I)f@3hJV z>X{+xT0BCeD1?h93gM%PLU?DDVCZ7HSY}4fcm?0FBQf5!B7O!M;99)ZxKacJv`RV> zAyI53v)iMzMwv1ZxN>Q37{~)PP_OAtg%4Jt8Y0yywMzHW9M!qq<+LuA=U{AwgZCH%NJqq+Fuf2dis65F(`{Tr?>OA5BWaN49Xn8O3x%ujoin##4=&xr$g&8Sm=N z?SsL053BKz$O^rvS~a61hD#~~#z$$@ zgm;y}*KsoIS}&^+j?$^s0)jz>>l@%}aS%GPI9UJChj4koE(y|LI>~B0CQJi5E<7iJl@pp3U{4Y&%hk^@ zI`&5BzzHEEIQiB#iR(P&s-9gX$jFxY`vi@rQ+44=WUh&}YjXK>8XbFEji-cZK&Npq z3nz;Rd9U}`RVZFSXS~0MJ7GX&jc2tay{({!Bw3+;xKdr~kB|&Ui%y#~mKcI}x3a&% z^oF9lc5{A+gNfJrTR}J>ryB2Xl?7wHe?!v{Amb>XmFmqg>KgRZ0Cq`aHj^k5LPnHW zpzNzK_bh~j-I7srZ;nAW1FRrCn^E3?P@9$5`3G1QR9ar36Nryhs&<{aHXOml z2Oi$kSjV7LizUXj;g%m=~hr* zcn`9LV@)9aB1&>Pf_8jh66r%ML``m@m=C-GcyTCXoNfj68)3noRm9L*^4EH8rr96$ z7=NvNtezj-yf%vtEFtPT^_wZ``e6iAcp_DJ_`a(g=r{R+dngJ#xXH2g4FWv3DL-+i z_dl^~nt)SX*NbV7Jppxjy@Vj|Z>FRmmK98r9br!}ncv_fRBwKRlb}8?5IgJDxAv;* zXAq)p6B}OaySE%wKc)B)?$J`FXF1bv;ZFYbGgh#lWE#Zfc)B=CDDrV$xk3H8n`-Ne zz&2c*t8=+}G&z;gcE7^&PaKt=f1-6a4yz%jwtk$`pK%tTt*;dvXv7=Dj|dTpu18dE zRL`ZWwm}FV+dNRf^rj_8)+KyCLw?#1X5my79<<~rcxTDM&0yx{xpZ(d2(46Kk_e{C zyOzKOeeiFLdtn3)<996yy5ntPa+CUpS*mRqLe$d_ra0vCFck+y)sNcYW;(8-GbVf$ zon8@Q6rcA?k^;MfSWLiR(>9#EIM8kNHglqHYpmBCia?wB2i%Qm8)gMzZQ$z&L3!Pa zP$T&gIv`I_UiTv8XcA(xdcBuwn}pDrk|rrAu70u&cg@p@A42h+QP=f(ABvqMqOVSg zCfgECVTsP&t=h~LCbvYd_ku)|tl&5!(RgVlKuzF>GV#$9ypxZUQoM;I(H8Ynhg7y6H0xsRRE!6sBtG;tDujrv}eu@(w zZ5dY3cxwwz6G?!Y&e!Sb;|zHXia=h|Z&fdMQElrHoCjG+t?_XgX<+7v1XT@1HIJH6 z-4IKJ!;ug?Y36hU5Y%-;U*$?23$+14K_yUY+em8Y&BN_cL#hP?0M=6~CED`~E*-d@&nK|W|0QbdGD&_`K zA;ng3uTh5kgkzvG`58`pEDP?Z!riT&%2sVB5jt766xEb1pO3{eoSa_233`AIqb?C= zI=b#p+4}D^araasDu12Cw)A`ZF7%$^`vT#Md)1HPa6yS;1V*Q=afdsC<4&lAr=^n1ITHg;aKXRsCGmo`}%7 zvg4?ZvZIGN8K-ab5_?3=(J1A&qfxe#!%j5H`ng~@(F*G4t%C9}G%%iEi7;ttvd@$r$^B@OKYuggv2ZU&>AE4_V*|zE+y_t5Ho!eANV~CY(X1 z=R67Q&98Y9)Q44yU%kIawXZ_xgi66h8IGzwhu{j0{KVYBhq(l`dZOeQ=-O8^Z|`FO zXkTSD^%Z^6)X&4WBnj1@pY$XsMOytTE7&xEita!l{k1zk(q0ct6ZhO?>Exj~k@vMb zaRImq8?8KzZ{iivLG|3|kD_*Kc~4VM1HI3U#z|yPlYSAZi8o^psX!$XlXZem)=Q_8 zrK)+26B*N}SV_s7wD+(k9|@47Ubk*?2HJk{gV}!FqO{t(SCg!f?oo|BKZ;r>?zD|P zDJ^zP$=^v++6~G$7dt3DqCW!R_GE}?lv*DwFKZe}sq@s;tdF9qaChRXS(J8^DQsR8 z<-?;_Y>9LZeBs zTy!@SMfW{kB*C!$9%OPPx8MGw4f$S&S7Y)pbY7g|xt4S%Kg!Z71rZ=&h zYeF@R#|bSQb_P+aX#(+Es4gy#-ok`AYGG(17nh^F%9QF*5op0~mAJcOv;vW;-; z4ymRH+|IL_uHJ< zW1o{x#>XEI;W-=4nji>V-n88tcYP0nI4Q8tvEw_}w=9ATD;X$KoNkvCMmy>XXCiz* zN$T6a(e~ak9bZ4RLiNAbuK%!S6?t8O4kWQJZL;^_o9UMpz+{@ zIak$PbW)yBO?YEj8V}&Rz3&|p?A+(62#zE?yCv>AJ()nij;aT&xa(jz`dhxH9DM8+ z=yP2qYBU#0xIP|t{Wya7B$9eMVLN~^^@LW+cUwrXlSb-Tw_p!g;P;r-WLAPri^wAt zqZTKT4Z~-4XZ476P7XSE4@i^;}p0w6+Qxf zLOne@u5AKB)WRF;(xOk#1~U_^rj164ZX!>iqTe=iS}Jj-g@thwL94IP-Orme)qDfQd2(Z>>#C2ObOjwS81-KVLL z|Fz1~>b;n_w$%u9Uq14MURUz>V!+{Qs|g#JQi!{V0Gz6>iLRCCifwhIqdTkAzUq3W~7}t%VH$ z{Js#?xw%)jHa6UJSeH|;DzFIst?M9ICNB z0imBB5FlB5qOB4flChx@lC^g=6(xf4fZ(Au(?`iZWMnnrq&L;QrzxK`2B8XAHMS>M zO@*}aP!*>xkB@5~f)I7%!SHXZc8(fVwL>?y4@Gd+a(ilAd#ZL48LnmUNSd+T7uJmJ z!)`SQwA&ve27$}tA?OgRi4KBuD=!g2fhsLy)!07NYQhdGO_JJEttMJxSH%a?-#lO( zZ5s(Q!m82Tghe&Jd4N>oNuA9pn%h=&QFUlStQs*7cg)IVrgDc%HP(?5hiWu$Pc_|3 zBow9z=MQS#h*{*NwGMxFk=N;jAn6L)o56%bRHH0;cm~-Peks{J`$P=_S%6XK#xtgz z&Kl(y^8B3mNKoGyAAO1+mI6BVJL93CLa|Z`p}3bQX`*WBrj;W~A^h@pWFa7VOE*@I zA^rMNS%_vARbAB|)<;*&uDt)Sp7K^cojEP5tGWf!zureHM`-48J7b6ILce+0fse2E zVcl33O4P(?JF}|0){VE+Cbn{PXp;<{B~|r+U(}^qvEi}NZENFA9l%g8%2AeUMlAMK zPt{2JRJOfj)+h>AuI-1rjnAnZ$xcR>++E7i;WPn}MI8HXaJ*B6$Sk<6(C%19H_{vd zE#|M6vT_XR{nb!UpHYq>@f$~~Gi3EP$}yBgCD_xY9DAu6=mXY`{Pt>i4}o_+!mXKB zQMPJ~<`B(RjpoTY){RE{MVa2WceN|g2nM-Gu$rN)8bf;dAL_@xI7wTE`Ww|4qK(oj zOFeZ|YermW_c^+^toBSThE+Rh(Wt83R*jomE7+J>|(NyCt2Z4whvI>wd32ze(?9@+;u9;n_+a^-(`p$M}B5US; zf%JECw01QCxzAwIkN<;i;p3m6MgDtqH<2 zN0}V*)X{DfVeN=M$TotuLc8NRln5;~>Q;+(oTi5lzI{#_aajmwx@oRK+Ht0>9A}-U z5*Xmpjsw-NyJ_u+;&5meS(uq($S{jinK+8R2ro)~klNOcW=wEtN0G~AEC^2=-7|de zuQ5JD6M8~(wP4s4M*kq?nBlXv<9rfkkP1S%^Sm*dau@bd`!@Tm9HS|BTRA%GShQng z9m6QlapGudFxoJ1hh6!O8l+($NW;JoE3`-pip^t|6sRS(c3f&J$NNLeNa(>(Nx8-h zY-$L~F=_*rdE-Cll5$+7=UpN4(pEJDF6;Jx6!k%Jd!f!oNkl`h_Js8NR-v_&dZ_wg z?nhBgbY?1xv}mM1%q5MmP76rKqN`HXdy_v(B8?oWiHxcjrr(=PN%dB6Xd~+(pSl5_ zqr8@AmjknWgE$UpAM2sbtcQlFXJ^4HC4zRKgbfYb-T1T&?XZ1XhISgCmZ4p?OUq!0_LZxK=jUMf-Sbc764o8ht!z9>TnjDyP1LF1pYEOdyd zj#6LEhhjspt^Z-~lv>uW;?y|YQHFAiW6H7+Jsn*&8c&1vf`do|cVhNSskyR!Qpyc6 zdh03dj?#E$gR4m#UV^L15Z;#7z9>U^#usG>=ajW8%KJlfP`N6d-d+^1lTsG86H1+{ z?SOLR19Ve@%AyY2u<$Y&Dm1PqLq*2(WH5A?sE$$XCU+nun@Ew- z_8O^W410_WSNQjiLC67C=$KbKiwxmKEbS|@EOdgT9H-hn@O6R^t~6{`iz^j<9UD^G z{#mq?bhC@Ss=#F_^#`3Id_4mLr0tPKPHlq<9V3=xbU+{drX5?kaI_M_0w1Sm2|9%u z7e6ea(|lH&koW3qTma9=H7-E9HG4>u@#<+@lgBl{b#74zV@~F4Q3yo`u&KgG z6#gw0Mxt;Ea|RufFy9;p_ng4?({vGjoz)zJ@rC#X7%OZ8iqki>0LJsda{3Gv!58e- zfmw3IRy9FA2k#W*0>#ZNVIr6%l#^Nh9cGq)^3@2#DPx4w=XQeA4OVjkwk3&ExL0XT z6jp)lDkTi4o7{e&sNFTI0DJHdbSJv#a=0`>uy-TbKL=rqPgj};QX^q+D7ce>MnMJ3 zKT+BAn@W~`_au)N&`7#mIf~XT$O!yyvYLAeyKtk@+)LO6(h_z7CFuqMFj=z;us5?i zQ8n%oe z(%o$}>&6U7-(H5pljdPkxyAYVFx9S(j{pa z=aPoKmFNPdhRrdI$5T-l97Q+m|1%z(@3opoN|D2@N%JVV*9_!n;T#Yy5p!z*I7age za4hpXRkakslL&&FU&2I|KI2^cvRe`dzx#xeN?&x-MLRGnn7$sseZA$sdTDU&2K4%QzRmgdu)0qYnEa zap?_C6Vb9cm2TM;harOBYMv<~0GcHd_#w<}DRLn161@QkyU5&90GeCC0OztWGt{f- zr{wO#Eev5Ig<+ga7{WOFDgBPG=zzihH)-w2xF>-`YFe7_fw9do6;|FgbLn6 znwJRYIpBAxuny!h;T+I%UHSl5Xnp~%WPWF<7pB8?2ZEbl!bI}RI2XU{2gK1&`M0B= z@^8)T3z*Nh=331--Av_}3uadfvp}v9W&y$H823|v`o=CLTF=bRR#)NLkX&}SnI%jl zvy5{wOBiQArQZ?#l%r^Vuj1G+Jb^T0g-iVAgWrw9FOZvrUqG96;R4*E3m4#4=JzhT z<|%v+$sGO5Y9exBL}!!3j=Tu3o}Q(oB($m2=2mXcODTV5aVoNct|G{Nke1$ z%L(A?u+@xhXW|PG+=H{<41k$BV}Sd4VsW>^o8aQugC-U{7o&*CbJgVm;(Wth7RP~f zvShpNAeNZ%Z(&rVSf*l`B}NEKmvR3WzBoM1aw!&Ia}M9FBnU3U$df#Qxw@19<}pk2 z)XRDBj)CB2i9K~Vs)tx&FC8{Zwto(1DY0)3Vd>>OWO))S_F@jBs(&V>O>;b^&=>^gU*e)gsQhfNWvsTpY6{ zPS_=EJhQt{J%y{|C1e5@FF|m@MGBF?u9{zf-I(7+>b-37Y2oIVJ!QC?1ADS?^UMA*baQ}q7atfX zU3>K=7)6! zW${I!t!}Wjkdpv*aUs{sv7UumVhmp_r^PJw3x@{_L?OW#qrFA~MLJ%Ri9&q|K3CzN z!qXy4T7WHsL@Yp9(y{{&fJ3D10UD}>0hp?54xo>OS*l*l5$6&S!jQj-WVbMc^16f} zj7u0s$jY1~;h(ydvKWjIF40_Ba`jpt_-a6is1tSz;jR-x zxrHH&T_>a(I2Gz7tQr^-ZeS!Iqg=xYqfA$Ntq;n*m(_yJU#cq{3vHPw@&P$X>I%?g z+s{PH6p<9jsajHi)3l@jr<0^B)Q>aZ3IZWQQbI*k8==CIa%&>$=FXsm}=NZ zgcJh{$POlqq5m)Nx-gtTv|z83+6SP;IQgwzL$oZ>(g3}bGF_trfeqRTgw8eW6~fHD z0`QOxHxMn$Y>yBv%Ri*lyhFL}!}7KNRlfE=fuOB_GBeX@twf-|GtP5vdfnhzp6@UC zFtA+1&&>ZYup%aQaNLNArKxG25B_cDM~E~;BCVuIfGN@{6UoFGDsfg*9KaN3jVn$F zA5taKT8adiBCT^p0^TQa)>9n76la4gP8B{3lSmsW5@3q7$rTCsdj!8(@I}*O$~VWJ zOZoL6{cnN!{q`D9`R15&DTyzLyNWBG|3vB%Nxj9LI;F+S$y>aVy2blKWbznFeOgj) zb*KKF#fg7s`6Tu4tjNSZB(9H_#M|77&*yUD9_Mo<@%daw;{Ck!ogQ4+G-@iLD@kCCot8}M3^(%#(`jtXS{7PX} zWNIq1`q7g0E_dRuuHeL9U7@S%t1F@zwW2j7gYrH1&)_5WF*7(Gmkr@v%>6%P3k(p-5 zeDGfrQ#2ibLI^GIa5*0h!5~jF-r4F=Q^R)!bZItJUy@wGM8a%-m?)4l*+| znZ88EZ^%@R)nqEi>H)BFY$O?@{T!PzV9IS(OL7g3>}w zX#i15Hk3a2@RVk#2SA|&z#*Bd)`MP9TB0cpBuaw~rIzWMP|I{(>n+nAWVpIatp`&< zX1OLah{%jGWG<}NWG<{XwZ1-*jBYzOf@45tl_oQo$V@h5-rA_iytPr+`db@sLMAv3 zRMxP*cqn!VCIUmvP)?)xs1YTj+(WtL3(i7{wK~PnPNWFVL4tKUL273b%tM0pI)Se< z2^Jv121#&g7!oW$=r5h^JD79SU*O9r$o3!i6&@+|6&~;vXBHgv`wkQq`!N4F;wMx| z&Pl&-#KdgJBn7F>3}xt4R(0U2hi0#eJ~=T?p@}(x3Pz?(Jf? zdkX+73{v6}LopDE=cQ0}fNPy8$?hoE}uy_)i*&y@01P-YWL+&9)t{!A%v0cFg7 zu}uEPnkkP1@`-SqZspy1>qeqmaV-z!rB;|Tv)&$r4iYB?<_q(CLb+mJfgVsyER83~kUxhTiw z6qIVQZHtng97eGyX(rjI1bDWKR1c8y9Vw71(lk?SOOsU1{kuDu*No(BYXZ@`Ttr9s z3y$RbjvUS3Ta2UyarF*;2KqU;J_e;(WE4+un3dE5*|l# z3bG1|i~U)pzS0v#ek*tkKFZMgb2YKKAl5YKQxa?HhkNOLL9J9%n@7~{{|RYQgNW_@w8VD60R$9R7fozFhlyLh*L$M&5q=~L1qUoOj(KHYp zpoy*`qAPEoXhvuWsKRhhO?2;SqMCpER6{Tv)x&VkMAv|5vvvDKo4cX@5AqD>*X(#d%lMhrZx)ps|J;|=sv%P4q z@)Ohl`+2t_5Bq8Tc+Ea-W`1JwZA*y!`REP5nbuL*o4!j*8a5f%u94^)h52V zG7cY*_8fLE@uy35H|aC6xGocqR*jNH+UL7(kLikMdj}6gZ-kYQ?1ZCfnuN&{)*)Dg zpP;{Y;}5+|jE9Iv&K)rnj}Q-z@gR(f9ULEhs!zFxMw^w+Chq_7)t;%kzyskeQQ*Au+G8F!xANx+W;} zU|q50y53jjh%liwko_DhK$dF<$#t-Ea_z%gzLI~IORg+W0%+jLUy&<2FnfjO4fW_KLVwH48tcE;1h%4C_LMlgf6?#Ad z@8*hBMPaGl+;|JAiaJPj_~WEvdQPcYytY(_X$pgt2urn#q&nLvsgC32Sdr?KTdGr# z>MUNE6{${#rTRE4OR-dq z2`;G`6Y#WKcdM}rOVyYdmg=WB?^vG3#7LbVqKs=hv`P}x)e z;NN%bg^C`(!G93P9Q?)5J6V}|dHXZ7a!YuZ0Y5MyFDcC|$nuxaZo`O@Zny&FAaGc=schDYs%bBBL;~)7_L(vSQR=FSsF&h$%7_(~oX=$E0}{E-R&a{$@qo2mg`c z!6-F3#xr8jh(Wk0mg;$C31egMYnBz?oDmZf3vl+$Qp`+Eaweg8F)>w0aTg#o(1ASI zmRICuL$tMIP-cq&S&pfs2$5BoUsPD&hxLT9M{^4EU|oh?9N%E@aD3;aS%s+b;!Idu zN^~Jt1@q$KkcFd=9kbDfH8+p;r=_OhHDI^69-GcECze;;ZRSbbKaUcf8VN)J*5S06bDQ{kLOWKBXl8ZJMB9R_Kq_O8t zrC2@c5K0l#A7eIsatyQgG~-7SLjGuHomN{~!GPVf!ukSve8>%n^QSX68n37!FfjpgNn& z9si|S00>)2A5S%vS2L3eUQYg$*UZd|PBId}=ml_S9@`MSW^^ zWF=?BfjVVnWSE_QvnJ?}0{dGX#RwZy9b(LOiZQ$3X0$skh&HB17lbpzfedQ!HH!+} z4gPmnA7k3z<9Cas;D5KsQ5JT8tXV`1<1~iQD00(yGDkX1j*wXQWR8OdR~?lnlb9kT zYUdpWi4sSjp&j>6sJTi@c*rEpH44c``HG5jFj_(t(h$sOXtq&4;6{}epV&)3OmBLA zHd=4(y!hzdX#|9wsyQtwM(y+pE1!*vHHdcG=hM=G+YnM+^%o9eC)_Mu~?b#KSaQ z3TI2*RsP^E7X86py5&8%%g$Ceb0Tq;NX+NyUwPBnBQXiMaXENSUgmy(-fRr@G*r_6 z16a20H9u&&VE^DRP7Edna2&817c6nJ5&`sa5(U#s&_4?LEnRPuehb}R!No1y-sp}1 z`nwJNV?=-I?b4run-<7o8m<{2fWB-efdAt}fA8(m-($6Gv0C<7%UUw6mQ2uJDplB= zeF9Y&=(|uXWzo*vzZ`~mzvhEWTT%97{*^a`!!qqAA&ioIvDox(S>dl&b z-r4_QUUh?ZGkcQ;ofq)@4|6N+HJ|1yMm2V9uQUucpAE#sbg`O?29LJKSOWVIAqEo4i_Nn6sgmwE)cU?O$5?wL7QA!ijH!HS-YArrItlq>I* z=KJ;O&m1!64@7^z{L62KFzqPf!={0pNh6FWGZte&P86JiQ^PGb+k)k13l^3!l}VS zm-C@%>KCqq(>o`Q18%pK*nD!tAS&GxRFTvd#>T{;1aO2_6Y2#*Eh9gkP|FA=)RIPo zs9c2*4r^*c77<$C2}0|H&|1@sg^-*}AkmVD(CJPPIwgconl>tgPDyurad#jY6)-cOh7#gVqO*;?9cZOZ%L-?MFL zb-rmP<9gYuFMl~c&=*&x(o6gW*?aXBMW5bJWV-_Dx`b{n!kUk@j-MuqIHN)(g{1vH zY0@(~>9hdu$qde2R9sk8M0N|Dbbz(FMD8ir`JoMs3+GP99S&gp!j#gtuDEc2=6=|2 zvoepA;1Z^t1eY)A3^Xht_POZX983nxZtsI+lztgz4BM#qlDv(B1=qzsFe*0iy;#JV z7Qh{x{|Oe`X5NCubZki1%Mt1Fm-hq)&~-Og$E3R*cljnwoII6!A+1`?aDlT@I8%;A z@P1hEv3iB99$g)K0t0W0Q2l8k^h#o2&}|WFkTcrlAT;>)2w}ezjr4rW#=sDCtSMXk z#W*LUn_AfNhU+nU=ZSV=u$<4&EaDB12@`lVqRypU=>BHWo5gRIyjdDY6 zd60E+k9Bb`tzVF$EFy^`#b2)B?qBv1c5*K6v@Y&OB^XY31ct`M4_>~pG_&+b$^YZ- zP2j7#u6yAtT}cQK95D;Hh69$61VV^;#+oo<5QCTzSOXeClQl3HV>su^F);>XKmvpq z?BF!-tKH|^3*slesx=uIQe}^lhE3AzW>_O+2`JKg|PkV{=WVi zb9L|DYuanCz4zK{uVqpk8%Ww)gUEgg{OOH!c0sZLP9+_wx(%_-umXBlcAv23muBVYR&c!>H^sIuHzW94{tG ze>&4G6KsrxKIE3Q)X*qkRKSXPJ6qxQ8y$%CRIO)O6EKF0J;rcvb1l1af>Bihh%jzx zY~VU)(ZJm+&cyFT5z$~m6URh(eDByBvDJmuwrX{cM(9FoA_!gJL8zl_{DPO?|3%QQ z&V`J~WEXz@>P!uq(XlsjD={s|2H$f+qY{+|qWT5y+AL&OW~P+WB$eW)BZ^y??9B|$ zFRis@b;7%zT9Z;^?x*)MSQpqIbY06r>8jY@MyC&h=;9#9C1*Kh!Gh6o$mlp|bl|Dx zaUQYMes->f8?u18xvXwCsYiWfJ#B$J%?UKnw5)AHz0c0o&}|CkeXprayT?u%s(djojW7cZ)=UXXJv4J@rsvvb1dRh!^-!T#E ziKme{n-4YUj3pVy;?a|}%_Jgv;Bsm|n;(Hm-msK6bhDWV2wrUF`;5;1<7|b+8;NdX z8M7L%E3HWpc$I2@b6!_6UYSAVJUC>m)OnRQg+^3wD0vko%Y#?ESZhDKk}>PzHJ(dU z#}YcQ8n5fDRPdT97@9gA!^a{>DOAJ6>f$vQGCifcPG?KbhDdCQt+oeS#ML_AVT_^C z8E16%(`emlp;${`-pzH8u@OlNw?-bBtvU;zb7Zzg92p%;FC$ZCrGE}B=&ZW>G__hRU)y_i~zLDbsk(#+W*~t|QTSO$?oK)z)M%o8io>J}9A= z)>q$zsh;K}52j+g>_{~+rF4*obF_C=SyQkidfCCqwt?nSMI)|##`96>LYgw8(-4O6 zZ(s)HpmbG5rk~n5WAZohp^)hu8=VmW27~-M$0gYfRz?J6>a+RoY5>*4U1aw(b7Rxh z&^y_V)E%<(J!B3PAX~}BSsz>oG(GAZWL)kXtns|CKJw3`xZX`knT#E;dqiyq|54e{0xJ0{x+ zI>q|(H)bfEJ{aOI#DI1VGddHE&LNJF&-<-u5b~|sVP_UFF`xG{F%M9qt;BrZuW#t} zEe}kSi~Iyfoiy_KUKZp7g=-d^?{8&Am<5q1Z^%jMe1Ar^T-YT!kox)lUx3tUQ3ncr z7LZ){G;?8a&jNiCoHwlLU~}%B&5dy5li|5FeG*$Ioi!v2a}+9MZI|tYLWz|Dj-1M^6(e z&Or}qu>~J##CPI4{P=u+$h^GBq8H7#%X5x8)8G)Xr?$*F(sQQ-P7$wf>Dkw_9~dq# z(l9(dmQN5a5!H+h-|Jh%@V&l8O(=0h#_(NUx^c*C?uh6ZeIzf|`YfY1Spj-%Kdwq*!BiUk2KeK1Q!l6mY&C2D@DH?Eo3$V+K2TO9{fM4AOTJjI^OniuUZvu* zCi;vw)jX2!NGUnNmhO)8)|4z7@eob%RQPR<x3x zS&zQi%7k#Ukk4)4qnXx1nBH}|=@oAr;jboLGpm{#VQcX;01G#Q=bM!&&NSB}LkiqG zBHEj73SfWOLtz4SVquBu4eeir#U`2^IW584jVn*NdVTZ zh&FJYSw`nfje%SXNdf}PJkH*7hPxeVaJms&Y!|B>LUL8iuw_*0{LxxE=ADoPh8X4idmhy(~aZ3c(8ncSmjf_{Z9d$`mMgXAk%X>g2*{|8e}i7Umls5ms`fu>kyV~q}knrgq~NBm`}^GiJI zG=j7Ec&3GP8i8pA`K!#PGGrBF%Mv}bbMM`-Nui~a%s!oFjE>b}Rk!T9{0tw@Zb2W< z)Yw+L4x?ik=#(gDSV*=JnBGT}eBrJYNNG^9mrz(aQUr6Tli$;0bA_-uR{o%^{qdC+ zvT=AZs;|d_O&#YJ!RU=YugT_|a-6!WU79>&d`FSbE{yraF6@W@?Z^NAjUKZe?a$JM z2e*mONEaRyNvfSJ=SSmsBg!g8pQ+If3>!;@F0z~-MRIMVOAk^w41K0H^yboOa}qxw zrw27ErAGKnjmlh&@cmHUrkvW~Gqu_2YJ(Uq*{DKmL@(_eY-oer1%#}M+Tb$*s*cd6 zMr%Xw#26fzAOQh3F z71=_(5osMSNoV0ap9I@NsltBisQ7IUgDUZhFZU{{;u*2{nsDtST%rj*W^j!O3sm_e zcuy!UEEh+`Zxm(kSGXN)wOLL0Q55EIOdo;liZT%94)Q^Xd|AiXGP$PUMM6t4w z5c0!GyiFY1&}VAX&(#LgLpF-n8ufQIa@q{g+6;8H!Sr|-=>&+P1ZsrOgl&+kk<(_d z*2aj?CQ)lMM7ELaOJqZ}M#E$y$-W?HxYh<4khQTO_C=$SS|f_M(g#eoNz&RNS+Led zV}Fd+XsoM|gDjx6p}e|Y$i``HC^0T;6UshC#z|RIZd)%v6SX#!1J-FX)S)O@Yn0+@ z6rA(m-87i~qsvKQ#Y+1K0-})r``G+wBo}_fk2IzAtkJP-($w+G5lB{zzykK-nP2ep z^l3a(9}+gPx#~ZDcML~XVLTGg031I=k=Su5SuM=S43ytL?4zh@{(YnIi1U3EML6^C z0c0RRb3hMAQ#dmJp+)cDr_f>keXIU`3`PBFfFzt)3fSe}qePvCc}Rky-C(poJbsXB z3IjRRctm_}4p!fTcIW#EhWfq&pq(EkCaNDmyYv0+6kn=ncfL=i2v9})P~)(~oI)X* zl6VG4+GPLPnaC<0m_Qj>S->E@y&?}PZRKdk!!xHMM+;jin7#9#T}KnBFMY_i@-aSJ zJrXpe-4u_RmW|MfbmNhkw8g;IFaEC)NOo4g&9sij$j!_nGoY9}zVd7}w)1(A5W}nc*%N{@1ncPM`MJRZ)|9yfCpqbY({Edyn#SA$TpT00BfF2zib*q`Nm=ci6td% z4P-PbN@^=gfN07v<7C`n-ViqEiBV$_<}@0aAjm#YMhMrx0|JAKc<~UBxdE?5S(b<= zMj=VW$stiuND*;zP}JbVfkA8F^lHXK7jrE(`MeQjl8}uCM-9>&Igmzcjf|*4rbF16 z0F)(>5#;=500%vWdL>3h0XzR^(kf!)1I%7A9(%;81U0}ieTzEd$kO`g=dJedFG60zU`4C}jeQ5o4Zo;}cxf!7xHP5DWkCvaonRTJZNd#>vs18k{G`JYS<+XmCCs zbBRNuNYHTz6#vv4j(i_`x**})0>qECx8@g3g7huMK90Z)xdv;1+s4@nzFinb0 zuR`8jL`yDU)|Xk_0pm-3zcKtzpn4|FAwLt?jZYR!k%`3z9CDu$R-fO_VKhWhCucx5 z)2=WU1JW{pdu=2nzyFENn)!ZgA?pp_l7kNd2tf#Zq8JGfwhv-mvH=LiQBUIL?UgjbqilAu@VB4g43=AS_mR)<0pk%<== z^mvalE)5u9oV?9Ai6o>F@2V7N-|RKbwbiu^ShYyqnQ2`vz_JyAeWTV0(S{rICksir zlKEm{Mh%K*R@3$E1kZ0L;#-G|HIpJP7f-Gs47byAVdiq^Yt=Uskgo`4?a6iO8!|aU zrW;ZLv_BH=u|;vfq$OgUo;kq6V?Rhvc!mrOX4EsNW5PZp|7gM2`xz%w#f19(e4!vu zZuAABO*0U^&nyjvB7v9+iW|to{d6n!2PbB(kA z)tci;g89E_Vnnh3_`eq=3Cq$t$v#P{(=YPeohB_YPA&}e6X%iiKn%USn|DpfUjrq? zqT%O|vi;xl)0&632zWgDgW^m&a4Ze1E#Qf~`2lsjCKb^Nut^iSpH3L!42C|5#je!-&7~UHZ zjNiTyVVv9m_&o37D^1g37T{&7Fitk&TOKj?S|XLt;NRBZ+nG$yUQeJXGWvFWJnhY2 zPry~<$zuG@b1c0+X%>EuPN_0ZB45o>UdQb3a%bbY?#Tmz(RDSHMmDt^t|C~1X6LVz zImXF7*l^rKANCq2@t{X`z7sK3_{Hhnn3C1#^rpXfZ>KZqjX=F(E=N6rqo$*+Lx> z=)={H1 zkxD1%JtNVIju4f@suLt-+@{1M17{6GdP9Vzv^~M;v z5WH9+7pNCmhCk{kLRfHu_!nLT*z}`=LtJ1fOc;o}Eu1*bJ4XcJq(%hE4X}ekBWb8u zHQyk=Jn@ee@Qv|zVlOa^vR7vl5_0kJkH9NzE&=xY-!R=am&d8V=AL4E8`x&qE?;XX zU2*PqN4x+s>Zn~n;7ybOBftxQ3#4rzE@m#nZfS}$eC&jU^T7g>YXIX-S}^)!+)3_y zwX6+b4WHF^N_ZuG(Vwu*FP+P+4eWRF(4g7HCG1FXrABi~+Kl*YK=QG?yr)t`9`6s5 zkA$Ppg_08Gqx{|DjZ>3U7tbD!yeCmaHE~Y*JEtHjjub%BiGLH&Uwxy-|6dkVX?u+~ z=0roQFzOK{6arMiY{DlEs1B3AI^>i7gOOQ1cJu;2bQ(KKw+mNw0fd6F)ah}@2hU(M~K0t}5L``d$fL|mtT1@|&^*-ZN zG0xujZ&cJ(jCBboYVQgVHHXMye(44ze}MxS4~V(X8asHn|M%lDI}2N(b}A4ZDU9zz z%D@!n)4&Klfxga6KO z)B_1DrJf~B7A(ONr87V<3#(?C3ieYoD4{Plkup&ZORt$pzo(^>C!aMkPGyYuSwX-5 zo#EJ(otjD~KV(#dS$StF>VpLGIhOsD?D!VYa55Yu(~-a#kc&9v!qtGB#~@RRK8bF~qok3Txg?|(N@o3gr@S`Q4HIEK2lAblC{`vnL;7h7VI z{=0P~eH0Zwx`pBS$GCOCbEm}fdBwkskMTdo5tik-K!Zd*7~_9TE&`gR0?p?gi$Z}V znXs$@l2V4`mvh%+R0`@Ut6JIV2)cnw8JFLW&P6S4O_VtgGX+O6q;C}o)KjURSZi|$ z<*zPB4&T&qtn$GJ$sZ2)`+upM!2g#Yz-bY!c91XG0OW;m1=f6K>?i@&*jzyrfPk_P z3a}ok)l;K@jz5C^!i|veWRU}t3>GnG;*z|wte!`4Gw&v(-^l!&E(QxV@S3mVRBfMH z(RoTxv0>Bapn`7!7%6%Yoe(M_wIV9YC~n06{vSw|-4y{>6L3{o*iF%_%I|uyyUylGQndOZ&#+D4@MN0@@a!6clA`_Qx?l+v;L_@ojBflV0_i{07fyYqkn69SVY|NW zE?}DxK}Zr|FM_hZn(Oyp*Wdr^hz>c3worg#iU%TyN<1H+qSG`WQeYhR7ENNIu#plC zd*0uUDaElUABm31qaqFq4-KU=#c#)Gy|wl0#OZz@jTi0w{VocN6ahY`>Bg>+$;LXm ziwZjoNST2>9ywfDfIoIWFHZLR@uLP=(uF{DgK|EO1`u9J%Q`+{C!kMW-Bw?Y2X8R( z6?i&GCVk_k!je04Hsq{=*Og~XH5a7JuHYHbK1lv4-Xj6mPm9>AJo=!vSk{9F#1;$C z$#_UqBP$}KT3S@JrpOyrlETF|p+7D9k;3)Ba)pXQ#T2Sh6agB6tIat@tCz1{CXsn^ zCAOIwSJ!xqi|MzHkJ2$ERk<-Cp%*P&9^&(oU&2p|BvXo~%iGEoQxw~#m>NwXs~S@p zsu1ycp^}&qHKxA7)14DjqjgMUsK|mhrV#0QV`5L-TuRZyF(ncz<}%>XYu1TF6l2Tc zE!oQ(e1W|PatqEmDq1`ePt_4v3*$6J$24>Ge-)o*onil)ZO@ zHq)U(giF&)Gz6EhtZP`e>6Z$W-N45(cx@|DAOu;fMAuAl{JD_Ev^?QL8Xu(#X=;>C zOwX00^vE=%A5Q~iEo{D9r;AbMz&JgF<~u@b-(TU!SD)bbR!(`x2&zqxw9cUz(|0zK zzJXq6h9zCkVaTuNaNO5(9JPOp=X`@OJ4VPuk7_P-_j-;-t0Wc8H9E#n^l?0{4cHvu z^6wY}`M00Wlefv&bHpXW^&BzPHNbDzD!^lW#?{lb9hOX*jR4-CT?Y|Y#_4w6hEXMee&~Rl^*cCwXNHk_ zEjRT3XObviziJmxNdT-fNgfSTDPYYY%b{Q7(4&{rDrC5;o~Ha?cJq5QgLI5Qd|a z;dls-1#ld(&Zkm1_Gp@cm|x|MEx2qk>#!rNqe^mWxi~eH4fv^{T$dA14OPndondmo z&r^{W1$gQ~P>{g%XX?}?w7waq5jjR?2?+{PGP%NWvjnTJ7BPaiEG6!&3o*GIIo&+bt#%Y{b)@_WH zBbb-xmwkf%4Y=8SD4zb((5*&p>Tu2&B5}4oa%w1+@bicTP`RVu;Bi!+MoY(x6sVY# z8X4z#09iIc@EJ!=S4tvQYeZ~C(&-2Sqbx+H?@olY6-}IX_F~^UzqQ?qF5`3+dPr1T zvou^gErcTn##B^Rm9^C&2PV}l5|wY6j>tVlh?1NddPFISb^k%7B|CL3skQvh;8n=M zt0fj%4jZRYJXQ_!-Bt{m4nklphMMUJS*1#MqXS4e?UsuIdVqko#iI)i6BEgePZlC( ziN=cZn#ergZ_KnAv7bVBYS0`sjN&W9W4ev6jmLFc71pd)r`kp>;f3eSOvcmMt~?j$errT8G!!8LJhjy z5n@Bwv5@Zlg<2)OoWa!lo+P~yQDN7-gj)ekS2(l9|XDDRP&SD~r0?@@Wa5kPp)z9w&swYq}K{cQ^ zKIT44ys@&LSVC&Vo@n^3zuJK;+5E;+Fz~Cn5ZPbB`>7bv2Be_li|Z>MbueAPhWaLW zV_Y=fN?%_G^_|SM=v%XpOdBz*1D1>zb;kd`xRax3YHS>lOrO~gx)b7 zn?nAo!f$;$fhFu7v6hNNkzbUGnQ|V|**y?M`ED)9{dR&z?l;o;yo@rsYbDO`RvJz; z`x0JrSF#!zuWceES+JJF>9UeYOaY#V?4gQRwJSs zNyhzwJ`r)G2LVIYxAll24cn z-^bd5ob^l14a*CcuGzT3+?=x^uONTTGBd5Uw!V_e2Q0?MtTkOM)9+HXI8r0A*L=73 z#c~lvW<5BY=?^xWPYuGNDDBqgLztv6@^$0g+S513IE3ZB@54|Iaj7gLKiob%rMHS? zAD;R$aE`Dj(|#ZL)H97mw1ZFSts?UI<=`9vKGWq2j|HAG;zK!GP@0#e6X$occoNmJ zV1SA@W!BxrmB#ypc-<_yT0O>V?;$Er)B1jn@qE8L0lR(ZqxvozOKv&7TP)_n?^4uM z7~#|Q4Nr|giGnYZ6Ra2);$8a}FHS-k8Bc3&DcdLz)tnQbT!4o-T$_!;M~&Xu;@Ug+RSN z)JDX4AR-31F`wa@2vUUI>Owudm0ZX|_SR2*890kk2?VLZnZt(sHEAt7Ybq<+-~*%A z&lQdJC_0XhC^*<+?rN)N$;T^V zrgWJ$)-DDMz@f%qnTtWhE2!DJ3uQ?9rdRqA&~B0NPKBet8GlNxb$=_VY>&Z~0DmNS~*o75jqf1#N%|!4`{??KWYf6@` z&d)US+M3DHjW8_AB>`iJEq^X|#6Ootq2%y8Ju_1ijb=XLyP1C#=Fz(;vL&DyY5)k z%u%@<*6>!^uy&V!$v79XDl)Uz3WqHDW8qL{t6D?j-jJ~@s;sLlYhmjEwReppimq7C z=vkp7k7HO<2*f!LRA>@ej2bZ!M*)JzipRyPUs-4y&+c-uN`<#_;nYXr%fMNH`Y?Us zvp}0Dk@?hHMdb6#z`4pw?HiwZtYKt6^;Y21u>}~TgHTL~7E;852p(j*f}FHM8X= zp<|-afykDJ@X8l&6s_+rq8cMcM`mhFV20Z65oMK#WCx`zl%}F`XJtzZ>^lBmJPoBk zs!VN*h%XpJ4O3C^kUTnY9keu>d#NH~0|gh;R+!d-+E$cH49wwn1(kqXn;YxQsydhy z)_*S^6X0UG772r>KRpO-L2=nSo+>9WxV{YqAdz$cMS-zwu$x4f?!@kU*^TRW?{JGp zv7I4PF5Il)6gYZFI&?St-F%cXi4KgFyLc>Zd&`>Hiw7wyA@uHhF*e`TZ)!9n*O8&a zT!FG7(Sd-3NqLHU1-c>8*$gSG6y1p^?-3oCB&Eqb zf(?xk$QQB}1nDmYAnaT<)N=tJPjG1fzrPVxIHCh-`TS7E(^iRB8V!vNQ`;J9kr0Ew zIHnnDbl_3(Lo;~iAIwK>i@3nD^2XNI#`?CVshmpoU%HfM`xg2@IjiKqnf&}mf3k+o z--7xpDv zG|oC$N>%8l2QaP&j_r|~N!YAi+0EP0Gxm;BY_;a`Y0z^YYJtQA>IxC+Q`-bD2j2!xp@7&8OV@8; zar81pF+I>{rR}kv^4I*B9Q9P_6)!F-Vffkls26?ZwR892JqopHK_Ud?PBkth8W#o{ z7e-(#c;LA8W|@WO=z%-#WN-Ir!KP`4ymItaB-|mJYwE@%dqCa z+OkO1>N+^Ykx)onvwd-qs#YsAq*klAqqAC-LDlZ)-bzHipH95Bc?hBDWbxiAL8XuA zK%FeX+o0mWogrd1i@nB&y)u+6q)wq{KlrOe#R0;qLAvI85soWTP-j9ucN?8}389Fn zM2(K+0ssV*dBH<9+^7kU1C@u(TW`mp6h=&7x2GbCi%*BiFGdeL_Zpo@aL{=# zn3H70qrOITAUl=M0FIa>)2r&!=BB)oRI;oI&e}@6&+Np*4g%V_)Nj4rAH^nO@Kqo- zr6L16zs`L|=YISyLhavfMNx<7z&0sSH8$8lRn=D0T%cLT(r7Ob6+$qf*gMRbJjEm& zm1@Rhh^&(|G1;5M^i1PS0?>(2>~}Izf+0GvGYp*w`GZ=B3fz4|ESooHh#f8=rkqoR zccg}QHp(U7HHySbO}NQW!-*ZFVm_3bv4-yqa=`)~&ys4r(})5DF@a_;l_|{GQnGI2 z@(m?b0*q2<2TOW*@q&g#mWYA%edmW(SH{GIpYUxM5xoWe|I6$@W%w| zy%^oJv?zDY`sEw8e(^kxH_nVRuAaGFBV-LrUO#g+c313Pm-frf{AbFRfok8(RUnrN z_6!!9FafL162Z_;D-XgdoTvsHiP>=If}keia6*SDJcHhCR#sI}u2B{EUyt%vlv72C9FAsI_NN6RPuEwruvTYXo52Q?y zs*1vDixS_jaVTsdvJfu)1KBCB;GcUwzAB!9PX zfS3=2Y=ntBS>JRpd z{*BSmWOP&+9nD5Z3;v%YAiezgNQ*KfOv>YDK&&QwI^*KM%)}_w!A9}aa#)_xwMzQN zPAtHB{PU5n^;qtBJE{Yz{9Scf9hC;y&yEceUip3;8p~Q48=0VF16Qb@FJYPZ=i~^q zD&rqZzas(;i6?|j;_gO5W{269Oi5PoE+R`0Se4r=?3y~WA~*Z(8bQ5$03XCdMvQ9k zE)mrlyi2X`5AI@Z*WkeP^CdD?R$!)3ZCP&7g<9iusYS^Hl6&J6JkoYZx)zRb=u0C3 z52U!|LGOckm@+%9H;WUL*h}>aEsTG$ztQ;rV>aWT*k9^1vO6jCd@&!z_a<8_pwA`C zku3@}Fhu%mtO+7Tl*%6kt%5?x9bzWSou>Zeg*|Nu4T`ifIfP?*bp?Ep$Itq71mn7pCz3N9D(lI00KF`QD|O6P;ae+Oq15KsCeu>#m0l9 zLBM|}9tbI3Tdks-H%1yGvP~cQt@R*%Hs3seM86MD=XFFdve&1P`$4{L_`4!5 z_-LbZw9z?8BW|{}nPV95V4!Rl?A^SEqMTK|LHvNg!OAmP*Jo=;E^QX4DPTdFHXX}C zYYWFRjAH>0ZsHN3U)fVx(p1*cLjP9cB&K1cNZ$UM!1na)PwY8} zFfPKnOk?iGzh|i7Ne0$qXObt-Mvus!&MOk>X9k7Ol z>?iSP7!OUGD_hK2&h|LNEJw&J`53BM;#@KYFwD%45z-%fy$o|>OIda00_R*JwyKNB zm@mm#R9k`n$ju=>@}GX&L;#M!f-w^8hTl8xEAW_E|`m$*AGujfF=y=8r>!W4XP8#*GYSfef zKl8Xt2%aOaesqmJGFDdO$jna%P=JQYz0RLv^yztGXE|6XF*-{z_>zN_Rt0eo`sn&_{YyC$>lNzoeH)ztxj=JGnK^qy?gU$$@?>X-;F4=+b)+pK&I` zI5UN13_CL!{ST-5krz76pg+=m_`*1Y^D#<6@nT0n{{Jgxs;%m%0fEw+j11eiQW98R z2?NjEcy1DrPFAcbWc}0oI)uFH9oE4Ql4JWegpqnsy0>u{#z0(iSuL(|(*v<(6>w^= z^#umBw3W9u!#Gw}@IiLBi-z6yA=563$uc#V;i!Qx6aB8BpqrBEH&ZJ|}m-U?2g1N}~+|B}p*EgH$Aj#T-rKQ8jgSIIxa z9uB9}pUvjidMAE1Tb)wh;15Mi*4{XCXO=wKh4lH^?D&X%C`sPC#(JLpvh>k}cW^5cPGEEsgd$~?L#KScLgm$@39BnVxDe^zyl>u;JBsZ=r!zBln z7i^r7x~ICD?(KOnFovIUtg;3I5?xiWG*0+woQ-WL&IT_P6Xom%{bR2rKFBjeOlmC| zhc6Wi4qqx(9KOl(f`G%1SNdYe`f0sjHC^!kcqm#P`Yxmx7gE`1iuPGVkU+ydU@sMC z3m4eMLl^x*A)dU8K_Gmqd`8R##BC_4Zn5g%2)XZOQpI%y6>(Na?>+_+npp$=VI({OW=u{=f9p|;4Jw(_}jWE~Puz^7j-l3EV z@QS<%FBS8_IZOny;R+ud)IkSqP^u43rPYAJIaI_|y&^=>sj_xG0m)%f2?Crvi* zQBBwSxKSH>$Fr!oF6Ax7gydW&y4Y4+H!`k$7c#j*{<+v{!U6D15xJpTj5F)S*EQDN z^z}yZ^`_vQV+J#a_Op!^mAVuuvd+R#s$ZHcO6@WbDS~mQR9k`7jl~Pt&o-%=3t8VD zEDXoD2Xo#0_TUpjH-FUs|CAYLeZqG9Za<^B-Sks`VimUoT5nr+SG^1L?w9yL^WGYb zZ5Cyfk<>?NzwEKvLa3*i$dJZUysQ1J{`B|8zw_0?sRRSsxLNr2U||-%Jy==ZYq5-* z#B2iNGtNdS*x{gG-gb>O_5>|*_=JO2kZDAQG7pyhTz?A%M2)jCf#D{SaNzJ)Zq`n# zt(Znf^aZBYaG8-JC8d~JMUOO*g6#PxoYsJ`)jlNw5l-qN53-P&hiD!} zwiN8uH6r6Hv--s30wP{(Zdm(adK1we?-wPHj6e3yi4%qL>AgaBU$)IaAi>O7k^yBl6#t#7-!_G=f7=&>6<9`A_Beyf-8Xs z7F)3|U#Q~I$c_I*R%82lJzb0@(Rz7l<Wv$7_!j^0`(^K!zrVAm>HR7$j~jEuRv1mxEPa@lWPw$A zfr?NcL((83^J=_xA4D)s-DRNUjYvZat3mTjUTn$YBeZd=kmL0BMvUgw{t>kv!}Rt> zM%CLJh2?mA>ig=81t+BWv)5Z0eqtWTD`;nJ#l^8PZ8{bn5C*pIaWoG?>=?d}UTIrWJdU<$+hC_SIp;-(WsU*Ya#i z>t#(BH&03{nKeXNhBjPYvuZL(C)!d2+lZ+T+lKOTG@imY0e3}Z9quA=XQ+K!QQ5K+ z@8;O+7oqI95Jzu>xlpJzohsS&AJYs~wN2VjHz_KzNZ8p-GLaDWYxxj1xX><))rP_- zI3u6w_128k9*~k=gEeLl`GWjfzH31~bB|lKAjxZa^qx?Oa+4iJqD0X*{^CbY@ZLp9 zD@wQ+ne047C%NZ>W2;e3C5DK_1K{|;ZftKwa+|y*cV@r5#N?9mZYKQ_LUIYO<#SQK zmanYCwb)T|)w#9_z6?}`ImRCu*)OfPqobmb&Z(YUI2Dz6T!|<6l@(~g6I|IZ?Xvwh zY0+R)dbHG)bYG48W321QNKc{F?7&JDl`_rMNa4@x1sJ7ghoy{V&S?9kMwRjDIj&33 z%@T&;xmk+-BYPzI)IREjM%+F-7IrxEPWZe~Oi^BUL%DgmGRMN>=uG=Wm^TD{o<&)d zhj;MV_xc`$xcCE8bnAk6qGrk<9?3p(Qh$^OwSRrD$KaWW(YP?nxPSohV`Dg)pOxGF zKxqImr)6!3^2VNIX9)}37FWLT35)6UlN`WBd)IWDD0b1tS)|}qKzG`dd<+juG8xHO zKR8SmB>k+7b=D0jz{z{F)b5W_9t=HjlmJ03$K>x7_5ffSNxp2U+WZ{`MFa?RKWhZx zZ&qrA|J$%=MYjoPw+U=5_CQZ;^7lPF-a$MpSVz~42_1oKOqN}WWnT4dldYpbC#_& z5%@>G9a==oD_i%{L4~a@wDQu21p`DWv6cb6fEoA9f%C-sC-lGrVt-hnO%Z43Yn+`g z-wxfZ;i7z+ascVo@CBcob4LL2rtPl6Se;!2R{0Yj`@_99r5VY|M-c{?^X9VsW_?Lr zWkWRzj=-?u`W<;%d1!t$tIm!9NphXlv$74W<_P~;tm)Sev3Yp?kj&V7NXh%f8b+xJ zk?e)5+(K~-`Xuw{B&n`kPp%&tuDWVNwU=hCB>sR+88-ytj)|K@B0P({^hwST{ZA!cmozf(CNLCOV zE!Z{u%c0;yyAsPUW${P!)WK}A5w1*NxD)I87DbZ=PaG`pje_^`W;sIWf+vlNuB~>! zMVAJKla;80aR&POR-LSE_HB`%2k@b}R0R zb$HwD@h-BG!nng1j?H>W=CZc0Z`Z+;*e1XX+>U#dvSRFscEE{)d=pBBCH4f4Mj9tT z71jx#JOm(=-UltYUIGK<21Zq|>E&+11Q4v$ zQEAVQi*2dZ*ULe#8`z|q<4cXyB#-+9uitH_!4Gz}iV~921w=7Q8BU+GZ-=>9>`CHZ z@WH5{owJ*IaVaB;%wDaS4$W#hB)x#00Tky2A7|$UpJQ{h$-v98vUZ}m7_Jw5ihD5^ zaeHE4ZzjEvMDMXtAJ{lsjT+r4Su^&r(TAt$1s^$@1UNAlHE@o_yAG$T?<{gyD~S8- z$>bWX4*20Z#P@gdRqLbM>?v5fCv0T4kNjvXrnGWjYbCsN^f(5AJa|vfA7j`br`j1X zp2(aHC&d)z3fMtr2ef_1&uJ4M6MCBU@8d7d7yGHdSTASP$Eobd@9vw$;mE!w0abn9 z;umZ%p6ClER&XXh*<;f?)|iy6nhcgs8_V96&2kTMWiubAE1Ml7_=?GhfZRXXgIwBY z5n`)=-D770814sZ7%Yt76+~CCe}u@dY*r(v0lMcCzB`me-DlH#(t$YPR3Um3VH-8l zKr48XFh_xebcnF~h(lDi!zM2HP_0dmMFS7oK+NSu3z@?4F*-n#d)0+8#kAa z<-NbXr-?c934o*C&XtOB7WGh$t>Z{e?Xq$A9JuYyS~{z;_dDXfbWItm@MN({YjAj- zi#bUSuOff&6loYQg%vzA$)17Rq$TT{aEpcjQxVf>reVI-q?@jd0FOUq7+8Ta+AA>V z>BhM+Vp_ho-|r`&;bhZx%-4|q6Jzt*{>xmLukBZ3bL0-8X#hjAl!Q*TXF`Xq zUF*f$F>(X5=syyuMjGP!;iX1+VJosIk%wB|ta8~XUq{(a?kB<9zWwCjraa_Sw5qWM zkDsaNNekW03&;#nrkP_R2!jEyK&leVGr3W0t)Zc%hrA6tc~X+P{Rn0w3`7Fas>rCv z+kAL<5QKtn>uPr+-x1EYZAe;7XIXrQ%@}qx_44#}gv)fQhfJABh2)ooH+y=lFo;rx zmDr`!la<(2D(~PKmXm8I*RFGS*hu#rIJg(C{$|!tn|kVZ(4oA-<66g7G&s zIA!Brykb`)9|rf`;}A_Xl&?f7Eo4R);D0+76N>1R7N2o08M&y=jgMJD@HYZ}wV=5ZzJy@6 z9`d72;}hpnsIa1I?dLmn_5B$#;vLVs-A!JEDg2f6CVnXwGU# zis4sXghA|dwu4%i-O{6*q}p?B>CAHs-laxiiG$s{u^%R5*S*`G8x1iHFw+Wa$u*Cw zErtxMg`X*QN)ltHh%idd9-uuk3-LEMZJ7 zSU~kkS=GN5s&+{M+_m+1Kwb~GH?34*5)f2a_irP9b0d&32R;z~*g5!Ul}*o7SM)~2 zUb0)g;a8TOmPSFs?~odUpz9LPl@ho?FEoY%2=OUR1c* z+{sF(V$|H@1fM1m0QLXfj`$wrYYGCqBc`p2fStyL?b2`ZyB*;zb(U~dAcyp^G#j

    Qpoe+svEbKM+4N?&SAjG& z?!IMv@$*d($k$f2dlGyRI}$~}W_#%uWG^nV;7aKB5sqDtMt3IHMHU6*-Ac0;E*f{+ zcX~|0&ucFdf4+$VOoLzBtw~AQi;F{z{EGvHY4K|5uvFk8_MlABhw&+00;wi7a|~TW+1|DY1~~;t1o!#gWEEyz4qv!Ve2qcG)Z8YC1PBHHxAF2_RKJ-yxq(TSu~qR$b@h z7M>E~oSRQ$DTYt9t4O(QpxGs(w5Mn%cc1Oc%=93pF|vC(9&V*BI;fqs04 zY>F*1KuG7~k!-#<&BAwTpZWjrG?mQ!f>E_gcKRjYBk!p9`#{vR!bTaLG)mg+LfR;M z62dph03&wEI=~P@oNY21iG4CYDMFl`@&~*U8WF;F%KU;W_*aYU)zDtEsInSC)`$_J z6+>PnYt9idDo3L*&gkRl4aS+D1xVI8;7}ZjziHTOpq1HolFOw9xhPO?k|H-)9d~|% z!fsAf)DazoUAsirMaqr;;8E)MSDei7Ne$15C^j-+?wf{+SV0323p!lH$`$n7GI`|E zKy$g_i_;49hx0Vh8hc6hTCg{Tu}Aw2s*_n))`Un3=3~M)q5KFD3bOS5znm*sA~F#R zi5F4viYV)F8KWv2{3T&yWt>|P6(s{EdO<*ARM5wE%do>xgK669J{PxUgh2=;#=9MJ z5^F+120RKeinB%4_M#ZAUv;PXZ8I?djnFXS%V{cOU2gLte1(z3i4OM<02x0 zjn0ZtHrp{=-)|&7X{g{b=iM-M!L6FQG2ij?d59@uoNK^Sy>q*?_S_Zh)q%G+&NV`t zr4-u$7v5l0VMhWpESYj+FMOpm?)xMYr7kY`z@Mr8!qk2{*8W(3kX1h5(&qmu@VF3!=>jXcDvx6=R zJI+T{B;ggWXskq%8z>n|6okM5a(B`8gc2r*M&z|C7NkB4-{6jv1;)AUDRa`TVek4;=I?7>%e>coI4lI96yUV@pI)a#*7%b`%%Ec(O#b{&!TR$ z3agku@AcE|9h(w(iU1Y}?ag>Qb}#E7RvAT2IBSm6F^sjSC{_$ebr!6+cFU7J(Fj%& z90}^IOk{n$SV^RJP@%)*$x0Tr3>hWQMU#umI9Dm3=5ck1h~{vp$P^l3y*-9Su#$bQ z+&EVSJ;v&STqMC~@3xC+E8QBHqUI8`8IK;Iv>b!1$Aj=#JPAQO`ngtV?LiHDM;ClfFD?haxvq4yjPQm` z9q?HU6h7%%CzbBGK|bSf7hP&d3WnNu(FT1_*hv9kQS$+o0HCgMTc87V`>~%Js{Gh! zdhO0MjzL8UR4ZJt}QYP#qgNsS%tThLJ~RsSDP0c@q3JD)t7SFVZwF^m^~t8Eou+ zogwV$ubtYt-{octA?g4jPqyI@9|&+o^UL#t0?9@B>kP+w3XmLP3>2QCya>7sic)>U z$$5@@qhoky2sMTa^;(KesX%6~DaVrzdhkPwE?a{6(#jXU35#7ugwJZDYoyVI@ZaNj z0Z6v!Zx7i!p<7*E&|nyy9b;rFBs~ z67flO`Eicc14QtbRKZu$Y|6b+EZnD1EtYH(HEzs8JZVB;b50%N%=tT}!mkph|vpeJt+5ku3)T zLFl=hVia+1rm3Bg-d=r~F0Tx(AqWKu`HEiuVj1Z#WE3*i+@YN8}VjzW4 z*9P)&emO&ZW=n&YrS;&Ne9auM2Jo%1*OXq)hP^v3&TX%(va6t%^VImU=_Vhb@F;l< zLOs{fmL>!}@NKlYh+R7vU8c~xlL$hG-ksd(<9c**rwGQ@)adc;4i&3(DmL2Hpkh`C z6?kIRY9g3`)WCy+C4z$FTcF^rv3vz3(QVWz*k;#&f@F8PJ=k-yKBi_jGBY#5v(W{= zfs$c`U5f=^P6+i{C&-h>b)c@Aa-p!Sky!K@G@($5C-Dx9bhScLD43TJWu;c!Y@OW6 z$C{)qX89lHIV@H{qwuKh_yBGSU!7#{B2zdi5Rb))-t!N@A7am8TJ3vjO9S+;>M7Mj z(9@=)eQ%a?=xyIC3}^dZXPtK@%&V!O0cXAw{Fy}X)eZJ;NP3l9((G!LJp;w$X8~9G zoThaWx58u}9UDRJHFF6wea6KWp#w=z<7pz)@M)@H$^LZ?FwgUCoC*FmVCYYbHqx$#KJ9W7RNjX4 z<5NG2oLEnitul=e8|G69+gi}lVVIW?A7fk^m@XFY*G6KydMP3Ms$k-mNK*=F{&I=1 zjlW#t!2~*i3I4z%_}V<1ax&Ck_2OY3@xY%}1Yg^1H$pR3x_O{Wz0a@>|Z}}G6hBkot;JV`2)hl8C~$lDEQ5GGn8n-&Ebc~ zp>W4K*)~Wyo@2zFgIcC_j8WM}7oLI~AIaJJhxv93(5{tenX|jE-hFvB?Un_*3lMTn zdX?XOyh;(aAnw&>#}VH#R0{eZ=F8prt7MWvQyA?ONZC~&pemqZ^j>XNqh}pmu0hrK z%&}X+=gi*S`<@I5xgO!PhSm5Va>&DPcCA#-Y){Y= zm6|LUB!oA6{(#d8u0b`bE9|z<$1O*tXPO1G4lXxEA$4=Ct8&^ zhuobFspRd132Z@xd8HuBI(h&)3Y=j&3KcEr!YfHd*I;`u=n7f>Z$=kS@?ORc-z+u^ z%NNcH>{zrjgowd?AYz!k4@4}L6WlEX9P+X8#p_KhP{{Jb(~Tp)a>ah+_eTBdI)v#e zf-5WBBGBKC>qDc9Aj#ejGrsQDh6bl1u?J?CmvC_DC&O}jr0k|dzk73061kZQp*Yet zUmLQC_B}Y{?T;X>29!dgI6M}}+}LQsMU4PHX7Co6OUqE!JIsQpgqgUFp<;hLX@Wfe zvP(scR~aP+C1ac8o{8ZjoK2>1uFl(h> zqqHSnEYmJ($3)3(IVxsQOF=J^2{G4%ka4`27<%}TGZsYfJn7Z(XsOX^BzGYXx0*yV z?0ccVi}F&r=c@MTbTSwgWOs$BV43RqIArW7GdmB##3~3tY_Une2X0yMK`n$YjJPJ(o1VG8 zkS=H_mQKBkde9L>kC0LIqQmH#Z?K^nChTyLecykD9iGNFI#;U54(q-_eKkZX<>QjB z&GsSCwf5GGke3}UsV@t+#hea3jw})#+k-yPkrz>`Zfc69>Pth+Q6smEw$*bMX6 zK}sbNA_57{d)83wv1Xd+o*|MM=5&-tqC@CXc&n`rv3c5f)di#fI~d_BRotf5>33n8 zNxOq)6|66{<1_I}6`zP#s?k3aAB!!%)N%@5l%Ge!{ z#!fU(knOeRf3bdr);=-;Vk3)fU0%dS%DswK$YpSR1UH6njtWvTkKBk7yy;}8EK5|~ zRABx$oVAXMNt z2Z@F)5oo}B8k+#gKnT>$l;0sYB42tBS^HACi1!OcJ~{H$-q1S#E0 zfwv0Qwy>#J)^w}v|B9j}-tu0J3c5A`zwqY0WdsNJF8`Z9F!EBsU(O@~^ynI00d{}@ z;SzmoOpubn^r8TtjR3oz2pHoz0w=n*fq;p82D~*bn1~I}Z0Ge3cd6?y$FQNnP{-Em*jpEFoO_gBBrO!gXn; zgX`^K!J*h7&2Y!TyLezHH?ZgZbcSJi+vEIBk=U1J8kcycnoDz?ha%CDp3Ypt%d!(w zxYXaC8KmqvvxVL}7Yluof(T_lI~!tnTff0{l?&mYgTo<(j3WJ&Ohh5L!{s>+ z@0omQHSP%3u$MBIiW~t%;NLVZtpiPSxB%W67Nq<=Glc+{{~T)8C-(W;dTa=|f5$yE zYL|QoYpX()790s5-xMB==&8tD3Buk(a1{EhuICTf(vDrKH7@NkE@3@AF<M{pE) zlJ};}2M?auuJ0BYJMzGM;!J9w$`nXThWimJ zicq7WYSmOggtNhAHrD9cXLRj1y6#CCOCyVTM{w@l>o+_!`BSLJ-BIZ2lU+43_XTgG z>*XdH&(_|>YL?*27_{*C#&>l4f=3*TVO(S|zqo41PL5uK;9MBIvmXb?d#_@OEa6k~ zUq=KfN05_61kk=2+p|xEfqgSp4CyyxLxZ!SC;vJEX+$okYtTjo$78MRPs+%mZ{>&+ zNJic_WBG`GGd5lUbVmGNN5OS3`edi{xLyHP2>v5SCA4M+7;=qn0zu29b-grzm)9QAf++l3E0AU3YV)UhOtoN6TCD< z@LvxEDT9$~gno#W%5sQ)DBe5#u*s3A#^3=OqInv)gTdRqhUkY)VuTVLfQrq(SnsfT z&>14t=Z8&#ogX%7eVCS#WDYtbbWn{@me!l=#1EU&RBw%ggTCx`hyD+JK}yKv8X^sn zxGmG@a3Fo?6C)%f`(ad&5;wU<$cw5YiYjM_KBOcI$EWj2^x^Q}B*=8K@PRYCiyF{7 zk=v6hgxteIviJcxgTilAQk?gA+{Jqf1=;T{^g=aU`XQ23doHl|7G6tbL6T$d<5k^PJx%i_Gx|HD2$LWYJ zK0gS-#K)%rOH2_RI=G(1_hZ6wiWG`$iBIJLjw+y& z;=eCr3GyA!@CUCCFfR8qE<=JR77JL5f|D66{sJ@%7{%JUVI}5DV2g~)LygPBFdQ>D zuwN|+PWkWo#_0SILG-Vdu-7>VHb49U!VGz=In%%o;)Uvc;AJO&wFGuD=6n)qG&(zd zq1eA#((Y)sd~HPgfb*m9*y#Ll(MLnbI)(SanTR?W=f_}x)(V;K3}(OxjgU`yP|Fed zGPv*4B$Y$amvd`~6CZ`y)9V7z$G_=mN$6=s;Gp{AFg1C3FXQ*z-QVAdO4^rmM1H2#Zpcqq;Dd#-0EQ5jW$I@I^`f-}VTyN&bZBr{)hASKu0aPdS$eLp)mJFKUxBODE`1~ktwiN19YG^vHkv|_Ugo67(0 z*%OVQwLfECsTOnUg-p40{c#SHk^<7R=V}NLpBsn+BmO}R2)N+&(u05U2j{{mF&8Hn z${dEtF_q%iDa=HjVCYU*eovWq5l~+*0_g@ ze6c~Wr+UPPchRQO8WI+Rob-#yOr1X(jc=gVAB|2{s$kg*8COusKlyz$eOA+CsiQx^ z;})(Ne@YJ`J5^v-A&z7$KtonJXVEmo3f6r3hyUm-^!(k^`OTCti~&t2>OsS^Ly6mT zjax;!Sih5=9V%LKs-GR|Q2lQ_O(PcMKc&li>u`uSKFum;%zY}W^o4*-e0Hc3gbSo` zo?N>PocaH_EjS^RftbFx(6BE2F9ryRW_JN{{tD}j_>EBe!M)m5TwdrZMuC??lGkI z=i9FZW-dIVyJ4Lv%!A%{(w`zTBZlV4x5(-IvF??;UzQvfWAiO;mcG>{mg;Y{DLFa` z_C8+jqxwwu-LINfO=mKmK6%OEdX~6*4Ve&s? zgV~Bnin0?z`OhK292b*D_W3;Hd_Fcs$UsQR@IEaM=F+Zpvq+oBPgL0G^ADuU(kjr? zg3H@?8<(km@8xY|24IA(;9BIocyuhpQ;cE#R1YX{83eRFjK;Dp8({n`^beoZf$W8T zlF3iYec)2k`sY-n_>GmRWO|_#Pw87gtypU$Qn{nU-=&NSd;w{LF1!fibm5zm8^wzA z^C1%@8GlaoNw)8D*zRdI!8W>p?LX@;5<=Mq^s5q~WZUE>5kGmb4SeH$RO1V9`RR!Z zIuwZ9qG&*@hXydJQRt02LwFT@p#R()%!ewZkmsFNIeDk+InLm=h6ec_n5oMZ!c6_S zIa`5iKfExw6l0Of9QijN3gc0dAcJ{Og9$L4QG8I0zO|q6$+iYC0&ndXY`(Q$iAr)g z-1JjVys!5&F53?`1@8ox1GCBaGPmqLvDp6ncJi;Hfo68BqcOQmT69|IUSf3Ts>bZ$ zl)Lr6@L=FiQ5c-HHM-|vA}P#m!DSIKBSO0UFf6V*v;(t3oYtnq`R zY~C1!o>^O*g}Pzl6zcuK0&mob00;kS`(c+?Q^VbThtZuc!&|%>E4L~e$0Q9+XNT9Y0{h z-<|Gkl_nC9dH_ja2L=2Kz3iT>K{SFZIRWC4a(g#Bg221_Xa*fuz`m8Ji~j!MOqz$; zpl2H0(=}kjgOr;HmSJ||#!vA&I!Nh-Jb(dKTRfE^ zZMXDm(PO1bC%UH@-Lo;m{25&Pk*45kjBr(vOc2CV^Qt&imVj~NroxhYzVh6Lf3Vw00;=BWh0?nXvpcL629e7HZKR1b~|uK6#--o4I+ zd}eShA>UAgH>Rv`6iw~sMr?Z2)`Lj{8zH3P<%y+v#ajBCrAVgtS)M&uZY6B(Atzk^ zpgy0`T|_1T%40pSgB-sYqX%c|xA)Hb0i$~b?BU&9M#po5l$>X}$Y?c{ayb>U{K+iz znYL;w8Ak(EB4TxFVTg@K2O5ti$SB(*F+g}cSJN;J-=nb_zGcB8*x#uN-$p8)OopAS zhQcbI@i7OZQNWS$4LrxS%p2F`M`QVfJH8AP?$Lf4<~2b|r880KB0DjljJ&83MzUmu zoLLYQBxxRr5%ci)8V#9x6mC2^7+aQyc??$j*9(IiFy2eufuG25ZsPe+Z38@LD8ooR z@@+NZ9dpYXcALxKR^^A640CyPLt`^Uf&EJNRvJ!NqF*2i01X1769Vw1bN*^5}w(748WE{LQkZ&29UKyx1!`~=m7K8nVAkliiSFo`$NQrNX z9X8m_KG^x9xv(A_No;J?XNkkdqXEGNn(@uggCpg><-w7moJ^9e(Bsz=`vfah!OdW0 zo5KoMurHjG#}KO2{tf-wSmPsACbWKxmy>zy5Fd}n4$TYYLNZZBOz?wU`#1IlDf5rU z1W$|eg)`B)i4ZT zV(x#|)2TTBUDyZ;|3|Y%p*sH=-K9ReF!>X^a3cP9e>rf^Su}U}oS&?Z{mQVF+5L=F z);R0P*eLw(I36fQ9j`G8t#KcgM}1f>|6XBaue8R!QW*70q4)}2VL9gl&Rl}CpfInW zfgq6(IP2YTklWi>XN`N|uBaF85^zlP1)zD0<_?%gfHtmP7H8}T161M$WR3g%mZ;xv zk>nMhKu18Czi94!06Bj6@Zsv1P0RZk<<_{X`B7K%Wy77;xbN?c`u<+|ca>4$X6pp+ z?1ohnvuQ=#&0v8yH)jk|%@sGO+D$9_89ooPUTlqeu{9!D3|4IjtX-Q{#Tkch0?V7M zU1@Hzc5f>56xQEPkNVr`lB&aD(qd%o4uMs-X|<=YbXZ|jnG94Nr)q#4#QIHZ7+Dvx zqb_7iGBAcN-3+6O!>SK~)v#$TBda%9VPr9?8VcOPYTUFg`ZJKlU^RxoYT8s3{Taz> zItj97&G)^Q8;c+aOzPQG7(F)F7LDMWrGXeauCays*{Gka_TziaHprur3G;>X76P1p zI3xCffqwKX5A<8LW?3fUa75=I zAr~P2W7HdPQxZ@6I5^>F0a;<7emBM#Ja&`M?0z&lRk*PxZcc zpvTXxhED)LnBe-kN}?7--#5th^S+Ru4-Izx+#-Kwgdg!4_*LVp)%|l+qO0>h!>{;) zz8u*;1S`R5y0x_mV8X3}ucgL)>khlL-H0;o--wS#VoAbrUo9uaY9o|!52ll1S*S(? z#iFknmvKk;;8BKQF>Uexer4i?-S7$ETW!>}U7>|++HifIERK7q1sY@ITlX?}qzvf& z>}KlxP>a@Csw&hW(L&rBJ0r&(8y}0_b_^BZ5Phv9h<)izu?7+vjGMi3S1^ii5iJ^ETc4KdQ?1WUfdaX%)}<%MrN)1n0SuW z^g}D3J%qI~Y){Vb|-wqWY1QzPP4fq(ahI-yUwL?XDdcw%Gs@fA!`wpfReOGA?0ewuo`ZgTkJ|+;An0=)dwc-s!py2ky=a@5{eceLvu(8dYB<%kIVFI9ptQ*B9kEGJ=GicK0CyP>J%+ z9Ictw>OzHyR>6T>;M#0x!Iuiw#cdOy5y8qpe{#dIGpZ4+fGX{DnrxrQoAhH%U?0#x z|NSmRyAe4aWHWjY<&XJsxjGpRz~J41#WZmCzI{A!p5EC?e$_YDR7?_qSCj z@{0!;Ev+S#9T)9DTD=i$FoKN?>SN+#_lnzikdnWcUFz;tjkpsBI0cISSg5=kT4^P?bbnBm9oqsW9L zSYXBjH^3#LZ7ug9{eI=!<%!IPgys=gV&zxkz8*6Z&-YQHF#uY<4))O9j(kA2|mt0GmoAq`9DtA5yHCTdjW7TC5Nk?|a?)J+sNx$yoxs#W{Jq)7CwBwon zjoqD>?$~K}UMA^m^AeB!h9>X-eP_9+s;&e!UNYmKOWdk^&-u>zmjC(A`M!J3z~464 zJ&jIMMbMPrKC~Qu`_T0pbMte!i=^*U&>W!ki~C3sf18#Beo3(Y%gh001qC@$Vf%ls zBUmIT9i|xkV3$&KX)K+@QQ;03aOqc*S7Id?qx^jS-wtk#D*kG6j#1w5H-km`If_0F zeAU!2^EpXo;-1h^vMqHf{AVyEvS7Ow`>Fh)^E(u)x46dO61CGjYb%(>Ijyp zR`mT`8c7$YQa~uL9-%0D|D=vYlhV_wSW-t!#u#l1?h~YrQH(p)?3He+V3>LMgjv2! zl)pr=aD!n7F%TSPb$@NxTGG+#jMPKbM|p@Lrp*~?2P?(>vj{xf!PDGP#ZUUzZXcw; z<qjZlh8-iPgM;eG1b!-5@Y9ejE&#+;ba|j`ZP<7>xr!h^)w~o z!RVGJ#Bkx;iHNIGdy-{)z;i)i2o9F9gQqA~2UCOgpOpGQOhLuwLV#gER*+*h1HV0T zW0XF$V?H@l)SQ8{Tv|=0dU-B))Dt< zKZO^gE2ebI*hgVl-!4J$FvH%GyG7lke`N>LetLp?Xl`I(D17^Pg^Le)Zlc1){+~ly zK3mYYODPJOs@h~UNR}^+79~rPzyb{t;N$(AbiMH$=#cKz;?xqmJ+n{#TkSLKcHstn z`v{Hzp=bNyzx(hp1nFWbj^_UiJBKQU0KPL~I|ML%ZT{AEn>|GEV;*t7gA6w>v+HPI zAbZ@;bIh4V{XVC$vVDbU)TkmzV3^w|Lk5!4s6J5CXc433cSd0yL}5U;rzZ`f@KKQ{riDksC!XCece2~d{9?i*?=<(Y8tBQ8*7`+ ziY)rMIL(IQb2x+c6s8N+c+m{!4a~P!pFjX%fVDD^_KSnbLvTiFMso4m`3D-StCEKV z2doVI#iry`D;YYv)KdKMC*$z-pSIxZw4|1uAAd3)U$152 z>umlyf$M#1-oQUt`SB+iziur=n4}P4lEU_j_|r8Rf2fgYbz#ncmPy}zM)c-u)>do) zjzzF^D{W9(YjcW4H;?F>3E26W?xqdOmN);>zAw_usOUj?(~>uTG4H-mO1gPm-RzKe z|68K2*TP;JqF%qe`DcE8GpY5IyxA;oUfp&-jk)i(H*F9TrOt#8>Y~^pHnuZW`ep*Q zCXMdQ^GQZ$o{=|IXFkeuJJT{=-Bg|VNxiNY@e+Agb>?>#>zhf)^AJQ;XTEjX?F?Sz z5I1#aerKI7iVXIc&iu{>eKP^?3PgA2H&45rX;U0jo%y3#ZfDx?4kXLeQl0suxw>9U z-&LLYqXqhAlD?@r^GAz~&ggncY$TbVR_dZH!(u!07fJeNLd%Gl&iwncZf9DmRC}d5 zyfVk_Ov?dvQ+4KRXLY?+i_nL(L8>z?oloeyNiFAOS=F1LmbkrX9iu8%z4`i6x@fD= z2g7Juo^$(}(5e(7TekQ=Kk0U-b&6Rrxb%C2-R`tbS2tC6-g6DZYMm|b>h82p(QQg< zoiFdI?(_^Yy0cJKth)3530<^xDc>}^(>zVzO~6sT(W-W5fYF_&&5F^T=TnUCtW`Hv zcUrzSSyyb~fJITU>d#;L^vxuknI~?l?)-JB(VYTSvFgtAn{`o~4n-x6?)+@JzM0UH zB8z5A;j}a_ce~S~fXR|~|BrEQcUlq@Rn?u>cIk>O1t6+3|2bLTOTq?N(Vh9fv)#@F zF%k)bRGn$*T(8Rp2lHK{H}9qCn+d^jvaIS&?v)ZfAlDKr{@rwAbm1 ztzbZwRo(e$qQ03FTqAEbOD=tDm(d;U`RR7Y8j$?s`unY^tw9VfLvD}0--6=^2DIk3 zO>djgvZOU&z5JwAVg1%KR?)5pp-s5#;j7Jb8ik@%Otwo zN>>Bus*tV*a`|m^nM^-!r>m5r)d_ZHuh{jeE&a#XcH|Du3iJI3dPm_u%o?zRhZk$m zeIJJY1oZ%o{_=^G*F;ig_ODUU3Q={vIg_mK&rH0t3h|Si-gR^#eqT>+o2q2B>2iHb zypHj}rE(u6JU7XdN+a5_%q0)Oc_mY#BDlGxELo1RBat1W(c5f7&ON+_#pG0R;YN|OnebjOR57?Q2cK6;pbu>=LbISLLxmQ zw!xq)C6gpWD2nt>?nO&Rmi<1c`A}=97xU#jHC|6d*2yOPkTFVK^ZxKFsChgJghc96ukxTx2g&!D#>kACNs zOc;%*#a#A}K|P%GSeNLHdklL!2=*=$?rm&YPFNr|r-sdms=a})cPr!G4zzNY&<+AV zT~-tYk6a8fkZ&skUn&*Y1mq*4n*e;Alcsv)0eu8v8wvSd=-mV}$R!R@(I}RCK%d10 z8T)pixf1%Q=?wD)CiaPjo4aPWnAqp<-DLM}2BHA_h;jLMJirfiFzDf5zc4ji#cCo% zOz^k4)x|%foCF8&a*TkPC~80;o`k+dW1zGTfKQC>a7S=Asj}UhpQUgRwJ0X(+EV(4!q5)J!ySOa zA?y5P0EH3?cM=p9N?<~*0t$l$Qp8~)Kc%Sm%W6VHt9LWOAqX-W?o?=)q2W+bjfTS? znhXSpMN#cnF&Ggy+s(a&;35!lh(N^WLpy_&3KA7f4@j(dAO@~jnu5=1DCwb$jEayN zmOmI4cfw8>6*1cEB!7Wn5r1}u=oT*aCgER(#T|r-LkJb~UnE4_LVvb0DyHT!Ebfpt z8p65Q{d$5au}`?5$e36{qTe~GL%V{#Pz-p2{QE9RrGqQ!2NQAM0}(6W3Nj>?C{J*E z%W`(h_)P@Z0N3jQi0qVs8}I~6@V^AaO$2aJMZG=2TfMuKC+P1jl7LvGJwYZK4Toav zBv{+do?wxH!xDnSB88(|&I1m)7^7iHbEpKZUPqoFwVR5Um}n@Z(I*=A7TLYU_p2EV ziDzD(Ab7@>7Rnat7s~c>>Ie#pvs@^QE0pJc&>uZgG3F6HhCC~@3&y~hw@X|ze?{9>1Onjp=xA{6jD=_5lTX&2sizN|;w}_<{Rg zV9ev+D<$S}B_5E6$cC66;{gxMQ;=6mke5nc;2z-x?olwp6?9PNdEDT>JiiV!6`o%y{txsF6Ofl5Dh=*Xn8&62 zb^Oo|*&Tu4?~M<7bcZpo47{8PIs)@bgL_GF?cRM=3iD#BN_%g7Ean-ISIRD5DIm{Y zmrXW+v|?DH71~WMAD`|F$a`c#x`+aY`guM60_sL#DTgJRHhP)~PdApYxm?vhx zR2fXV#Pl)aX1@l-{eXg6V={I)lRFpnUwlpqh8rR#e4WfJC<)1S&08S~N@ z^TfWtZRy|L<_URe?uuXR6AJSr1#|oi1Ltcp7yr`lckjEb4VTQc5^n8tU^J+BY zG11&4W5z63{0L~P8S-kN{<$Cw%*yN)XBo-HMq{3a%4!tAir=2l9))?-Zi2j80eN!D z&9uERPe5Lc-Fx7!z&zp^xp`T!D}LYI#vt+CZ2}~|yUojEBRB6rg^PJHgE`VT?o^N`O81L-x?$3GJsU=l_d@RhFcV}L@-jnv zf@RRqLw4^$1$nZnRF^XbldOgLJ6#I4avf?Oycbk`E$!;FlhHWT%YvJY% zmyowNSnX3wnvz~L=Eb;`-`%F%N|(1@Lla{j(X#~RkrT(5x7P~MHM@Cx;ZyBYU-#04 zmoaY-Vcu}Uyn}>xhZy({Gvtk6$U8of&(mp3fAd5v=1t-Dx|kRHL=5JY@v_DV1M`kE z=9K~SGJ82=4cNq$^n-c0f1d^>xpZ$tIe2PYMwqvj{JdiV^NvZ^iR)c!!jG|F*T|Sx zW`$6kF>fwmSX9wy%yZ$gkumQwJzpm=uTEoL3RCUF&pXDLS0^y9jF;-_%*J|Sp4EGd zF|RCCrZA7%&5+q(`gu&cZ_KNMY1a$PBcA0~dH8v6o?!cW^Mt^>7v4PK0bhi9^|xHi z(}m0Lpju`C#ytN0f=Q*nz%Hmn`*}jcRA9H9FpnVblnm^iGAoYu^Q1l@uzP_q zuiOfuxSHB#_C^(r#ynND_ktVPJq^g?6~xmT^Oz+bkjEb4DaO3h0`tnrQ9CW$n!@G0 zF|YR&Ltc5PTnBck-BkR%33*&aKY`uTcJCP(*d?BME$4lN69O;_rG8W-wEd$Z1M;E* zyJuX;OP8hGLSAct0`tz8ejYbC8uG-TYAokbPZ8K1B{8o&xJ&tYTsj)^s)UeqU^k{= zX;Iw~S|lOw40wsa?kE9y<-u|a?4GlG&nnE*RY{leqoTec4^1XNuN;s!S_F14O314q z$h)Y#ppS|?Fi+~4g1nE4lo#Z(*K2W&G4BjP-ut~51?G{Sl4=`)T}jac^W5b%dZr&O z5M#f>Jn-!zSVw`~(Gv42f(I1jeN^-afn81Sqaryp#fwP?c8Q*Vyb2xIt*}BBgn0yc z6$E+mn;Y0I=fLi0!o0JDcIW8Ng%rlTF-2wj?A}Y;#WLE9fyBgrp5{7O1Aeexs${5= z4DK0eul(F}W3aluLokM>bC(kTdAb#<+?DvxnfO0cN%7;cexh^Nl63w6dwTw}xmWKC z7lq(MRpiUuRZ7m!Wku1E3WB+|LLq5bU_RYfl? zOr+o3o+bT;q?nwMc>H8j#+2gxE!g%)zI^9zs%$*M8(tcxceYIYtuo(ll}X<-3IF8~ z$#H?6af0OWP<8t=I_h`@`=hiz4_#8;s!0<2ZGJYAJw$SRpl3Xj{CYyD<}yx-eo=_; z`H>*`^#o6nNpv>LXQTNJ(VP(InILGEg$`V{6=U;$H*FH$iqJb)T~W|{WT>pz|$ z-Q7Q)p(j~?xQ)F#xVv!Nzn)^*cjril@sDRDir-+GD*551;nHV3O+1~Kr7664jw!r& zPR!z7Jm;<9olLEz>_gGXz*j9?OVVTq)06Qgfz7yjyqByfR8&4q!Ug{;6mTSFJ0-Jle> z<0YJp*xF-m{Q2)zi-IZk-K9c@Cm*OiQ19P=sIsoE=IA5(_)K(Bpl6XFS`@nU>_$!W z3XK;%=DC6f(PhFV{LDlb2YMC@q9vhcp53g8cBTxpWFYGu0)5o+XmM zj`eJwrg|GGn0@kh4(4BkHOzUvXEt1D_C}8L1ODGL!88C52|+x?o|kLxmO{~<(@nZ5lKtSUX<2?BCwTp zD9_D)B{d&4)T(yh!&#KAucR8r|7@Z#07WBR5^-sCybs8SmHxjwxLC^91zcoPMMSE3 z7p?}=$4R8dKUIV!7K!7%bm&G~dhZAcg1z#D47B+AZYJzU!89LMWAIO*lN9KAO0;{p zll1Hn-y|!v26u+**D6qztWmI_cf>0iGL~r;3`e_ivsyAH#5O|}a>3qc2HpvzW{f~9 zuB&E@L^F;l?)B0<>y?r5+{=2LmnwJ*Cy$NA+S|Qa5bsSdwKnYf-+XhGeu`XJl3_96@5Yu7WEp6mU zLKmV{D&_7*SEUA0CsrCNmHzQ3$)e?-B+CjvNp?p*L!L^loa8{yN~u-1o#bba#;cW4 zr(`RB@!$q2*5>D2#WKoC4L8dfZBe@Qj?tKrXiJ^qWd{nzYF8XD-JZAVu1o#Wyp#%- zrZjA`t6<5*%T;i!S4jtj?>y}?V!Jjm(lCBI?rGOwXy3~v z+xLos?R%B-f8)H6^KVR(VYxwvR z?21gP`^kZUiVX)O8(d0;`|#2%klH3mp_HISZ{jIZ?eb+IXK)44%GR{rT*jo{TqX$l z-^BjP{EJJ-=+Zp3cKPNqL1UiTA7`iR&k&-qM$`D-P_FTNLuGHiH#Clh*_lP?OtI|D z_b?eCUM@2F@#hxMAk$Nxjv4E~i<9bCoIfGty#4=L@!BZ7pv#nd0k znT|7@C~VLa(px&PIS%-Qe?>2F;o_y~$iGyFZj{Sz;3+|*&frwf9Pae32hIqhlBcQA zngD2ADfSB*SBhghA^LC}6e^XluM`UkwEnFu@r?^o6eEeiMopj6Typ8UNbW@)3abk*>SZk{2HR8cq; zgj7Xk|fEl;d+yrM<_^ z5#hU>Cj~tb4^Aa^D>(c3*L#IVQD0E|RMgFp|4`XLs)-B zkEZWarmGv3EtH#-49%lPiRS#GQfv171pAe{O_~P_P!HZxAF)_=hjh&h+P_ucG9GdJ z*gi-IHniF><1Ar`SrA2R$<$xfx=od%+m)nNrbQU@q$v6%ipJ{@+Nw1}s?hNVq!6w5 z0&^0g3?gtF&nn-fMVxS>C;NS{ja;pGM1Y%{eYa8bZ5jAhr9(SHWii*#?J`X?BdvIQ zX?a2JuHtguY%Mou#(<3JQ6{+DPv=TC)`$ata3KPp{u$AdF~SA|KK^8>7R{-Q1vC8B zILwI7@5C-<)h977&>2S<5_o*TP=K!mYuDfmucMV`=le6Zs^BWlx<8~3T-sk>*Ld<6 z4zk9kYHILccxa@(%j?JRBYCjGGt8F~Q-%;VH?KMp&8|r8uwL0vu%(UlYudCRE ziX_OCP-4`5SR+7lI|3!!1@4tQPju+jiC34CE@G_L^6Ne-5iP;Kd)mIs3-(1OFJhIME~bdxryMDCa>d!I#YmD`zD@^v3!%Et1_kh)=CE(Q+ng zb$&Ej*j0*@`Y=kmLy|5k454REf8)^+0LZzhcJci3w(kk> z>TD7=H~P&AwBRUpC;&a-WWI2;G>mE+4f@qx=ygQGg+5^-@1?&9OOm4WB;Zp43 zf^27sXs8)I(X8WkHr_`g!I~YUR`BdJXF`Zrf-|ABQmH~`HD?UpgFL}^0zEsFC+P1e zRl`eO26(wA6LwQo{^B%F0>lt_SE*RJ1G2C~Annb^rWYUnJ4g&{@?b zsV~7^I-m={5aar(TshG#tnX+H`p(k~y3G$9KlGYBKR@Y<+*2X6*O)$h4GZp28O-zq zRa|&ZAISMD!u(;Ua{eZF>`_{SGNd(le^Kj$F@Fe))2^9D>|?Ilq=l_7$Nh6Alc|qu z#-9vlvgJ(1&>dEb9EC25noY^orLvR2mO9v4C zpA}AU9l)?pIe?Re11Op`{hKH@i<=ctte)#k?kLmFkEHAE0fv?9gQr-mskjgh94@50 zC7i%;LW~pW2j#ie52=qyYHsN&M|Ny2>`CV6T0HzfBsT3-Zs6pO@`cI|RBgk*TIF2- zl=+zw?Fxny5F3z+w*cG_yK@MwC5>8Oy6dhl7=}kHdqVmhr{_U0#Bkj~h&!GSYF0$^01J49VM2V#!ewBg zcDRWqrTY+qhu72LvbN>nou6^tFL z3LiLA13kNxTR64j7FwDhDi62tfrA~%;1%A&pVLmJqJD>2-1!#xZa zUSW7}R5MMd@H)L~##OwC(T+ zJ3L$xY-t%7Pq9amMDpPtMR9t^dEcZ$gJc@&VTu?+L2^2i9Bz;V#l1zl9yl|IcG*m< zNR@GA?_Y9ecBY{DuaqXXzJCc03)(s=W9aeP{YP|`Y6Nim{xjO#(m#aY0fQf&5(C_a zr~Go5d@K1*p&TOrB$wNb5%T?KEYXm3^1MH=<|MSHT9d5nZ{MjPk$|E zrq*@0`HDr7LusBY`XJF4-R}=iNiRC8-`s;{>USdKj6cpy2>tzMaGexS{KHdn;Ek_? zsu-w9eoAqEFpy{u1Z`&~wnd6x)fybyHu|X*VAXve;4v3rndG zrZV^;rlKsR&=B`MZG;~TPJlBbEhQC}GFWk=LzoJs9;u0`FqIff33yq`ky=_U`jm!p z3%WyaJ1Ukbe#LFg6r%$})qF#=mly3-gYS-HX*^(i_+Sv&KFpa(p|h()oSAOYldCDp zDm{R!Tc)w15*+=9g^CYsXC_iJB=6F|yCD)UKS%>!V%`#qmjwRrU!;#Slkj#Qb}#g#!eORwi?F1@&0>;*<< zeZ)6y0=B?lD?6gCOcs7UPjvkCJnRi7t<1~Fj$pt~pvo*~ZfpZy&y&z(G(eho1vP+@ zc$oUpzNj}-$v&tD$)b*0{Kt#7gfxS;Y2ru-8zKDX*YgPfj~PlWZCtMrYnBXj`6(Nv zOkB7M)S_ek+W0ZBDMy*Ot~Z`d(!|q=O?AS?>&?-yo=kj<$7tA(?C<4@(GYolAJo%m zD3$93lxw)Mals9|_#R{8JQ|L1N5d75VB;>#J$yh!-Z(lW%{*OmMln1`Yp}F)>O_;W zbJ6G~qtV*T=hCX}2X*+Ibmp?1k9X#}cCHB}D0SER7S!tgYJ(mba7F^z`6*|vw$0P8 zfPW*Do#U-%vh!Hmw5S#P=;O>K+pZuFYd&37(#FVDY523BoWBXQ2#B@XH*@*)r^MF3 zjM_~nEcYkoF;PFp=Kf}`RHHX@HM5@ebIZR8#r(@$i@QJDa-IzI>?d3y)SKfx**VrX z=@xs4c!rk|Vk`cp5JP;$TraQLporgSvmuJV&Jl}he!`txnvMflX?Nr`By1#nO@rvLU^6w3~ei!atEKat-- z{1k3z61n7(9w!)FHCK;5exNeO5CY3e7fsHZws_hStXYM}w=5THt|(t28Z2uPePEXU zakX@mzqXaR0R;r{Bm)jewx6Q;NwWE}14Ux8cXdGq>7MQhh!|4roG9ZiB*tiu76;Z} zUg5(>jq`~9vHG;?z6?!WkK=h8YPNb5+3BUvs8r|4C@)L4PH} zvJH6s`ly(fs<81~f5yrx$_Q%YfN*7FWBvZx$|g)xg~{k4xxqivRr&`1s#P4iofQ+c z&DiiM%?XE{vN_SAr>aeCN-YDVDJ|MXTy3U54qp?O2Re%^XAzrH_XanRqD3h5^Y%t} z()dqe`8FGcleO$p3S=-%$j+R>xw3o5LwM0hHk?i&+m*kjIJaDh(UszQNKwC0ny19L z-cS=4(jdn8x@IJ0EXmb!++K5VOFbg|BR3qY_FFSzt)gSOf*tLz=TY&@r zjsD70fa}VtqsT_9JBoAGYAcbT&``6#$xSDi0SqSiqam_5wkwN#{UJ`gDI&P3lk6Q$Jy1zK`^_$U+@=_(Sy zpuDJf&GzDet4bT8O4IZkx=NJ*TiOf%_~Y{iBzRRfBQaxfQB!3DgTkj*rVe2#W(WIJ zrdDNzaX89tM6PpN+b}D`M*=n3@;QQtZ3=W4(Q_6x=j6hTt`UpD7?f^rlpf&ijdJWH z^_Oua12*syk3al`966~F0g?HNkWNEgjA1i7oMFd3Jqn^yi5M-fUG4feDkXKVS!;@m9@3U=h@BZPTC4X^pmlFk`wLd$e$ ze9bq*nC`mO#EiJQGW-T8E%%$FBaNm=Y>IB(=cv~6q*^bzMZ%m*A7_c={yfcnJ+jUnWV zX8nRF*3Sp)=QHbBZL^dwDAg8kGH7dwX5YV5`2MA$uVEk+D!aX;e9gLbxkYr4lUCV6 zux?Hq>k17j7y#r93}K0h8BsOCGaQ*yPtG8Ff?_(f+;4~d0ARC0pQENesn}IPnwmu) z0tvFTZCX*4p2on0gy8>Jk-#|PN8yDu_Aj!0?PuH4k-{t8m;THD&6X-IPs7b36(vwb z@UH|~T(wV-3ph>pWZmwc5H zog^gcEF*zVBxX%?mXSo4+Tnm5US@}%LJKibTW*I}*x{8@mV#k^gJIti@4`QDmRZg+ z7Ufey5aU=7%SlAR@qE2P+?a6x772DGiztIcbeKdGfP~%;KP^SHOipo9{x`gG-4--Q zMMFfZ9#KTsdk!lRl{!y#E>a?*%1K0K){(S14%V&uDn&$mq~-FbNJPu%<2=P-mZ$CT zYCD{5hu7HQRd#qS0Cb%l&XFP#3^PaHZ^{>3oTpF$i-?YyMTLu4ENfXri}`w;xUq!3 zRD^T*sih>MBP62jWJK#*mLI2)E?6W*bY(tCs3>SCg(0HcM-)-d5hbBL`OfmrB}zn8 zJBbJ{412)h>TvE?DWYN)5r(?uB%>nwILpb3)&mhY*x@`oywMKl+Tl%hc(WbOM>gaZ zDJH=+6YT$sa3dc$%bn#9z<(>87YmBPe3lZ<=LD%Oe7#9wVz7X}Z{~Yj1$gEQaTf9& zY^#h?+en&6Nq%*gNt|1y!PTqDZ8tWSYYWz!>~>e`3m#3HSV=gl#98L7=nN=nQspGg zGIK&}jB*8Er8JMTG%aTZBF*3ceVi2}&8>F0&<=02!`mU0B0F4Qhl}m-4m-Tl4wp!I z3bvg{GWZrACI`6{&I%G}cpH}Tj{4p?s{NMWL6VFW-X16DLoBi)7G^D97i&Qu=I=ZB z-Vq__okGw@`A!K7`WOlN7zEuugautH1l^vh1pN(c0MgcOW+EA8yXz4Iy(M=G1bs{i zy4+dW`IHhgRZfB~??ceLSkR~;W9*iiWAzPZ{a==U+BQ^*c_oRtJ(WJrN)mIa9o}t+ z_t@dR5J{OG#!tKKaJe0>u)~#hxJpVoMKI1>VIIW0~xP6xLXId^}lQn!d zl+cjr{zsE3)>P`0Oe>vLohy_~xpoq2rCGn>(d_@~ggRRabrs2U7BOph=BC)Ft=9@NT` zo652}tfiXC-;eOUX?%TD2z9z}fsV0MXOL9uNsb7&!Ud|AQq5H^&@WO*sL`1O0$C6> zi3!K zZii3U;RZY02o}IiZL-5B?eHlnO~J7ZMwi~bB(eBu%XwM|vr)(*n+4OvLR!PuC&i7m zLP)1rNb5*Q$4N+dK^8(fBZX9z0+2|&a&s5bZy}8sOAEJ> z#S+o2WJJF4i${KORPKVB`QJ*0X#2=rcqc;3QpR5QFu*>N7kW-8*{*Z4JM~7k9aKFv zI4XHTFe1f{SpTc$E?7=BRHiM7KH`$NJkZI;4Vk;(t^jKZ`jrUv8l(|~q}+vNBJm_e z+NF?Pbne1h!Q3P;H%@jB&t1?`Tn{N`>J>R9Mep1Ni1G8xT^J$O%Hlg08*bKoldIRa zu$y0u=8FZ1Z15hb^|bxL|H`=wta2$9b=Jww(YXuM`&6NX$+#TqWFLnX@_O#DC`B3; zG~mhwcv2)YNDmNrl-vcaN*nW`OL3~yNA5xu%jQkil*qSaqg z?m{*>@oge`A)9j-8g#zEtz>mPjoD%uIQyp!tqcPsdL%DId^9Q{;eiSf5P9S-ti~RH zYntIyMm(N3vlqf*8%69ICU-ZK^~zDGUkpR?f^JKiYK&*{!Wx~tu!anX)d7*&@$VNK4E21;=!kn4sow^VqP9Sq(?NxX_M(RQss)+#={|Gn^ z$7cgFbKfWKx_JxAR}v(>(-tBLahM!Ep2npbz_o2uBWu31wsWTPnurVytoeq`ilhZ{ znj#7RCbJgSk`P7G!dhg+t|i91Sqqx+GIB+XmkKRoip+91Wg(j7^9@cI==E4EF96GD z$FdxQj9#sK2+RLXBrGu3MZUsXK>u2X{w&Enp{`kdKtGsgrU%49e^i!1z*W^k($%@K zjEhNEP;Ouvv^A=tzS0#UNf?UE_Iv^93d}5#tw6v)Cg!FqXbqL&VnaiXV6IG6X!c#U z%v^Y)nB2@!rzLBOx*eYPSR=iC|P zWJW_g&)oNdywVbc@|*D&WF-i-bk@4r75(%-Iwc{#_0l!<7(=Rb^L0K#44pJXy^PlD zWCYQAGZ^xdvx1oqoV5a6SL#fgc-ACobTxEWB_fdOYK4j~dt1xTGYdg?M0x{8M+{Y0 z83?3t?7NB-gte{fB1xQq&_v_I0g6~h28tz#wu5Tk`T}-jjZHxil1sARi42GvXZuJ& zXi|e~k+ZIIz5=Wcs+~;VI|U&!fR`kY!|>ImAXt{Ojz-gi^l`8+Gk9c2lI=)}ZAAvz zk->IkfE^iPM^f#`P&+bAu1ll{zSSB-m`*`h=d7auT4Ye{LLf2_Xx%q5n3gC+3PNOv zS_l*=2$592#~BFC5n6@DlbnJO8OGO~f^ZT74JOd?ZX`_zG?=KCciZJ8E!{L*pZQT zWE8||+mX?BWQ-jdYe&+hKn2^P(+?6PgylFnEYOj00=+=XOp#G6vx`EwqqRUe{~$7k zZ*u-YWGu^5q#sm7(n+8q|KJn}^a+wD-c%Ej(}~it_&aR(hQNCtjz#<{Vtp9sDJ9R6 zd?&Yap%N(7P6Cb2KM?)K3t|zxASSYmz5)wvRrv?GBv2#)BK-i{jYELr?Z^Z>l3_=l zup{H_2-r5sPK)@ZCJT#}>}c|u5n^A92uNkk(5AVM_pWdaM<&(|6EvoIq% zO(LTFgNRDw3ab2rYokd-=J02vaYY_Ejq9`$QK_@ObFrT3Q|%-okNg9gp?sBTT&*Jg z0I}`$B%)>Xaj;=Kcmy6#wIi8!WEz@iN2c468Fpl*LQlalbI8^C2kV{nY;4nnAQlO$ z;;gM8=O097h&!Br5Shs$;`D^vSFk8j z5F!is=2kYdEFn1< zJ3I1}9a(NiR!HOHuC)cttp{`xLZ0R1u}qQI=9PsISsEv6PC|$TSe&&&*2}c4ISV23 z6yH3;vR*D^%}EFqkrga!&O$f`S+@@%S+A0^Zcmj%;1v>edukt|Uik>3rr>7JIVI}y zd}m|lawTf2og_`WoXMn%C`qqmNq?nT2sqNg~aYna{{V5P;dbg+w~bWV!p|dt}3-iuAk^ zX{EENbEO_Ksdf@+rCGmDlZ|Zn>Le;s5HMhFB9UUEh7<%Gs{sx{svGUdCOfj(j^x{s zEp}vs9VvkIZnYzYc0?V?BN&HWm$k0y41`UnRfse{PNbZI5ZS^~;|zpIftF~duv#Q} zp*fs_5GfQQoz9A|jYY~C2p4FGwo?;b<7lSn;M5XcMK{?&<8WFRD14o;71lPL%|#Kevi*^y#Mb%!0kunGgSLJr30&TP-m3E}cj;M2SQUuF9QxNi=h<)w6qk zwF-D^2&bB;g-l&Wb<%x%^AE)eDZSg&upH9{|W#`8k+z2$lRUfrz`2rB$lvhS~wW&fM8BXrxXkSGic7?Wh9V&`qm zPbns%Fvj9P&K6=OLC5GmwgKyEA@2;4~HN@SH!)VC3 zIJu59xcK_HA1c9OgVR`BinnH?;t58@DMCIIjGF&GK~=okiZfEV(~Kda4&8ghTmg^B z42vtR%}%SDS>`^9faf^%W=k{h{MLAUo^ZB&YWtmJiH&uDpR#+CjPCVqXq^j&D$Oty zV~W;2zgw^1nzSzl7<@@5Qw3jA$y9%ebEEOi z;G?-1cHki%#wgkuiuNfvbQ=FO;vg>U#uDgxM*17C4sZ%OH)}tiXu;oj)m*l9C+U#` z8J^W;EGVe=R~@b2e}oS2!k$%ha7*Lyn*Fs0YU!Y_dVj-7cNZ9JsS@4hj2)k0haR=3 zOrzeb$Lt{Q*OSMlfT=;jE@B{koPssnzkyDH1vCx5G)UY`#^qrA<`f{Wfq4^rX$Ux@ z&s-Kl7-9%P?Q23up@A`}yfezif(^815_U8hGI+4w(?m|uJnFbF`~n;dkcK*j>YZ}t z&!0ayCc7yK|B-)nKmv}`s#K*`TB~wA)JktGD%xP43Gwm>i8%#$tw45t7`nbiP3J|C zVYiLGzb<#jQd{?H4bK)TuG`|#lwnp<(4!xyqW`iO$Ad4WsrQ{lqiD2Mo0qhUGpim5 zfeygKQ0?O|$t#m!lEIfIVB@+;QWEV$$fPD@8pS)r?9CcjlsGHh9trWL_8n@fUaeYt|qBTm= z$}7R!%0;=wOq6I^MXb}4oUNqr6Y-hsY<YW5y6+1f@Go3ex++4eiE$+;HPcAiEF`X*$mMNIwnDN>f8HuEBxFOyK7$(Iy0qp z{w-YEUFFoZsiY)NvyvpBwt=Ek?>4;K_-+&R3kMS$0u)B$r7gN(npsk{<$DuETYTUM zQTBVQj@tmg+k)(<7H~ar4e)F_6?7Y*^j5Z@KYe0>taXYkhw^)aFBNfKrtaapjU9qF z9#H@U0lG^2&(C}U>RgIl{J)t+RlgIsGF1&qgPo$z?W|j%)lABzxIlx`V52((VZDiA>Er0<4Zb?-X|yvtA=N6>5!Sj^Inj3=!O%&OGryNMr!6B$%4kI^VLpD($W+t7tEj z99lwOwqsyJ>3U}eQL7`$$DJK?DSyG`32xb8eMR^>*4c`rRKPm^Or}3m=+D##^f#0K zOv7H3FJpVTU{|8Elg7{KbetH8ZDz_qa@S7p$V1n|-j&ndXH{)ec~vEj?;~gS%5;SrGxD91PJL(@(V}M0Fc|DQ zvngHsU2oQ`FJmUWS$NE11CKA=jWwIXZY;e{Oz--XK+at|>Eo0LY?+B4X~gd;5jP?I zu3b(Eeg#-}?ddi=9>I5dom=@!(h13n8$y5+ELswj`Tn;ay3|sTRs!L;yJA`bNKI`oRBau8H-O4|ri)CY|&eM=q?97ja1vc(f2@4V|E zs2m1j&49~jbse_5j*w%86GQB-<5*t^cGX)>DSfNvZ%Fwq#r;_}d6!hReQLDoYBJjRb>*r84-eU0Cnnh0OcG?8EN2hZ(lm{8ez+cU5bZi?)bkrn zQhg?%1yoT?pf=cbQdZZXhBeVD>E3l+G%EX>*@kyTm7z5kW${Mu;+Kr#U%wDv{1S?n zlx8H9Y|Th4*}9JY6w#k2Gg1n+iv8HOqqA056LuI5hTw$kp?}JWi{N?4m+I`vSwC$i zWPpbnR$Jg#?VOSLnvt-5D+46nHQ2*1FT6Sj&*s@(cv*oTLxJO{2DPMcC+0$QR8LnE ze!`;k9&CtA&oJe|&K@9C2vh0M5VV1icP{@#TnMF-{7*Q$3B>68FpC>Sg|lPZ6b#u= zja&4TLkBtqL&KGaFIW#~3Sm)2JGHLo@(zt5{k{~`#~3nGjUn@$(oS)#GVKhn=uvOx z8x)n_Np5E!eRuN>a91`7F}gxgR3UEu6R8O+t2yY>_24^Z~_F6`%_qkjmCs8-iW z(l(I7KZFO+A98ECCO^Bi1KG9>A@c%brPSmfD%&&wSLB=m@%i z225p6Md$a&y>H;DRe<%mO05<;Wu0Ye=1ruC!wX;OL#0l6yC#$F!7-0|&*1Y}I*ZpR zktx`0Ic3E2S@dzra`Jo$bV_-bI`B0`@XUOt=-CXxGdQrPlaf$wF6v->dIsh2eV+K< zbxC}k&tIW<7oja@<|Oh}*BLyxKs*?-=Hkvpn}5r~Z&1jt({|Sd6p5Zb*g-Cim}*K z4A*Dv>QgUvM>pdOLmIi`1H?djv6wzineaxJh~F0Bx1~-QJSP%+*QIGorROAsVJ3A- zU9o7k17(?mf6fzBVNkD(RxQBr>8CZAsD?Tp3#&s@fkVoGI}K#U7eua@BNa@DWKLL*qkuu4y{p)ChijVv+-HSoThJc@X2w?xKm&?{p7EpKX?MucAp%| zOZ80s!&N+1r+&CfOh!IjC4*1F__g`aSxnf4w9YB;`*0PFij?`F=3A~=L~5higi$d% z2dR%y@nxSH6-%6boi)lVh!hE{B*&ztr4_052GflB=6wAapG5H0&)Qp5O6fk9;yGfX zvri!9E@vN2Fhl2^eI&rM-^8B_&OXc87Z9uohAx3I2ecXsA(%4-!~XBWe`g1Sf*U@HHn|#KLh?;1O|AL|D#D6V<|w{ zh;5*c)|8vR2sscAUMSi}0i#;A?hr%%!&PES-L~|2jdl`Lz=*r=Ab~(TnkU&&dFO%4 zbte&AKd74BA&MlTh*JI7xR-8;j9qEtifKs-@gvdV_?_y8E-TZFJjzbt7E;*((cPf% ztn!z;^M%7Dbm{c_(52JRC4fN!O`V)HV-+Z`J0I5Um|?U2V^Q*X5}o3K8;gn@@d#dI z>L8maJHlvcU$o>pgj>LymWq4DtkwXt$eNr{PPyfj9}2vjKuPH;XVN9@SamWkik?)%1eNg4~cFDGJMB68G@9HV3Gg3kE$ij!Ep?arqS3-Dtf z-OGuIA;uI@9n@=T6Oe7pq&yeP;CrHi7ZlNqK8VKCOf!pk5EV50TL{CFu`|)BxMevN z!v1AXcvR`mw?s-#cfK4|eslTl0}=|_M~TG@(qBK~T}+FvQI*~;g4!nrsP23*Kv7j1 zOj@-COoo{4$YBu{F}OL|kf=Pg3Axx6z*UEoVv18iSMCp)SYvuQDKP%!B%viUoXXBx z))G-E#V{Rn#rWp*wZg!Jp44GU{^bD{sYab0si!6R$YFGjzHEohq4X@LiZCCia|WH+ zP8D4_RqBU1d@B<_imRcx8tRl|R{CKgGbo44krIlK^<9Z0GgZ)lw(kQ9q zSk1BW)0Id02<5=_EA!Nte!{8ltW$Q!bZAgF-;R(I8rlycsvD|YFnmg8}ih>GAAUZ>5tGhAS6to!zl6aw#oPa%EVd?HElWt2-1*h zL5(X}lfOACIB<2QQ;jKZHKw>BK1Na)BH5I=smoolTK^fOHrt}AKtY*S@ajAmR(+IV z;Wxv8UlS|h?g`I^9JGqSSCZ&zsK`9@B9Ru~=L6S5iFPQ7zAO++BqxW7Asx%1sE`)z zsFzC&!gsd|oEGQKy3Z;7?4Qv~u+(fZQ7lN8$hF5N=YZuLpw0b*R|5oEs#cL@a@EZ5 zhz2fm!8LA~E)Ht~&j+y^4+f4o;gn3tzL7h?iJ?m6Ck7kz~2r z=-n>{icwn_v-zZw=0i++HJy@3l(D#cb+vO)rpThETbih-i1+cB66e{z4y9Qk@z7HdNA>Lw38;Oz{9S2w$Q@b2+6NQ=bm)(J(lO%cz4{y~flZa)*TcLp{$171+ zw@qyIfUIrtLZIctPj8K`F}~)55E^|R{93YyRZ4U&b*)mvW$7^u<1fE_ao9Q4#lGigbV#g9D<3Qi?(`7__+#%XQ%A7VS@b!@Y`W+_0{yq5gG;v4ff%4RNq^TZ^xX2 zFW?_I?sT7ispAnHIoi0wpDd?_?$G!8TXdC9AE%n~D(2Il1@tEi85S=mi#4m)X6rSp z^!KZHta-mmj5P08VabAjiCHnvP7GelRLfS^rm4-<-miiOc5Pae(FwPnHdkwiR`e_VYP&VDfdgQek&LPXe@;S34cnyzqUYM>pA{cKb#~9OK@T1=dlw3IS zsKbarH9R~5bz4WEv>JU=>5+C=x^@2XHGM8=iXcs!JgBfqR0L{r#z+}`GM4};`gCm$ zc!KCtR-{3OpEPMjIjwIEo~Ob#f<7(QDEi;^^~m|C%kueP`9+BLLOp5rE3m0Vq)v@o&v^lU8O-v;=1F7Vx6g zEQrOfRf=}ziL$hkq9kk*>xW@U_!OOGPOQ!hU9Ym3*`IJK5j8@T>*3gK7q zT8>lOc}dx-Xo|U9@mpeLkX|c@G})1p(XlQBGzPWKe;>cwky9M&y0*bxzox*`&oVjM zb#23=bq__-%p$6TVhQ^J#e#@b-|s%50#$xv<|EV8MNFo~(Daa@Unq=0bMvRCxw&7O zp3BG?+FsTC#eFT2T8xrW!Tu8FHeLE=p){hDT+e`PG)9&n33z_KKrxVxJ$Z zBh^7{*O$`AsT2OmI;W0-?s`DnT!Nd+@SB5kxPsSL6u9sN*cLqRYfSOLdAC3;GRZMs zia#~tCH__(@GzuaU*Qp1)1yzGpwidy6F(xz=WiSGRNxietcPgjs<@b1HouN61}Z^i z^&=vj=B^ncD3vSe>V+F^EW#tgzM)>mvig3%L)!HYefhZXWz=ggx>_0Xi0>E$F{xf&BDkKbrYWN8 zT%*bELh(DH$3ubjK!E{jsAnZ3j+qDG7;D6yD1hAwQnrZj`V3mr&^*q4Y~9PXGUmq} zX5+7_+<&CH9$P~ktJ#03vaYuAn7{H=W$n?*s-rd78;U)6v-WG<2@UES;T?V>%}B(#5N;X$P{tZ z>&2crN-AoGFyrE=9%Bn4sf*M|wb42LTr&4fQj>wy*Vvm=pli$^y@#tA12Qsp)-==} zIE&OkzZgCI^#@dL2rF;j-7&#aH-mZTjq7@;v|D< zH|3BEFO1opF<^!tyLZHF-jVTzsC6}~b*tqZClxNHk8_;#cZd|UpCm0By~}9xU72dm zILEBXqQ|6u2^8-GLw6xq&;10kT_8-_wV2*A3XP;t^L3=)ouE*2T4-dpTrU-ENa5hw z^{Ay+v52hKki~;4FzAlG?rWuPSP+Cb%si69%-75P&Iyh+rA=Ep3)@P-FTGxIKRay> z*0`@%;83WKj{h=Kg`JReluC=w7PYqm?Io9@av%jNQ+?3{Qm zMc|rjybff(78N;l-*@mf{z><$zl@>blw3dMSLLr`t@Qc<=LDHk4L*lhy!359KDGEp z>MdPDi~9N~W^`1`IxRMCX0dtMp}{dapj6L31cA*5;MGn+%3YlSk?TgVsq3R>cTg&PPuf;H%N&lu?!IkbWj%FTSFsy$#YH ztfWoK^@3~hQDORrOim}=i`mQUiy1Uq1}bNe#eE6_JS8N55|?M36X|j}pcoOTP#Vl# zvh=(cOV58HEG+^{hxEbHsJ(U`%F=^hhW)e*iDKz_@bSE0DPDWIe$hFB*SVS5XYutC z+Y{p`C5C{%YG6h_h!~g?gEx@cX4({))T22DH+;&bVuw*^rnIRWaB(yU=`)HYgqEaw zSQQVWHxhaKqo`pmcq1`rRQ8xww_RH#6zsRFK1?R>?g_)rzV@!4Pe3`=f-L}CMQ z-hh8`BT-x?;Bo-VTw~-dH3UhF>~HFHBbnuCkzW)yR`xMeD!{vuY%od(`UyI3r1a@5 z-5BKIEZrDn)b#l|OQjI<&_0}{sDze>J4-RI;(bBbIZlm~%_QYP^bu0V+U$)XaKeeV zL+KnPa)q%|g>Xyu0!pn=+N_q$>}-LiH*60yy+Qk7s@dUVnvyX@ z4>hI3Uy3P-j0&t)uqoN#s4Xss;c~ch;+DLFS4VD)V4jm>Rn7?$G+qg?8m$s0l(CUb znwtSj26Mi|XIhfd9>6{@*wz^nEsd}+79~h5q(6)3&tgiI7%DC0tWK4911}z8+2@T!8Qgs%F)q0KLp682u~nr?+$dDb zn{OnNz|9bjYf+K&(QJ;(l<->LS^V-)HOV{eG(0zoEs9$x0uiU9OlgXkOki)+^PZ82 z$4i&^LY7lnXw!L`2Q-kl3rQ^sodyw*IO84XWbO=5NDjl`LHjowX|xUo|; zU$mY=bt;{6)) zq6xHYrJC?#vCq~6rvWJwtc$@LrC|D)=vJ4S6Xe*G3C)M>n6|{{AKfUGJJrVY16A~2 zmiEHBu_wA8G|s4gw6v}k#vycR3!dKMH1JlnOLzc15Eb6S<7CMPGL0(w&n-|TP(Y2Q z#&)&X3CQy$Q<ul2DJ`^* zv6qF$a_Yp523L59dZR{8ow(8Ph~kSXlVmhREoWo>b&L&S@iOeti42-5EgmSflRDGk z^~#}1)m(58M8Vi7s!_7^pi1iupO&h*HW5#?50N%g3dM~x4mNzlk*vWR=hv&aq1hpG zjxIxo4-&9l9P?3x1Od3BM2e8s1%vTADkyo7bW1o`7bi~9#YCx#Mk#l&4ULK$Y%j0y zwOn2y)z@oyM3`u)8f?!yP0yt>#EB;65ixSD%zpjT2{qn^MtM~@=^kEi|Kg{Ct#rVu z&o=<_pfMEtJ>9tIG>IYf0>s4fdPdy5kQnU7bNF9pzd&!(W%>&)Mg9ve)Nv30{gOul zOm~7%&RZeqLN~U*YtjiYYz91(QY8Ykapf`cbth5|OlXu&fl1@Cz};?h{|B7}!@WC> zyGiH(I(b}liGCUQO@u@Ofhz$9nC?N&$>+xTOjV&Qm~L}E>1M$&#H3UBZW*CUIwnoy zC<`Vu3c8nWhsI&kSENF84{=U`z1@THNp()40o{Y$Uxt#e**y@Sp(KO-)V}>rBe|MO zDGTOGfc`9_ESO+~9_1cf&@EWj?Gyi|b^A2y+yB~=jk6&yMQftB^GQ2`xPC?8Wm}rVw?{f>o|~wXB#9Di;oBok)6?^~$R%M5 z(UpCLSuoKJ;VhVKY%$Q=GbFat^l{G6P}O}#{B-JlT%UE$;0a({_XUhEY9ISN!DvFO z7`*iYc?`GmdDBDETTn=EO$%KbjJMD)#HGHxU?iCcGW6bBOtbphZPqQI#`M@`q3LYN zN*TL-ZdlMz#!Dj01bg?F$0SZR6Hjk~iOfgRnX%K=XrVZB(=_(qc|t&{$_9}QNOfCa zSi@R=HX9VM%?oFlU~F)!H5vZ#zb1NC-0ka+<5aI0cy1=CDIRm}rs*WOqfX?rSlMFN z%*MdXCMn072ocA|L|K_|)9@0Ux0xZ$Wbk&ijj~EKiEpwLwEK#DoS@xT)?Gp0O!_yF zY2snc`@|GdSo2NHhHfSaYrZ*2ZPss#p@2iBJ(|;*zI^V zhh$o(r-|MiY}p-=XOK~u7I-<02cw&5&pT(Io2-0^ZXdfXH`5HMs{Ms4MBWKJ4tgre z^1O$En>Y*WEei)mygVF3SL7M{Egy0NULJvke(S9S$_=-mx$3qcM6{?aMN6_GWPrB(#Q*a237kw;!e2$pn_=J%`wge0O`%qK+T(DoeP$8 zK~R~1%rDp^HUn-}R0NT9qx*j=ULLT>KXj?Qz3hMTl~slu&(Ezra7 zi`F4bY)7hrZoG5hxhX6ww7J`tEjUAMCZ^HGLyD&B{3Z2aiUVRzk*joT4Bi}P!blYO z8jq&G+Z3e=-99&=*s#rUR>t%h{zH|Gew_bvwAz2Vw&{@nWZjXv`qOnPji>4C@fwj^ zBkS*jpV4B^ehWv5uySP#nK9Sjc%=6DapVSl{z+ufV&Q?hQLYYMAph#-IQlpjcu>g@ zDo5kX6RdIk1lAI7PITbq-W(%qdXl_Fmr1lSHT`tzqmd(;uh8ZDDC10 zD}*LS>DCM0sD_sYGK6l`*Bw3U-@aAoSffhUl3|5xW#fdmCi9GZEx%Bt!C$f-os)WW zp47-s!030*vmQ-x_%|HuaC5429%xBVU_0lVne^0f=N#)5{qQ8+!TSHrCkbRn(LpxU z8QQkx<mt)QwgMigk*n6PGi7= zS{g-pGC@eOVb`gy>6|LJYr3X0A)(wOvg~qqWtDsEY9AlxX;*uu=A5b1$9bwqJm0Q(;7h^#RYQT!?Cl8ueqc2V%uk+$Qf4)9c3lJUha2ScJU>)aKBsIbqQM#E{WY*@2=^(gwOEX zklb<-umnVE4I-y`+&ZWgM9llPrgz3@D` zh3urNutBIou~db&mi^773j8k1;G6qfPq)yPaATQJ-O$rwj{4AvCYaf z*BP@aO*3{RN`ai7#57Cxq#i#oEXr1+s6!l8l%Bz1zqZl$`1X_-P`x zwV>-F+gkd79(jJ}L=*k$I*a5ml zm$InJhDb_l&|x>=pe<#eKWReccfFa%yA%kn^p;#QFVi&M1*g7S&~=$;_T6CDW%>y3 z$GtnL>$25#S)tK!cM7m5GqJ!&g$5D^jRh1hv4=-Y+!V8lXDuRGfZYnHn%JG z0x1hhhW=2yDekfRcR@-_nIRWUC1KQ=Ct?rJRK17C3m@&_xjPlGU=L59UuK1L+j2LW z7GQVZ%w**{-z`#mU&QF?G;P&|`%C$*=Uta8<_Qt(xLXX+XL);Vp1bp{6$eBam59-O z5jX^s^hI~2*vfjCbR1O*#D2T#blDO$48Ishi7}2(f0eHWLb4Q~AIK7ve+)lsdDlGu z88?&q(vi6ehie&WH@O%kRFGF5dx9g^?7l%sc7M1@L0OoN$aS0J-loV3ssdRkk`hF2HAasy<2MN42XP6 z5e&BbhVYh}yUPM9=ZSm->f^MHmh5;WV0#IeV=wq++7jMutFIk@KMVNOS$bt*KR)ht&^5KreNO?os2YL zSY^(%n~#(@Wc`F=DR)F6>wP)>7N8d#TVY~9&FJ81fH^bQ)_C-l^$-yg1!Iwyv(1PyKsA!~PciTlB33Sy6ifQ%L9 zq&}2+eU2#}w1MVseTN~7?hYC=rmAAJ(F4_spkQ46Pg9PwTuSc{Cj+Ly|>j znz>tP;OqpH<~4<~uUDv*ml`9rYpOAjbpx1v!viU53{0p~L3;7_C7kil+}aX6dNO$I zbn9<^-&rzk*)P1kP&UooJ-mbT-oQ{cd3*Q#p=|mH`=RdT;OkJf0-)pGU<`kOIedI% z+8oo{8~!rho|vy827cJET`lds4Fq54?ICq@Z`eEf>=RMpFjSbZlBe28;}%eMS|67t zJ-K`-l&(x7G{i#bx^l`g+#A+!n-86MM4iN8vC_{JP0}U;w~uL^8@E70AKg75{rZ^t zkiC1kDYyItVMr$6Y=XWKR}mb(H$tvwKja;h_?rY>_4zN3h-u1nDg(p3684PBTA=~( zBb)k$6O33|s1im^;TY7)odm~`>`HR6Yit$o(S|kE_IoX&?a+XV-U)2fUEm;v?tmU@$r#0_|9F9rK6N@E z=wX|CqX6a=^2$fhl7Z6>>V%`AhG}jy((m*M4Ini}^7G!96VPIk!Tban%qQhlpr+p< zFJ#c%`tg;l17a4`u|^3pn09{iQygwoYv{f4M%!8j2O^R>Q3zomH1K+*A%k2f z;E_Qg5PGu^)hxB*C3+MyUBTmd_kz5x&{v?&_p(CSSI9w_z`nx7 zXYdRP;3nKF4*B6FbS{)$!udJcP52(3a)FwCuR-+vdkx8g_4m$c|KPkjXX<+mG>zsy zaMwTh{+)3za1h2S2jS$A{cSDHhL2Fuxlp+XZ-sKMFH>veHENK2gtrWITo>WaxIpSU zzwoZxeZThEbIJ+oH%|7nH^|6fD2HYc53k=lAIjk^>krO_a@dEs5XyOREV)5?i_CvUMGfXbmR zcsqk>U&)>w&a%azlnIM zQGHDUtkbD#iyY-qxj8?0eW++w+F>p zW*y>yUxj2LAxWd;XYdKmX#&nE1m{$X@hm|bgdJY)-aR8^6P(|a9~I-HnIRi%9N#e+ zf0h!SlS9L(1pQrxqmW*+?*x#Fx-%It@<$ON-MHwPF-HT=Kf`DH3&WvNai!H6SY4^~j zUKe2~mdnNVo4(NS>(y*3c&xWYqckz*g9!#LO}pY2Jbm5UtH77NlsdzBEzJUcH1P-q zcQ2MuF5|OP8)Li!pwGYTunH5G&uFCv+{;5`(ftcqSHO*R2 zNo7pe;U#_4f`)4T$+yh12XHCfP=q2bUa7|Uw8aLpjgxq?G5-D~C2 zL`Vlee(U4&A73Qzn+C!p)Ay((8%j68E!0U-)pEMwGs^zhEN0OCVOFyBJ?C+FL<%7I=Y+`7B0V*P7$rmMkYdOJ?urMX#aoroSFwO9( zwQ~kd^F}K)QfRiUu1TQksV+CU|GycF8?<|7qIO%P-ZKpK);<0B{53JC&BmbqRK~wT zBZZ3N5T8^kUNa&@f2uhJ?+w z^=^e1h}|2%ZB;K6Vu#5lxvQfeak&MTTSIW2N3z?oogV?wtaMfLxmr75iuWI_W;#Y{R)ri>IH)p!fuz&ho#IW&y!KQO@rTMgbpz!@6 zD!g`o=sUEqf??oJEU5Q~n$sfGjt|W$^8%mTuu&MdU)-spvqmkbC850Q8{Ewj)FSB{ z?KM#($Z%fDM1U=6rC;#1>0df0Xk>9gB0CQ=d>;=j-5(mtx%WQAOQJ zk_*$DZe-W;UOoe%N*EKJ_+ka4Z|P+>uiq;loJ#kM9oZ6PRb1H8X6TF(N(cpPZVa$r z-|&I!?ihMC`bAN|+le4jR>tip2S-w+J7q`BJWj&=k`k*479ipSH(+3N6geCUSJL4& z$-|X=g24T8Qa(vb%ckjgrR<6M)Z`xxlF_jQQ5%ALbyo~iB!X!qY7=zG@|&gH9D)RF zF*@!~guU3vD~M~LCYs^I#PJ&OIp&q1WB?m6?=5TtXv2zvmV~z~Jpg|S6yl+O%63?;c`(y&@ z#UM|kqwJ|Yj1%#;(PVOp2EgRNZ=OT1qE{E2EIwO)mbi5~ZgmiXyk8O;O>5SD1k3Kv zz;$Re{e|G){h3(X?iYqeslQ9bL%-jgJ+&C8bI-~UPx{_3lgo@>0$OI60>ks@zsz`d zSF!(`bVWd*TdyVwx@B_Z zm?ajDQhbCF$~9&R-7%a0gea{h>Wq1wD`B6{jvum%Brfzu@B8x&6ins<57JfD6-V@O47`Lz%8 zD1Lq)5z~C}+J|}k+6Tn>7+pSL;eMqVs+O+~)(^tS`;?7Ny$DlI2@UaUALh|f5BDoW z`RK=*1*Eyl#43Wv$+^N@3f0rxnn6a7l~Q+>)19hyZI+WRWy{!B38IeT@5`>c#nT(u zDwwoa5R02<-jfJH}O{N`_*Jj#F&C2Q9-p; z8#0#Gq#kE%7h^j3n3D9N+is7NhB7UEo+f@k-aO^jlIjzdx$iO`&D49oAPm%jqY?7LaM5*Bwt)I{ss_%JEK!P1v!e`tk7!n~g+teBN z9--M*WX_!%divr*V;YjhkeYfWHE36lf~3ZiaMMzgevusX^z3h2YUC5T!dz^_%x@Ey zTgi~@V5z}cwO0Bf3upmdX9>X=s25AhE`NNMh2zEk>t1bA7A6yp1&|$WQWBe>wP9~k zvoc<+G*zk1N+X#SRA^}lp-xkcjUFMX7VIYrLh7B2&lM;u7y-zrQ~;9$&mq-k|PkL^Pn}5Y{HM-mMnDWeojjRa3Zf0L7peqC3La9%Ex`)}O0% z>6n4oZ^Uz}nAfRwsRp{VjSa49+n6ny%NnzFZFFC>RG<58>r$0ms#%rr;->q@o<7zNy!kSzTZZ6cvong`uikHNhLl!$-E+zPv6krr?Tj39$kg-sK|W~IQ7A4F676D z{!kttDL6uX(8jrY%g7p>E7e*^-^P|5??z21($lRW&leDf0D32ozPfnWnmcQ{l}rorhXWv zr%H`O41=NxY7U(n2Qt+_NW>BM?3o=3kb#;+Y3H8x;BQm{E^+4pLVCREK55rRF_|{RoN(!(3(ZhE$54;q&=tA7wTk#EKGYK+~!2^EgNsz>*>k40@ZP<8dq-@&UVqv_|fkv7>b-@p6_iPQ}p@#{iB4ebA zpkA(j2rh(l;X+DgO%l=EuEa}rh;}3>^t75xz!P?pXbI`)*%6v>eXmjzS!TzFD5L;P zR21B!1}wGK?NE~b?69)Jy0KkoY@bf0%GS(uzVY07zTG#r|8wV)LC^Ze+I{2sx$~aA z=5yz$kDuJ?q4iIV`C3NW=gvK4)Q~;TopWR9o;%l75V>-jbpBxe16!tXMbp-6`H7@(&4JL$lxgfA)HcVa^IPl10B**WUL=gw>7YftQ4RipugI;sj9YaQdO8$&3Q5*DyDuOl z>(x#(wJm|5=Z<<_?LW;a-`D|()MeB(8}H5#?e!Nf*dcj@0Aa&5wDxbc-&qmK%6MC) z4e)5x!YH{_kL69$Dsh}-B5m5<#8ypSq*A?ZtLZE-8{ow1@C@hY?gEubJ@E8~m>%Ho zX}c0CutEhMrD{{EB%j)6E#S}InV6O2wHG}n1=xK7RCp4#nL3p(lc1iXZr4gxKuQGy-g7#HX90SSV6^I!Gth-XtPh1^ zeK;2iUTQi@Fpxt?=It;VzcP^}4Bq)k&vn;Apu71C7(hHbezRBbc zoIcums`2Qt#*-~?RP+`p4tFLr<$8;HpG=Ot<3+tTrhADhu)nyjPf71XZ+)KX`S?r4bdlZg=M-yq;FzQOm0KIPuB_jh0d>6sYg0^* zZ%>xqM{Z96J0HFDVoQalI#?^`S(g3L#6T{w<0EHq_{PbWQ>2bWjC=Q4p+ZDd;Qh-bOSI$G@s?GCl4*NxMgC zG))GW+Kw0Ua|XTp<kRP+`r`*i+hsPOt> zwFZbb$U>Pwy>LdD~g;i4cR9otV>QjLP&1*@b`nMBQpFC-$N-xzr)AiQz1!*fk zSMLtHs$ackYh(3th^JEhDyaT+QvE4fuKesH^&U0)>rwrnQ2hf(PcQ5!rZ>68mRB}ao;U@RK4$Th{-p7-xzT73yU-d~g zjzjZ*T9)zCGC41`3Cfj<;UtOP3Dr00O`>uob3}N(%1T(UsAngVsD5Japa_Yo&%`rj z@2G&1z+bC(ZE24UDj~%$)QZph1bdn7C`08@{Ar122Jwo2$_h=>YTr{({_#nr_9xYX zkF5@=)&8_n`<{Y)D(g}EG(6)psr_j*PHJE1UGT|&A&%AirU9f@`)RE9XF3xG?qLh= z6A+(I?Msx}Uk**XepJ5_QS^t@{<6^{L+#UPy`=MMOlCg~weKtR|BlpN9ZNQiR2{6d z_e_W{PvY_{98|LbZAdWWq9u!zp3~r1kv&HhFn(WQH_L-^vITU4K>aklTa?hf2Oh@TwlY zq*)SyYBII``e~Y_slOwubc~%5oYGJPvlIq54QGiv2Nog>E|G{p z^}_>UlzQf7^vsor!6wNAlGw(C{f4ZjGD;#c#-XYXE}+m)saRJ z#NN^K>n~D!Pw&hJ-hZv$4SLa2Qc(Vx*GT*ML>Zy|w81@0r%kFpE1yJU%S!^olcwmy zL#$A-X#lYOohuyj@o8-UPWw|EfT4x~5L@S8YF!8qCHWeP#>oIoOEdtyUq3v|%noV; zP|OCvR(T637LVP0D%mvvpT{jhD0-$c0KQQ1_1BaE=qaeBHsF_h%QQ)!%K#u4+`pfu z0hsnXG63Rie3;^5G5|OoDQt_&!MM!5BK(r@h&kyD04#Pg126&x;GcG6{L>EC00@n# z6$W6WA+G5SfV|(7hmx#%q(K+VC@#`tRr+p^bJvyICY17MeZ`5z9 zd!sDN3gpV?4|gnIzfQ*?p!uax{F**~`d{X*+G)_ui)KBSoB<2fLKV0!s zk;x5BXQfvsX3}cPH;==x)r#=NlpbeGmnx;t4o$z_>MFf_bJe%93yoGjr_#%Ac9=C7 zDS)EikOduWjpIDWDxh8pRx|_5Tam&j3irzAsj*f6ksN}{7 zy@TVIYe>q?NTj=~yRX@ReBYY@SLRd88=WqxI zqLr}79aRSah#pY5FG1kw`Tstgf0WkE)CZgN>!2Jk;pW4TM`kD|i5lcjjV4X$OE>DG z%)sn}M_LZPMz2S!tyv#rJc5Uit=r$)s^5@yau&LCyzOWUzcQ6PYE0I({v%DawJ$rZ zxiqaupLwwf?D~ym|2#9z>AzJnw#HL=lv)p!DCgEX}AnL1-Fx{0kw9F z9&o*ar=WXhT`iLdqF=6&2TcERjg0^G?<$o&VcAFerlYg7gbuwCnsH;4GHAbCBeu$f z-+(}=brD1sSq{*CBfHl}s7&ipFf%Ql*PS=|dvR0>D0Bs|)@|J2dr z$ASlszkZ^%qR1Vq0}fOPpAtsy04@)}$dP5AMYg*fI~&3mLNl%X)}2N5 z4bL;_VsSYhc9$ajBRoC|f&Y<|zaLe*9-s4-Di-3ZlGy4-@6@)?~vT#coV(3il9eY^MW-d(xB_1NjxEB6*pKYy{YvUG28 z;)g-{v?TFU`f&QPLf_T5_l`q_H^=2;O}_eeGfrdZ+~ib3vI;vlNgHvy6(f1u@DQ?o zd01HDj0qWb=Z33zO6^WP-9kUscngnGU48rTLp+7^QDuh3f8as4DykR*7{ArJIo>&s z{621iJD%|w9sJO_8Mk(4WPBE=xH=#`l`ZSb$jE4T9uW6r;+`W$by*pi;;x2g-OmDMk8q|dee(n3=Ijhr zr3IQ&O1=t6HlCmXzvh{S z*9@0A>;IW0<{=Z4=Do`hJ5b@Pa=K6@Pjjn!^@*5TBj1?y4|U>RpLb&sa*f{eFotg1 zzG2piO&d1P+PHkf%8Ge6$4OuR#+mV7S*uoYsvn-#4I^cc^%t+oqmTy%ypIGe`%w%%a^|r`qIJVwZ1u? zRB$T{PWQ73q+{DE?rdYde*474udLJl^3_Jt1~cFHCv(*-^d7f+k7co5Q}hq_9j8jS z*MnL1wyC{Kf3uNlFxTA3A~`|APs9z++zIsm;-Hwns*Gn;&XQXiAwz$&kwximHp;c* zZ#E_qr8jloMayPR>c<0=X!N$B@;9vlY~Z^3_DT9FxVoaZjhB-h^%e8(>=0mF41Q(3 zn%@5vf!C{V8##llA03$N8H#&{^o#s|GMaCwvc#%#yVa^$W{Gi&n=fJLDQ>oKw^NBG zFIhqA=6U)F(quKjuuP(hox$b459%h<AT0EM&h)`}0_lwJ7BeAGvCq&&&E*nwO1+y`DC&a%V4XSl(diaFUs zbMnENsqMqnlyGTMH&yyu)y+m0b{zQi)xic+H zI$~#+cjEEQXF@Wl7E>jhE9-y2J;?a1;--4h(pCSo_Av?v>>o$Nusv5P7_QoO+TY@0 z&j;F_^%!!3$GG~7+8gXaJAS(DU`u230k$|I^mo9T<{7|$a(m+ci6Ao>pH)Abk%a?@!gf@gN;jzI3wV+UJLH@7q%JPymY|8R>{U-6Bbf&b-# z7~`}XQ>^M|BW7eK$Lr>H9(E9>N!-Bjn272-3ar1be&(!6QIT__Mpz84@3TP0{?Dda z(<;{94E$-)vuQU92fm>FKxS32;P?Ax27Hyl-I#+w=H4LY0h9QTlb?mB-Iz-6afk3F zPPpzK$P7>FGc;>WYj{roYk+{^A9B7QT*kj?S66iI{(UxFdr7hpysz;+952loy5 zyrCdo^~$l4!@vzz5!7ohsn^3mQTqfXR$Mw@l>WL}TzzQA4iB=!gO60mf)k3`r+5lZ zs4+@@Yn%|p3>1XM6sT|rH-Y`mN@%mA4SZwnbBPUZFlVlBH-C-Qp<gnN3iD+mx5S?j^Od?A53ag+UwrhdtOslx!&OQdT zw77ki_LI4EsZsjt@;yxebcB67oNI@NtAb_gi`!pOh;qR)qo51ucf%uqXt`Q&`OfnG zh$=wwy%*3Pji~JL?Be!C1Za17SYVio=<vy9>fs8|BV*x~#isDjI;w~G^Lp?24}nX5kQmhs(__F883S zRvMwhsLKnhMm10Nxq5p02A0!26V*-I>uUO;I?4`@wu{60=!Jx}+Cqf&;i4dZrUTZo zQdaW`*2M&C6DT8k9VA@0$WA*qeE@cgF+f9DF)0)5Z~(w=u}Uhwai@uatt)94$EJ{3 z;^K8iaS1FIXS8I8C)(ix7!FagzNCGpni5fx9zjqGGmw>dQ74^=pA5_VWY{N#*40{o zyiKp4+~PJ5%wj^MHMmAKr@&eEV|!Lfdn4mKJ}}&sUc!0n&dvRx4%h@k0Xj8ELcQHW znB(Cl{3`*{LBE8$fS}$?Q154?iwNn1O6&g9S|Cl2gQ)?VNQVpU@Dwr&2dxZMf{S1lrrP0Ys$k3d8SNsah$-TNL{?R^h6H~%T;xoAHz)Jm9EI~? zPjAf~uhQx&8-Z%QAR9J9bpObV_BRORs_^7QltGdDp*+PV45r!PA_?-5Obao)hx_qw z22d`_lqe&G>w9>BP(HvYmrZ~RINc7H*dK;x;0QQ4qrQiy@z0tG!8xM_bZZ6RH+Yv? zM$~}teaZnH;Q2T^v;B-1&~&Yybv4yHy`#x$YuVpSy$R2-!=-k(Ob+Ml0q)N^xG&){ zZ1G2c3clj`nbu5NQPkSu=Wd$Yls^4T1{a_eWvJ2j@S-)-qVSgQ;pLeC=nedv34qEJ zK&1rGNdo8~12k^}ik92q**_(4cKIF+#XqaG+V{woR^ZzAm-+BlYNf*WUT|3DHq#n= z=OBUeD7UozBCAxn2OF8%?ndek8HjhRIORZ7@ zhfm~$D045(Tq&^0)Yf<&YV$Mfg`n-pwMZV3h(Be$iSt-=NfKE4p7CN7RG07$E*&U0a_9mq5<-XkBiY#7N9CS zyj()G_CxN_dO&1_mn)1m%(7---4ZQqn$;mP6p$qD@236`@v^nt4llFARRW?dS7rgD z8vL6Dh?YxVrHUY0Ne~@kF{+V@^z(Ayu*bmRX29zM;06pW8MbR6KVEb8}2a?eJ zgZ=6L6@oL>en|2vcFD}{e)`3^%?W4{F}M{ z0&4-f0jeKfaHB|a{e)7wZl?NurGn|#b~XyCe;DOK^%vYIu9FUIs`8TPThGv_wV>fS z{eoOw!4EE&=`R4&Kg>4ZnDF(R$OBbO|7&=SribZEy??hyrO=goF-e-p!p>U$$goW*eo`MsMf~LMTK~W0SD#A@9VfxBN zyM`Ogc{P~6Y&V#-u)Ty=k=<#T{vMl#+h~WI*rlI9On)KJ%*VflFr-b&rEer(ikbd# zHuQ%G(3#4o{6Ag+q9)Tf5aku>;Il9>{mP0v#~IMl%Jy=-PIGCK=}Sb3IvujZ&30|L zMHMWoY@e^W02efw{=2Khf`HJ;p`QWKa^=_YooSdpzE1+E@asg6XIHi_WUzYd|3 zWJG^+_#ltD1)ZQ(8KBO#D&d4N)2{@ohlCT>LYS6txc3Bu`Z|HCnEt2#3SYuQ^}Xi( zRU7*}i0Utbw4Gp7mo91-@2|tkE{fBsNQ&?LyE>t;1ncW|_%%D+igi|$T(+oPyck87 zTt*A6DtfP3;0pKW^(^4JT*ahBD_*kCx9Xr2-H`-Z5tR~+S1)Sc$j}}!p-sy6kJ@33 zyA_eC*Cn*o)*>Lg2>%w5@AkU%-C7A-X8Ugtw1weQgso!xv06ZDl6^y7?eH6*ktYD{ z7He_Eoi`ZSy7i0Ow<<;E(k9n;a_^izipM>cUCF>Wr>oeTAph@*5uD6l;4dYmM zFVk1KI4+fj=;QlmhF9b_t%2yn_QmZ@R~g~si44^1Sc>r934$Pe5?zuQZ_lz817za) zi-GZ}4hix}0-1S!8_Vx`!uX(C?*BiK1rN{nfPB^tpCO}g&{|S)r;R~wUebO@8wD=i zY{;Msa`?O*ehWt7oGRF|q`g%e1ukgvd_#E8n=GFU#9?J1xKtXNkMEO6u`m##_eYkr zw=u?VCSvU6#f))x_>3I}1n2DVc?t57E7lT#Of-K9Kt3-pw!-HKWTyEi3FJs7F|~_! z_>vvIob^)_zSAmc{!$F+j2h5?2mt*wG#}rm9MDOgjpSYPC$o^1Hb=b|eGc zlfyY%Zc%@T=0`G}N@5X2^Ossn;UPx&;1k@+y}X!ZO!K`R%G5+KSaAD`)>3954=-O? z3Vev=FTJ`VB1!(0NCx4pI;`HZ|F>7 z4214Tf{x&^rwE-#xy#xw5jv494?13b5#615^#%L5I=vrjB9ic!yi4gPr<>=BHWs^Vs6;3@)NA&52 zlvepoMriHwj@)X7X!whneq;p6P$bWejFKR&Jz!Cni0Ma0sW{rB4a=?N6?a4fo7OMy z7_CqeJvOPni&8K$%8rag?Ehk@5$*&u6L+reBQ(AAb?eJ_}yIf-c^&a8*NB z=Wwx-F3wZp|Mn`nyr8N6*YTjbhwA^qChqlZLG_^|eC_~DRKGjFX7QbtVES38W;NA) z5p~N4I{&UHf$9IaTomEVKkA-lTah9=QVgbF%X(TYm_DkxMElw7NGX<5m+60PcLLM@ z!(m*ZU@VcDc4P)K{WWkqE)kj^oLSS3ea({Tb8(aD-w}g_lZKYS^dqHU`fDu7^w(fG zHz(30cb*IkIC-iKTbha6uSLf?PZhL1%_DBV*5vjd*JHKG?Qm!8rL*~+uYudkhtyDu zDJcfGzd4ac#Wa5X+V-((WYlabZVzgo+xZ%X@FjEmwK_DB(0zm3PxEm5&SMGGz5qJh zdAvWj=T!bgMef($m~N)>6I#>sT5)@Ssv88i-ypet!d*%7_-n1T$m350xnFyuL~{Ft zQo3=O#xIj;{MQ7xKUr~eBBk-y-k2e|eX8;hL7vZNXw+KU@SOgKMW3?xYbCc|3vPc> zWbrSvA(}^Cr{ea%N2JNj;!8~tn`9!h?MOLu`*pB8mr14`2G+F~D0$-20mB=KREIv1pgxhPvgd0pHFueIevf<#m_8Gix z%u2`YBjq*?cdnfm;q1ah;`ZwRWiwRSjyM>~i&n_Dw9Ni%n3I(fY^|8gp@^3cZl1R$ChYDkt$b09E80SX3$B zz_h+AlLLE^T7p&4`hUL}u$r{q5LG)ES!qXVnAUHs_(oSI!&+{CBph@e$eMw>kSZfn$y$bSisWi0y8g58|ueXGE$cV6zpkWLlr)*W zE4|;1Y%-}m%!5wgi(+Z0J>B31+At7e1V=WtpCq8GB5MuiEyqaE2Kr5P#w zO+cEs{U#v2Ns`ydMnamneODGEy`9+E7CTaBe;BD}dUjEA`^^}VPT~LYLd-xKZjbL% z4k(MK&Zw5Zw6+YVtAL901*^JK~;`R-8Wam%OlRFn=27e1^ zkmB~&{$I*Grpe&rdoMQJB@X0tWfL}!a<{Z!X02-QK;u;@nZb|jsZn3ryHoGJ?+)I<#f4enPW3$JO>?UHrMH2fhRmAM^Zws*5Bk0&}AR`j^ zT>}`JgCsip>`0>>X_As76Zmz2M=j?6FpG$Nn#c!kNCFQBKDq`lJf(FV*$mIV7ZLkL zdvm18jvTNf2PHVAR-H=VM-D1*%Dd~Vx(ZqQoVt#|ItIwSHHp1pDh}F_{kA`HKoa{p zN$l&$u{kJLivt7_5&N!zgi&tfD9KNY9ig~9i4PNd@EA9N|A)=#dHprqkQ|_ju7Qlt z+K&2;;S5mAOVIj5EI&u=$WaLq68O|1PT)t5DvUPN6Bm#zY^v|j+nKq)CaX6Wt)q72 zupK!fS^brI$?EF?(NXE993hA(f#2n4F=|zu@vF4eV~3K@bdbt@Lc?i z$KI_SdY3Gf@jXhB!;iG;9R61Hu$72?R}NVGHp=054Z_uSx)_X$^K>zUbNCnNa;PTu zA5KXi_J7|Z7Gpu|v+alqk@mYYS}b%>5PNKg-+htl9_FU-uVRm|XG?#Vct8Usu%$m6 ztp1!GId4ZU;I2zd>@VmPewR(eKAM5WR1^F65_$a(#|t-#iG36X<1+L5%kVpFLi2+& zm)rHDuw2@t^*@>{h6>UV%>b>B;&Fnr)@4cSFJmw_3uu-*F9&jPO5*Ohvt`!aA?NC6 zx2%Gvqlnr&B!X_<{@oy!n=h2NKjY@@QHd!n2Dksl%>o)4^FGIFAE(xPYBm+Q=fwRP z4CG5D_YN4h%-kmoz+m_5z3l#60=u_}-JkEz?!Su6eSsqPPaW&VOfz+#(41!2ir&-r zM!Y34b-zi{`-Ezer0yLH^NpTR@*bTil?*?jpl)Ae?`Ns({TadVFQWo5e3UO!>HAa_ z==41|jJ6w|^A*h$O{On(N5sUU*>*IG znZA<&!nS|`?L_TgXwZb6#vf*GBTAoSENz|8)?MJh08uCDNoxS@4V*5@8K+VTLC*$gh zs9*Z`QA!F3zCWC-L39|ws&o185UwWQH*lrJDmqx?@}10zuHg)8sgv10M=#o3-emj| zSAunz9UWrVMu)1BWhl8o8K?NZ0rUZW(I%bOYf-@9~GIE{dIlpP&uNAs}kijvDvQa^6V zB|RDaKVPTZ&FH8sK&vzQe5;rE^PM_Ag&UG!%lUlSbTyjZ%-H6d*d}BC(cyL!QOZCF?mv>SEsO>TT*dwWit?Q%_cz4Wj*hi&NAsEc zJ6RQ7BN^Me^-fm%b_Fh%H`%`{w!vt?j*hdV<6%fd(R!5B@1Nq5CjFP#QdU12NTmNN zgT$rM(0_cNDt{z9u^pW_z-j&Hm_%0UB`eJTqxl3wbUgYbr5I^_2QC)}0Guo!9gvCr z=y-yfBLI0U##0Dso!EanaRa{x>YyDh5Q%*!yP_+Pp>B4v+mC1q!R5^efD3hWiXEK< z3o%)hY(dFm+Cp$iGXh|U@f0%vK-NO%_PJD=006#EBGJNHi2ffzFHW&UPfSEQ3IFeo z7T8h1Fxieykx(PK?_>jNiU2s-fO?7$YAcEp;bjP56hmDC9v7WzN2l4*B2Mpj4U-`N zX8;DJoY!mr9~Iz=R1PW^ooPo)(VZ^Z$j@nhXP^-axUmvsBVC#wHzdJGkloRQ(W6{vV28yR&Gca8 z)h`hYh?deaQ5>CRN6Q692nKM6IL{v~S7dIaGw?RPAdJc+M%-019v~s2M1Qp0j?SWZ zfHP1A1e}2s5TI1QGY}Y+i^XRa5aL{a*BH+AM=MB_=Gf7>c61(v1RzNw*YEhvWdAp? zK{jo&A2%e2sUojy48v6F_&WwLOmkik6yVMPr1^HVLV{FkIdGapx<6W>Kq`0q-^Ujf zvV}QlVTiKTe(tgv7%(hIg&lpxj?PyZf5$H)1CAe1RVW{5K7qxdfqWLHC6x1zF0`W* z)+c%5(14Reu>obWe}wfrUCtlhr!?95jMG{tr(*=?{G$tB92|)9Q{edyDx_ng1VvFo9!J386!+^lZV9tf%VRacKuigwVNtTKzjgg z`7nepJ4`S_ZvsddfDtCB@Pr9&4WKYVruTXNTLb%t391k#2&yoFGvdaqLq?c@TFY{k z#f<`}FhN3hZf<|bf*AZv>;l*#!vqPpsW1WGOB?*FK67xi=m_)#VFGlbyiSG*5(*}S z3Ccy7;Fgc01mRnQQ2~VsM%D(Upas_H(;WpBQ3GjW&g+(+RM8laXq68oTBjIu7Q><75Pa`Gy=CX<FXIk0uqjvlt7N3iyalFLvMXBdf%VqDVP9`MOo8G(TpdX&QiDtpbhCJ7Vh z$QEu$j%|f(xjG9?Z((ekO>C2f30iC#_Yw4hqgwzJVS-V>mcs<20PRub*-=JAgb4~+ zY)=rjTh!LPPw;@RCrn_18-3l5wo>wXi{*^2=;F5|KCE*_x9`vll*^l80z+(1z?dAf zqsLXr`t>NfSAokV%`m|yXW6{M!~bsdL}Hl0)88~<0@Uh-xrZy|%`Kw;+tG=G1anpN zwM6pjr7p zylF?zNT`nh46JJ+OfUvepOHwvNk|`{=e4`0G1Qlc+r4E+&)L!Q%v}=M3`V~M+RXO zvpg7i^-F{aVi~kf#C&#Mj3y3iFog-mqC+DFfY!yb6ayaVjK$}*z$lg}G2*V8VS-PJ z6hu}m3zc%1V5|xgjHNIEMG3}&Eyc2U@ri*k$S^@MAry=ahA71b*s+0j%r8Yrh6%=@ zQ!~}0ULWCnyM!JFi6YysN5Nc&k^+&EzCg+LzM;L9?vl?kZVC=gY8%j8psq_&2z@7Fu^!LH5gb? zN;Eczz~V5$bQY)KBu+!^4`Y-GAbApD0vv4lXpJ)2?TE~!3lrdbFHVNZ=9jZQTI-DO z7)dyF$A-Q*Ob{E!0+nmWhD)f{mRk;WiNXXi9490mzCoB^JU*}J5{+y^BV+Zt#+^39 z1O`;Y?cA7c#~|e3sE@WdI4VFKkT z_;G`YM?#n&04ImvUG-?M6X?)8b-7k=m_SM+CMZvb2?FR~o}bCV42lTQ0ghcWah9Wn zBeLnbls+w>t1^lcIKf)Fo~7diw-Ds;JSXtq_i#JBo1pe1=uCkeXTLl9HmEx@>?U56-N2rnKwFL_`Hw{h;j%MGENH!<)>!ZjA}}jvf<{fygdl~hEM*p zoWhVALBarJq#$IR{3nhAxHW3=6iN>qZcpZniVhc=wlf?{T3u*AN#ps8`w;G`?I>wS?21USki41kcD90gU2CuLH z*AT#JXMz9E&H-R^Yk?uZc5JyFtD<~2Vg?|BuQ0%MPH}sKrlVZmj2XE2#%k&b3LJ2EFr+PZtWFLIfrAos=-dz@F?Bj{;FKVD zUP7MmW-0I7RWoq#wLxryD0d#KvtwI0a8SbR<>6(APON+bIKAQE%*t4u#AyrR#M=uN zaMmlWPBLz}j3mK@=EN5nipOM=2qUZs2$Byl@V~q+YL=UJ(vlu5FW`tpK z^Z;(hOa#M46LZkQFl7%!pUq7MpPW~=2o>A0y=Xx^z4BH2gVZDV#f}G zJ2nf++TxT__<*7ZrR3wZ2+3+Dq&R%Ah>$9b9g%q48l66TfbTu~pcBFeWffhE7?i#1 zow5$S`Il==5pji zWsirtYz0qGczj8GZ%(4@bP#I-idoKf3SFL)m2q9Bm5D6!n&O1YesNIwrM|~D>dLS7 zJswWx;SKTrme?C+#UqSP{#YF04yqqJ*W`PQbnABfL-CPRybW@4o2)>aS>B*z+5dAj zxBNa;@P_Yko+vzOs-`bI6tY3;ToGA<3+U>Ld}afq``>QK`@yK%EZ^hNqMtyG;vsLD zh4YQVpZ<#sg1RCf zt0I~9M~DQ!<$H|yEulC5zsqK>aVeshYW$!6%Ntxu*};UV{I8Qu>x{IAq-%_-@w2` zUng>S73l_6`o{$<0UU!?ZwBKgCmBr|2lHC7H+kkKp)ywY$5Wi`4Zg<%@Xy(P8`^Xh z`%Gi!Sfi>qP8jz~aNaA0%8-|>ut|9Ni61wZI%A4CeD57lnRt$}M_k!fRQ6~(|H|H` zCPzgRs5RpTe?03U-%|A%K1X$!w{3Vi(Uo`(YfXG$f%Rv_zQ^0G3^Ws@3ugzlYT0qM zVsN7k#t42qAXCn+9fu4x$on(p3pL(D`Ww$34|%UNgD>7IeRKd9pA>%hHvSJB{QpC| zo}tS3co*b+m+00WD5Diari0}1BAWL--YYtTKJR09=@N8_zP;qZqtWP^p?DX4j~h`Q z-rwUUsOmh~7vR(6DWJy^yQr#%rpg6?$G+clzZyE-US_`^yM!U^7ab*dDH4}EBeMXp z>T8F5j}KZ2u(+ty>X(fCWuBNLx*cP0LIm_RFPN&-?e|KPlxjvK4dp;N9ycqU>?i~ zCy>+FxSY z%9!V?$1bB`#IYV9M_UzLRcupEI6FFuHREY~SOP5`rwmu^*qpAE>I$_xQB4!`VSA)fv3=q`-~?e7qdk z6fSeGv+)+^cxE8`!0Dr{%~$k`^Q2zke`-z;p@3TbQxH9aR{g~0@iYZ}kI!aI9d8Ky zS-ydP`3$*2A=HH5@&5}i@Z&l<#nHo5g*Xl{gZivq=I#5`rcwK~iAU z4^Zpca^RQafEe*2wZ>&%<>qr3mAY>3FBo3 zaYzB_9;WKjO*@{o)#_SKrgspH9@eXkU6aPvLBY>eM@esBxlk;-X%eA#juD!P`F zU_P;KIt?B3xm&6nJ~V2MS2D6eJX{nX1i5r3e)@bzCVu!Fe~8v4-;<0h^-|EEWUa?A zmzcwRVlLsv8v*=rAH2XPnZ764gp)8=!*3R_v3la~Z>*}7tZDu46n3P_huiI}*kvG9 zjh_`Of`r!)@Q){hEN9o$V*Ekifjf}(bpvA%5BAUY` zMpx~7GURRXns4243~z`#hgr_s7kGRf&)Mpwy6ttdoluYSA!kq@qzFj#4UL$FiPg) zNuCQxcA`^=8$5AQ=@qh5$lCj46slk6G2l;3rf)ih7nDSzG#u)L zo?@HDR#FTn$m6_(*95|7a{mRb-4Rk+tB4 z6z<%UBFov0wcyEgcsg+$0EjiNFs`<1aK$A?#V%`!k8JWiDM4ZUL-83@JXg|+c&^zo z+PJ9vOoO$=ZQm0_1gLPS%UZZa+NzjP0xTp>FZCf(6wlSHg>R>nL&EJOxpaRSZnk_+ z%0xe%-OkvArYH%ab$_3bdU~^bJP#$Y01vn0BS4p*lsmg2byI`*adu-KMv7Qg9Qi`u zlWDY-d?jy)-$Mj-6;ai_bhVlZc6_qL<1V(pq@$|%KBcV&RozFEUMTCj#+7-wD)(RU z8>-Aj25&IrZ=0C+f~)SsszOvXjt9^fRke$k>rFycuMnn%OjQw!q2eQ}seC|qsPTX~ z$UHJ}36nzQ$1_!>!lO272bfz-PF1Vo<5l%~()sq$sT$x(4_Hi9sh_t28)RmR**jxF zhXoK+HHa6T$0uVrc6>tOy-GsdHxCe5{Roo@uFBO1mH)(@8*50_KMq$?1+I#vbIJ)O zs|0}u@$oeVVu@x6wVHG3S|x<$S4*zCuVb=WZMhQxbCvm9VfUZ=?f5ull~Phw#sG5# z8yXZdJ&|I0@t{jp30XE36HTlp8RK>jmyHK)izXgSb)RCY`(WyrsqTX^O|B)=CM+8h z)xx-X{YnI{ib}^+@%=M6aZ1!6<<$%~OF5`&BdN?>*+;HyE8(1qs){?@8$eY>b)S_p zRc*wq5mk-j9Je5e)og>R&Sj2wZZLUwrfPM#OE+oZKV5WN-s6yV{Zfh z!zu~4BjS@(HG!#?S81wB-6Ef4hl}j`kV{y4{RlG=5uQio#-=C@h*3@EXDwrzuvz)rBsA?ipm8cAf_!KJI2m8ys z5n_^ZPyQ8QJ5Coxo|3XNi_6jRkWy*W!$RqlB+2C7v52g4M-(p0q(GJKGjY9l-m zp2BiPRdusL)ozkziK)f`Gni^4&)O_d)p)TDlmsKbRP4`Xsyyhk#&V(fA5{jUgR1b`;D6$^%E2U5 zRaC|I^Di(}K^i-;S4H9FWsXSVYS}zSfZGokubNr$6EoOtr)9peIhR zZZTIm8L97PTYlMcnku^12&(Edb>Q&I$!68bs49u(Jlh{HO-fatG|b-LcIahTKueAL1@jFMD>85nCg7O z4$KSKfjgm7UZQ$csC>U?IZ4&G{K*&Xp$5?dUM=~DJW z64m!zrs`?2zom1BN<RHrB1jmyD@uq6&SLFqpSVrb;?gd_|H? z)h!`YZM2NaOT{%MQ5E{1&m@5AXhMFmvD&nrN z;LKFXbHLt}TESEsJ62-aXTzWPsklY$wA^VG)M#7@^RQC&-y>xigg8~BR&T;{3kMczT} zpZdGQ{fMd*Vn{k%9Y1a`2W8|Ph^QU}hSL$@u;V!Kl~#*|Bto(r*rtn^2Fi*uk zmV?A|nW)mk-Y8!fuap&V$C40LlBu*kBF+#RJplwfA3XB&0?au)Q(r$@kMrgF`i~1qI!^sD)H2Vn1&_tQLXr5 zO;k4!J8kBi>PEV3A)>m80}AV9lh?kA(_PaMReW#GQ({i_5E(PIA%W}i^3=(mSx>0U zm~_gi9->G!6V;V6IZIi+L(lA75*h@Z?lGH!7h5Pel_On5Gf{O`7o?8CaEQmOVHds$_2!7IMsnk*Gs-mg=GO8LDg-VKyYJ7{CQB5dyyK|g& z7~MRqps8C-nkwY3*%0SPidt!!dRS*v<($WNDVnOAm1&0l&8mzl;S8-{3D`+Awa!CR z>wykvD$SvhQDs<7n)>JSg^eMey33C5WSY3clTqa|W=7RK@j70QhGjB~&ZzE#TqdQdlu_kTQbzS~$1-!69-8W&(C&}#&i!;!7k{jTSq{^|y2h301N&O-xf?g~x9sR7Eu)Fed-(pHNMDn~_kB z*GZbHy2Y}g6RPy-OC(e~$GHhrDgqo)5A-i}LiJT7R9_{YN;LIVqN(yPGocC#E)%M7 z9UypurXKECMG4j2@KM;vKhX(QQns`SRosv=p(@0B6r_5C$9{Wgs&om-BiyV!!c;W1 zDqaVPfqp}o&{3UFJxttm3)9p(x~wOfx`&*(y&~(dmAyIjoWWP|&bqYuRNRono1-`t z(bU(l5{P^%&RypfMm=-E6{n!7haq&xr@n@ND9$vsSy2kLfr`r~p{ehaUvrRYDit>J zsazsOK9ydb%7m!dWh^xEBs7)tskk4ErCHEa>L>m)@~PaEnNR&Y@xZ*GsYg%}%WsPv zKO|`CYeZ9n#8Y3xJRFw!)I*x4ZsUAvE0NRfL{ndQ;%UyVVa7QIv7}az6D<6t&}O6STfp(bS*M5yqaFjr~y$(^Rhgl=95Eh?!3HZ2iqaKSVl} z5K!q?bh1&4VZB8=07-Q>i{1oE1n0X{rk13*hOcB*%(55#zp?a2yY6Gjxg8@>S zzSEMPsN#E%%9zm@5Y^*QnFj-9UDvoW4^tKXy(X%OHz=aoB)9$^2NpzBAK;wqDvbX@ zwo6nW3}m8u{5G`;iMwo$APG?=8}$HO9b13t{s+oO{SF>8gZh4_Wq@!tzSGi=s2<>M zS81k7-D0A8-l^oY)Oj?|L>2il`f?vfAumW(x*&Vz=iz#psx%>X{5%s?D%|vrj_q*) zFHz+JY#Yw2>b0hd2KKvFNIeEX_8+%HQ!hYM!BdYn;fK1ah-zX!6?jNk6e`IwquBZz zKVJ@~OEJcNrIOKGK~(7t#X6tL18uG`&_c%oO;1x=!63R4%S^b(Fta__G z)xXSB4kK^~Hok>*xWQeE~MD;cyV2OOTF9-?|e6wS0PF3LoeA;^?Om9XV}>hW?GU_n$LSWac3NW`#)z%-;F zst+tnGF8p}v?yr3O5}bIxmQ7xsPd?j5LK!SM0GQ!*PBq)Rp|Y+YH3KU$|S1i-)Eu< z2qaO}ot4q5@3bU#RyCxEYB|@RB%vz1Dtek{FjZZH?keX~p@w+sO^Cn+NC1xS7Y+qC zU=r1@w_N2q5UY3qg}wycqoBei`#~nS*G@9MewFq7PRomk>UUcFxW!!OjOVo;gQ%XU z7}vE^FjeP72M+y1w?HC9%?U)cT6fHkz9l897QHoxhlzyh@s28Um>#04w*Kalc4cU$ z3Y;)n&ZzcFsOssH^zAz>TK^?|^H=fS-}pr!wF^JHS5y&RgCtXJ15 zRNUBY(P&UuCA7#H)r%MsGgX|e_GHK~olzClz>`m4sv?x4Gpb2%``+uK4O&qyNT#Z~ z1>qp+kYtmt@>NbW_153_jnZ^U3Miy3)z)9jX~RFoRNEL$@s~XU|EI0Lpt4xoo(!*+ zz5`6GV5-MER*%56XTzfqx8$ib6lq&prb^z7sV%NoC=K|NQDne3dgMCA*551fbIL1B z#Z}`MY^)OT^H2dA4eT?gRF4xs-N{sS7hUcKRedl3RQ18YTK4DmkU#fexU?aERe@0z z(YmVgkO_}+;RbV1t~*!GT=gVSq^-XX@H!3Jwf11BS#ffz`u>CAOjYrC2^A-*8hkKJ zI4ci^ndQAyRT?*VX+xQ+QsL5R+85%XB&Vtmh6Yvj+85&6y;N08?t`J^3q2TS@z!7J zr*jf-mhv`bQq_Mjw*Ef&|2caT@TjgMZTLzpfdIjk*(|U?LI@D61rmrIfdmK;Aod+3 z_I(v#7K6I`Heg$0X=c121tEVt$s{cawS*_*iQ}>L+c;yJtd5gml1c15Pn;(ij}!kM zFw8gpo&T*m%e}YzHe@Cd?grgcw@#fpRd3Zfbxv>Uju|%1Fdejo71d)%B#0%|V;Bb< z!bO-coF+n53QIRpfLcZ0Hq*D`^lb|-eTTE8JUS*kI8;r+1I~eR+G>QVCuq>KmFr_- z4+vGGXnnHnO*U=3kp@euC*V{lRLu$x-~>f@fRjmgsA|hJn?qI9E>iP81c1W><){pl z?Wq$8NFCZ}?+*+Q;82ws_p<>oH}D8m2>^!&DDryH#S1)^RORCs^npNl2vtvH;Sa=y zfg0lMT~w$#N7#H|yeu>|!zzYkzS5nG?#?pRA9UwXm8j>0)ecy05;s)sZhjOV!b_?o z0ri?o+{kN5Rqf)&15UM&MoCnuZjqtt2}@s&ECiH+5l}ISc!1p1cqP3R8aGmE9Mg1%s`h-sj$(wW{QM}1QeWA~F8wJ{UW#dDu zREaIFQVkCiYz@QfIaDQP@Jp^z4G))7X}C)s-l1xE7>BASDMqr_RJkwiP}T0sFhw3S zRUK16qgUx|3h+4ARKvqDRS~Naqr|ETRb$pvrC4mKcmR#EXfmGC* zYIvA4RK3JKb*`!YcCxS%c9rT$y{4*$e4GkZ^=l8ix5B%eZs2YfY8g#0yo!Bk*Fmrh2mQ#R?Is`cGP8 zbWWbzJ44l9$s$YeJPyWUtQp1;CL&ZlDMDqn`JJ0OL)DK|xf?Y#!ecp9Wf6>#q3TH$ zszNC!{E?w5uDt=keFJzJp(;)N__69J8LD>b@J|nl4F6u)7%%*@*HrWP9UieN5z~vR zD?I|hm@-vCiM)wIX?B?^X#w{Bp3IA7RvCe`c+9F;mFatgsx>ZFqdB!;w4%yXA#$Yx zXUm`i`V4t~!&!7dxfNNaicmExJlYH+x*CSDIycV@k3sZRNw$}3H~{ru*+_xt1Ituj z*%%Y53eT+e{ytIoVxFZimb)v!?g$m<^s^Q_7)_}z;m>$dImxqNk?vkad8!)tU@I&ChQ%nhNp3; zdIp$++Ec6=o(!}i&*sx_{9J1P*uDtZmc>TEzR&0GbKD##HwdhsCot`K82^rSaqsiR^1^EWagWk zcT%v*_by{66jX7c66kMnR`P?k|Kj(~f%@lt4r7gA^&AmXdx@ErSrR+!D#D2(W{)}m zGJ@4}a4Qt7hH;u9k6N)DH74v1R+UgY!(|+-o)gV!`)^jbL}JtuXM1<7Ds3D>&teW% z>D~S83$(Vs#r%$#|vo6=xwmv#2Ku9cRW)^3vB=GYK9kata^@O z02Qoqo2XbdTXsmmGCbkb3r7a6;Kf}sSUqQHZ_5NT9DKjrO9}`{<~hnL#gA1<1du$s zbyEy9ZT~gGrFN`Jf~9`Xb7}Sv$1ClV`NE~3h4DbBdQOF^=TxYA4wGqN4w<$xwrsn3 z!mL+hKbeRL^MC`D@O!6VqN`NT(}dX|N1katuu4@tflkO+R;{WQ$tu=mfpR{X7_+L%?HyIB z#1@6B;iaNVHN4aeui#LXxWO;EN;OR8l{gsHCE?pSwymjDicjsG6>Ysakoh z#qz3Zc&Rf~WzLiJG^PeZs?g{u0s5~+SN(ZMZCtOyY*;2Vh< zk?Q$sYQBa-RcytwS5?EyARdIOGz^X^Rp!nas^0&vEn*y??Q6}VFrR1?rpx#Asw%f} zm8m)p01hw1n`N2m`N9`>%UJciHC83%Q<#7=R`p*vE?x?**MfU9yvl+|cmwcx2EJ+s zylCqTR{c-O!>^g)@EQ(Q&vW*7wTxCtyu7e_p1Us#Rl_SCg{sU_yh7FR%9uh`JuE^S zvObv@FaEQGRepz8p(+v6!Kxzyj9;iKNO3FvkF!vf6am31)JU3vSk--Kp(@k&2v#Mh z^U!NB>|m9LFNn zgkO8e`f?=)B85*TidfZh2q5ZAC4R(d&Z7!d14iMCdql7rFsy01P*sqQ6Rd_e0>iEH z3|6U7l~oUnF92KCfUSFmcIp&VXi7pk&i^0I1dp=y+>)j2GH9l&7+FlIOewHJT( zQLGx?EJD>lA{DBJdG|CzLUiyiDO44YN%tWmN6!~?p=x*;$Euq-Rt+T5jJ3jCD&u^k zJ62^iaTKb$-WY4_?5|jUz}J~M6{T%QVh<=>{vBiUM*u) z#gb0#b)g%Z{r ztsdZk&j}xpoC?z3;cECq1%<1DF8D!v3RlAiF*LM|IDF7Wz&X(z<*MNW6t8mYepuy} zOEBV{aRSx@`UQII#q;inRSY0SxM%<*d=OBtzhD)zBh@_aVlYm~8m=-+!w1c<+7vBb z<&0UK>#IdS=s__nzaXr3#EK@anpM|k;2vi9I0daN1zoc$T17>z+$o)QqDP!6;s{$C zUOXhi)<73aUp6a9W;pPL%BE4>YIwi0QSk#;5(ETlhL3I)>{8$wK5EykvMSK%t4-i3 z;-y0zFLkW4hL3_8MXqQkL)SnT*gjfi4Rpcms-nu(@Ci0`hiL{iC#e~9fl~7i2wm}e zr*NdgtIE|tS0a`yi7~B};88EKirGVX2slS9+(bWNhps*x1sv!~^M^v$=475fY0W-6 zbZt(i&^6E%Kdg99I^XIYx;7_uQs~MJ!hDh;^B`G~i$NC-dxx$?YCo`Gi$m8_%vNXk zlo`euvzr7r;F&8|!>5Hk4XfkCxwq~`)&T1s%_kgMy#?*jxWY~`aSQLzHGE2?m`>{% z%a2z&+{xYfiS`S4!k)&2MUIxF#{GyFx?Vug#KMuQ2Nw=U2ZPvRM`r$xlnL-}i#J_|USl-du>bpg~m5K9tQ8gLdGFi{6|{D!j* z4X_tk!{^QLS@WInDU8)QGp(7zu_I)9xvm4i|H5I9HCCxWWP8;kPFrs8G5axAXN^Eg z;fqIP+?rxdXT?M_%q=1vCvI)-0=;yHtyuWtvw(k$xRn(hL26g4SSk7?>ZU7hRU3k7 zk@b8MW<8$;EHsk?*VwawwXVtd(J}w+XpTx(!)G9=qxf@-OIMpyWaQc%fon6LhmSB4 zJ^V{bSLrdeJ=eqFJ)0?JM-d_`iX+cZ;EEUWS-^qrG-aE)bVk1MFDPAgyz#=}Si>y4 zT8%eyXY_U@5(pCD`zo2yW!eP5~ zm6~AQfKC>DRbg+`B5R;~wGrq}kt+qR_)mBBH%I9znK4niO4tFK$AK#pZy`!on_)wf z*~;6ctE`4jJRKdl;(@rOt3t2)f&4O^c4C5rwpH3m!9qfXPbgFvFLEskpJp!sWPz#J zRn@Ly79dQL3nT)=15O*@2w*63 z?Lna@Z3u40wZBl$hFz5;LsHrlO8g1ZBCWpMKhnb4Uf(3JtEgmV4>z7 zw8+Yh9kr|6!h4amxrZjNu@FD*5xJ86r{~9+&8Y}2$KgHr3G{H*u5#OWi>%FQXbGF! z(`@d=i>!ej6uD;M59ky(n_`i*xtESyk5lbxAeG|P6ZEYoeZ$Tub?rj>vqu;$J$ppB z%4d(HYS{E)ArBY-$M>~e2^lHJPaN2C_|T#K_?r>v1#-QJ+!H7&d4jC<*Qx^MHf3Dv zGJW(9NNgQa8i2d6V@srVw8 z!xzom-30+=tO!j|JEYUVsuez^L3X!NjS{GY>WX%Fc*uvpI;M={LWqnc>hzay;sGO& zh9CccC?3&VCiA@CmT35{BnHx|*ZRJ89zP%gxt(S^oHYnaF_>@3tbtYkFKxD zW}(((OB-I3twq`?4)X%I#`9+LP`IkIa%l)=F|Q{3=K`45WQ*ab$xexGi>8m!Z5z!) z&E_0@Hv)aY+5q2`48sWY_770&J?WYaManK_k!*m}Ao#B4q4*4-+Tuz&egeHK$nu<} zW3HdHm#v=a!<~AnkD!+JR38tYCBDeCg7%lDTD>x27i1{}TO>$Misd2xf}!%8URq zA^i*cN}@9Z{m&<48qaR`U74vz{?}``U%y@>NB-AqqWhH#na>1=xa#}LWc&pBvy9G@ zjOejhRw=Y-Y$84bk3vqDkr2qlJ>0%4t2LQtGMP;3 zGnqox&ty8tsIzqOyxE+GW`T4flTbl)!iMk4c1`D&kl(EWIb^pA9CXx_-wrbQ)GRQ7 z=-?9gOyjk6zAMKxnGZKJJ0EVABKmN1G#Q#4$3SNiJ^}-Y4Dyb+&E|tMzQ#mN=iUUS zb8muR=iUT+o3PeyJZ?5mL9495V?-y3tpQ!~+Q?uK(rrrg;V%v?s>@U+6oFjx^e^#S zBangXxsB!-zQ%5WjJ3YTF8B##ka2CEPPVnN3nt6uWZXY4_4|7n%-r`f1asfZNY|Zo zS^P#6tu*!u(BRX;jr{|Ijlf`S!fzwoMelDPlm`6vLCv5oy+_q_ z#dGp;(VyD~lV%t!vlPeE=*pvb2jueze(*lb+o9-G%~0-CW+2o5nCjHEN^}Z}sXf<+ z^MtSYD%q?ZESv!wjmAuEG_F-L6W1yw&(|tFc+NEbCL^t~a?7Eudv|QB+H$Pw=$?bS za9wU=7S_WsF&eY925EYtQ+1y7vT)ma_Dd!Hek+X{+`XOSubJm-%noGvM?$={L(JMN zXhH3e5x$J%4P*E1IEC*SzOiFBK^Q}g0R8gowL>#+mHHZU@K+*013U}_>@#hzRZ_@> zf3(H%!AhP{Uv+^r%rwx1VdZ}@Ycn$va63Wc5MSePgC|$xh}bU4$ralrOfEc+J`n|S zU~>YQMj*4WL5@-`Mrp2VlyV(x{cJWfLA9K2&NUli@lSRU$Ym3`ZiSb-k>~(-hHlp&{7&~603_rF{IeM9 zU&eE^Oyi%+-5LLUUjv}$%bB(3%cYHdzFf0*S6mJQV?^M`PJHMlqBGBz=Xx|z&_~$J z=gXsj&zhh{aun*xAz}5XV?(!sV9zqZp349( zsf>DtY1EVQ-Gx!8=QD993&ys2h1t9upr<;3Ysm%b8Afzd73kUOfqFgz28BuajE>31 zXWeJ^wiaz981$@8SZQ4DX``OcawOcNH63iS-PQIFX|-f|ICh3T6+k`J zfzd<=U_Ki5_@BXT*I){t(qG`42U`F>NzK~``HUe-0MOCECq0Bqs6i>TON?7+mzQP} z@&SC?9vDl2?s6ZZ<3>L9s6_*r`jN6{^&>slA>gwIc@ydKP-5I@L?zN@ju-q3i{kpCF4LoY0ZZO^Z}?rLqa}zD1#EvCy&i4 zoh>AxPk(K+UR}VQOnr5M5Z0>;bSFP7yucHX{{z^A#=QdLiM>Zx6kdLm9b@0Jzy!a( zDfkQQd5MBP+!!XRuK5YG`8YwJL;-z{yP;3>3H+J>Jdn?X3L`K?n-#Sqy`j%-Bu1b*x8;47<+trS7;T<24G-kAouE%N@&O?iz1w3wG#W_BtQ{+m z&xqW@nh_HE6!=GBCXX>|M+XS{jB!ApF%IZcK))Q9d20b9pMu>)KtP`Yzdk1M_E;_! ziU)m0g1iIyi~{l@&_U3rGaCBnF3H*DDI!8XxD#4KpL`>buSO{sgEUVg3mzp8=tIb7 zB$WmU=#x*-X9RhTbV5E8D@dIO*wE)2Sc}HMed94P8}h#Km}5FPW@|tTz*VJpD7ypd>=PoyYf9hT_->W2Dhb)*^*4Z zodQqQ9WJJOU<%P4q@a%n@=5>cIu_+m*9lSnbe+d^BIwi7trO4(Q5ffFkns8GIthJ# zx=yO?PuJ<5>X|K&PfIt`XaSHz!e<(Iql6Fa8m<#o37;q~(mY8K@@eTt$Y&}tWdiz4 zCFnDllsMCdK0ltzefsfS={`ySlB0AZilNXio@jorXb$kl0 z@Wl&60s6G`2lA;76cMSR#F9Jb^Tj;o=!M9%w^0Q|HJCGb-Mi_dAF60)nEE!j5s8KKSAceZdZzq6%6 z^zu7fG;^*%S7M*JY~FJLepqjTbmsX@rMD@cO^FMBT1J~Kxf9^W`Z4xt$wlRdGw;(f z62CVD_`xdMJdJ%m!~B7UrG7R=F!sZ7FLwqsUJlW zpHj2kB$Xrpfvnoe0s|ok8YLi5PN3938dJK^ter$Cr_hE#b+v^K3`8|IwNo;0Eo2N- zN(2}J)qsFLfeUYrm;NcD{7(U1-~d4rACUe@cl1k3%442!257PkgXSB7`2c8Qz;ZEQ z^VNWXAjT`V#Eu-3We$jdPyyp0fZu_E`2>VUkq;S3KxhHYyHPd{@>e7HB+bJY!5O~j zG5oO5S)?0_g%*P1LZUdju;KD(iG>#JR7}3#%Nq)1kw4EjTPDzyZJ8jUP#)-vVJxJf z(7$(Q=Kj6AG`#=bU9%>kP$vwp3k&HcFNyBNHbDzF6uR{9$X2=5zuuR7ov{hZnFT6? zUoX=}MZZbBD9!Ut0t%H86e^;{uQHMrpAOM71z4!1kf0Ej1wJJ4==E1Gi2_6R zb!{zEfH)=-7+MV9#fx8yiS9Usg=##&&=2!jmOspw6YGchT9!7T0TxPXnGP)UxQ&H= zn4d1e&=2!PSAUqFFr2G`LdQW5k-jf-C_jcJ>+3pmBp_U@EWj14>auU^eUPy6+q4$@t zgx+5wdRhMd5(jV6@(3*SQD7N})QnbONMoUtU!_EWA^T3i+G%F(bnM2d;faDY(DEuZ zQNG2^9s-7L&0s7h`A{^Qu6W?_K-}j;YhR~?NneKtdP`hy%jSKv*@8=@8~b$;NC;&t zE+DiKV%)$$s57wM2&~sg=yj0+d3~(Jk*|AYRCE?dKArlyOu)Q8)&@e#ny4%gt}z!` zp!Mif%@}m5W{gBa8~lS+r^1Bp+zVhl^*d<+Y?e0=3JdfWo+5ot*ood0WXUw%iGzYf zoPe~BT9?uE#2|&IcqlX;H*1Sa%6S27Y+=n6eHe=5rYQE*y#N<0Ka0B9D-+W;t~7Ii6V0jxSY3#7XwCzq#) zkOi`8i*5L`!3b<9l!KI87TDk~b&XQ4L-lO%lTtfNXMr{l_!&!1V=4|@==?H3*MVQ2 zX2YMKkK^g^^KmjT`1v?J=Ust8EcDsD_RE*P3~VNP(+e+8m)K{^F1@Sft$c6rL)d4h z*|H;vXXy?Jen4ghW1pQG{Jg%Kd3#+(uxYRF*1W~Z1Eohbd42bD9!=cf=XHwSp=UaJ zmsb~IDbWpnfPLT&hAp-a}(#3>&Bi&;{ zaUSSUHLy>SjeXuw(C3YGskm>%#QyLK41J82LuSii#y;D?8eyMKBe2!RKAH<@+B``y z^f?6RgQlR8PS}Tzb-7$bYK%FppwD;3qSbfHr2G7CnI3BieJB+tu+K5GrHZl7b`sSb za$0Wab5jT=^`=};yP4o1CRQInOyq&+rotKpHD_PZ*yo)MQP9V6&s6O^vvxjN+;KEf zfPHozS5ujrc|f1Ou8lu49eJRgkjs>pXyXq`3U(Gsnll4C{k{Z@y?f`+I~#Zwy|Y2| z@SP1_Ll5jzJ0I1T0{iSFAr+I5qM*+|Ok!H8{~%|~o5s&n}`HQ$ z*%;O8mg2NY*Z)y&8 zG-D@$^wZLuypU{T9|bz(0vN8PXnf=)+Wd16ovL{pLCE9Wsm#D3e`dnqEn*S--E_h} zWOvoR)eq+}CBi-ny|K@`!nD4ta-;9Y*vA|Ud)y2V4sLU2fHXbXt5|v2}MgRxa%VEmJFfC99lSj#o zeX_+Uq3;Vd_5lHapFHv!izxGRgw(mrhCaU>z{>W^0n&Q>a)4GgIUNE_)noVbQII=I z9>Pj||`AVjbjimFLNLtlpFCVq`o1G3g2)x)fkVVPiwZ> zI+T$Qj-^vsADlF-u!`nF>Y9rbYZ+k`fc!~*%8Amp=*ba=Uqe9~VNJ5gSf zeR?g+D;>qir**j5I)ahU6C|n??ERvk&nls8tAysXDZ`$%K z?B*M13HdnjYFnzFk;}~5<+S-{JWUiJpVKGQJmF>!-TX7lMn2U6oN6etJ}6*2t!QHN z&uM>BOxEX(v)r*a&Z?n5>(MbnKFjsypVK6yl`Nzv=+lOpB{=uCR3W9dR4z{r?g>lJF_#HokeVMVo(GA1ClbN90{zO_bc=C;fd9y1hS6aPP5_|k&cx5jDEQ;BN@iN?G|u}34T3+|u-YwXVEvL; zz=8(b)oC{TS+C(wSSV^L4jbih4BLCey>|Zu6NtGDz&;z;GxjYDCi;6Ty{+F54n6Wd zz&>@YC1&ev!aid6PwQ-V-lugAel2$Y1QRQaV4^nQ_4}Ez`u&2zwEF!H>B(`hv5$UQ z8kG9|QP`&%C_#XqAaFhBeS(STRLulT#R=T0%(9@*pQSn#T8d72cO)o^zq*P6Gbny)I+5i`>YetCm*|i@&)z@Ci%x=o3uB;!-{fV;BhNTGP0{R4#IrFf|#y*{5)w@$J zdgpa6)C1=V1!B@Z!7d=zg;r88Z!WyNnMC)ss$kbWN{do%m&V0Dtz~BGBHsPe3bZH- zzb(cK@`N6ZY96u}`p@&iZ`k zbQJXYAYY&iim8^Ht;+y?g53!ERNzmr8_nhdg?&7rPx=R9#p?sPYV|>W%yh~ESb^?s z!tS4%i8l86AYWpi5Avnjeh{-*hNcXCYB!li?PkJ0LDVD4-9N$ZHuj0)BF&Q&L7&=9 zfIh+Q)e`#zyOR=6Bqgr2vCmHgYX8Xq={|pAqjrf_z?j(m)4IxR#a8MOMvzJ%FC!5Z zo%Q)w5pVu$vK-HUP1c$ti6wy=W1nD8689tpeeB&oe|w6sk3*CE>(z{`uB|X@D`9UZ z(LBNKpJ1<(YNBv6kF1X~>C^pIk&S(Vy(IJr_9{1my%f=z!CwC480eG!x2M=L|LrL; zi~jc1*Q0xejuG~$g!kmEPmszvFK;6uML{3`dZy)HFQw#PA5AL-#0dLfn|W!_Bs$wc zCuaB0rQ?ForQ?Dt|E1$GC<>H-eOjSVtE+=)L}~}I}l~O3R)6X%hIbN#eu0 zCVO2;>m??pS}!! z_Db+m0rGpa-FihV?7kuwcJp4b7j|9dUSxiPRM>cVFEIP%z3dzN2K)Q_D8=>HV1>7_ zFR`eSBy!n{%+xWn^)PC;RqE$3qn|Wg_tkm?zZa>WV1FanU!xyT6}nNAqO`2WHvCe8 zd0D_Ts`SgzLTXb@N=g(6qUR0z6GW+`z(D=cx0*tVM+&)bnZZZ>LsZ``jwTF5ag6Gk zBmcAA8v|V&&5U0hE&0AUI$9BPz=kIXf}FBq@;{oMw7jwOK#uZ1yJh}oa$(J6iGkAn zk5m3z5@hd z9Y?Kw#pHivmr^f|79-{AlA2?j|JiM0APm~0$p45@%Ec%xa*dK31KIhX?JEBhM9Clg z$7J#!`v?Sa-RFKA1Nm!20EJJjaxtr4@lrs+fuJ~$=E;G=%Lhn$NC5>O+pBoI|L0Y4 zaZp<88ME~?PuJ5n4muc>1G<~TdUZEPTDZHm^NyDT(oKYz%RQU8b3k`<2nUro>f8Rqo_N&=lHAaqaxp+R;M zs9{tT61xB2k0_^lJ;A(g7zBj|!E;d-D9BZ+hZPd?$^xN1OB0`RTH#ytJVks9FmyeM z5)5CbJd_CrX%);cf`e^5gtm$osdsK_+))G6 z2oqgTB1|+GgwpX791NAde1uf`s11qI?;T@;_l^nX?j1|==o(|9>nY}S?6C$U;;}f! zUfP`w@>h%GBR&N=e985oCs5H4&InCWut;Zwez$^95m{1|Tl}CzjbQCQvvxmOVBS)3 zWoj_{2{moF*+Vlzvu#vV9n6MoreG~Bm~8~J70sD9gW3KrF|g=&D_Bs!TOpO;cPl(P zMyP1N$_Rlfgk8mQih@NSVAdcb^g)Rb(+4FnSO#MVRCFCX&`Ya>Lx~U)ozWSge-?E~ z|GYrX^MAJMlBC-M8A=CTe*~XFE~7+dF&Yx3w^TAaEtP_umP*@R*mMAhuJp?h zCy9<55WR7N$-Hqwis+3K9wGu9y8bBGIu;yGy?TPkxZ%)k;T2PFOOt=w=@o^^Pvph0 z>nPMs42~c&r#KgMeXtV`{apd!A%_|{&6AmSeUJb|xyS}xA53^CS7(EA$--VABmoiV zpVoNDZxwSdQ}IPAxmB$D>6s83NgSR5BswD?QCV=5zpql;_i_mmIbeg0i0ZBnH?I#R zL?jYI*N3_jLf3QfYnc!lg@n*34T;`^i-Uf>mn%3-d(UGQ+HgoeEp5tsxlvfC8uFH4 z2o;n93{kCP%~Z_9sR9d?1xNd{Ri}Q5+c)ASgbsOwpU%C^dtjVLg=Ui3>|fVp)rJ%sxogaWh^uX z3885c42|*6WLaP%&@ZD2@h0KOi&R1=PvM|E z%({AmVNd}jgsx8@9E2?jybUNgUMGZpk2+Y_TG&UWIw5quz`UMMC}=$VpGXK%#cF+m zf)skR@*csn!|_@~e` zu1{tFln>qr0Ku+JumMmM7ipfP82l6x_?e&+LKC3G^@&j8dY_Gcel>*q^s6D#fBwqe z)gVm{7-R6$_4+jPI`S|;KLsSJB)F}-sC_^mjORWj|%qQqG zg`iJ8lDg5*=i4ip%(quc5q;aP1F=O!&}Sh-pQ%I!+1qI7^Fu)<^@p;aS!Z5f?Z7^(qp;5! z{90n4nXnNvHTD5j9t(U5Ce!dubE!wehChx5DyaIuW;6mS(gTPDJ$m3Cf`I0L+#Etc^=4tcDG^Zdex*ez{xR_pP^EdjLLi_D zjezRYq7cx%Wz5>WWrDSP%N~k=WQ$b0iSA5X1axm%6aw;(kw{V{f+PYeF@h*RmG(sQ zCa2xKWsWyRA)pe4fJ#VMk1zt-#RzBz5Kw)ZLO|H+DL0np0k? zXK=2DKy!(3?*~C35MW{AQ%DnEJf;+*puL2G`q(H4k4hMXZ&G>jO?Oz^B?*M~0tjKN zHZno;Z6JgVsA^Xb+PZm3^QOjFXfI)*c^V7NBP`U1)VZ&Xh5Q$UamS~0srcr>o>(8d ze$c#*jn;rer6jg~XsfzV6s`ISxPeO`9LvKyvQG9Efl+s(%qG`$M`0Ln%UK(6P zbRGqr==HIu^O(-ld4i{>^Sn?M;L!Dxyf{Xcl=bPvj~fp8tJevws$Qp*pn6?2N9Y|b zj$J=Zh=^(@>jw}ecP=RXDX~8Kl-!T|RI=AhAw={f<${(luo_5o+{vJqhB8Ah4V81| zrJ=Fx(AroV?wkQ4T1xCZM%JM%$q9&3UZCx{DGpU~SSYj2Craak!JITJm<^KLkX;Xf% zHZB-yOEufN*`@Jq-J(k4+q&cTa%pV25yW;s$>0yxGJ~l+Y%AvLmG}{Ik1c}xWB%-x3DqyW2st}Ra+Su#jNZ8jiq;-Bh z!!b|gq#`)fHV6x2^*J^idObs}i@ly9dg^K_a2jOFS)sN;X4_!KLRco|q>y0*u|HE` zA1Hj=1@vtxF{pf_>*2$Di?o)o=&^JK0EF_9G7#7Ut!Qr`9s>F>=a6y#hF`}VlYVQ@8x>M-{*S47bJz+upb>sp)EuR z>o(Czp=+WF{hF#mzvfkiPD!D*QIr(oFm5D~aigI0S5GrLubviz_UdU5n@Sj{Z7e~c zO2#guh>jZr{YYe=f3#eR=tp*j*A@{VP+J}+g|TN#^%i4i$1H zh1&870&OEQV>l_)R_Me)muT~i69PF5l9|>vN#umE`^s!9BnY%!=Y+PiO`T*zps^YP zeVE9iO8qcV$m+vH&75aKhzcO<#{mLyF=Hb(NAL7Ms#MnRKOYwZ)wRts+oszIp|M*|hS_|Hcnp=uinp`=nkA*y|> zDaK?h7D%WpxXV9G_3i$bXW}BEin=s!D1=7LIz9#K<@n~o`u`v)6hjjK;Gy0Gh3fhW zBvgXDP>IY7?e^zmPWLhEdQ)Dgu8#u>^>HAf-So?TnYVCE1CY>efQ=FXg@U{NvsolS zLU{9o5<_)qpzlCJk31+b&Ol5GJ?C*faPMmmg?LP+7lFVtjyYV z@^xu;a%dMJp%QW;j}sEw!&W8FhC*M6Lm9r1r!3@sVIRui3KC+M$M%BUUXB^Y7uItL z43N;igG!@PUXEWS-!|WDo5#taws{f?fx!ukgzV+94@Hdjp^VW!O!fjBE+iydq}oli zjN>MUK18{vYkBPDeCfWTl0*BAASzSktkt~9DfpqizcyySG^$ty653DJC7*%NVoDCR zEg~d@A{Mbcc0ebG-rW`jgd%HnZm4as*;YnC=l}ts3PM6u&RYM#{n8O}NkU|en5U67 z9&?J3P&p-r9Q&msYa|kitdWWviMd}v1EF%$Xv0=+WQ7h%Bm_%$&_+U0T%>uDVjxsb zKL;sZ+Txc~ro0K4>TBgH94hGuR;Pbz-5EPmV-^A%{^i+xEzO z5Oyb-ZF>m}J)!bJPl$Zb9vckJ)Hds5u}S^o;}xQpA0OAF=Q8y&A9RXs`z(MVPGUCp z4W9O=E2T|&86_QYHU_mFHQNq3@Z7Hf+W4?CP}O2qQ~Y$C4w>q{Fz@^GhZcw&iV^5kq4V~g9zXZcA%fS!4CLy zmVTR+d20p3pR+_jCW6lT=P3B|+@uHQfgS^W2l~l)P##G4N$A~klRPFDCxJ5UB+wZn zcm}vkj8ZN}N#9@2gA^|Z^q8FkIzz~3J~@pdLOxH@T$^nJpGKK{Y?R5zMmzcVupH1i zkfUnR`Z>q}acB$VbN-N;8-AopzgFcyW*-;vz;O=fwAprw|C56&FsYqTBqXWfOM0Wgp6aGz{!$MhxRB-(! z@uD=(H*pHcfe zq|Ngkha~zh$ikxws_^K-aT_Ju>@f_AbTuO>go3Oj5?d+P`nX|GAcq+YhR5j9uh;5o(Axc)s<*1B-G&EE%aCCn9yIHlk@$r&P9`v zrzJ+Pki6QWvdj8KAQOFBqW>we&W2B=tez`v$PGnsob~oHQSApdK% zf9bF71i7tLfI~?U9AP}=9$HJlXZ;9DN9D%siyyc3oM=*F=6rP`3Czq6ag z{?2Y8tao4>q$f7dI`f{9fRbS5Tvai((SHa8W{67rvhC^iYBg4!{Hl>Edfr*i9 zcWNjy6k^PVJ~#I7w0ap9?!u9#_74M?!9NU;q1PW`Dnbe2sIoEnbm|`li2nRxfDMO~ zWs&=&EmT}G9>V)Di5JlET?+&rvP}O7)u|BnO?a)2(SeC|!@coPNbKkcEt8HYWbc~L znuDdWzxcpJO;2P-hGT2w$){6`{sJYcE@unu8D4n-Z)5@tJP=K&jk)LHB|EwKp@G}Vku zV-S>1qQdH9bSmhJCzx337f%S2^TiVmV(tSIEvme%S)?$KePH50Vq=^O0)2BaYmxzt zuN!ODVTqxkW)V%4D^sn3=hRf@W*z{@ePH5zI~8ONgk1Q*L~Ed74J?#2XIcaOsj&wp z{^J<#**}gE9s9>IUPDi*pmFNJL{Np0u$UQ>3i{?^A*F9F7R0`}*dZmg>_bIj5lFt& zqPsxrH!zHHW1qMCFrByM9k+FF$D9<6_DBUqiVea(8AN9z@#9VfwTP|BEi0u4v^cjW zv*_i4iII5#J{Hwg)^7rt=mQhqs9<*9kT&p*3NH}>_(X7S^|5MeFwxme?6?=jZVzHI zw+G3Ya(j?NIud9S?n#Q^pt?jWlgMmg+*2-a&#(d};J*-kVxlxjd{T5|DfTVmu2d_F zkPkMwcC)ew_z>2yvKaX+wUG~mFD;heFOjf+qZAkZMKdUk{Tyaf-Wg^MAr5iiLwzN? z#=cgzf1u8rM0R_FA2RQ2&37WJ2>kG2(bbVvQQ&8_8CfNAK~}b5W!rZ?Mg%&GNOTt2 zeMxg@0|^a&^wUz{k=-`ehB-F zm)M7EEP!Sd1iLM&FmJCAbK>@jTo0Z-SHmb>mc?-az>ES4l3c1y&p}SjF$O;qMJ{M@ z;d3>MCHTqlPr^(tFzfP*2;USqz)yh#{9xCESvM*3)+)w6IjBqLWB(e5Svmd^wo3p% zw^s=4LvBc&A7EdXS~ni#ZSYfvTOT&i$xL)-SVc7Wi4sxW?U+JUU|L!RoA{Cqeuf&> zP&G=q7^USJS@0-%gP*$bNyG(Rmud|q@Uxh_##ZEltYM_h+jbY$Z{vZVYYq3WQ8xIq z-Wd0}mLn~q@8~llo6X23K8iH5i6<5>tZlb3)Tg32^wZIA3Jv(wE)I2JsKx~eBN8&w z=;C?YnoC#a@&)vmxC;zxL^W8Xy3u+Z5F)4SPsBp@PZA~JpEwt?S;6IWEeDPA3M-eI z?}TG&+^Ew^KNIJ0rT$DF#Pu`#94`CX`Noa7c~wUsvdPc(%W36mqUO~mx^up9lRD?{ zXLN=b^58nH^qEjqK6SdsuKkC$R_^EC;^z6rO@=iRKf0g#g%THdzHl@8xY-(6jnUnJ zA5cJNcd_~0O;~CtVX2+I#@)CAc(-9;?}G$WKcKo3?1tB@{fDq}FjPilw;9=m-&#~d zipD!Fsv)i4O}EZB9#=JIjmP!u{0{*-{$oxTG1mV9(7{IgS>y%q2HexE=jd^GjD0p< zN!M*vQ@EL^wo1Au=zjMIAEk0acH=*&8z)Fb)nxqcGJgCkAMOu`>`Q`IIAK_$k+;K! z4F$QP-kIgWuX^W6%0J-{mXp=iXh@?9KNem3S+hh!o#Np--*f*ftW{h^ahVpXeLZU3 zWV5IacMQ}lp?P*S!5ZV=@ONsWiG~n>>V@Y8sjC_6Bq2P$>a)hI#hZLrldLg@H3rN6 zf^0I#ZV~C8tI2wJK%OOvWBVl3#TO~%uiHZ;yL>en#&QaIhV%FVeGL5`xVt^fcu#Ar zf1CCUwK&N0Ds59!(zlONi5zr#hO0fbXQ-`YW@=>>YHekCya7iekIMv5LUXkj`-iK& zbQjZOsK!+zv@#=yN_PAEsXnElPdL(E;0*3kn$x_0F0Nw!D#?r-gzHGyfaVzqoAm0d zezny8T9nmBX>hiZZ5pW)T5n)yaP^V@RR#u7PC{@_XS2M6H`*d65C zs|_EIjPeJsiGZJg>v_0@bL0MQ&~K9QxvSQ?M(l?I1Dcnv9U>=ML>;PtgBaz^+s zJG1{_6Xip@kt31MMbhQc2x6n5D{Z&d) z;St&sg+nMXtOD%iRSrQjtoTLYEO)` zf_-ab-88dq`b%&KOQl1Y=--$yc)8FNZUptsqy?v#4eK=0w4 zp*Y^4-C085a0co3a`?^n9K;FHyeL*Y-2uXdSa%?)rrKmBRSzX1f6(3qQ3DL0 z=vA6E$*<4(=1!&ALy`RXf`FK`NoISp@CWVK`)~UL-6g60_mJuL>{42h?IU%Sd>eU+f*sh-=1o=_aK+h-a~qX3MfvM9Uj~hGwmK0VrjZp-b;vM zwI387Oksa8g>({!?npa%K@=ig7%Kh21-lT*?P-KRn9Ba(gxeo{l+Rp!lrNJ4ALVQA z;`syJMEZl+Ca_mg{@^3*3v|T?kg3ouZ-+1q4gtG%WJmQIg$UC;-zXdcuI!Z#fh*BZ zQh?Cj9}c0tFFAx0${|d*9fIGYtGS#JfHs+@g=%-PE>R476v%o0vbm7g-_F*eqn&{3o{IB zhQlwY_c~vzTtn<@*)w4I?xZzCdj_hjuTh8B7u%lUn?+Hc!BHwO)2y3Co`DzF5Gu^{ zZ_=KD+d%{m^bBjAo?)i)3^NUDCOm_nnhdIyF`nU@MLbB~ERvq#n?*50r;uSg1-kFK{xms-BKmd)PT`Dn3bXy|l~ee*UzAUf zyY<*73^m)c*(ZqWi%Y(&0HL$CPk2%6g?v%&h0J@=r>(BrazDr?pitkM!&|$b)Rywy zJl3UmRT9M*e3+fe=3DNE7tTNlivCy>XCGp|eJgR-#ck z{>7t}+cDr7at!3D)yaAv_j{0IC^4)OyEHB4rTB*wkCzJHP(r?eVug|{>i2o}4P(hS zj3(az;4gv&D%h9v`DS*MYxvCs?HR_J?PCn`3@F$ao`LH18w~agx-`uZEc`}n@%qh# zA|avQV2c+I*Zu!4bom7scEk!v?T$@9x~;TVUTyz|S<_x2&*>F@gMDAFVBz?uyM#P)3G*P1s*fmrG!OEqSq6DLTU}jEE~;CD1{61ECma5$R|*&F#pFi@CjJoOOQUH)W1`4ozjSFlj8*o z`QAREu@|$F+Sp65(%4I~@?5N{csm80S{xfJNV1K+q!MYe4ywJS5^=ClKwhCi_A1RP z_3IO1xl?YhzzsW*JZjIEUI7aPwpY+Em)h_3a`kSC6;j&KJjN|(Dt}2A$S)K~zffve zrG*8uE4|SbU9`eoac7URnGr(w`Ro@cRw$+Yj17t87wB+^2A^%tKNSmzpH^HD!}V!} zwn5UIi$KbECoLhP8!Z6rII-hk75-{ixO3a0vltqZ3LBD0d+ubES&J;~e&5H*yLV92 zs&qd2)iT9gTKjafeH!~2z9r<&V_58HNan-&+l#QT;?8WtD&yH_EzC5&7~#7!M<*xK z-x8DYEqR5=TLl7ke4O*gas0NF7pvrOpw)_%MnjikV-_Sbn+O*h*5YBg_=_N0EXZQj zDDSNTxnsa4EYhA{gp09#BO{M!CV-~37;ouH@0jx#A^)UcdIgdD`B9z?ieT1T1w0$P z2$o>PXZ!BJODv%sKJ7)rK)q-r86Hp*#30YPl=V~&=ea>bpgz3UY@cbi&&om%=Yqsq zFy*^5ubO!if0>Vq5bn(J-I;HdY1x*g4jYWOBp54}$bd!4HdV2#IkuE=e<+goMZP-= z(Demsm=0fZMBi9AfjG)#-Er5L7e)%21QHBLnC=T&l;r2ULX@FjG*3}XY)LWbpq4c%Dn z7hB~P%*z}-R3M0WWk#3v7ty|^4D z$+rqbd}FK84;C@`EZ?2-1d?7kMp)yUhYxAU$IT(4WeFsxWgg8zMVN>f;k0ey*7G5p z<#0{+|7$Y7e2G7x@_;_rXs5Y?384K7AeveDKubuX)OTkkBq9ESf1YKPVPUkP3;n0- zm-OEh`cJn%(|nobpNc2QjC9wSGQD9H4{U?HQmbK=y&2km=_UwlleLU2nSDIle~O*T zssAZ=D*vam`lJi<%m4A+sYLTiYdJMfC1cZ57@Ng34u=hEB^jH=LWvJ+B@Ps*t=a); z#R3noVuy`R*5bu9IEO9TZU$TeJ2u#?XkWyd33dA=zMj(tR<`yYmJ4? zqC(-GKLqa5EStULmL+T$2!gFL+gI*Z%?6;^5m&PT&Sv%sX8X#F)T*O&^DH!o-x%8#a+P6X43&yV&Vtwy(pl(g-xH^H0Ulk{MdsX{|M^wc6jb z%w$GeW@ZUBZkg%9=n%CDNj{z0GE+T0POQ$SG*=`tOGUoBLojuQ;2r4NApGEc=vr@% zf;S^pygOP&z2BaVZedr8cD(P~mnfL+n{Z|C-O+}HZIovFX5Za07LI4RI}$(EI=-{6 zo%hMy9Z4hJhw$=xdQo2+FXNCPv1bOKf?s@zvl0L5dy=mq% zohW@^*`%3Z0u&}F9&d8rAF#hqMEvDbpC5mM4b9-Sw#G6`?`Zq z4yb*@cphymO4Zd!)KNXRObBTaMxkyYMxkbfuPM!dH0lIb4HC-|fy zHLqiBZ(b*qy?NcGSfMoaL%w5?SyzU1f0KzHkV9`)$q|Qr!-%KUEv4Qpk-f>J&MZw3 zV#V;T;tpk5Tm7f>exQ5U>e`g$>XExcC3DL%Z*Ard(SD$&Y-=kx$n-T0wYD18R-`$a za$rc+d$1RB75UtzVR+3MWgYK{g|d4?<>b6)FO=zFZyJ`k96WO45or9Rf-|yl>b;>t zKCZ?pThcTF@>$Bf4fZt+25)3z6j%5cvNC+S;YZ7SP2;uxToe11uBkiUui3lnC1*H- zplN&}{#bO1PQw7w!8h0Wnx<(Q>CbfM0eq&rVDOpleLV(n8fZ+jwiAtkxrGe_0o$5N ztR4RIs-Lf7cN2`>t372B zE@&6W*^*ui8Yk+ANCsP?)3Az7E2Opf2P_vgk?~gHxipG0QF-c1{MN8`k?%R}YXZ*Q z1$}@_msz_w6T&67O?1a_1FqnaDxUtFI2G(Ud9v4Y8F9Q#(>hGmb=GcTZZJ9h!K}2K ztvvysGD&Y?eXVJ;t+UDUdJJ;<9k8kAp_5xg*;o5{z4N(g3mkt3Wp&S!9#?c)dkkxj zcK2_IMZ>oSOKbiXmJFjUQ&XiFJu=Y|XGvS{@w4=Qt%l6Oy3*CeR#22Ac_@eo7S4zkF=TIg{((d+#ArRmY zHtH)vS$>V0e!n@!Kl7w#0d_MxQfMGM=)^%E+%syW8?xR0`TkeJLE}>@E52#|ej_Tc zJEL8+qt#2IS01g9-bvE2IdFoKMy+(0^HlsLQ^=~%_8w!0h&5mA>;{H&!bk!l= z|Gq|f>KB9;S}7;rZ#R&uc1*q(gnu!+}4qE!;DH}+_Ii= z%R06ZkCcUm`SoSs4Y+$R%2m4^8+q#Pw>H_XIy8*P!c&Kal^da9g*EHgRfFgg%2PK8 z)STKNQFDX6)BKYI*-RfMnq2jIvknInvZoFWqkSJW>t%0*tFGHjo!JC?&%I$+y;-t)R=al!+jpYz~HioB8s@u%Gk*f|3XHPAe zB2V3kd57I0nTFd{|4C%Q{R#~7{RVOG%Ap& zWR?CSQSSYtokG$-+KJ6uF&+o5x`XQ78gj`H<#bSmwszHjhXagVuG(Q3&5jJzDZy2T zup8a%7z9@x!nX3JWsuLZ&}g>sT%j#owOtJGxj+z~Z;>MU+(r;`Ek(p~aMK{QE=0Sw z8%DuZk7AwO92y%)(z~{ACV9JR^3*xzI~}OdZrXy`J=m=7uq(8Ms~&20WV0SugvJ`7 zv33sjCPEoZoSR8Pa5s~@@UnK*`e~_?HX)ybv>X1G(x-xatsX zS8f|q!D~!fiwB<|7eG8|DwdFg5tZ(cV28YT6I!2luqi}#wTfPy3jWs() z``QXZxWcC`4?iI?5DjA;2gH3toLTV=dH%&W&Ro{I;o6_jHd)PE-QrClm2cSRZ8Q&H zM)*Izw#f$l35`d+ONg%cX&9$n<@4ei@8=gv8qdeM#=ETuG>SSywB@(qaT~VW6braF zC(0Rm6AQRfqb;?T)h3F&F@7mGu?pQ*>~MA$)(MHh*^b9=j8OjCFDt$b(H7u_JYhcl zNjgbzL4-}I7i8RY!9JDTs!|}Vtqe&5WG}~KwWOnf#tJFGv*b(8}b6N%u&D1um=*92b~raM#MYg>XAa<2v$AnL5ciuZCC z^WsImwxyJ=Xj>{@a$(&i=6b0^Vo%SOFY!+WTTAg0Bi3HP~e0d911zT<91~j+K*S5?sLIqlVIRzQZ!jjwv`pi{Rf>Cz)*yDtG56>Or33Yug4g z^ky`f=IX|FN1InGMU!N(N3gPxFhB#$z;?XI?3j$#;yW{hw4^_ocfBKV*|vk?mq{RP zK%evJB{N5K&loeWa$D7*qo=aA@7bP3S>mHRs*WE$m{pmz?a+b4hYn(yJL}NStg2Io zcVvx_!~FezQvFiD-%nVJ@AuO)^~1t>%pm{A*S5>ZNFOmSYql4;;WJ5E)9A>?gU9#p zmwNjBenQuFJ39UU3YtK%9n*?3293x%S$Rxyx?_9Ro`YCnsYGgfN#%hZGa!SEl&tc~ zqhNi8cm)Q+^A$MTSC8nQmfAz{5(sQNbg=4)Do~pWh1+F>3JV+Zd1CAf6$ZK_49*r4 zon!$;+hl1!WN?t%pp3_k*HR^-qcsJpFrI%4kj^D>MLZ^(-WK!}HNh^hkIq-k7 zL&=MMZKv@(^0fslgfpSZfvzf`=7#V%nu{CR*+Q5}AWuqKBz5$R*)iKp>A=RDwv+e) zk>ZZx9O`OG(pS{gIatSOdxCm85yuUKyG4>af3U017jf?Li0WzzJ>j0w%mYp6NN*!F zg{YOOX(h<@aq#Tu>>^*J4;mmhMKh=iP2~mtsc;~X{FAUgC|iG$!rPv}7?qd}M_1|jm8nbt8M)Iqcten6$TV^Jv$LYdGvbk-M1`;y$| zB*H9owOB(Ted7&slc~BgQbdwiAtaI0u@aspQY8D<_Be*B$id0|Kg&|b1o)X8{LTpB z#4b~`n(b^g+tKQy1;Qlr4!4dK7{=LX7n)}f09xsb6o;@=Eiwf^p?Qo)i5vWlHfuIa zv0+8c0rr(O2ZXK4t2y8>RxBd^k1sM$fg#;OdLl;)35Fh3P4L2bMrb}{GoPe1)!y6q zu2?&NS1z5udpyq3ED>yeh%5<}QuApx*Xe$7q=jFeXyJe2InB04LlJ$T41!EwWErHV z(r(|G!7Nhb-?1LQGC~W$B=3BZmjwE|eqV#3@62EseP@P@NWL?}<7MlBh0@?WX3$jH zM8gK3|D73R03+)i1NV(-a^NHw;zzuvW*S-=p+!V+vzs8&2il&zGR*dqn|zTC)Pm1j zlJ95UQ@=Aq46uv$r&$U`zQ{%bLy?W{muz$h?4QJxt^10U6i?zMg$;ZUTVzvBXi=b> z0z5yIQ>fcN;InGq^0rSaM6 zB!*R3-Fe$`R&?y}MGm0>?86a(g|=Z%G8o!Q73y$Z+ni(^dn=M1CUYx#a};FoLuO*T zvk7)R={Gm6lHGux*-0j@f=nDt<1w>in_1COiEqpe+3^&)f*Yv<5K8IT0=m%US$IK3 z$1WhDQSp$_!7P;f@7NZLgZ^!{9N~YH*^az_v$GwpI4g4Ep)hE>e`<*fq!4us8}@SR z{@O6e=-B>H7*rVzgD?c1uW$kg8wMF2Tb(dy8+7f25n5b0uz`=3jhqfG4)juULNe<> z4}d{(a2z;jS1b;K@>cmGX8?n+*$MUQk$s`EI@04=goAdFTiVG-O&J}PY~S~NNjibP z;8FfcD&~vqC$%pkwa@8bC<(RxR~$jt?$|Vu9EBP1`*`rEJ;ewuA+*dq~-di2P5TOBBLNFmKTBj0@+%>3MopDb>)Bm*}b? z5guoj@hMY(_~!9OLPc5dBnvQuZgipf2vmYc1uU{0gXNr(`WcTonZ+^7dgEdOk?a~p zazm1Xq&+QfbRl4bCJGpV1+|wBUxm={#a>&sQKTCiDc+sn$iGP%a|MnrCcqK!@sp8F z7ZU>Al_OA%-RLMFDi{!h>K&Z?BsnfU!rIrc>0;;*htoRp?;bDw)(I^59 z`nhP3Z&^SlbRjv58@;3jeH&ZYA_tuY!Z}<}T|D41Rv4-}0!bGWiJ0jm=7EtqfyQqj z=sJYwHAXUSq+&+GjNa(spGtP{MyivHH%2PD(StD3#YB2Dn)GxwIp*v}lid521omL( zZge9%S4tSE^G2$9qdQ?F;znVlG}`9IAn8U5=t8p>bP0E~2zVugKK_;ZoYmTp)CjC7+HFwzY(kwFqV zM8=(g5%ooVB@L4psf>}sjeb&LeuU#v9)yun@PN18qnU9riPXNo^7Ks18zbH5M;Pg1 z68tlt+}7UxVp5>5!bse(yF)U`H>AOV+EV%Vjo$x1b?*WlMRKJHr&JOM5Tu}aBaj3F z@s`A^K)m!q2#^pU-U#$UJOv0zJjAP|0*orNT4*YJ@3CY$Q=($w)#YY=mWW zZBRRnvK4emdseU0KJ)M87osY9r^}j{;k1#yF$vu|pX5Q!XZ%*W!{T8h!N$qgt`(y? zGJ83hGLSeK*+(%_At!h=VH{m6zE+GWq}~~@jjk2L6~V^QwPG@km>V*Vz~g4yHo7MK zY01~5L+zUF9gAE|L&njN6{n6LJ$~ZM@l|9EU0Z`P^Ss#>YwY@A9uHl~Kg<&<@rQZV zz!gP~t)XkQ5A%AZ&CcH})h9QJtVB3b)I3CJ3yw5w0>irrrN3*XG+b;WvEDB9zgsGvH=zHc=7#JRLbE5~ zh5p(HX3u)k|4WtrXJRqz9vY0@Z1$`NY?O0Dy^GQFp%~kH7xCOsFS|o!mN0G{ddS9$ zy^GlFS&y9AR9fOJM&#U(C`N*w)Vq{a*8);qm^NYjTJttg+Hy`vn0xYmGZ`l{_GyA=_Tziw0jmt+dXK4 z2+K-k5yS4GEEeTE?ViQ3Elz9KFki~+%3$_v@T8O|yGJq`IX5I4$DSHW>RmRF-9vMn zG&gjOr#N?z+2f{(k=~`k>{-b64VyhIPRi~f5tDY$PBsQsNqOn~`;i0NJ$N2N>=~Mo z-BU(#zgiMwT9IatJ$A2Ry9fKVc9PfTFNT&~EAxy|s+m(p&JEdOyPCvyxe!~}J-sXR z-jy`s(%cY;6!os68d#kq?4Fg@+|VvAX^HBT9hd83+dazcp%)^{TF6Wg48`2gwQ?%! zT3J>>ZEAv}cRE0537b9T((L&HnLWkQ?BT(_NDlVOfhnlXa8h&h^G#5wKr-er+QS-Fsm7bPl7dDz8i5VE1U9 z$>>ouDb&fQZ7?^|?%8V_8sp{RH|iiA@A-b#UH7$nI)|gobo|D3Y)X-sef$pvX8)m_ zj`-oF{>ZW2(@6(iTt|p)wdSqknpne^j0>SvabaoIE3NB=*g8xfJdd({ew`)iGY(R+ zjclJz9gOOfQ;nJfvd=L1wFuex>nxF7%CGH^jrLG0ZJ*js>L)v?Nnrc@I;%h1C!PD9 zdL^>sS^W;SPv=M`xGR!i4BLl+D4KH*l32D6< zFj--koPZovArtp?jwt@Uy`3XH$(Ej%C5~#*c$BQK{X18DrbWGJZN!Y_Dom zgZw%xmNCk?!NTR3wvPn}@j`#?b6o2jh4#+ut#uOX z$M&hoA>*fWlx_R$L+|G~pS{BN*{8G{^VE;+1K(#esB}}-(23Q~oMYHNtiNhWf9)at zRl~N=KE1aJ+_W$^eQlrJdO~kCY@d3{pzbr-J~>})lcM+4HqpXgZR^kX{o*;FYRfQ@ z<~dPEv&ES6A;Y9vd!g-96>a;V38d{4nMFUg&tBbO`~0*Qv58>(biU@k&Vk9lcdqj_ z&qy9y(8W_Ew*wnLy>)$UADZbQ$5=ZW3YTR3bdDk;zMhPq8X9wIlZ5e8#YT>p^Fdjp z?UUY1GA4~53U{ve9+U#pfiqL0=6t5gP7XBy3mh->Bloa1~qdjA-U~9}qY~!bwVuJVXFBEc1#!rLZdw^`8bbLUisP`aM!J$H7 z{2Z{1p9U`J3CY}d=acEgPsEOq;e*WSeQUmlQ_SqgR654fJ&kPkbdKp9 zgZXauiFJ+v9ryJHuKwAbV?2{)D7%O0#V~uw>S;ptU+LxDr8PX;a}=BqO>&8WN>T3# zs{W<~VfLV;((E}vrp{OzO%Fc6rI%JhUq8fF56^p@K|N5h&N{80ceYETN3EymStaE$ zfjwe8-{YNcr7KX%k`opz%=SOU%Xc;|buN*S5L^6}0`Z(4?avCKQ4 zXMBhwY55$6>_}7P?{c{#{9UdbJpV4&vNI%li|3qZ)lllVmg7w=)#MXHxQFVx+T%y7 z4>q4T)kO0@-fYwYulhb>*+G8=fkZF+E4BOSuk6iFlDJ0_XFJHt>${KI%>8^Rr~mm< zIjiyWr51CxRf6dsX)ynMX_O7raXDF36GZJ2*+Aah2jG&Ib2lm@$Z~{@$Lm5XTuv7H z95-T3IY>L`82U`mni0Xf{TV{a4#F$6*^JzJ82X2)LcU)wIq5Q=8vP7+JG@QgbX9^ zA~KAUdBsq0F#03ZXK+wQUOsw!ko})DCNY`j*!nLB?`JdUP~Mmo4kkquC5CMTB^MkD zC6^FHTq*5(BiTm5!Fn)}Oe5llO(V5R(-FfqzLqLzr58?y3=Kf#^koOiKjl&v19LLeRk8%V)neGMe?3X7FPUhD>71If#~0Zza`3JxJu9vsRB z5=ulWo(&`_3|$J*;`_XEsEN8jFhz>Zf5UE^zepOuY`_b#)fLQ!B+W~^2ZAG=#9|mo zG|~rC$UyQgabIr|29kG)XPh-cGaIoDq~J&zp@Y1s6swPh>cJ6uP^S@^W`{tfD2SsW zih?7ruz?iREd%K!mvp>jt}}3a;K?C8k5N)F50;^M-BeN)bb@I`8c1Rwqmqs%1Ig=_ z1`>J1f@5t1DLps_jX@ntIVPD{q=94;8%qWfGN<<~11X7<#GV)mjwJ&rIED=*ue;sr zmIjj74La`YCxwAj=5>2gm4U?MVjD;TMQb- zpysQhbw{MNhKh6FZslX0V|TsYy+0m-FVOtA3cQGPdMn>`tI)ef^R7YV<#ZpE_D+Wv zgB)pDFUCN5VMA+~GcqpL=;K|pRrBrj%-2&WgTor_XY1w#qBNj++3jR<1#6|m z?b}OxPj7AclDEWzhUmtr<9i<@xNcQu;>Hw|`w%iAdRx6E4^Zfhu3Ou@B0nNb>(uhQ z4}dZ@%W}egUm?$Y@=%jQ*XT9Dn)TAvMjcwX-O1=)4AEe-Uex}~Y1v9Y@T(9!1k zEvFB~0kUX_(+62ZrPkn&#k1OVtCq!UA4II$GyhF)%cB%urkYy#khj#6fp+RXg468L z%17n>!=v$FDjpn3jl+VsTL)dYj(D+y;?_YgoM80x=vPqhM>zt_t)n=fsrv{{BicuG zb*s_4)-w$Oo)DPhRwF+$h_kiSQpYDzOG&wIMq4=+QlqWD@p&3c=1*;v0v0mkrhFqJ zFMjapTB|-%YW`%cb)H)*0hNN*hJec$U~ioiO}WfqnmAHCw(vlvW8V}WkOE28EdT^$CHUiHQg45{P2s|rv^`?lB z9Ko!(ICQ+=Of(R(<=S`kj`XgR6y~JmYY(=&dSBDxrsv`{QEQ%?J+sJ4v@i0jb&cW?W+;jDg!;5^M(&8p1o?s;o&a~6gU6Gh_Md~bi--QJj zL}7w=9p^rsvYCuq6euV-9d9uOaT|9l`K=lB))bk|4A2qzh}+(ET#2*h#800x7tf|n z2ge{VR}W63OT3lf>cz;c*vSDyT5tw&6r8Rg;g{lFuHH%O)4zCyGidpu6LhEKzK%9Ed`y;?>-3$zgwnxH=}O4PjWZKPw2|y?Ifvq9*5^% z856u?d7%f^#z)DnyX9nTfZ9pV5Exn-{h!VM@8SPv^Z)0c)7W=+W2)4s@9;kAyN+$o z11Z!mERXB>!g4kGrO@cNrE*JA_Qr}Wh$6Pr?PI3|NmZVAv&U`eQjrVax9hVh|j2 z-L3L&A_zC&yC?7m3Md~AQXsQ@wTJBT3$D8t@#o!2PcE^3D3ly^{-=p9*S(RpI3)T~W!J*^ zi(=xr6ZQOGNBQZSxJnG(35I;*_-W`Rmd&^)nXx--!uX6&h*u6aO4!%!nA-_a!4ZF93wAgv@ zq{2n41_@}#{b2Ni?u%&;PrL4|(!4v-jqdT{4|4H*lua`j_g34i4`9MaDzPL|VAvtP z#y!!;9ui__rFW+Xhvf=GP!Q+a4*K6eGF1o`;sz=bdiRA_qqQ!v)`7SEdb+4FjXS?oYdv}o5+K)M!?lu9AyU$`! zxkoV^eC)jOTZhuUg9sn@w%Fy4?xlDhDPHe#t#DV^qIq|rm3C0G`!QE5*xTvdh5Kkt zzqOo%GuiFP z%{z-bi8kN2%jOvMBmv!@_)4V4mnNhJMTR`o;Lp2TNKKI!N7GXmto823Ps}oy zm$obU6I}Q9dw1bx1^&FdNKy_!QvC5(SQ+^>8rtqv0888eAiSZmo;z3O-Q&U8enN9U z`2(Dr_Z-uSiN6>v`bxZ>5-in&Fd>ym_J@PQB>TfbsYsC6(j(dOVO%S(<)+WSA)xSAK@4+Rn~EJaG_u#PWLClR69tV-yeZV zmt)^joO3Gda#E=hzIsulK_^#sMB1Yy4$wuhH1F%)s-4Kkbw9-mFGLmK?fub~*QTq1 z%huH(eMDUi(Iv~r>p(UBAw+8olW<>`oQ(r#RxC7T%o*L_y*y@&;{ExPbpKGxI*^$r zcQpuuA|g`{Cqk|hQ3*rv1)if*f`FY81we3rF%~90`J)I!q{X@JPontz_a`Ae%0CQ$ z-YT+!{6o;1X-^X~1&kXlXy{*8D@%l-Tr)73_T z?<9e_e2`IQz8zjSxJe_FOT{Yi?$f;cNbt6y58N-p51uaFOb;<5$Bz)*&vhSjd;5yg ztv5^Y6P^`doo%u? zpXll(-hJFJ)_V7$$e8B?ZU?`jKxN*24;0(7Lw+ZTW%fHs`9cW3lk{_vVp|B_*M;D@ z?!z&P59(m6cEd&3CAb|&g;4(KuKUpa)l}f^#60xuci1Ize~S}KIV+i=B_?;wGOZ`&Yj#}Cd0+7P3uKPQ@7=fyxbMIHW?(gIu zxJDkkywzNC@oNu)=b@ck_u)iEgy{QA@#m#UoEt9cS_uSi3EkdEbnMT3PiWuwE>$-oq?jI3P*!D2Vhd+f-5uj~-Iz+>tVq;tXa6Ur-FEF;Pm+imYGc?`C zwnQ!EWw7lRrKDWnL*s80wq@!4DNcNkH~<1OyOD!?A4KZ*@tNi{#^ibHJxjR?AX%G4 zE_~mv2gfMihf>&HC?7;U$=##iV0Q^pUxZt^W zzvkUfK=?QT;r%-i6b`o_z=P1#cblvs6GF({G#MA7YvIBn#%ch&8-a*bDtybKHZqkQ z^(;&*7iHijh=&suUsrY~t$BI=F5}_`&D&txE0RAXm0Mn8=OmDE1JIm+#tl@~!2%lZ z12VobNFd{M?*V#}!~nEbD*FFe0`n;n8BdjigQ#r05NULa0!n0j@R0jP5}ReCyazo6 zs;@HDQCDmkO>{uxT0K~kK-iO^@j(ofwLDM`5repjV)C{9;7nKpOecB}$MgOM@p}Nq zV2lqcx|dPrJ>*%hIAf|AF&Rq_Ht4~6J-8pNF)Tim0^S+~F5VAZoNnP_TAZW%l~eTt zGC?C@abz9hh5qUgu=p@7@b)XGDie#u#iBhD^#}g(x_^xBA1lYt4}?AY!9gh=AK2Ec zrGyC<`^V)lE%JaO3!GJJl^I;0`ZeYg6{)u=`>c-VR(12!bBt}!y z9-d)Xe1z;^3m1QIP{871yKX-NF7`E&Fp+{=={@49EK!TV6ll+rj zjmA^B_z1*fHNoN|5*BM-x+QV(VNtIIUc~VhxR@#!Bdq41NVu48GcG<%8n2Pi&iF!J zRp%dv0ZHKEV=P`7vWs4y2l3kCsMqM8fEQwm7n&Wm(NVM#;bMO}me>gPc6yKEKDFjl zXC}LyqpJfKXXySkBxhKB6jSX27f)3vyQ+rCNnGrN#Yz5Y$O4jc9C>}^lKt zi5J@3JLf&-u}?Ps+71X!Y*_5i()~04+8SoQwu48(ukDapw>IRjg5t?q0+yj0VMWV9|f-M+9IWFM$ClKJKy3c6^6Zy$}=+VNgub zegTS)!)9erjFo7|2@~7fIeIafgxTHREn;|2>D>~eXoQOWbMlGU7%$OKoQ(r#XDl0* zIg5dc2^7!PJNgA`}4ggE^F32xS$&w83zyR z!Gi#dhrlEw;}aND4}ZV|{xHbQeFPSi{3ZPcd-VE4#*NrN!TkluxJmOiLHdH^y&`1H zKWI%d0pliy$xZYK$he6;to$N?aZ{;4#>!K{8Ac*w7E$dFae8~O(Fu)%R$!<;iEKm| zYy?w$_9A#AWZdp;QqZ_bLgOZa#&G89L2xWeRHjOl6Ks-#@Ee$9klf#l6oT-Zktr{N z#!W!R$$aQqu$iDSGXU*>vXh{3v($&b8A+=4K25UPvjL*av}mi5{nO0?8K3-$?9&ct z96T-wrASJ#p)plN^M0AU)I!F;8L7amU9f(^EJ0%|(<4XxF+F&kq47y-cCI6g8^nRE zr9rBiqH%USmo1%OF+<~%5JQH>a6-{FBjc0p;s-V)?giZJH$~7S4R!@$yX}^TfN3oo~`IwpV=j#4!-Je6ixEX6Apsg05 zZxAv*MZkDLS`sbJV6U$~o0=cb2^i;iPs#QJGPZ&7Pl(!rmqEsA`SzDa^oNW;12A6X zYgXfYp7)eznHuLsCN$3HZH*Y{MP&|7je(30ypj=LdVNv77%K%bJ|&RxDg0)q?$THh5{M4;)0#Ntu**IlU{H4XFHH_j*X04fQ+{Yu=b_V5&GMSj2|w1 z?mdmQs;3DV!-ZPqJq@`!P137VM|S{YFJ^L}e7u?*z`U9@Y+AU2L2->Wa9K%2N8A)M zNu-6 z1c!C$C_YOMMsj$B;6*tGy#bUS1&3vK6cwC=7h;T%%2C|rzJ7zx9fYU2%~Pm4C{vB$ zC{9NQ4PMlP7c56{8_gSEH&e~9dyE68hcF(zt|x`aZYxSmind96k?O_gewcnOJN%?9bEvZav4pRBGN|=CIiQGDpbZFLPo@2gBiIjE2tx zf-IvsYCl4f3sXGLdmgJ%@qiQw!C?)tu>=}kp%W@Df`qCh$Uk;stx?B3fw&H+!LK_MP5~-FQH)siLEECNaFk8V#vMy1zj8 zgATmJL~hR@k|M+c5T&j1Uc`OCWNM#cB}MEdUW3;>keoA=*V?p40Q^A1mv|yY!Qq|? zfrcG`Uy05O2TX}(-M%wQZVC}MZ?83tB4`s|k#Jazk_rtc`Ac4j*jRA*6573n(C{UR zhEWTm_G-QKOu}I-@X`Hi$V+??H!ITd@m?fJIYW|CB)r50HX1%lzJs%@=gxUw_Z(2# z^G+jV=G+y#GdjG){&l*4y*h8{O&XgEpn&P)*=!PqtOH!ejPk97=HZ$qv7=y z8g7%CVvSmW`8Lkp=?@M2%jo>bHsbpJ2t)H&rvP)JNH9EGwUom}Je1KegJDB^06Ue? zu%T&&MrhcY?jHa4crMx7<3-8d9&c~zvr5KbxSX-Dq5Tvj+>)_zVC<}_&LrlnA23{| z`#08EhCx)ODR0|b!|hDTLtIW!*Z_Ew+Pp8jXb2R*_729t!UhH__7EEynM~>ajTRPu zjfc}jInD<#WgF!oj-0Z^3;ie&0AV8zv&*k>gUvVMF2|{QVXE_;lW4Y!IFzV|TzV)0 zn?@K28*vy;6M3W`P5s{igul444-OVM1`sWjWO;~>);ugoBNE34?2l zc!7frgrK~?0eUk8ekHOm1Dld=hlUakHeA%0$y7;TfjHo5`r<~3gTJ`(1vuDnK?(^68!iyT zHQ`{x)h>Pz4mN0(fFCIw9KsroJqF?w2pnusM9Ldu2nUY^4mQ%sn#NJZ3I}(^i>B*} z7fsg{?*I{fac~z_s7K)7Z_SiOqppQU5e_yokV^(~@tq?ae2%)`-BM$!Cs%d9e?Sz$ zesOT6?%%BYw-63KFK{reo&fGOrV$R_>cqjD83%6_IM|pbm3b5n2DPo91_%Gcq(3PR zK2PPRkvz|s=E>tKfGmhi`1VWV;HW6=e_9VQsuwz$aj-Fsaj-EBzX=E9i7^cZAmd;E)nJmKJnkjMcw`Mv-n58oxuvzQKvvIJML{BIM|p41S4=Tg2_l6Y|P5x2c$?MaqvFi z;Js2I5)R%2eR_#Ftx0ab%*In22Y-3_p9BZ<@_XWDr9nG%)g-i_&iC!MbF7E4 zkhJcvekFj$R5;j}4e=niurXWWV7?=8urW*FU}F|uBOGkZLIo4L0n#ZpWB@$zn^e0lM^`jfFf6A%)$MbIQSrP01oaVUyc88+M{^F!N%MO9Q>afK0glb z;w8f*ABDzT&przW3)*}?rrg*#_|Pj68-;_7xoCI7!Ny#LgN?Zo2lF$5gAF>A)qfB; z*ubHz0tXv&ASqoMNs3sa;;*-G@Qqa9;2Wu|=gt}PJO`D9bbA$KrXL&}O4CE>_84<} z6>E{(tArN0y~@@i61V}4GY&T9fhWSj#yrNsA*?gNFIa|MaZ*!QIM|O_%zkn3QQ+V% zvGR6=G2hdqxaLGJ#=*lJIC%a8*r|+z=WE9NNF3}JQ3?GrDxv>U9~=yS5jlk$1rDAM z96aAG85;-2+7x3+%vnD;_^9qbX5-+fOjG>!;RRN8@=EDO#=!`Fq;POlO8+G^1e#`G z9E_+(0te3*IGDYoyi|HJ52u;3fBVZ`fP?WuKT3pfa5ix8WD>Lc^NsAw@v2^!YJWI5 zQx8qmL(?oAoQ>f$lSld~Q8@Uw*nS=554Le|mW_jRfP-_ONkVfa4(1=UHUSP{@nnv~ z!8rm4^NYa2IRXdIRiiBD*bffQip0S)WexU8;>5vO;7Q=%xdI30SU5OG;@}*GgTb$b zgE9JY8RkeK_-!+H#^m2Ni{AL#<`?4N9N^$IfrIA}4rT_R_j3sc&r{C1-!}J$g9-X) z3Et-k9GojV^ST-KQt8kvITTAml{Cku{5iH`JcNpvH(sW<{lS!o3-;S)iCll%+%Iy4 zKR7gpaBv6(n8h$S_W}LnN&@zAP?HPF`%+^g)^Z0MxoPMpjDvF_L%I0DKsZ-iGY-xr z9Gr`o0_+fm9@auL2?*mfW?m|78TlOCcOgQ%I^7znds6Z#|UDICvp)SxCEpyW%U|UGdcYfP)u#7O3ur%@bJF84C&1 z>~*v5Z_@oIX&Z1CFQw1-w@4&RJE;9Fj-}E~?Tmz57zrDTWdlZT114%MF9U?tHehfU z0||daVV+OBR=UeYH9%u|p0U`o+}Z~$v*8CZ2l*H-;a4--TN{Cm#Xw`USlU=DpzvbC z#*Bp*Yy6O0!p35P!t^RZ;Y@tfMqpzRy~|jb!X9Qyh<9$ib6|yken`n`U=V1{{zRu zKyWw-FT`dI$uuJmKz%y1mSN<1$`xx&HN#P035RJ#Noa*0%F{#3!CD$jz%-;Q3at=s z;pKqC`N}Wcl}Od-KQB4`KKbny4p57DAvUMoNT(UgN!~9wiN%1!?SD)}j=KM>?r)Q0 z=l8`b|L?2i`rmi1@n_-6Cmf8`c;^@oFDE=q4!sN3zRx^kIr5ioVQb$f?H=}D#CvLB zTdn)gL8%TRMqf{Beh7NN!z<8}tX0zAui$-}pL6$c*C3_xR{D$;p6%Rh7y-Y}u0zsz zEA6&Za5&|U&Sld6ORogjNIZ;ELp&G`uh0}8rdtvZFPC`OASq%vOcf0C2Ngo5xv=gUkeKMv2I2q?>w6#yT*dTk&#+i- zPp;v>AiM|RN%{%pmX!7gnE(>6BH^h~)*A8_nAp*}{fCc=++?I&!;89jzXoGpW0j{` z$&RGW_Z^*7Ne_faf#Sg6S0XwVCSHYsPJrT75)>P&6eeDUM-mgSBwH*nh(Pg5+@&qV z#!3>GB#28Op^!CFAl?GST|;0ki7g@LjMbh)mdW)qtW@r2sdQkd-WeEXjWs`mbYWue zXItc0^RqtdiJ?ju6Klq5@Wh~aHTi}?L}B8g(u!JhQ%dezv|BZ5`!MUJH(`SZhJ$Od z=yrsW?>QMaMK1N?RFR-qjFb`Ehm#o-#}km%jeMA_jEVC#BcCwwBg;AbmWV0(R9aU?E(}N3B}mBGH`ZIjUCKbY)qUI7_J9&3))(lN(-THrikl6pg1ss zL2-WHl+w_rlxUiPF>yZRN`T^gvYi4t0Yt{cGkCnuS19m5A&5?tb68ZZJU0x_BlU=I ze3Bj^P+Z`?F@y9oFmb_UmnCLQb-vwm6CJ+cd_5(!N)JJ1gm1V2Lu&p{c)ZVoxUg?n zZY1k4hx9?kk~=+AWUrDo3N@n;#SE27P)t9x5LT%$D&|LPB`Pi?=Ww@p6R5aQpyE;~ zpCZQ?s8}P6SQOzME>?BeH<1Gk7J;h-LKYhGWdCrX#KnftjcbiUg2lA1hB)Tlf4_{2 z1Ye6DS}VtPH#=?lhx_pz%5?HO5j9iUE!7 z`G+EQ5wBxtoMRNpPVHDK9a^K*wj$M!kGM$xNobu+Z)4*eP8C@?+)9bY$K3Wnv;>dW z@=oGVu^w8(_?UhETvw_wtZ<#Ua8|{IHzsfTa;w3Z7z|!Di4IY+b`>MsXN*Mj+%8&qAf6K16K9D17V@od|>Y zXgx4W54@%kKu)F=(}6J(AFqXgqy)y;068#OC9ez6()>8~mv9%l%FGP-(+|k(R z1~lH_UUXv~2`D_p8$4@N2W6^}$XE=r1VFdyp-T1?1Ae9;RZ(aw50&$ov7KrN@|J?L z0X)Tc9?6(Jj1x32bKjWHjAa;Qo{iQp&Qvq(9^+gwy%WRuc0EKPk=Rp=L%Tvd#i<>k z9hRpUOIo{V!-yVGPVKi-!D$Rnu~mn7A(B4F zlGMC!r?S|-ohqf{?bK+o70@`4%E-8!wtf;c4op!#zPuWv91KWktk${`GENGl;XSDk zSC{C4RH#$fetIAy?IA)$0U2+^(|fDcwBXyRjBPL;sjw|r_WBK}l_M2Tp9l(;pV!@` zD{Z&Z*y!0|1s)gFN!!JM#u3OkkRF-YpK3jf@DwMY*bonf#v2tf-bjdtp)qPxL1P?c zCZI7@FhoS8(z;&|D3dSHeg$kYSV85UQ7+8$bf9mrDTs*DPF zJn(?pCt}5PV5XiB$b#gIq`YRQH9tbkDBxl`P}bT&{LfWlQl%zBbY4z!6fExIZ5xz- zq0iXlsZqn^Kl513_Z`&b#&eodu~6}>S0XkRD&B;4ufdyC5vBlG@xaLG7w%T0Bj;b}>b``f9TVSU$EQYLaAy{k&%zS*FOZHfv1N``W z3@13biUTRoM^VSL@<}5ZW4rJ?r+`qQKt(B?7;*agqhpp;lRGh=8 zcnds*QlDEXMMFG34_6ZkFfc5p;!{v9V~c>rxfU$W=JB*jcJP0t_L%3G8j79;#|yEw z$WIv-V?{zX>1M#<%F79=!IGG4Kd`t;5AD`Ndob1uSX_zmw5plMdWzWSSTg;CTmr=j zXm{l{vjaYbsx4QsW^BbDR7q&RM8*80MuKAcp@r%tD&ETQiC=^jxRpV1W@x{x4$d(K zC?-r?t=3F&RIQLYe@-O1;$YH&iL1eraJTLkka+6@g^9PaUR-NzB}@#(sfEC>!o;dT z^$Jb>AeUwK2f0EBevtd3s9MHW0ODNXDsCV^%nU%1w@sg^7l(Mg5%A*=a%13OIgEg% zYR1D2jE9YFvNNwMbGV9YspgoFh|kpz97aVnERgAKI83>7xsvUI^$T4I3nO$X{;$#7 zL$!>Bx6x0oBv}?&OCD8CF@d!PWG#X4Hb@}@VXVKVYxWe=HS{b;)iSmb5T-{2gcAq| z*ANiiiaSdQ2X7^0a)TmY-dI3Dcsp6s3(?7B)4eZPc|T5~i1*_xJ?ik7S(fPgaZy0{ zN7Gevgbuee5Z-}Yb|9Co(NLG8srTJ2Ei-m{7OC#{wbnjBSPk0gfhBrikseq~I9RNx z54a@|-UZ1BxNRJq5LiUbkLQeocPWoZ#FA+c`yk+c86YeLCFN_aByxWmAndO08lz0Z zJY$zUi-!tKUx2-a>A-$m%D&Jfc-n$G>|N7IEY|7f~BV)o-FmMN0$Bo3*!HVQz6=N7Eg-a9TJng`e2ig9@a*!=Qi?E*3!en1_(? zB8h~n=*bcuAJuVzo!`iZ!4v}tEB_Oo#~2^Q%48s6M4enh0tz6!*8|~{^Sw+p5(%pw z8fwx*C#)dTNL3VS;vmyE+{{_49I8GZ48~#rVGCa3g&3SMLP_rItArq95@ILU?DtyE(0K!&;=`Pwaq6gH;+MWM`wk5T4kDlX+w)Eu+S>Q)xRvoLGqj!V(cWeZ+ynSEJ=*BrT%`(!mj? zjT(i7>6Y{nS1TY~jeF=8g{WZEFyWg5@)6T*2Ex^(=Ef7e!P)5llO$l31%xNCc$KQo z^tIU#uK`@dcpfQU?{c-Xc)=j5MJo{y)&gr)v+p!&ai20>7m-OM5?1{pP^t$?FbA`i zkuZ^C2Qgv`w~NwNF*yl@t(6AsAYP9w5MjD&B4t*V_9&5oa2-ibjhZ?B+H97b&jExn zU(6dfNI(jWI!~>Vo3G7gkj?k)PVbm6wt(=4S0XhE2x~?i8lI7GosgO$15lK3WUW!B z;Ta=g)FVmCdP2grxXJF}T9TBBBq^mL!gQcSAYnw9?wSM>No)-{S7y|Ejwn6%5k*Lh zje}DH8}$GXx6~pZ;Rr0?;EzhA7Wt^e8e=4IgE=XIa6Ncp_i#Pq;0g-|r!JM6VvST| z9bYK!3xo@WL;(qJ2HU*S8+(WMds@Dw*cQn~IGQSEeCZL4ghhO5V?QiaM#B3wWB*qO z3R@0hkmJJPTROjS6+-|55Rbrm8vpM z#)YscA~W`IO(19T6T|SvCSru|k4j8L9EnkqzL;2pBT_}0=9*woyr0ddN(&P&C54h1 zIx8FJ5zd<*$W@Hz{ROEcS8;>;#!}MK_ve=x4VM#D^)S`gm^eLjRu7%lLx^u8FmVIz zlse0pco`(e8ELwwjzF;kZaOgWc{|dyaX>RDY<(EFD4rI6<5vjFpV(7Ok3z50qcwQM zk*39)0L2Fw6o)lg8=PSzCXQEv8anTE6laFiO0GU>9GLjLo)kvdFCYu#$bRAj3KJiY znD_u;Vwz1PeibG@@IaI(^tzOTo;nuZo;tZ+pr`IdPT~U;X*!R*e_52~6D2#KU>^4u&~=`4;1#?AQ(;adC(P&)Ae=W8&`6dE_aRJ0eX}_Of6? zy3>2=V!~LkPMG+0;UB)Bhb}TEKFCc=b;KN~P02lVf?7{qznhXJCO!x$WK4Vz6$9NX zKr#KmH9Ln75+;_vIMQ_J0x&U}2QMroP<((eaUNmf<%Ee3kzu{U5o!8YlemPxnk0Do z)ufr0*0BM_4ApafMO%QK;k2GS%dkW+oXDW~FtRy}Y`P}XBqDELfOq(aXR#vE;iY)h z{e+qh?9>C>^}r4aHQhCZ-NU;iDn3e~rUScdSRB~?fMM}20gI2y76dAGgqkL5yIuwr ztI#rFuRmD);@C2Myt?kLDauaFGmd&zDbd~}v!Mb1WpVMtqpxJ>m#`SY0T2^Y;Nqjg zK}^3n*tBs}JY-yalyEUUA_uVwHf9DBo{$SOkmR#U}wz*kxR2ErQK6AbYq^nuR9H?U>VndhjHbBw|hn zYM^2>h|z|$hgcU3mvJ*$#}-olu~-hf8m6hL+m{ZNWFzIS45a{98qJIo^+ZAQu` zN%3V6{;3r)rvo(>Ql84QCLQZG~%SRRTuBu^r5-h8=2ZWWA0*%N6!ZQt-eGoXF_6W;_ zF+I~t!lUABrJw|#7cILdc1=^lQ)sk$>XhtA+I`Wo?4%CA@v&DTItnfuh$JZjPaCZY zF1ISUOwXj>xP{PiBhYfY(So}Sms?0&rjfWDVTJT&;E)ZMGhsW4O)ck)Q=X&NeC3m; zv>+%pUJf+rfs=ORX}rqH1m9}Nc{!WR?P*nN`W;U7LcpvuU>32bjnlAV88DyLjMIdd?by@5Bt!i2 z_+PFTqkYORSNAO$yN+8$=xKvUD8|Ntv5S^b%Z$YU%Y>C%us|Jg2&HzmGL_as%uFfr zbfA@y^69=Q1-t2#5(VfBlbtA;iqDa!jniyRow8tZ0T275WjF8qS;N3E8P8+r5}q0- zn0&^4qky^@T*qfF53)p!sm6xM;n8|{6wu>q;E=*j8)q=Ajuv633n4BJ*KyK&1^vS0 z@HoeU>a&`07WyPieSlyx{}?Y(@>#;n;YkuEpC#9^co8W1tOz?D=9Ny63C=JQCM)S| z507)g96nW9dI!LWkKvOqauwI1xf z0+!kL3WN~6SMZ{!(*{mUyivrie;Y-e4)gjpK+E?E1WfKb6+w%3!{Zp? zPbS{C7;RsXz1abi!yJ|dgi<7>*f5zYVshVTTkjPpU~3nwUtkNE97e<$%wmPd>){Cu zliRpyB}^s`WGxL+)fA1n{nJ`LrE<3W1Ch3E?(;hayk|AGtkIe}fDH$f46Bs6&6Y!h7$9Q5+Amm0c z*_?oHenc=CYixKOwK*Oaw96RHjL|=f^m=>XtOF*u*)Vw~V6xnf^q1=+G`JHcKV0_Q zoS3AU6A30G+;x>X5pp$=B)Eh+y8|VEb0$miH&w)~Z^oMJA%u)wAX4(JBtqiz(#%N~I<|6=hDyv_7#f?C6f_0}6mhM9#?uKJBhESQ_qg;P zyc#EE@O|vw!?^zba48+{4|k|umMq~t?ulnmY^DK*5Gd|RutBkz1_ok*;+{mjC&xs9 z;vNLJ?3zuC4o-V`i9oTL9s!EqAI_-e^Mc~pO53e8(>=Q_P%Nmw6e#W)^lH?c1jS}L z#DhSwnXW)F-x8qMOp~D4Ov7sgin)Rb6!*Y$TFkcz6q{+J=Wt+sQIAW2;+}X56eHdU z#7oUyy)g^oH4rAo3$ewEA+ebuA#o2jgXRnNcA6QQNmCp>!=(&!CjB7do@BjesNOS- z5V4t|5OL3N8xb?PSctf11hRnS;FucygPxSMM}r9wn-u23M#OK-`V#=+xk_*f&8ePx zYnWuxFM)@9^j9J^3J;rzC@Ju;IaT6ebE?F{{7m3sGXs*+GlKB2nSm69hs_L`u--G))=I&xx-aqZ3#oxUB=KcsX({oBG=XW^O3$bvD z0}Gp(uvG~Qo0*!K8Ht6zCmiG7D-mOK%J=#>#$o!ybKLWqz`{&IF*Xj2U9zw+GZq^Q z_l(jzdq!J^ft9Jm!Z9-?EZp-NVPP|~Z%V;#I;BL@42Xrf_>6_kOtP7JMq5~T11XX4 zLWPCzV*lbmE@M28p+poGHmAF9Y#=eaKi`~wd9WpBOtl{@yigD40t+ud0}&QBr(-l- zD6nuD#Knn)gA|`Fw#zuY#KyuVz`+a>yyXH5iyv-*h4GLck0e1iXu)Y8_HeY(D7-m$aU74sJ4JAXlTU2RJP{is%XRJSxW)E};GJbbRU_3(+~E%RGWABwBQ zh#MD&>O)Jc)bKIq;D_kq8%>In8D7W5sxapOh&@=zuR!6g=cR#RiZ}3J<#X)8ys?>^ zPn~%F5PL8qTJN95-dYXK2U*#ohd1is3cRA3b4AltmN?rkyh#slZjz%fpC1lHAc(%4 zdn$JGxo>P%t+&~ndwHle5E5x>zRlcl|68?QJgQJN&0JiI*4t_#R3e&h6Blcn;}x{t zW)W{Wypas92P?5&@5UDD`}3$DRzk)rx$kc<=U*PC1pLQXH}_ye0;)!JNb7(pJ-kZ~ z@6f|Lx$}p2a^GK18f9yT3W0qAsVkhR?=*_Ofx&!F0-l-Kl=(IR}t99#=A+Kp=xqgpQ39>*&XqdE~pDok$A2V1DU zx3dyZM`R|whhtMCny;rqH6N6~5j}iB4P5oM*Tb2;iCCagR1oqeACPo%~xaQQtKV!V%3UQ z(y4`W(R_!+6wm=y0$WH4?8qlAuz)(^PWO$StORPzg_lQI0{)fJXwe!+hkR$3s2;TE zNj)WeLJv2Q1rSCkMHm3pBowK!CL%2q;=06#&S9&Xjcr}Xe?yrr3o zgj_UOeY&3d^cg*Tmiu(Q6beD~^Y!UnN+|Z5i!SR{k%=@(#r_DX_;)T8G_A~idJ&|e z9)IQ{)TI!L1GpxsIK#y{XxgtpD9*}0eVY1oJvHBM?$fU;yX?zYqZ`qD?<`i$=hAPZ z`gPf-kElLv5&ax}dbeu6!{*}4DXLEsWoo^{5v}*{ zy-$ngLk+6dTZ}%vSTtXwi9J+$_!1ZEn0N(!y53xj=6hZA=?mPa>#0xg;Xch#NUPj8 zs;H7Y*UC)y)pAS1Kf*!AxM$Z;;^TZF-|_nGL3+~dM4A@4otT<_>iF^6V-5S~kzv`= z&{};EpZ&E>)nsi>o4Ius$ZQ~)*;~2ux%S-T@Spogrz|6#QcYKR8eP`V<#M{JrK=Tm zRR_bPPVu+$>PFRsZy#m$uEgeVoPK+(#{7+adH(7t(^Zt|e!=N#x^AGm`E+%Ft_s@e z@*rK7(iPY{B-tx7*Il)?Zh0|J-e2{l+@7i5&SLhmUY@kDe;%jj|7|h}kJ)B!BN8X9bpJJh zlO^<%P9{5V@>bg>sC7uiN>4FmCDp@|Pk@6WbAD^1lqGB?{K<39_@ZX+VBKK8K0Qr; z^Y#jetNR9@U`~8pGIw0XdOx-Nl1TDxhX8!(f+e6U_1h~FKoFhc_DXuuhaWqM361_U zcW~`f7W9*(^CYGgnmK=8;vmmZ{dR$8h?q`zlV|${|56nV`ll4Fvwcwd9|wBpzntxC zPqJTWk45KKM>^XFr~gl(`g{o`RAWH>FgnI^!MppBl%o#{r2ig>>8oUfL(|EuP72%#x82I5;@^uQB8hxxHweN&Ld4fhRon6Ph zc0O7`zl}I`^xlv!KrC)!GobjHq_%FM6d3()7vmW%8KPGQo4b&=vyAODpV3V1+=@x$ zR_?K#^UKWLS1Xl9c?(Cvc{*u+Pj!!v#wvMAxxHS$z0R7we2ePi^7ywl%3VlrZM3G5 ze}9)}^LJsLjL2ni?Cb8&A|9CDkdkX6HQc+m&62 zWOb%$k>2!r56C*U?#~rZ~oJ z+g_esxvqRu*_!IIilPnG#RZ$PD+|_Q4;x3CpxRD0_god{rlL+LE55nak(<3Osy}*Z zkETTVB-Ve*xeZhsPi?eBRwd79(D9Wtqp{}!wZn4yn0uh8URF`4#F(E zL}UjE?hbSBWf}``I4l&zCM-X{T>&3^R}P+4(+lu!?UT9InENQ#ee^N+Q7Rn3Dr;lB zM>uaiQcrn?+mX#3b#a6?@pw9qXl6B~$J#HM{)cc8L$rRlRCc!?F11*e$sbU{lcKww z)J#4fpv_uY&^wmX_l}iC?j0MQo{}S|EdDcVn<(>h)PcN7asa!z3H`^L^m0hzB|1>i zCMZTeU5~oT2(#|$Hp}j!y!h_R_aBf;b!XL|Xlk1|D=YnQOFGRZww!8eI?>$Pus{94 ziRSbyHqIiu25FhBSJ>-1MsT;oScC1Ps6P6bbyU0Oxps#u_0;hN*yA3rBR=l{Q?M^l zy_8bhffN0piTuObQ@#&y&$WyN$gIwyetW(GT{nhVyu3y-Z`>BFQ(Z}46`t8ERl*cTP<9f2$U^WoR1r$eh0UIXXspf&JBANhdG=vTH9`97UJ`u!~6smRvRy%K-ERpdH|a!ov4+jy!Wbx=!d^WozMwN z@FhD-M5eFw~vcfS^X}FMWcc*t1$n?yRuLUf-g<>pGW`=p}YO7^8{FrQfte>%a$Jvm z1`&5NI1TI}b1Iut=2Xo*#+40iWu}|QSn^J#PK|&snDMD=fSu8xCVvEiG1G} z5tW*|Xu%w{ApBkI*@d!vH&2x1-8|dEcU0plt&#>~bG5Q|-p!+if)8JH{FgR%CNmgY zv$eW#O-aR;HPvfzNqB5yMRnyGc%i!%sxi6HY`S_{X&cIrSTBqX$p)sH_GosLiCF$w zfmt6RVQkhSZI~t&vei^IW)oFD;FXDTXl9dT$jcf}wyWG4o)jn|At=)5KS5^X`W<0lUQCqcrRK9>kBR-r3&D?@>WC8G z8ngN88B2{&b|fro9P(+~Zv#+F&3hRH(nkhjfsjA3gmsK&5yNABUwD=k(<&2`oG~-#ZO1cWmU%tuMi1I`m1X~B{%sP7pm2D zX6se!5YbY~j_*1$d~sD1Fj?_(z~p~PWlxc+v-JU0_7VD+tu$D{Kk6~rBEtAI{_Q2K z=iZh!{@Y9JvmYFKu9@M<93*TpPZ2tqi_25_<|*?Okz9;btc!)+an3w_^_-*KZd^xT&P&dH|)*b`(~V^$J5CHHu( zRWmXPP9A*nWI-H&6uxAyf4tU3zfe{+#r~Tb(c*-ouFx~hmyADa#JBR1rfT1OD4T^E z|L05IiSHcxfC@@Fipo5ku3qEflXLIpXcQm1;0kum%Vr+maTT6mbQOGyD!|1Rt3YoK zV;+&w(|M=^Sdkp&^~uxuWxmx{TH+N-ym}a=drA%j1-IWg$SDbD3gA}?!ci~bB+;dU z{vYDvton_~lHG3|EM;>QKh2KhBHK~Nzun375sDI(3=Xq3-)bu(N6{r-!W{-om&ZSO zx@YE_!w4~59-q|FVOIktwb86kb0YJ^l(*Hc|C%zDGyP+HM_%d(TDo}V@cxGClcyR^ zH4qOu-~o;GbRIsrzSTAOX|=h7CD9rw%#!58QS=39_NNO3p}94G52))-mY#5D2L12>EIfI-gg$r;G{zz}+molO zfe`M@((lYBgfNC~v}3R3&2gUjBsu_sk6R?%eB9!Zy{ARO%^#d$Nl1Ecxex-9pL}%6 zIS6}ob3Ap=xsxCj34+KkFmLwcX<-eg;s0Eeh4qwg;Ra-DW^a>3vA@|OB!^OJ;xg_z z?=$*&9{b@ncvAJu}9l~lP6a20Ij0*nOs^= zd#>+f(>ik`CgI!UTeFmkzj->JA>v5AIl+dpstpNjq6qR5T zK9!gpi{o~uAHHHhs3%V==;JG14&;ByfiVPp2a>m1L)HEsFqe6+k&u;$62(s?;d`c&|qI(aJjV`>|l8*2Br zVLA7~!!4~1&2Y+`Iox_ET~E5RM2mvg#h(&hTWh*i_Kd1KjtSe)x0Xa=4}E;tCd)0j z7fnHTcjL3qw^sh5JJr6m_#Z8dfY z$-y0yyByc<$xi-$5}VUeJY2_aE$84y+~zv z0vTGfJ0iamj{LqqyiSy=Z-(3`?amDQ=9k24e0K=kCfWokY>iR@QZ7I)A2JO}K5Tj7$ zodRiq2v%AhoOF1%$E<^7C6QJgq@!y{UShG*O02-7eA;SNz+RGuf{r|1>U5`oO`+2f zoM4vIYW;teo&p#`38iHEoCYCFcFv$F?a3EW4ze4R>~wr#gVb!&R+Yl@smpPO$^m`D zqu(j8an@IAm8jY$doRl6=!I=RlHE|W!oE52`kQz1VgE|OKM&^5#-n?d-pNDCh$?Wx zI!8Avy|X+kJ~ib;-I0d+*7U>2f$xsjH>CHQ^xs}r9dzcM)tc&?2x39X=o~&5d^jym z^wNtldMQAcWcCu6wI&2aMPIt&sA;R0iaM|oJ_GeD*2$}!@R{aJ`2FEh(nLbMPQ10b zo;-`=DyCm8_!ZB;y6I;#e!6_>@J~S#%QCE1_a-vQoW31N^`myD*5i&8Excs%JabX2 zbB5H7Y6WX2@gRYjfnhM^fo02neU7wcp;U#rC_BmHOhsJUvP3!&@muZ`vcK%kN-|_I zHWLNt*k_IVpxK<<`|q$o+bI#UBQt-g5ea!aT4>!|0UiXAsQ6J8ImqXr&$4VXt!sH_zdxp z9r-NLzB81~uKlRy{k~Ef8K=<5m_{q4@2sOiu~_!qkLy|gCVyNnn(^a$*^1q)gZcuk zXQR-5YviE#Y!uWG?)cV=?pRM{TPJ!bqO9IbquH4!&&K%HU$JI8KCY+c7~|B@|6`gI z*GQ&5#yV`~&SENxr>UZ8h#Z*0S~&eq5t$Ru#)2bEKs|Xj8lQ2#^%jE%)xaybnBWlb z=z&7k%*XW-gF2Z=>0diPn*c7>Ru-3Hq#%Y=j}jS9a%9+NJQ#0fsfrz8NW|?M_#w!fyRSp>exZS3H)tV-e+israOE%jHuw3Zca_hw!E2m2_~5hzO)g8XUrhg$qjf zrh@xRk=##paQ{E16-wb_;v__xl%i>%O{`?#lgX^muZj3f_pOfeZDTrU*m$Mwo}9Ya%Qf%bZ#C`+WG z{J6eGXi5a*QL{x#Q+`}eY8ZEgqKt+1pUsR!`%sjhlBb!(6G}Hz^KBqSIcpF#XbE&x zN9t1aYz2gf8B!rw7ozw~{Joe6B6MGZ_5&t;HruxWgs950@R@^ObZOt2n@`V1(8sr) zR3!ZHMR(RwD4Z@n;w7giN9v=F&N|jZ_!f1G3)``3&;C5ir95&qUm5gl&w|v+=>z@4 zt4qYITF*kgXFh(rWl}nD>B+N|@)sjy@k=?a$H!u+lU27pGIm^^#G*|aaW#3)@dc7p zw*?EPrwvfJ@zzA+&)iIc|D$voFdVu_&tjQ6CL@pfPtG(14~1yn^q%=NgA01n+(Nmi zZ3~@kTM{pNC>Fa?B^6S&P!ig?_2e0*YRY_N55&DieG4VW5D<3SC-%T5i06aS8mb}8 zXp|vfikoIxQhHW$t(9oLa!gM2tdv7EXbBNSfD5bJ|7xAhCeKbFG1YuYim#lsaF3d! zu~K7-+e-bLQkfcpvj{mMAy9C+dv=%mK>~i1`pU1oj%UlFStu=bRF%W3?a6g-m1)=c z%C7>X^yHiy^A_-^2 z1;ULAd1I*{u~fvEd-7}()6jdOZXg9_&TynES|=U5X^1EXNRwhs%|SjJAGwf zK`?r>WI8P~>p@hSNS<~%Z9Qua@6-2GyNY&caQ!Xej%T47Oj+tCL|GE6j>ECmu}%`@Af~P z(A1F7fJ=BSWOFnYlK3uybBOt16Hv{QpxsH!){*W|>^LU{$OfzfB`o6P!^xgJt4D=e z2bIX=fDTq_7=f}dY%`GK>eKdsLaPVVQ(?e6ReddW`28$qP|Hh6EwAob#cH`h^KGQr z@19js;UG(%_E#9_?xUB<iS? zha*YDh_%|DHXZ+jn^USMGm)p;_igcm=1WhMLXASz4p z`b-r#noqgNo#w?r^AndSM-=uF^t(jPO^%Twvu64=%qN5!l|t?_U+~!r^GO^P2AY}s^Xp(Go|_OO#j>Jy0%5CZr1-?8 z`6_6ju+CaUmcM90ANj;N#c2sH2)6S`0n|kA_$nd>3f7IBnpBo5mhE4pfnqg$p`Rom zTX)wo8Yw~eP-r#6DQ5wa`**h04S%XLP>wDwA{{M6LCeTq{SGs6P2oNAsViq7!0TS~d zMlsn45HgraW)!1lF^fTBHXzAJ3~`0}OC>pR5Kqp~PTcQxha}w+sBVXLdnN8H(km~{ zihFg2o7L}jR`=@kUGeHpFYYtK@B7a5pTDYv9oy-Z`&g7zs`}49`|PvNKIiPSzq9vg zWz8MWqic+3?tDIg3-E(6?8%rt3>N~%L8P29<}lIn#(aSL@@ZHyCB6T54s>kJ zD;wa13g$87Be+=nTq1rh<;|nH*(vxrk3Z&z`7@6{7K=+XeB7gw0$uzQ7+&@wqlG5o zU2q~{r?)4;@2^<>XUt z-i0G#sRmS(m(-mqtEf7KeZvQEpG_)8J}jgVLDku6b#m53w!MZpFmRCe8luGb=CwJ7 zgTEToC_0iX96?wcJe)asw?)#9M<3L@63l5DAtKwbR(@@c@W6af1KrUU7$I4rcu0b% z1~Yce-?^2IK#6o+gq}=YGJ;L{W(X zBFX)u4^H-0NjfSyiAqczx#Y`J$!l|_8j<|{ZX*$c^wAADWlukzme4AkvMYIQj&={b zVjpfQ`70Af_d%WPd67ff5;KRWmf4*VdNU(p_dcjYMKpM4nql!ew^Gr(qEm5_iA8tD zSA>Hi)yHSi#Uqole9fak@2pQKEodbYk?VhbINgZotS({6R7HbYwX5b1r<$GhnT{}J zJgO;r<|>xNLIPOInaa+Kd%!=csTOzVX?f2>TJl)5&4LCbHuIiVEBEMM4U)4sC3S(^Ul2+a!@PB_?lS+1 z=fuD;|BF*XepgBHqU1gy^L-`vWF9@>`m|q7f6H{h#ke-SHb>!R5;q!fden+0(1@RT zfFCafbFj3FmtBWjy%L4hkMcALvNI;dUaP-mZV7`)8HzeCqr$0BaWNZTfrgKY(^AM@ zZo*W15yklHy$^A~VYU3t5vJi+Tmm0+SYP4U(Fd3MJbDaff;m|CKYEP3F!2rVb+r5n zJ@z=6vBoSbuHLsK@R;jpJ%{&>Q1M=NIS5g2>o#p$vt`rD4S8dv4d6223}locRtZW! zw55S#C5+<`_YRys`kCe3@PxBn9U;Tngf>0K1o^m6 zFvq8q3z1rnQ%ZeEm8G*pt7%W0Uwc@(=J#oA**u%d;oLr1 z9N!n?&Wq0NJb=%}vzSgErz2BKuGC`8r!Qlg7Qdtlzg*DUVb0^BAcWw#$3s!xQyixL zdnk=x|JMSg{@!z-$HQ=ewkSI+wydaHDs%nI1%D)!n}q%;JN55m9$ zVt7JArMeNuhBdr=QH%re1La4e{7(K(ECk8P%LNY%C%@V1G5j*RUys-L!AfI zxz6JPUvL`5bU-acU(KdKcrTe%aAI_(xhCjWasl{)SHOw?%~)oGs)dsn9tOPsOl zRH9@Mt4`RqVc^*6Q~`Aw>s6pO^Ih&w?mskuP$;ncUO_gjgo?Gvhz9Lu9xOl#^Jl2vZ*R8Q6*kxdY zp=^l-ZhCwK%3Uc}%U=*x9y8$^W^^dux*_)Ky=j(R@|dM#DvF~s#(;%cUH0L)Ajgqo`aBo9m4AZ7~yPDt(tyaRNn)_M!({Y22B0&3XvMKrLf}L zd*Dgu1d89Bt!Sk$rqPI2%LC-j>PDOj^rYyeiDV?jV#ISQ@MAs;N?M9NeIdp1S^-ou z==j#dB<@h)6E*`Oz?=rE8zI6TEEanDS@X{2$r9#+<&Wf_A-0+W*ZbdD{UC{exam?3 zFUd<$9`y{ya;NhU=;ON?*s#nHtDK(n;Ebj$F`of__G-2QpY@~{Sj6p3;VW1zqEmgH zN8p(96dB@{5VN29GL48i@QKw6e%{|ARvpl$sflbw&kz-dhx`SP@JDb4xSc5yF?Js1 zVMq^_`!fl(Iy$})4D{7Ji}R44p)L!3t&{W4BLX;fl*`L--GRR4|2PrEASeQ%@#AF6?BTg z9PAY-eD-;URkPczzRqfXheD9ZED_(;c}z%Tv@bY|5;?|UrhBB0lt$YcZb;FNo5$4B z^5fd_p3y#vvIA99mZO8SU}{7zrbj(vg0q??B#mDc%LRSi@shkM&4OWs{Mt_{IX;kQ zzUDE-Z|o`-`edtD zhxpe0WFq&2JqX|JnHU7bm_4sQn8f4Do>aUh{YseMM?I5c))YOHEkW383NV8iq(d$a z%&gTj1@?AQ(s-4oQ(C(zAkq7T+xO`cZqF3jGi%0`vz}O7jZ8e@W#Rty0OP#e14wiaW=6M#Gtk29Wbb@5!xGY+xst;aEW9A3_y=|ERzPBBWkMv7 zI?I+)WF5Mwhw;j!By1FVW^&dJ`OH_+ze^4k6IT%lQgUqjzaKf6U;InUDE%7JtnC zP~6uL(-IbIZacXrM^Ox~1H)5wCrDcBEbUITQBKZW@`*OeQ){OM{GBHgXf-#;J?ra(bEw^G@!Ala z1Evj=oAGzkG%uH%Edjo!@;0aV^E*$Y3V-K0e`l>|bCYjF&Ukqw-NO*r&U3i<&u#vT zAUs_YUMt&g=M!=lhq3U`H^w zX+{#zgB_hG@BsA2-&seUo(8%*L=D&o_UsJi;>KathZxwNVq89qclbGc=Qhn0L+hd*S~bef zFleXqB?a;6(&0Kc7jmH0S{CI$|M zqblx?E{os6xu~*Bt8J>R(++X7X2px(4Scg-aNZ_U_B18KVbYZ(CrTOvCE|+^@hnYN zQoTrx)C(>HP9x1Ofqv-1bv_{d5=c`dzrE z&$w+4$>SKNlskRu6b!{t&*cNKC3OeO>QvcY2RLH9o}kHd^C@_2k$VmW=W>M2BY5XB z!8^C-b7~UT4nBG^GC03!4#!Uy*1zJ!E~ET?2oFs;~o@pQjnj1cSL+`%)z@nO0%f%6FyuyZZXO2KnFV z!mWYq`u;HTsUq;`$v9Z~u0cr%`*}@M9uLZMHDyVdt69aB)`GQ9kn_*A@+&HS^XXmvGRJrf{plLlOP5F!(DzTUyt^r1x@pk0EO*J!T0{Iegml>xYgvzB$A(q zS9Wj#?k(eA6JX1qPW_sPwrh(L z8;hIq!*pShf0!<9;}6p{C?oC=*z(vsndkG@ccs%1clm*P8Sn2(Z&<1!YrT?;+2?6P z`((bklR`2)-(vWmYQ47H5LYg)A10VV%x(*m!5Kt=zCxNHh$4g^3vhdz;9LzGSt)LX zGg8uytrfl=J%@ZkK`jD4R&cNg9LS+Ffa3D&$sh}W{-i@|Rx2%MQP&811W*!_UI8*ROeb1(AJjJF-_#Y}$$nqg$Q0a5-GwdrlPy7X^CH1CLXt-_H15gP;37(C zJzw|^GRos5kta<-RWPq% z=FriUm7F@@CnHn(O7c|q$#_9^W#VKGg33fqhY)NSq#mJWC8xu|JWi}n%K5_A5Y%EQ z(_$&7E5XH0i>C`gjn{&@0%f|X9{3P}i%m;}pfI{7_`3p1P9OSGs7zg1$d8=gl|?Ch z2tV^;YE+hz9Ii6sr(Emk1{!}Gh*6_TbOYMp!?sHU(X^V89}f0+&A^TPk3O8qS8yqP6J0YnxIdi9S$CO8Iu>N6w%f}Q z`FcxSul=kEYxy304;V#h-&-ShJ>Oenq8{$=*K<`vJ>pur=JmmE-z{FTeVdp1s8hQ? zDH9a_q)dfK{YjajaHo&p6W2>N)Z?f~IyC;ItPlURI^a9U#A70}@DGP6vKfZ1Wb9AM z-Zpgq>VhZ`ARRpin#Wb7o5jZc{o--Kg^y)?w@$c8c1%HCj~hX$_-DcKEI9raZo6)% z&*baY2@yiP!WG1${{>$pWQpIBR1w}8o-JC&#e(lC3*qzA+ISP42!giPO(W_ z;+U$%K`y-9-~%^6z!J$+whWgP;GXOF+Eky~wW)^S&YFh$X)HVK7OvWEsGq{ut&`M_ zd{-z94Az5wHB6T>X>hYt-0Aaz=@+w1Xg~&yhI)IEbz8>w3GK-J;RwZ}qU(|c$X^{0 zTjH3n#bF*-;>hZKss6w z=6TtkFBZDmZVBQ!w&ywDty&`oRW9v|g}R`{zH28|u;7YYxKX;H{#m|mi5sO?89EtV zl|l|(m6A?ZrK^;d9B`RrL;c!YydnAaYMQOnKE5sBTX9+M8oa|A1D_S*%&m}ywXtbt zD8DIROYnC-Tz1?vlP(Kwz}?$=y9)hXYs786U271~ga>EPT0T#zD@k=N>}JQ~&?>%P zmv?)esGxaCXm!(CbLJgAi5i-@q}3ICW4_R6PLrGcT}1^fjSFL5kfomu6*R3gzUnA* zH8wwMb@1=x2_;K!^QU%g6}Fri-tnApF0U8HJhX;nH;~oB4}G-vdolAhHmzX`HbNR3 zr8J%oJ>RraOXJU2LCSa+J39P+cd;Ch?=E)n58v%Cssu$A@IiVa zuY6S?k6$9lKFPWeI`(b)QpuVmq>hibl)_ytj?s#;m)cim)h zR@EDQ{;OTJu%!O3x+BW$8K$3Faud)izOGu-xd~i|2-L><%^_TH0WR<=3T;&RBI>t< zHo|MsSpOnkTSFV=GwbljI(z{EZlf#OR=f#q;_Zhke44k6|9~ve zy8l=&CHf!h3yiI_nza}Cz_NV5+W{JT1u<*^NN}$&L?F1R>QNd#*r%)7$8X5wo_ze+ zAKFC6t>LIdJO*1)zZuj=c0&ZW4PpU$j*`^&F-(rcrllf{x z0v=V&cU$=NE~I5rCw$)+f-b&MY<01n&gqq8y4_wG9tv$`x!oq6(~T9q6%$A#Rc>Tx z8;dc?qyJuixExTEuJ|7=#8PiQC!a-p`GlpbPh?>ZOHz7jEb-_ zWWRbaB|$bPP!<9n?+fizWF=MnjsDxG`)@*MXVYHMf1(xvBx4s&u-fQmbGrA8|K31! zg8!X+X|h+cp%kU}2I_21lTJ0dvn;y_N5;;%r%quhWqagd)w@l-jf)=_cR>mJr{%ICfB@ygDec= z8J#AK>GLP5OuNvd8~n z{5!@bSv4OQ$!Kq!FvD*=n+9#sdiNBtt2gt9NFK|iN82`glF=g7!!q5mQ$o9%D$Kw{ zRqO*h7()eS4~H+lH+oqQC&nOKU-&&QW!A}PV?=2uqYdHU5@O&hv?~{OO3gxXLUk9@ zZZg^%bwDhyM%g-_q=WhB#_7!*}F;lrN-G=-$i-F@^3;B-^_=GPGADWvbu`^Jb3n zH~h_T=k&=~q}4g*zkL zs_i4B`*E|u{ufL4qL8jH#5~M5r~isSLQKSbyGlq`CkVOz#t}-FzCShZe>(ds(k@kL z;m+C+DnSwzHB49)rOTizUD-zn_v0sn{Vx`81LOFSS;!YEp@8S`6=I_5;Bs1qJe>@- z;YOt}Z1}CbGI(bUlx5oTU~sb@W~1!l)DZ63&w4l+@0W&3Lv#WLpJhJSXDQdln=3+P zO-Hm)-!EYQt#C!^%?G>A-?G*%nU9jkye0FklHXnTmfQd$s$`C#9Ng~QzOet~j; zzVGfjtd`_cE+QYS;&&x#J+5nAD;ZvCRcqygU90oK_9;J9#~eyiMGmE#$o_ZpxlkGP zx0<^L=8e9601!{5T95u0LR_)j0#&_3^lTsc$ZW8~L(GSK`=BZJgPrlkl7$T9_CYG_ z$WSGV9pYS(eQ%E1KS*Em_2sb?etSj=QQYV&v%zBfP-Sf&W*ghOl+Xp6t zy*FQPA38SlvVE|U!6tepX8X{wK_-J0^6zc?pmJ#>AQ!iN=-8mK)g2q;dZ1$i)&o$! zM9E;q_F=BOeK^2fwvxsHE@&64?E|Y83f!?lg^YJ>FjNyIgSEB~2SNudsz36D4&>cF zqWf<`=s?qc(SO_^$riE)Cs;jbZ66l;@6Gdv?#=h#TcEZNOa^;zp1pmL$za9);oc&C zr?wBMSpGNMf6VJiNN;ZU{kqSGyL`pb=2NIxXEDeE%!fvZ<$$dX8aad zZ;bKZo{@t8?HSj3>W*=1|8S6ul=BrjD7FvFKHl~r7e?(ln93W+)k^o@cZrJseV1Ct z{rj$1Gr1V+xEp5wAcx<-?_$%u+lMd9@z=+@YHt|d@G9h{%yEYo_}*aj7n=u$(Fl+IAZn>rl{m8-70GFq_z)7 z$iy>b@|n;P@^FH4!o33QAMUN@_Tf0bQrm~4+(NO|j1Ut^tNp`KmO3f74|;{$(9CSj zH*;Kb@#z*}Sn$KUaZ+pXw9v7pYCXM(x~Rp|evdWoAN3Bx7dl4q=KP93LdPiIQ*sBP z76;e=pJIylv)n=C-9DwIJ7Ytrrs<#-FRP+-EvSMO)1Gj9_D8*ezyi01(naEIY#_wR zey!X<+*Z>4-c|}X!3N@^bGIfGhuA^XYU$1j9dA0Ug}at@QM&&>+Clh2#~)C@at9%j zi?0wn2xY-D{$i;xZGWNiivPt@H}9i6A>WkS2X3MlhmavV>){ldxe zOU0XmWwlk@Q%nQq3)>04LMQ8KFY36b5Xb4Kv4%P=1Qkr*5UOqNqbu$_4?zXf-HNSY z-M{YC`H~Z->oO;A+prO7jF8i<8h5eeTMP!XCy~sLUql3HA8>SGtA(a#8$jNfc>A2BgN3zP+dMb z8qHTo9G0I2LuVyJ(?h45`x}k;7V^0^25mFz?(T(%ut)#oB1%>q%?O<)L(}*QF&Xjg zb4)pMPI9y`bf$TL7SWqi$q+CXmb<}RUL-{HsW?&$Eef3>Lkl|iBP2oxh-*1787d5& zZ61^~J}j53-#$u?5T{{rqy|l9s?egUsw1CVM#^a$d_HuREUn=ybe1x@!0_}7lBJ^1 zxn^7wD>*{KQ#@4^&rvGYv;%}1o%mEdDJi`eI!Bf^^A$SxBl2_+Qo1NvIvP6PJVdj! z_AkefC+qfWYpkW!h#L=UYEK+L^(nMTadoUdbe?P-;VX2WT)mWc`z6WL`3<29&BHWT zI7-Ar=i>zhIrTkz>QG(PC+|0LZhDDE=ptFTEQ2s!;`=N7^b+2+iv!fllw5n#*1Yy4 z$z*!yN^^?laqX=fGWmzHQc7q;>O)s3G-;)-kfCHSlq?w9!xdK%V}fMoM?yF{dvjC5)8Qmt3XhEoe`bT%C9NHJgil zzfno1yhh5*c%RlvvEl(RM^1k+#0K&$lg_s@t-ZsH^X+N*d^?bDpUG=~MsWD~BhH}a z(ME0!uOQmE@$*L#nuGx`qsK}rfo9E|xwQ)U!I2K~)F)a|HPRV%e=x$78$W*--m&(< z=*Yo>p<8`6IBCr$TDYNM5*WH=U!&-*Thg&;1V1HZXMnpcD?j?F`BK_{E5$*2`o>$y zd^t={-|jz{*Y1}L?sJ}L&e6*MuQZ{z_9a&SG%PzyPE}zxtf~FfypfA^XjZ!9;-oXU zd5Y%Z|KvHtE&Jl2JC}@RjjcRXOW~B1okpmD=)+T06~|9i0*d@;JCaNd!Rn=bh~(_`fCV+)I>(pe7eiwxM?pF{ zAI5h>_-;7oZ)Ygqj^Mjtd^Zx(9x2*|Q8K*QTp{<5oTXyMh>`YZH%P=7ndpv@Q8d7# zq@4RWBbv>%LBW+2bDzXI_?eFpCD;^a1g)_eBO@rUjJ);?$=*I^Wbrefs{j7N%mJ&)Dg&o$%MMg!4ii^V94xD;JX*y|7`O|G-_F0~bH*7T{JmAe zfpc$_@|fRSW!xT*9?KgS;ZP322Y-Jtf1~UJ2rC3ZpC(M=m_t2%c zt(u#3Hg@F+bI{Rfv2#+%(K%_?EVyLFmwa!rqKU@A|97(7-|2FHm#`exRec(rzD_`l zrAc}1lLVK}0B1sTo}L7+|JiD==?t*A{K`@(AB}$av(1Y<=#44Bt)rYvO3*q zap6+7h(@y!qn#Xj1KX$43Qgua+{)37LBbB%#EXC-wg3-{183oGHfgyoKxGp&xHuRHtHZU5t}1Fjms^ zY~gPdb}bKY9B!YkEl;&EJ>P};gX(t&D3N}5Kpa&P{V2;5a;EwmTxhHm=DPzZQQPt~ zG@KQEnfpZBo|u}yJHS0Yv^rCpo~gq0bb#tvRE4(8$ZHq(2Es--)0!6;>;L9uR(;7* zqnB5Bwdl>uqRDSwR)T!2p&lDJ~|D5g!%bTTz+ekbQq6N!j8XNp@XAc&v(+r$h|eIi{l@@T}8g zd0GN7gZ9BVA-4zPl!iSRmyo)$JhO-&Y6sdPQHO#ngc)z-F+IOr?$w#_X;DM?wCeou>9|I4@L>(aAI=a~is=sD)Uf7pNj2(G)4i=O*Oar>4bR0~4p zUJ^;Dw!k7}EqV@p63a8!y$b(!o@o8;JSpDWd5MQA7d=P8D=ZK0^U-Ubxk3aBwCORH z=h|jL^x9@6(rcUJL|PA#ex&Jf=J}j?MqjR9+f0$#rsvTIY8|N+#?-7PssuH&;`2Uq zCRRQ3+*Qv!_U1xtU&WObG(Gd17wVA~1p?D+d9KQJr)%?~64V(jl!A;Fu8nImYZjJg zkqbFSa%Leb{FVjrCSez7im*DfwMpz&GM3^2MHS)2zv%br_{}!N&thTSmYGW4qleF9cp8*rKY0WnszEQSp6>qs?iBw!-F>y`>FDmq zRga=n3rh21DJ5R^bocrFZ4WkFZVVgvlrnCbpr$8$l1Rqfb9MJalVE<9S$i&CWzhay zocYQ4>NY|5tJ`GPeRW&HDdVHf&vKu$++B))bsME>o1fOz-l;^@o90$*w<*8qK4ZP2w<_|imC+m|M) zq5GwY32WoNt2>=iS(Vqm%Iv%Hn+?|VEkCQW_gx)+DHB~)##??-*IRz62XFa%-FI~h zFW+jnvzY`J+jK_?Xg2$@_q`l zl74TJsN%gzN?PwtvMVt3^}b7NRM(gaK2Jo3#|GVgF2>G%9%qm+bDvL_ElB@7?y2&L z6?UqnG};EO7GBdru6_#8mY{X1!Cg7#?ru}|{_ZwI=r1J{{y_lD)F6U!Cx82#HD>=~ z$|?=C%HBUN8p)!^Q4}M_IgX<40>+e;4Y<3_ojn^qx5*Sffx>`DJ0}vUomVu+*R5vfbaZ!{4$E`JSAUs10`3sl9Eo zdC1bVsM>RLXQwD#ib(dp{?DCJUX+-2rF(c21vq`y65fzGzT#k2#SyrSYHF%PAPY_! zj+QZ^rS{;V6SY&2+H$YIWsk2TFQ`UsowKHSg)#Gzr#fqe+3@l#*(9A}#IO_Jy`Rev zkA9Q<)cF<&eTt))`t5z-gmc&$a#mmM!gRIoy=%hDo2@m zNU^PkL1Jkm$!Vi=300tmf|k;lDzNu7eQLaM{y@t%6uK-6wUlK&oQ*%P;7_!;G3@)i zmq?gnPw}Z^OGSL%kH#ncXuSFY5z^jtqW-O$inf*%ZQcTm<(8GJ*W?9-#iS>4qf^){ zuTx;iyOf6b+^WY)C>l%2-?G19yj^$Zn%@&sS&m??ImWBWzXY7JF-ZkPJB1k2VF)%1 znQ(ZY$J-krQQ=u+aF)+`frVe#xvOFPx0WV-YpGJn zE%05Z(8rF4mN@JA(}zdCvn}a6+pI_WRh?50Efo(f#WU|LNqT3A^~_)sfF~>%NGlHlLwgLze>s1ikWK*Q7Q+OG7dz|OWd-h*>sr1jeJx)WzLuYG z#t0X(!G#X*%m`vi)nT50gM=8U`)^WZ>Ay*J*Ou;F6)s}oB8T@yw6AX%ZFa`jk(imB zUr&?8ucsN#FiLDUi8XAnYG@cMYG@d%bz!Wj zLCwPyO*w9dw)>ClmOH!(q8*oG77kDMYB+hh^@ z#eCWJU(An-_ypJMSh5Z~_?F~{CJm8Qmof#t)WsB%?gGauCYy*4T#fyoBemdK0LKtj z_d(V85A)eVH%ANJ^ZdAbHmzlgj~24X=<|O{_rrg#Rz3Wm?lH*k3Iyr;07~^|(f$r^ z=V*V?Nbe_-p`RovUHggaU9|YZg>ixI`6xfk=WJ&`K2I!0OATi}jD@$hP@Xt@(<yi`O z(RNoSfVbJO9!UM|dMW;VENME*lRC=PFf~LaP3+AKD|fSH>-4SuaZhNXr1_;qNncu| zXfihZd9f2}DRg!;KW|*ll7bbi+P77vM1GR0)ch?uj?>=SB75vDcN|*_-IhXMrhh|O zT~%PUSxMqr`(Z2V5bSfJj>o8KiK$bH$(o->>-1u_O&*lu_UpARM=}QnrXH^j*h$FZ zOSns=bd;DkEx;SDPX)@V5uF{Vs;MkFUR{FKZ29q1Vm=6*V0ifKKw#a0n&YRi^qcLI zf!P`8&UMZXs{NW${CL6HAv&3>oy|DhX@QFsH~ec6ZiEQe>p`u$exub*Ez0E-Bf__~ zC|&o6P$)q@D&45;cCl=yMKJY?Y2qpIqbGCXYMIeZ3ly@gVU|Hoj{$jX9e-R6aA9N1 z=6cyk;J{jntTq-kibncdj<}87x&f`+tXnC0+WM46Vlb*PsrBS-V+{3T2-R*?t&AfW z)5xhYjVzPRW8T>^1dLIk4%O9G9j%UUYKmy$bP3+;Va($II>q$vemto!dG}iU$NIE=#_oe!+G(l zELu{nMr}FxJz$O6tw_8xsgl0!a&c#=mZPB0 z=b>9zbH!Dn>sV`y%2#B#3oF6R2T8i~f~)y~|3nL-e_PV;2(CAn*VYm4w~3 z7U9)GExM3>ypFA_TMNp-^&!ffisj69eb}-^8F)T+zQc-YvmPSGw*{_`om?45d@<}s zXtcFxto-P<_aV;nG2Hchtlqq7lX8D72(INii8IA^XJ@m#Sjx0fd`zpTE3^C`3F-M; zPW)kNK{T!yn0VGy!kjvclOxC&*f^+7WlOlwk>&ZN{$IJ)a z&t)c06XbFfx#dWAu^?wMx+5v6p53+-Z+-9tqjJ&@xbFi#>GK+1aE~DbL z-Oo3)dh8jXZvi50U}tHv|#a?BD6>Cke z=dgAu7OFK>q8IbfUaQpdk0@1#obI*9k1|`+>6*GjN4XE3b-a2O_o0H`641kcDk!bi zGGSCTPk}&Si!SbEDRA^qZQW8q;aMmZG-7+>Y-6D^eu^~;mVT}-xxPh9m!3oGSIG)( znK@CiIWVN#gKc91W(_e%i6o{{u^PN-d z6eGlCi|}?t);Pt@=3c5shO0(whsu9nS}s>9daE8YByCIYuIVFde3?TltIAIw(C21V zmAF3>trqSCqVOU!@hTL*?{oIzznt^RnNONeaE|WYpr`0kKEqGen>o4+ACW2A%w38?V`NW1 zIY$?&IU4qVjla9lC+6tA;xUjZ?Ovl`R2)}qdV?yA}>cq98cQ;=h| zq^O|vT`MQsoezvEcMepOZRbF>tx8O;3{Bc7CtF|lI-w!u&OSEu1wGkXq|^pHo)p9L zA{A6@Y@i|)K1pH&C)*8_nLF85%I^Z*8>S!(MtsT15R>gjOS@Som8>eoqux@NZ3PQhkO8q|I>}#$SyA@biUHJjK@=ldk z7cF{$lkFNzwyE7bhU{LiCfkFYY}c#FHdW5H2XPNHKEq_2g614VrBsN_8F>`H!o@5ztkMsG58s_2y*qLGkgcVEfFFE_0 zFK$W7@_oJ%-(I2~6k)d6<&M#>ELCIlD@)ZF{mN2z$eWFHB`IsFkVNZ1*1jE**yC;u zellB0;wQ6JV}3H*m4q>Vt%D#5+>TDc@6dwCjVt_<+3w+ttCX#2lmr4_TGM<^870xZ z9jp{|?^Kc?9ZJHEXHt@YAbj1p8@}}!6on*O2jMl?!Jus&3}=wsJ4dZ7{#IVoxFd z-e+j6#izLTvK5;Kev{q3pUuG1rD+EnVQ&<07HtgYZmgABr=SdZn$D%Czk6T9QXhZs zC;o|3KC>$~e(G2ta}Kf;AFXu3XtU+tEH+yen|h** zsY-fwMdF^}U@LTMZU&GxzDjY*Lhj{~ar^KFAHSJJ(q-Oi39cC!1wOejJ z>R7D2lW_#SaYp{`J@L*-h1PFf;*@j9%)@J`RD~7ss=y0dwdg&nkh9RE4EA#dKLwT9 z-Ro1oPzho95tvZ3) zV4k#Xd zDs=BP8uRq$w8kWO%2k=}-9B2Geg5v!P>jk*i2;FOm{1xMqOI)R$na_*&9>4QVO@7; z<{6c#Jbt$3qs@%$Ss0oXD{BJs584`~HaOdLKg0I;X?iqY*%n1nTb#s3Nj@YLYO`&E zwn3i;nZt4^o^5FCN0^|rrX5nDJo+Scj|#BOqfi#Xk70sRltOKnCJ2XEtvkfD3%;Fl zH4hfcFGt|Z5%?05`9AuP_OC|zneJ+?16#45sl=YLpu3u82D^u=3*<7Q((24>+w~md z>#h)+@oF*8Ryvhbor3PFn8FBaHkx3Cwmj&rK)t9H+n!3!;MGW=xo@+-Q!z}Rrtje!!T+Ut_(YO7w$%2m~?a~Usj<$Fy``~Z)Cl=n%?y{YGTqqv64p~Kr@1Dh0=&fgSH-$g&{8Co3RbO6B*IJT{E0N^&TPHp z_qCknZ`44(U&8CMQw3jm@CCCwZ>rL_HaDrb^`V{OG*S-fcnx=8 z$~Y9;M!sMgz+N3`*dGPRi0Y zL^9jPwy6%U5pT;uv)iUQa3~#=Ej@_6+*E(dg(P19=YlO4D9rP^)zkA~LN?Nbyp;8j z(w*URkbW;Ha}&1BbPipUw->>_qeWsaX!9g%^hiQKC1io;)jZ&ph3I{XVkY$jMRHxr zu86(5;%~Va-*9XecbA0n2(x;G|tD!D!z~0S;)YA;wWP6E8~Ks`Ss#_ASGoPH)))YBtT51nh#7+Og%c#m)7}HFg&oO>EP^}@;e^V&zMk1V#f%)(mX+aZ8dzF#4#`%aU2B|83Qz+8S z0n1}`s=oUITdNkVGKlkGq5ly{%X&Bmf5Y#2jElvvUhHZ{69Ijm^znw7#6`QrqI0mK z5?NC@*=%%E}d~bk0 zymVgyeZ<=X`162slt!sY;Cv!|XqABT39!p?nt?wA(5KYkWZoEo!5WM6QL3%+)^FgB z87PYr=M!52*h4cBN6!nn*yYii$EpicjEhqtO9RbGvy8+ z1@?i3gPAQu70NsI1{X(9h5Ec9*NAUaDNFW7+~SC5VPOVzgaa^wppV@o517&LpXpz! z@Xy?6eZ`w7EP+0-X((LrbezvARP&KIA3n5nR}83wc%cM9ABPVwEAc6gfxeg6Wc*eV zZ8%$Ldd;11G}Px5@f|@BR|J|ih?Y00>@?|3$Rnd-gRNOZLw#ylc&iH(dT>mXexNe& zJvip>aoqVzLw$JVc6+4-cgc9SLKJ_uLbCpDMM1*KHPq+b3if_Ns1IgRKz(?Jc01Au z8FWrdKvd8YP#xM5Fcm1#_yQ;yi}m404zIs%ueON2IYSUjdsAIf_2vwVSh5%%B$g2B z!<(zy4_L%9ez{yw`{i=k_Fpb{$AQ&r386mcKrFZoLtCCvTTq{O5h4mbeiwLL{w{jg zy&%k87vXuZ`O*VN+$wPpdupW%4L4?sV)(7%(D2T*F%i@U7q`wvpR}MlGmAI8yjhx1 z_+T#hyD#!A?E9P#?E0y@*R(7ok3-iCC0P#08%&ix#AYP#;zesLuudhXrjx zvs>_9Kz%OKU`nXZAu||$zE8A2?dSU>@t^NAt^Zwt>0u4^;RV<2hYf4rmbZ+3d%DuK zZ@agQDZcWd9xcH7L|OGPAEs#Z<92$OBKqQuch6FstGLbA+dmcWHl61_ovB+WHe z8Bg?-A-cwDo5By}_tbl_KG-I17u&=ZT={&d8HwiY=3<&L0`%;SPzNNU1S5O--LnzX z&F&QR`$K(X>ujhGW;Y=a`jsOi6C7S8D59@SEZE1$P-*+S?v)ryhTqIbts3nUN6@>m{A6Gs;Vx|1 z>|A0SCD_MpV^KdeGBOHsClElX%2u?p$g3$^;~VLQESOemO}U;(Q#9B|Hqs)f8!2N` zdNMx++DAYnw#%k&L34LFmk{u&`qKvc7?w@Pn7Dj}#>u4_GH4(3yl$fFFi8aVQIDs+ ztCky9zyGd44u47BRjpkR`ZnXnkwUVtIU0Up&=*gs;&U1QC@lq+hI6UdaH7exl5N3RO3UGFk7c*=$?tpg6H(6 zaPOWqLnd~V3v`*r`^Y9+1Y?_=7vJP$F@9@gli_Xx+=r$LZV1KmN$^~n>}jbD_xZ6; zjxFGQA|s)!Hr(fF^O<{AVdH&d3vAbm9tl1nOH=?BYr&v<-eT;85t6YhDu^eQ244Yw zME3;|oCLs61nH)U_qn888#}aXL$Z;`wUG|j#ttrG#v}&(yn9yoGCX*nH%3e}+Az`p zexz^HJfC6>{j}{c=G!h_<^{u$c4}zMicWZF2bV&bu=*mKUaMGWPph#Ml3rs#VEv& zqyWT^j3$nz7{pJsk3X6sqlq&`MIcie?WdxNUBu5_q?yB7^zIxv?H1jgV{DE)W`XrV zmE%#hiY88k_%W2!z>KGqf`MreKSzyEKKJe%;AmnI&`j#RS z!@dWU&mirUw@9fY-gq|11ra~dG`{h{(JWyZ-#{I=+-a$k8+_ z2$^+ax8y@y-$UJ3h}WqL%6b6vX~$#-{-809`(+8!N*C}e0B*hoocTLxH=pXh)%$WL@YBcqVn z-&4UYRc!)Z)582@g8P9D`Jomn#USKI=rN7RtTeWb+N^~5aS%V*QK%#Qc_^TWJx z%V<=6n)=6u{9Hi*PmQrr5G|G|(9FcTlq|*@4GSPYQNJ|fwo#IW@6L(0jmjU^!NP?6 zM2T8k;R`3{wbv-fPdK^xXtF;wn(B}Cl_Lr7XsTe5QU&BE>i62CILJ?8d$bbOFw7|0 zS6I_kVG*PK9EbYqa57t?KEYcX^K-?yg7ABhtQ!Cy=Ss6lCV6)bEurN%=^Gb*Z{`U( zE0}!Sj|#NUG4Zp8hoxfDCB#Qe7HX2@^PHuR zt691Ple8~7R2nP%Qkb7`A78kSoTbaTmFE*;mL9EV=~6s^O6sE-W|l6)M`TK1eiQ{@ zev$>|CpyevexgJD(P46y-YXs>vm-Q|!o~c2VVzLEFRYU?`@%YRbL>X0Ys`;kw?dfT z5fbxr!i}Q;!a6nGYI5mcSZC~_MGlys=omHKjuZ+~ULPiGG&)L8w-zb2O-oFQkRM-k z3?x$FlO#rSx*hF@%-rd=(tHPit^86=w__~zW}Q?r9I)8CVL1dj-Hy`JZIz;zp{Lss z5@8!12_gGvqB302k9@B|Kj9Q0Y){Df_CPqLxlXTAb?tVD+85TT%F(1zoNkA5x*aA& zm0^K?q8Xm)mYAOue5Me#2f;0MrVOt`VH}M|%G?h`uI@-FK0F-mlWR1RpdTuvMA#Da z6Qx?BkJd&XtfN9C0R0$WPCRe!Mdz+Np%>6kYIL-)I_w<* z`VqYFuPro{Tf%*4bxsINL&HJT4~K~w=i+Y|nv+}eBJCoDIB-7UzRfQsS)iZjxD-q{ zr_6-&dbU0Re?40c`q#7FK|0=@aDe)WW=V@QUJpxC`1Ncx;dlzm$toXw5%m+z;u~MM zAF(dcaZt)=mRhX5o-Gg3J*c0bN$bUtHXbomK9r;=E~DM8N#TBct3JU8$zm490Z8Ox zxG$x2iYB*qYu*d(A|Z8S>%egTYd$3j0s4tfOok-tj3j=oK|jBqts3)d_oPMXRXrL* z)K4^9N@9|hgjHA>sQAJxOVCdsn$35MEIt)}S>>RU)aP5oEhkHKipMYO zDZ;h9=0`8I*zzfVq@bVh09;>wS{TyS#o+yz5cP! zSS8nv8qkj-h_ij&&oLqQ(W&u1N)>Ux@mP(~uA#}kbdmGJT_3|`8IC_1~ zWPIO0@t zbB5bnR2sXFdV6k4uTTAQxl3&daX-=NP#Wq?c9fRJrf%bYJccH_SEaFmKORH#qyH_D zKbA^ugGQNb$=78!ZF8+g+%=g-qtvXP*bHgl&llnd#;8;xjY6z1*K)1frAi6pkC^(x z1Mo{Df8>_}`GZd}qo2Xz2hgl~yf`sb;&m`gh&807KRA4yYpb(ZTLs1CWv%Dusi>ltF;!sZdU=I3LNBjy zeas24KVhr`(%lM0qThu{L1)M+Gi!OKlJhf`x73V7u+RQ25o)9gRiAGi=}}fht*?SA4>&PRyPq> zGSQSw`6`MIClVtP07n!Zkwq!o3s~5n@H6`FeqwDbus`9naGD8aGF_%dxg`O&%UtLW z#3>y$68j?sjywGY^yjMlb1VPE5v{z?qixWJaN4yDz5aQ51smwa{>ZspV_qQE7%fUP zl^N_$9Ko0)+JO&O#IJuSm4SE-RyxQdvFe!$`y*Si1z#)ZkE|m7s%tD`us^OC(o?l6 z2L%CM#;N2m1Y*eaieZSo42%uCF=9~5K&xlmWuSrnNFIkg?a&iT#OW zYpQ_$T!Ucbr4((GH-!DyvbAIoQ%DV&>X3D@bLPhXfS{l4@x9*m7{&3izu>S#- zC^fk z!s%={ww%QNg#BpJUSm;nNnAm8tiEyG&+gPj><`YrxH)gjO~wY8GPAHhHlYM9Q-p+h zCio{Z6?Eo?hxo!ni2VUE%`&NFrQIX2KYQt9A~Se; z(qpbS&H?)qW)Ae=K1@w&u6sXOsNnm_in;fbjnq|8?}GqB^8x;e%;IlfcvyT{HMFg= zZY;IH{>(=R?lsS6KlUTNZlBu{nLz=})pW7vuBUd7HgF{ljoCyt_b_3MTHuury1d!gfFWcl7w z{OqSj&X0lq%z%O-t~flL_Z>#Wwh9*E{baH7O$7ZZHP|0Mq_kHmLNC%*(4X*d)KCyv zq)d~p!VY_Jb58;Z2HODak0=$%%X)~lvIFPma5Nckm(P2tgo!}Va3^)q?I+3cv!DIU zX?$aNc=H-E!PN2Uv#bJm z4y_p4bLl1+ef3#U!>i9q{eAUW*9yAULP38}4ai?~p)5a>3(TLXZOYHAZHiS`LVv;; zEd0_=Vs`8tF`gVhVT9I)mpnbiPnaolf&KC3bLj>8BM2^gP@*Rr3@_1M{8kdhJ0npG zL?W~N^h0InwNit2XhzZ}bV&1H)#c5DO<_H0)Ca&`A$cqek6~$Gv6RC6^-59tuUD!) z!e6g6rQPXDL4O{E$5LRIEc{E`6;ZtHiekOZ%}=T;XWbh5)5e6S;XT9M?sr$){34vm z3NE8N4ff}IvO~Y8I`n&1hgz~p1pNt*6G?EcSj6rk+!M08dqkPWyGKlGEnuLj|#hp?V+;O++jf`oms08O~xa0I?Pa{b}1N1-eu9X4_6fR4t1b2Bxq- z7zE%c$z8bo>3C5(?N7(c2L7p=g6mGs3v&D;%Fg8Y`P1>}4-f3;Ybw)cvj0K!d4Cl5 zp8@t0o&fW1p?)${J#IBAhD!@yM9hy_7nvfeVHRr&SL1`GFt?AW6k!7s@E>MV;(fvs zi1&%&3Qm6VxD@RMVqGcmPi0U1bg1gqpSnF^1;5MGKH-U!Ss!=NbsuvbbmIPHS@`~C z*W6e@B;tLTwP2VpJc)f*0ipY|BL$rCXb!J$rzE|}eT2MSz>j{$-fMcZ`-HPO-LF(REL<&&fYF{{;T~lydTyN~*rNA9zIv^=sr{YnRtw-#5Bs^GN>%oA`8b8hmye~LZ8y`#`LviJ^#_H5;Qm{ zo~Z5wIpnh*Vq5k4zNFXp>AfDqeFRdbS~jN~JRcCvQ6A>45*#FC0>3qK0jbkwRhNwp z`ku;91_7*MS~Jz~BWU??+i}rw*GQiP<7FBmq^htnLai$WCjnxFR-(-|MhK5TrSrZr-d*C=x@mz_9k!bW zdp1!C))DoQRj34f)?#XL4`*~u`0Yx|m>oABO(TV_3hfM*fDoWUEBOoblqY1zWbZO5 z5mpGk#!BJsSd$nl1V)7S!wVKF7R|uA26e`Og?cH_s2d(x#i0ZzOAZ%Z&nwqOx+&&? z3%T+VZNu49j$n4JDrQRAjuS2Ae6mLo!*L=iTbK24IsSy>B!`ZZ2ohUk=|-QgYGh1` zpf5|FKkC+$UI0Ut+I_M;oYP!oZVOa(aV-I*(%bQmMhry?|1eGz1sFR9AmcmN;NM|YT5eMgHiE3Na)eBjK$&7THA% zb<~Iv+)*QqZ%55kV}mTLjzSD+cK5!LAV-j)qy}h5O(IH2up&a3@ANgE{EixZe(iGM zZs05~h#16k-VBgg^{U(H~fdAxn?<3FI5~n091VKgP^Zo0Skh z4q_;}S={jm%k3hDHmk*oMhtM-wf24cv3Qc%zDuug~+geQk5=iNz>)@aR!@Z{!`dga?u zLrZ8oWfWq_jomkcQqMRAW}a|&_`M>AcAD7znXIW$7yxoMl&|n)Oul#e@^JM|Kk>6a zk67zDweour$gd_z@i%7aR5eSBEJ4u{X{_)|%+mXP;pzAStNRl!u>Ts_R zbJxBGF*IE{DwriGS}v#C0d5A8jwC%tCMnlLVsb4XrfOtxHM| zi#X1BZZ5I}MG9S&FQw9GIOU}#Mbe4Q|PSjPU(*!{nOcNBXfLM}gqN?2aR=zigp&5A#?hKF`ejq%f`Ls}QnoCu?Crwa? z0#Tqzm7H!%m?kKS7&_EoBZjKfbZeyvidJ#DB|Zp&xd6(Rv*$o2Ka@s`N1*b8DPS}lx@ z=nIJ$A}{PKxXJ(+noe^wKmdklHwYNYXAh`xE{5fs*@6;hD{sJZXZWhcVv_ zatG<_6>5;aUZDo*>lMaMT5DnghK>q@R2n^^hox0m8jSSBg#}=UEyC7s7GW~iqldW$ zJgWA8qyx!%0YlRNA)xR__#KK;6if<~6h{0B07J8csQ8JMCMZ0UVjA=ql1PIj(u5=c z7@B=8$&)51dK{8?#sxUuTBIa#OL+&=Z!K~qVf0D>hE7V;d_oH%H?HulMXn>!HJt)6 z6g>%s5hyx`l87FMAPS;7OE2kA63<}urCsqqGoBz{6w5XuhUP#L3NeI;7yyRM2UeP( z@NA|Dy7LSrF_;Twl_n@!s|McF(*%V97{qarKY9k1vKFPWs}O&mg;P$_xPVWICBg=i z-(*M6u|u%{X1Ugs`5tElm(KNGXyuEUhcwHe=CUy&1$%96@ia5+=#h@h(e^7z*cdG|j_nX*hQ=l~N*x zY*of-ypjF)R=B-&#(+O03-)t&kGRj(d345ps$r=0lmLG~X)cIk)@ZEKKqiI@?PY_L zEn1hz%`X|U5kvOkrS3<6tcW33X$qk;1GLUum+g5PV#w%>+WoY;K^>OPXu!}H;t0kl zO(LDCS4#7|R2nQfffy17WaDHDawtjjxKIz+yGP7$J(C@yG`&1mr>_o+r`8~G0;2Cz zXlTwtXYvyon$0}z)?9d$rdLB##FK1Y#G^F-djf`Xv7A$OXd6`O8Ld)MuG`R9YOdi;r0$^eFh8QO$(UF!}p_l9%BhzR0-sI^$C+X#L+ z0$*ZAN0joNbfyYQ|8IcC<8$n4=D`8{-}EJ1Umhlx5|vhGw(U$dYIun|a+D?g%JlF& zp*>eAsl*H){-*w9=;NtDCRXC4h^b#o%X5QzvY{^en6M1FagvEr^jf_Nd7 z%12l00Svi^5Ya0s>ZnGsD5$4>d6?`S5A~a(q4{9W0t{*T2P~QBF(Fo(SNzc{EP{67 zKj#IB7n+yFx9%q*S-ifsuqD`P0WdV5fT3#RaJrhQ zo#IzBHTgtNaRC_GK)}!etn?xq#mW~5pR1W}vLKg&wf6NU3yL7hfqP1;TD{@WI^EtXuJRrS;>OJ^TYE^ zjFysbn#;eDZ^C3j>@c1mDZtQr;Ycn=B}^71`Y?#Cv`iLMB^x;?JpbBgZFjC_Vs#t? z7%GE|#$eqdzU?`3t4s5;2g7V{+Ka`3D3@IIClXS8m*@{v;qLT&5I?`QZ z>4q@MWI?hB#lU1i76IOEsl+e@Vi;#))+I?Rw@=*RwGo5z`oxRj1V*?)48;+QJ-9B2 z7lWHDC=4e$hoVdt(yomafLk*c0UgQfelC6|rp$OcdOcWF;q9*WEMYLEX3OYpdu_fyvLM#au%EKk1 zholTmeoAE3cUurg3CkvlSG`%bYE_nkx$+cG;4SRg{kjf6F2rN*XPW!;c#fe?Z>n+PGd zXhuxgJH_r4WyME*}y@>rU}grL7@C ztRhmTm!`T3JJO|9MUBJAZ4ZGj1iFYq-(2e0`&E};3PVse0LwxRbwjJRTOUfT9`NIUaD1;Dvx65D;6heq^4MJ!s|2T*5 zlEeQWb8iA2)p6yGw-!Kv;0X*u2my@{`@UI#F$fU50D;(72_#l+Xkj-XnV=?)ZLIg& z!5qeF3yhgePR2=`ljR=?E!c=<9A>`pILw#h7#usEaTX^t&Uoe{p8xOGt*TeOh>+kp zXKY&PZoR5|>uz;xx%Y~Lg?k5I=IGnIUdUT z;0U41@q+c2MPDbw} zoO?1-{~R4u`y>?~digQkf7MBfM~oC6#L|oDAPLWi5#TpVFW$IT(A7>AAvBXf^wO~c zNk8i>n*XdbxBgk@h>c~0&@5`)`+>!QJheoyKNTTF&rJ6`WP}hs{KVE=HQD&t$MH)S z=ZxR=ag3-H4iBoX%^;Q)>+zn-5yL%|Bl&$QNAts&F=TX5Q|)YOU7}kzb9tNQ8FCTV zJVUp3=PDT_ZC*|^LS#P1aiQ0g@y-VnEOtE8{k4|gz%tW?iU#Ta=+x}FZb(CU7rZi zLDA^vXzctd-6R~hkoX`06!Ad|@ef8*#s@8=_@D-)>!fc) zd{93v#h?E_#sk0kO#Eg;oaEMR?a&%IN z&|>1>J`f%uLM3v?`#HKJ^56&|`N&CkvNE$Fqa;x65}JyOWsh1z@W9ZZ=lI&sbLH~%`MGkD=aP9Nhrg%S3TC2PzUhL2SE z=rKV{Nb)%*Xo+Alc{v;tRKzhsl7ve^!ezlEq-}P^dKo6;ZuDvrvaCN>?u$f16%WLO zF*;Nm4Q#gm!f+rFJFvV~jFF>(mf#E)l1zgX(LhC(Q%J!8a*#O~_?NqTpVI6|# z%LKuh>iQg+A2cI>T;*Ko6h+WZZehm#xXO73ExyEhK!vn9Mzdr)<$yR`WDE13bb717 z|CKM&wvp%p*Uv@Rk0kx}EYN;KFzp`{^#k)Orm*MAmGoSVq}D3rhY4hK7%cDuyWE-* zzB?o%euUO;1G+nFmtap{YORd;5o1ZhA9Xn(24x2P{B)*ZFa=E%(Uz$k@?MO1i{3Kj zW=3dE*p{gtv2-ZHrH!^sZ58bk)?vtyZ%qpyu`CC~%;Q)eQeIa>_5tT@D%OV~n4vx& zO_{?(MW|09Mr(%pgmcU-Z|7b|GRpyR|KPJevXpv22RaTcW)X&qC?EI`;?P1&%2Fyc zjkHaXcXi^3MyeVRaqn5`1tC7T#Y7=KOXN<5t_H+d1aD=CLH;*Y11cl`r8IJc&vWHs z?;45h#itFf?1}vFH|ctyvMZ{PGJHX;h;z~ zDwn$zs*SnjfpxCNj7Wkl57DM#;H@X|5nCQv!L@*7CtqqUpfcJprb%&iZPuwBdgFsZ ziSOM>(A@Wk7%61MIs5)+c0W`tpxP{0I2qYPZ*^pkuo+wnh?Qv;{y3|432lB*%IlZ= zOED9*fExOXJHzx#3?7j^OYx8015pcz{zTq)Lz=oXjL4p)bZ59>u)Z^l$eyKy4@@f} zd$koh}7;?V?_2W6AZykWBkpBKk`-M zhM~q)V}glQQcz@%oSMmqH4ITVjd2A}bT?SuG;Z*6DWHZyR)ZH8jZet_MJXVDuLFCQ zrN2Bt9JEj=pk=4_h*=aTEeg1KTdyixC^?k^Y8Zl>#tTqdPXj zcG~OMc;tbRJq>A)X0R#sp=$vNBFR=W{zR(^Z&^WkN#Xv2d~aoO#qL6HL2>?=k{x3T z3iGxJxtIp})h;L5#a&ey*&|T$0H=qI>{*H%I$mv~APww`K0uaKWKY8gHshvIQjO)h z#xP3m4j4!FG>ibwi0sKuf7z=dd$LazgPy5YY=e-|v|({J$ zXYZ1^Dv?9tztfjvuWm!`kmpRExBdzPLmQ$BrFHW@;5 zLnSP*M^6(x;Z$X3>IuhPPqi1=(~#x{_7H;e#SBPTb70TXYFwEfAVPWuil1IO85MJ` zjO)o&b0oE)NqUf+q^T58!{{i_32K1fVvAh3thxmuovF*>lPVYJmSMg{iJW7N;Mu(+P2OI!-*k}L&uX_1?_ z*}a{v1A8R8+bOQHVH^kcq-seXe@T@Ba=SBTTYXdBL10hAWI5Z87Xq@acBPnYC#c!h z=t|DEmm*db+D^0WWDo>#JuAg*JBeo7NklYvw#5}V3S4WLM6>NA%(fHNY&+SIZh}cd zX5MWxXWI#Cw#Dr>(cMHf+af<572a#Wgg8#jw%k9@w&J~x>sgupaw^OB&ac(3JhflV zwhFj;1MSixceb5Cv+Zb_ZO4e&cA^p2(=ah;wxvoy4HNZji@2VZBrh#3t6g#Gu)c3|=}%Sy zdH`PE%Y@!!#g>W#1}_W@$(#nVhCv6+!}<78KX> zv(9S$*f14irMR9|L<)}UL4sz)_2@6mLO?l0r8F|QLxzJALj)xd*R#4NT2ew30&1Ai z1(X=7DG{*L1;>EJ6Gp%?2BWPN#q~7IWJ)}#C=swE731B;hEVcS7>pNjJq?)mD6R*k ze?f^EM2VSlC7nPW5hc1AYj%SYSUOW!&l-wnl5st2=w0m^^@SPNvzjO|lqfNbt{3=I zM~gy04H>pfmu+uenwOp^h~Lee^YCV%LrPcnn|5xE}H3JazK& z(G(g)&`RNCwC3k*`Wt#9oJPirNU?TFB=oS$w0X0z*91u`ok3fVg0m(c&49E=Gad+Js=BjKw4}5Ai#b`9l z2attg7N4UxWVzWSgTw9y%fg08Nz}LnIr3^B$Mv!TXz{-^tY>Zd%fndvrq-^l>8Lj+ zc{mRW>-h)^3&B0Pocd^r)+Xt?jVF*bba7?RROS&@=ujwoa zvz8eV8r-!Rh~rAa!iKpzLL>aSA8b(q52i}R2!HCl-p!3`n9UM%qG3UZ#Q3<~Y(oHC zk%=fVaooa;>TyK|Egm1?-Cgc2E~)V5Rd_3Q6?n_@iVD1i1^WvMy(K%myLK0p<&|yU zb;z4njz8nk(vq@@vEGzXv&FkH6(wT|4$^nt?Ip#<1=}ljmlS&|^7aF4ia!MvHVU zGWjJ3ioM`#Bzf6UR#Lc8%$i;7PkQ_}a%`1ZtZIwN0DcZHW zFn_C-rLo(^XG5TtlRznXT9pNX>K-gmt1wt~(i>We43mwtK`c-oO^I|Qq(gd`-bRNZ zCMYhF4Uz)2noSVb09p%2XD$6Nr@?jnN3H>cKVmi?4##B#h4eHmB{z^Fde-}BQMpvQ z5!EZ$i^w;a`OE!+mtvoAu~>^Q74v*P+6vjp3TbREd*r>A6G*z&uo!Rv6pNnqG=cAE zi4@X97zpxJuaI{Qs#j56;9P5c|d#;Cs&xV zPVSQ%FDLiu@kWT}$*slq`Uaw(i09eAja)M9v|+0i-Ze!0Lx z91lLAD;(2oAhbE2X9LCaxOFebpWG)obaEeee{x?W$6v(r9H4lfN;rScLBRtA;N((! zc?DhdkYFI_djDJi2vOG*&$9`Ke_R_7@jRPqdJ27{cpmiT6C0c_#u!3-QWvgr4h~OO zEo0F#QN;7?2S!woL+u8AZwXK(&lCk*6?b`NQ~(0Q=+ubg zdD58T*hb}e9zlQHDtfUC-O%Csqc3)?-B6RPjLyk@@QPpTdeyKxp=nq(Msk7`Wh z#YAzRKn#Gmig+H$#XhTme=18H$MfJK8^!Z%pv^b`L3-70fMtM!;YTIK^X!+qeH_no z(m70YrEWQ%XTNZasQ}RC8cmp{1tcI3iswmcS|CKWX#tCD(}FZ@W()!OA1wZ}nT9Nj z|7`ZuZf2EG#ea@q=LzvVN5~-Q5sTtK2grOpHSpFVv_?G77F_=|f2aYGw%ugKmUA?Mi0HaMTWHKGo@X>hFynbPlaL+|@jM4bJP$Cz(}VAyfO9dD;(5ev-BH**8AU9D zfp|75t2VD@l(J+$Lf#JXtzoOby^5X1j+VD!mDRA)YRCyL_z;EvwC(-TY$3<-Kbp;g z{3AC8|NAT0?ZjwbIsj)iM}WfQkr&z;ow%(BB3ZGOw*r9eC{cQ{`;Y+{$^Dn3l$V&%} zvA-~h#~v-60X!nm!Eeb;ywgJQ{T1SAI>mYEAU0Um3qW0Jw-cbT`nJFCvw@*-e5DLW zMH75BP=NVtpmaJu8yE_NVTdz^XX9)03BowyZ~?s=kK1Z5kJl`&-BB}IarlKX;T%R` zAjRT_ZB|3B)sPp?VfR|_3)rZENA$uN=FtmdT>nB+Kyf%vad?O3uxuRfwtjz^=x$X5 z?s#K~qF5Y>!_kVxJ6sO$paD;a%;B9P&SIjb{CgBv8N}eK6SIRkob=vYfzEp}>fpV( z?q;DeI%4lm$=+Rr!K5?|Ry0K;RuWKH&Q+q6I?CUjZS$ zb~izoOzhoro8C@=22WA!Ew9~MGe)sDu3C#ncm>xm)&W(Wrmk9K58)~mB9J)0NN5gd71`+**XfW7|KA^y?!cjtnJA6Zaj20g| z_7VUp0Ra3yH-=uddozdvkAvX}q|!SJDz=su6ztV{eW13G9!S^hs5-q6{5Ut3+%vUs ze`b3y*YS)%62E1^l?1$vH14rre5F9^*qPcQf;82IRMlNTG5}TQ3P5g^pQ@_v45_L+ zQwU!~(w}oFCRsVycT(_Ha7J1rE*ueJq`=Ik^9BL3_&261+pF%m6afM7KorlC9+ zU0Xsxreh@17M}Np0{OHL15qaGJc=2?3Y_;!LwMe601=PUw5Em;TU$yHo*)_CNAG69 znSObOE5l_qW0efsnNoz!3vRz-yuavZHO5$tQC4GgxD0R8GHhoG6@2B1C-evIBot zt}X8xYfINUDyDmCD+xe`E4#N3ghF^LWQM(cfMxgg0UpNf1I8$VWVf-Kl->OVWVS22 z|2R1m$o0d)vipzNa)<2x<76qj|2WwI57ZE;cFQSl%bFz?$bFxqh zX0LGT8c1cE8V8J0J++4*eE}HVfVcLL*lfy#^z|SMnpd1xc&L1L`BvJ>#REfY57Qv$ zVKZv}+zjkSHR4twzMtT!J&Mh!#{P0YsV0U!`oIK3U}{!NN?VP|8MGUP#_(YS6Kbgh zvel?EzU#*3Sxi;+aBdBl$!Ljo$ii|IXVuk#NjRx)OsSd<$7ViLesb;6nhApPSYFh` zh=o94axa&Fv0op^-E~UoxNOzj<;#{0i%A)>ti(&Bt|%<>?k=t@y@2-2a&ec0q+3@?^S&nS9Y~R4HTI({6#>K)2Oh7*whxh0eK9?bcCO~rY2X5q(5Hxb z3rRv3DhWMSGhRvP8?;;smQcg8rdf@tR^#Bv5-OWMSVG_6-NE=bN?AhRC{+>~ZaYIH z^q4E5$Ap9~YF|Q+(;yc^LYJ_Fj*=2;N0Ee%lI;5wS+m7>O$mK*t(MT?ETN-VLTxH2 zW;KosZEQ;DtGY~BBf$YFCwlBCS3pM!0bL>m)Q+l|sF?gICvX3f1yuKHNDlHD&2fkL z=SZj>1qqeNPXY3iUF0K3=wIh+WgTfXj&LQ^77A}i1xx4>lF%$js2xqrTS^kTln4a} z#Ifv{nkh;~KO8CMf5&Raj!v{1VOJ(RX#W3jq%f2pj+ArZha*D`Jm&wvW~lH40YAZG z$7m~?6w>;`k;B{dVbO>dj;?H`_5Z&}C|t*LGH}K%K|zSbAVNvXd=m ziP>g%s7Y63`20Rx`Rc$ngSDSd5hNe~gIt9LTae)jY0XS3NUC^1y)Z8VYaYOsjE*)%avM4>T3g1y5FZ!?@v%fm*c~iekf) ziVdB@I-rh>4n(IR#w6A&xEaiePJ$DH5uIqbRF_gL8NwM6u;b_n&?84NqI1nueXjk| zp-@J=JzC1XoS0ui;0GLvmkvpX;-y2zNX4TGG*aS%h~-{IOz0xka;pJ#HA6jUcdePG zSP=IwbQ3pV?nW5C#A2&)q1CvkYQP(-qTW~~J%*?#_G=cpP22Y+lKzEvxBjJ!iTf{Q z50LUhO3JGPhdg#yqU-^Z@dI{O+GqkI)9Dkb_!^M;u^_*KPww#dr|ftl^IDubyu6Oi zxf)lH-F~IB$L2DESegZ0WKPL0aSPvuXKfH5opYY<$igc;KM8NcK4bmq6XB1ns6-?GV$n7lJn6$ ziv+V*HRr#`*Rsg7SoUYw?Mw_r-R@5B z?Cx|XCcf!Op2a$1>iP^4Sc|x~0rJXK?gIzygqrDkllyrpavvnGuEA(rXL%dfSdD8V z3#{8TOkUkJ-Sar~hK@bIoaHs}JZi#6G6rM|l)RFRLSPewz~cP@J3+|nT322-K0sbQ zb`KizCdlh%k!rDVs}k79$kEs;MebxT;b#QNtC4E4ag&f&WNRnFCfJV&M`KG!V^dy@ zREv$900-?Lu8#?EJw=}I77|x31pZ3=*X+k?W+;{`Tq1~T<`;jlCv5hr#8o9uguEs+ zZu&C>79&YdR@ip~@-st`H|6!O^QAijd$Gl8+$^LMOoqVXo*D#}icGV-Mw7s9CV|~T z^4g1-w-uH9UfwDcyMCnIyJnU$u(h<0QV&N#X?2G)(|Jxp)Jx+It1;hdEYP!2QY~+) z)XF`T+G%cF;$QLdG6UUVLk|T?>qirBA*>7oyM5Xc{fPG)y-4>nJ*5k6NV9pg8n@r4 zh90}OhABbDyD;^vQdPBYo0>rD$EZD-5F8U~-HjguN6PV1Kjt9;1=3tNWB)uj*uko< zAN!DS{=U;`<9{BU#|h5k4+-Z@ zj@1=(OaJrWq!XOO;bLHbKyhvgp-g8AA4NdXVa3jiT+} z$wKk4P~DDX{_;v;`g~;jrbmP)PrwX~^>q|o5V$88+!Jcsn(gfq?ZG2cA>iGQrN)4V zsiPj@QuQP3-oh~`AjhDd?g71=ODoh|o;YUPi8Zs;#L+T?CXU&efFj+M4$o}lQNeTD zMfm{g=bW$;sc%9u!%n1$C@=lxJnn6NmffdjuIlbPv#2}s4y3+3V)+{PrgYd*SXsU+ zWyp@*J4(FUcje()W}$aSWij8)q^q7-P!<*x;U--{euRA|fJWiem$xzO#aVVz%{&GB z)yD|-Vq+vO?6}6Fl-Tm!hYS8<(0mQ9eutj#9!GaaLm);{?h2i*b@D<=M%E($)a|u#*X=0+5MQ&(;^%{c2X|73r_G z5l(cIF9Mk=Q{n`fa4Pl}U17qWu&ig^NLt;jmJ_tm+VC( z(<8D^*w(}LK*H)Ez3hR)8tegDdsw25+1{Fs3M*gL$u)!)<(~+b^D7>O)uV6_20@^8 z-1ZV$PtnWv60!D@LEuEH7h>!|HM;8Z{~-=upzDkwNRdIf5}ndT((2K8NLXEnwFd#K z3(>F%7ozP!gjOMlCGvh&Ux>3)Yqn~e@Y+^FD=r)@!YVc;4ktVXMWq#o9@QpX=xnDF zQl02!rxH#@WD|-62QPH92iN2&4pvq7B&29L=h8|Wg)1FXIsvO4m4$^5iIj+=WBI<& z-5yMK;6gmT?7@UbF=$iFX!NwxYPM@T@S}V}qh}Br$_`wKONp&4FUWsL6vAg%X#_4j zW~UK8J?Lep5k4hi+hhp6Ed^?&EaM~Xp*0yAwbmX$Ez&X+tI#W!m2jn-b)~yr61L(p zB-WL!J>){BwTE7q$Q7P&!$Ov!48vT8zMvBShO9!b#0ysp%TN>qmYbUzrgX&&m{^7i zGLoC4SE0a8BFQ^7tvybLA}OBtP@{(g6iCx>^eXfr;izTkLk-AS-RV`Ryb{4gG?$@v zCd&{l<-?YtSK{wq#Q?DkjR06)tuZJmm!U)$y$Y3djBo9s2&w7#_nlfaLDVwzq2Q=x zC;`%|(1!#xkvN8B=tBWg%TNNOSD_CHNG(Gj3Xoie5*)n>eMmTJ8TwFg-LLU;2T82Ip9Jvf7IC>TOkZ{y8^r7I$WhlYXtI&spqn4o&;K=9` zLxbcpl;DJ{LgQF<&1L8t^1>ylu3CmdW4BS`hyu2NlZ6tuQUjr zT!sQ3z+n|SlrF5wW#~{^hF<9|d=jw?-A8T+=Yp%BZ4ax_`P~0~B~2W&jX6!OLXpqZ zy3&J}p*9uLuFnY24|^C*L}lqOi}YDoa@xadGPV0qOLy1WS%zNe^{A`RdIDXJIgoGO z*Dtn5)Xdkgt4@-e(9SyaO5!6fL)BVliA_a@rmHkNqY2!sC3dd*(1ebh8LnE38_j@i9>0y4jomKtvv-?`68qutVGGf>-XpjQMH=M zvqur3aO!}C=qSQzKdojsv;iy8(KR~h=v!jFUJ!XTb7jDnuo9Jo+Ht}jO-S)dbTlD# z061}|LH(XAdrZwL<#qmt*ii{yiSkSxXT@C^^d+uDsUoRdh>j6UnS;RTAPZE9J+?-t z3HAMc1o1BNO7zO$M_-Amjg3-!EaAi}(Xm9PLu5b>F-{fsxSDl}OxG#Rb$x|lK;%MH ztYe0Ji7Qc?%9GZYlNBnr#}QJ8L8rrv(;<6&4N`haFYA5s)Z1BzUKyrVe2=mPB&)g9 zAGXI6RtM>2k0+##kU2QQSRJz`)NE3e`oC1LDP$SyT7wY^t4CRf>SgEzVGnp6I)Sh{ z3Q`>vNMRW|v1W^F5B`%*TrWgeh>|@R^`)#sVG`s*l!~EUh^Ci4k(B|0xDJ&j0PD~xgb=Sor+_SV z9y$$uJeS_$lAF3b^aPTj=yirSR6C7nj}`6{)|IK&m1%DH!Hwf$ z@p$97^zd#R*H}#zt>EBYSTFYdFRYix3=+h81H{kfFo@`?>DHC$@`c!>wrRWF0B~|fG_vDhb%tJku*-ubM9jT~;?COZ_s_n4o zsZG^VXme{Ra6i2=&;_2So{rd5NTse56`MfjGC8Q<FXeS#5cN2Mu2h$qltB8VGdiWiz)U@vg#N6;nm-s>9J>~*KvLMiv#VM zHT$$lM`zHLi-`WxD~FmGDadj}3WIpOVFC&a1Xh{o$k9H|TI#abYWUMsQG(cE_G;iX>;NlQ_7J*2^ zYp6ZTgMSkEF#f|}{9!P@1?(;ocAvKh_5}hx$_3q*LHCuSU)47P=urT@ELwpcZ3`;n zTJFU$cE)XwosnMGMOdVZ7$2cD8OVWK<<#?tbrHtDZB&b zN;bOE9k@C$S?K_QQXr+oe5H>Y)fNO2SWiA-Q#G8rc%igICH$!x{^$R_MD&K=azhaB zBEX;OsU{7USUM4!rUz7^k4Un0aUMQ`vKILl^XOf|c-Oyp zntYRcZtcNF z6As2U>&jN^O0Ij45YMyx{xMDJ555VP-wPo=h6JsN@Qm$mUCG^9lT0wQaq21v=M$!dryg;2UY~=A=*tjaBBkD)_7)|yP>Wb=b zxjx1_2>Cz{?@W;X&N~xy4=&k-kCCeoFq{nCS_+8K+O;m)#{d)wOpRHXoF3-7mNgZ7fWfF%9Tp|S|sn{ zW6pW;@d7!IeC#HBaLEksBKAs|n&OAplt3IV2Yw8-mw9Y8?+)WX4953^@jYPoRlxg1 z@Gd?*B1rt{5h<#l9?|l_poJ4h?nR{nJ=$JwxEISk_VV<)zRJ5;UQ?l+xKED|jZN=D z(pZOWNa*pO9$^j>bb(K%rm?%5E!>MrakHh@3XULn>;DL_EY;I2RM3F>0NUVQSD zv=^T|<$@Rbk%2B|ctAD!ZLg%E^v@xSd|dexIVa#yTsbbjBY)y4>&h|mC;Fhp;uFfD z5J@kbzP8l=Nfec;6d?NN|H43ZCGwpY+t1>Q8t`h}ogY@z^=^iT#NjqU?a2b@v1J?N#)CAg~=s zYDRHl{q5B?2lQUy_scm*slPEL|7t#C+|g=^vzlTpZ&Qcha7L>Me{!RYzR!8pVG%?NR&^+A93n}m;~`5R{ZRcDt>j$6u&x& zpK&7a9Az==)foKcM0(k){~OZN)RBbjaw6pb_B3@O@xljC!iz|SM2f5_FW9#gVWyPN zq_B{~c8NG%dkqn65KOxW+pZ_s~S)zRRq}Mpb#%nUg#%puo6A7SU zAkdRYgWwFY*U{Jp?@F%|DUb>FD0_X)AvLyFk+48~dDQ@2^|ihXS}osS<)j6#dNX)* zuOewdWXPf~j}_O{g9AeP5+Jz@o)Nv zL1a?}1EU5z&fY+S9Rfy*EXRb)1bbu6VKvwbxRNNoG{*YLRsqqehLSVCiQWyv$w8e++euHXwKvxsQ5Xzk1lAe|NX^SYiiW2CR#QK# zDdhp-fk{Xr47v^uALkx7C$KI^B?qo|z&|x9;{48^%AcPn6oc=(4 zWp$ML%E~MCm6f+6t7|>$E6XALdkR3gOwusj-b_>)4z=tZu9hmwbECb5o*Dtk9ihzF z7JF;WQ;L-T9>cWULXxX)NnINiEJpv1U4D@3-(%#S!N14o2|*K#n1pfz1Z||&$JhIj zh(2L-{e!(yP?SEt-cR)XdOzmH>;2p%itZa-FadJyTmmo(!%;b;oE>&v%`r8cvt4*N zJ4{7vTF%2!dU&>r0C~2H9M0J;k%zMbQaSXb(7>TW1D_xbL_R0E@$0L9Jal;XcMwcN3ZI8W;hBqd?Zj549p}oE4xOVP-=i%WM8j^or-f35( z`yF;O0W$t~9;WE;JO;>AK?#Odh~d%sYTa0#@bc;XI81os6!5inLCsM;;r+04xZ1tE zj!6rxn`kwSw|q?zBBQnL{E(|a|FE;9#t%Cis7cI7Z>-!Vj*N!pxj|66HIFMmt;JC9 zAeJ;_u53^DSnD{RG@7+8Mrc?pYh4HNp(9V3oqDnI3En{W3S8YQI6=h1&hmqmkJ3Zc zO-QespeVW}%iihpC~19VxKutvK|*ye>6PJPkgp8qVZSomU5{x65z0rxN!h04S>{WW zh$NEkY2kc{FO0mT5|O+#Ig}lgOw83iN;OqCDZOrz8qJO@d$%u2jpnmaQuhqu{5t1{ z)oYKW&v@(XGr4v4*{IA=m@J&6dry$+ooRZ))xF7D_gOMh9F{>gGCqNYi39 zWyZ#0zv=Y@9=k{@Hz0-pb~NB?oUMTXA7sD>g8-Xx<}yZyV-(}c%1ech377=&pb1d| zjCY5s0ajJ-*rp81>xa}_6M|vFM{Xf+gEWUc0CWg|pzg0%(#tLq)6P_PckvT!Mu5nl ztYRXM|HR!R3f^6O{b&@Mi2SnM`wPmvgNLu2w_^0loNSz6zJAnW7lZx9qW5WfL+qbB ziq8L8`WWN?xuYIeNax21)#Enh&#Id)uKSkK+b3YepAeGt)+&3SFILYV-$DMp>bH0! zMew@AjpNMaSgUEJmC%%JH6bcq^YlAo1Sa1ZBiHla8KW_IhpPb5h2OVU3!c8UTJn^k z@L>=``H;OL4w=*$5M;v{t{h zUN68uSpu5St$k;Al%k_5UgA$a(z|5wZSg)b<`8ur&Lektqq1^J(I%J zz~XIHN?gvo6)Tsm&dN%evWjxq7woGnDBcbpmX?UzJtEgV)iQ?jqRCxvKGRR zg-z^2)0{Gg6pG&lX+Umcxzi~@#=9^zTYf)WN3OTcW0cD?lxx_fPA#gM{ZpD z6``w0BY7s#9SQPK6ZKTR9Uckx>oxWCv<2rRt_(X;3VHHN+7?6r85#R$65GRmA!EM~ zT|r^mX5Y6DjE5LKdx-Z=UtEYp82+fL={OjCmhU&V?XwU1Qk2*Blinm%`-tUAtlZhf z?@8&Hvn&&?K+2#Tlz&7;NK7u}6?&4{T3S)2=9ln!gb*st#g8%@4$(nzIQ-(GA;YD( z{G_+wPW(@Lvv=~7-ZzWsiVN8Do!xVkjb64z&v<@@%sOp{Jkgdv*78S7MlER}PQ}DT$%AQR^~hjXH~Bc2#Mib@BfPuK^= z$)g~KH<*)04O+;m9&}R`oa~&lVx?r}r1|2wo09mIq1H`ten}BRm^D|uv~P;UfB2#} zf2-uVs?OGS1Qwje zLqdG1!JNI$;vhQcP<2wKO(eRFdQ37QNlK9`L?^WrRSi>2xbBIjW2v^|G1b?j1hN`z zG{B~}QEL`X)QQUQC=JgKtLHnQv-zf|5hX--|dM5*Xoh-W)ZKe5RgW#J_{huz( z1W1*d=fcV86UOtyw5ya~3M%S7MyGfovEn3Yo1Wo}9F_R2D<$>SwUf|^eybV+1ualt_(PKV|UA0cW>l~l)+HdW^+j<~&`yqtGoRpF-pzta!Tx?E|*mTCLtF%?)gKgDMddn>>gMy#`krTyu(X5-WRoA=S zHe}8M5p~*OA2S&KmnLFW67!d2nNF5Rr7Llf(@!L;EshaBH)la|3au;1!X zzUgab9k{BcQcjd+JAC!lkyme>h3@{h%k^uMu#ngt9mQ9$uOVFumc)mu`r=FUKgFh$ zuGc2e%RVIB$hms``Zi{2i0s?4nnc3e?#a4IlPnD4dX`Q3UY|WIkIP?NIb^8i?-b>u zdq>am9sTQ@?IXSnrJJAsS1hS74w^Ng{2SOeC3D;{rNhFURm?CQ@^`tz|a<>jQ!q)Ox3Ey%Vj4!fd<`Dnm#!wP<|)p9rUiY~Z(4 z7`)TtaMu{uOG!9NbM2!f9Y^HUJ)eZ5ixT4xmW!@FkSWN7pt&lAh(Tiffy) z?5BLX{M!c#}W<7DY>bi}I* z-rL7b4ZYD#6BaPU0OIk6hk!SF2mJZJ>IJD^k5i8wn(l*YVD)^>>8fhn1%fEyx71#|i_}*#n0+JJ@rYH< zQYh}j7etzX13&3_TcB>#mgG#9(O>x%xQaU7e|Ho%GU#cRLr7jY1bHXQLArkm#` z$c3fkqRXu;L*v>8IYg{B9M*jKm%5| zwzMWE3O%|Sr`tj%XDfDupgvKR^Wp4^;+ya`NZ=~KDr4Hxb z;XU$q_RH?y*{{(v*qZ8Tq5#?(gPmxP6HQ$V!978?E;okg!RpSK9q$-B{VuL}Ub0U< z9{P*3>AaP+oJ z5h5uJ`817<(Z}#;tBE%LZVbBbL<`A0CM6RdkL7=i*WVm;bv@aM@#$RS=P4Wh^<;B( zV0fiHvHo7MGJEx^l;lj_m`6|_{KsP2&n+t`M}g7uf^atwm|X80z>j`TjK_&de^x^7 z56BZ~sWaT)6Ijt&X91q-kIb8r_~&tC3oVR4U&zEikL3B%lK&=0uW7FjU_Wty6T{y8 za(B_k0~%x~PaEG`C;#~|Uc!=#E>Ri`lsJVp!1}oHV54zFVvGtRhN6R5(KBh(CivFf z`Qg;@kkDQq;=~Y{2H`bSP>E)tUIS{`J!M3&XQWNQZ#nTDia`2%N*^{Z{3&y+=y^D( zPl?a3k3^|bf3K?PQYx~~Ye$&-z&@~sbb*h*P7uL*VLw3AYD^Z0rPEJyL!5l*hBs2# zN<~`<{$3J))k*VCOCCnkeFCEf>`uu2PY2Egh0 z+qOHgzGQ8m-=~CCZP?e>Ku#qqOq&kkaNpgMd_Pyn^ZU8cyeIg6uDd4~`}^TiwB=rV z271lMbsE%nUL9FE*SqwmFuvYj#^De za8@fDZbZYR;D#HoVi@WcSc_aBDC=`ISzv!PS(@Ri$yytYJ=~4Ta>~c!uO{2cA) z8SH@S!O9ac8tg_75xi~veWwEvd@+W&n1`6{bo3=?fbIIb*21Ra zy5R~m>>1J!H?=jVt}J3sb+Vd{r*tc>EZSO_S6)GzOy$x9B|VRO5-qoCTalTBocs;7!1>I4AAx8#$G&&Po?oJ%KBX{BYF?2S6 zqS9F1hw5UXri6j~F_4!s?_WRAbRWb3Nte2ZTR-JnY(G`E*naBXVsnY<9&S0E_2E{M zZpzSq8go@cch|q};g)_}nwQ9s;lye%8uQ)i8`9hg%GTJS}B!pI<&l z!oc~AdAQZwl@GU^E_$((6b92>hW(yP@{malIox8H=Hb>axT+f88)@fsi^0$H7~m!J z_&P7!_BwSb*L!WpL!50HJw0e3?!H=E$k`ST1UqJ$cwd}p`7!HdT7Y)c|vnFZ_zD(o5g z$Y)z|2KJA1xJ7goXIo+v?%CEu;;+uOhyXY)NgZgMZ6#ZNwOhJ`(((KIx@TKGDcZjk9ZD;PZcea&$f)2N| zSYG!KX9ow3roFSR@&06exD_Tuagooq=(LE>wgj?D8?HxR1lS?sY%9_7C)0Y5_G_a9 z^!y>bi*dTTNXFvfVNq%YBnH^t*;b-l0kMV!J!PJ4B@Vo`JlyplznagsoUTq+oMy84 zU+)C?BZ6sUZqCWGt%bA#OP25%N%JZ9Y-_x~kMtL?I1w(o?{pPsTOyAGpKUECZ}{3IcS-+^J(zZa&bB_5)duv5<~R0;>ERoD zczXE89(Rw@2Ho1N~y*-AHWQ*Phu zn=cxQ;2uf%Q`83OtsJqy$2CpMPu-!-R*%!2*eH&+obLD}H_my}&^X(=&Dj`k_hACv z?sHkIh2cokxmK>)HgCUm_H8%!Lr`n$q`$u&WByePa;{nzxQALAm4Lz}5-R!8v2@++ z`gX@nL@QgyyQ9!8&#z?nmbgI2S=cwmaaMTS+kNDk${2#{%hOqwN3`83DZBAL$O=(d zp+(^~1RA1n+mh;WJM6Mt6CuGTS&l`Lpzfg1LDqP`SDMk!|7)V?3BQ>Tc;kw#u{NQz ztaHyr3CX#($w}~aRg!}iq#6+pv&5OpKrK2;jIH%+Tb%@Qx2|o(E7wWzI0>Y1beNUv z_wxGDILrz|6K9YUHQinIqlr?iZK6|mcirehpG1arL6v*OnU){qyO!@z{iJK+NXtnO z8|+@%V86EEelFO7x@wY&x3CWZcHEK)9};f4IflZHv`{M#+=y0gR1TeT4CX`U=QxYh zjZRKa-y%iccXxrhAtzeynRBY9?rK3@app|jp-pFx(-ZjfiI&q7pV~RmN_uyf!2jJ{ zECcWEau1x14RLv#CC>uf1F^8y?!qmoHO4sy)<+JqI|jK#Z}7WkSs}e;zq?EG+32l1 zoi)?o#6gzRlMk|-p6=2vq@Q?-0riY|ehx;HDc$?#%SH6pC17PmE1(G-g zu70=+;~Vk_>oH<(j5N9nzDCR)s#Psts#?BO|Ihy`Uysf0s;$2Vi=XFWctt(H>E-LD zWMLs%KpNUR#u}o9VW|)XneY$CSiL|hd5qP|bjAF_Oyiaj@30)gXy4EHRvsTe`d?R(D z-ktgkw@kp4&n9vIpG|VRcPV)zRU8Z8EUP!p?s`)fbeP5GvF=%x>?_{wOmpR2_pb`i z4#Ra1vovhU#?L0{=MC6HJlW}Ws{|8qnAO|2R8B(1Sr*U_8AU|Bqr)tqKOEi3!>r!E z<;vC6T}Wmb$VfU{eZgT?Z<5hiDWl7T7>vLv2F!abI|j*4qK^vF)xaA-5Ra)Gv!eho^*56JQ zB>UT`lK6i+RTJMZwdyRZ)Jb#?v-lK<$0JHrtIM&*0nZ3^A9J!oX)sXI6-bFAnchgpg4VHT?R zO0h5wv$&7;4zotm`bE(Shgpd}UB{Ihws)8{5)77ySyV9Sx;)IHf$IJypgYtBm99MZ z*Un)Ub!QxACAvuAFe}lAs+K1E+dIq}9(0(sLRe8kjt{dE9cBp*v#7999V(dCaRpNx zX7%y)(?=w?DXVikhgr>D%hx!Swz!D_;#owKawG^?3X3&@5W(XelD!=SUQDxbQpD-Hbz zh^B!wez00CbXRL_H1=%uVU~P6{)5##*bnfy=->=(c*@g-h-XIe7g*uBlwO)8no@m@z`nx{DM_=po z7l~6g>2@be9@OlFY_}d6V*qY5OaNDZy*V$-8R*MaGWjYklWv-aGT%}~N-S^lbgOx) z)jUmG-B)?R6aT7Q@w~cAkK4}Hu|PNHi&&tW^W_K`1{JJR1LXVj99yd6lvO#-=5!o5 z-JI`nsP5Cv%=^wjiX99zi}blSs9I~?77S;LVD`-%$Lm9Oc{$hpnAX%ca|{)GF^~5c zqpaqcZce`+^YZ=2a`m3@V|PjW%Pi3V`xiG?iGZS;t2~ZZlR&nNS51wU&&A%;O;>Z% z)o99|)J91RAERg{LP2g_iKCnzG+k+O2x+#4v(GbBRtp_9f_?oMd)jFhSBno7C1yrQJElrHgmR~8hN?5F$tDhg^x zSxJ!&nkn;AY?tr?7G^3bU^tiqv=kr@Est9{xGcdp&jppTxQS&GnAg z!@o#ika79u27Kdq>C+0&eY}UrY(?USZZhi%2LcN3IeI)vXr-$IH^9JTznw|L~>Rw9_|gZ<~dS4lx0Zo}?kRj2W3oelIEOV5T`7`bEXO3Pff!L? z0?Kkgp;0ZxD;oewYG`A63og+$&lPtm6?1dI+#SlUbJsan4QcwFM_{1$-8IVL@@i*` zM@fisAVhQNnCjL_yjD4>KnQn>q(H3&%w54S$+9VPBjaJHz)bTT>SOMGCzUoS0)#sh zuqRpby!1LQbozI(&R}0Lc`3u2=UdGSXdRmyQ~h_b)TDWVXff&jLNwW?r@?=qs@ylH z7~}j!oE)chqInVRh1{Itq>-9$r~G@(S;`PUyO~;+8!i7YuVQt!m1hyM0+03C&C>gM z*3E9{Qs`#62cdEJ=?qD);~J$mE1V%dUCJYl0aX}uIkQ5c*qmiGFGV)Afu7$Wo%PL1 z4=4p*->h_octEh5#rN?BxaX7SFqGaEVCYgtt4__t5ZZSglGt@P<4_@=x*eKk9}RD> z8M_?ZZoM;{nxR(axjHVEd2^mK!iS=wyd-?_38J6rI@EDQBL!hMud|xhSj}s-bxe8@ zIi*0%7g2(jf4g@^3}S}34h+L|9e&tZkdE(+SfS2C^J?ikP~{%-9Y$o3^U%DOWQTnR zTJUk5P52HYeK|i@z$wH-p}l@|duhZAAYWgY0UT9HKp zTbE|Yui-{)kkX(YGoJcv!5kKI$n=Is^dmCUlpi4hnU2IKN}c2+jz`2eUlT6hv}K;hRZ{ZNo65lW$?5D;JAuRN`A@(4d-TXYl& z2v=Q&A2Hge>vb@+C8m5BenhU65BXF(;*tBm%8#I#YB44r_z|NcFqF9xAg(~n+VdmW zNXGHF+wmi|0S5dCm-FF#86E;8k{`h^;JLaJ?@4d8);)p(KVmcui~Wev&S>mjYCj^6 zwy`HG=H`I8JC*ix*JKja+U>hV+vMaWwJk)HOlfruyYM6OstE=5BSr%uEl+E+0CRUR z%m{u2+=#g5TX>%)RM#Tz(gR#28BAxgI2 zoJ5{SA?4;|M*X{32%CNcPITlzt>&Fkbi@fqf+}Ry6$wXTk8F7{n~ZU}X^elylaw~b z7qQ2Nx$k1ObhR$RJCxgYE{WhmP(L1L9CjADI4&HCaXwuMngLauH27>d5__!Xy$QjN z#9s7Q>ChGg?n>Qv#u4ttm_0leJ914;44++znp~e+(|K@ykiMMcyZmR zd7sr>Dkxu?5^pZ2(4?P8`c%q0V_(OSkra?mkgo}&|71f))|^i^NSVILx0T}F%I1jz zB)&5O$)8|oxb*Fvksw2qQ!jR9H}ie5fO z%iOS!jMnJTE9xfwM7TU>p%8gR!V%2?AMcFDYpg(2BQp-j><^*QID+(a8mDqt&CC%kx{FQWWdg;P~Y&h?$4q)7X~^y)jaMAmjewOP6@ z%Oze>Us^7m=u7U3`kKxWe#gDIt)FM?E-hCUFamb7JWv&`b$KVlndH-%i!aey`c6is zK?av6C@r6}VAb5!D_5pW4`qodG+3Haf`QV!vb>^#ih?ra1<7~!IKmm^aVEL;gfW;6 z1eD=)7P7FaJQH%D@t5RQLl9xudJ_j`6Om^-RJrWVOnNy~xvZ{C-h}x2FfFMCB&kQO z<|DW+P{%bp@9c0U`;Kbi`2J!d3weKPh2~P_a7sr6*CEYacqoOADnrTz1l=J*rM+l7 zS}5Krz-y;7+2c%xg^_sd0v~1<}gM;-E60qBMPL|p%Q2vR|36L z;!HWM({aYt)AH|5iP6<5xw#l^wLo@{|ATH=iiSEjq-BY)O5o zpC-&#{WR&w)lbvLyM^uiLgG`YCsu>n2T*PbKgQ9#dL06)yi|fp(y&!-PABrTk` zl|b$D2s)!?$_WyO3Nq`D(>r7&<7%LHQnH+M-#%rg&MqTLMKJ5I>Ip3!t(FdC z)>}G6Fze`bKb;N0VKB`4=l>0t4|bh?GrD*eX4!?zQ(8Y|*|{nxEc;X+3M|Q$ELswF z5lwqb++S+i$=#5qeX7UdY%5k(}` zAxbB?uD>~_3Bzg9s;)S z2iU%gx?3Uky^&918+%IMrV%Hx(zl6Z-{s@+jXhar=y72DVOp<<_8o5N0rpWcoM}Ft zy}gl6itl6?+M6+stt$r8?SII=r+FM?{FGiFV_@3%Z|sqdu`=+QGCb0R$dr-xeOeQd zpAEby>UU5o9NBk{mb*g+zNLrNl0e=%SB-^%pYGGC!EaGDxM2I9s>xz~83vw^Wcxn- zw#Px5PKm}YpmAKOO&sG9!N9}Tv{)E`(9G@VYr8X@j23Fn)^QG{J0)4p6Q`q8S3jhT z`R&;EGcCQXmR`DHDK*q7c)4L@`#!#QI!m_T0qN6@@Zv4jkDe8s#lA*YC5jnUf~ z-0e*-ya)EjXpP#EO82nu4)8b`jBbyPG?p}sg)Z&WXcC+Z{FQeD&OW9`(xjk7^Dv4^y5-Gu5^t=flfY{QFVD8LP1SrXuAfx9D}Ij8kS z)XykD{_e<=2H$YVacFgaN^Eh*H100RM&Rn4><5D05_;^19 zU?39hD9+s}jz9zzZ6)}BrOKIir^OoJfB2+;&PNS>g%Bn>R17c>>n@-Efi@xT!t>Tx z2RCEkO>*W_yAI_1E}(ZE(N{R@VljcYwSS>TG7Lm9< zx8;eHK4cLJ4(`gsK_t?D8&=`&8d!xuC!UtirjR1ds+vx5+@weSoJAgI5o_51?PA_N zPI{DqC)IQP#dCVA*S}YYmVd8gv43v_jku@dvXk`lEQsy}*0DNw9i5ONCKbCXB+p-lP@?#d?71+)Ht pAAtKd~%qixfBUIT?74Nvw8 zwHo3qr-t3NLHURl{2|Sl>ugYxMYCZ}HZ?I{k zqb_F{m>Ax|Myq9mTWq}QD`kTC_#I&KG>hMRf?m!_LE{ZCpD@Sm{vJ zwE${^D)=8SBJgVr@Ij32Cm1y4jI)~Bp)9B*(LW4suNgCz+od~Hvnl4m-@|IWe=Wyx_=Zl>?V1lzH;d4q!@hkIBPL!tfkHpU8#I#d`!&K)zb~!f4fOg zj&Vp4LV13?L&e1ceKhW8M}{{ug;EryIzQ1_PtB58AxpqDfRdv>c`&qxPj+dR#oo^+ zqMgT<+6G~<&p(dqA1%e;(Czd<5(zc^d5W{)w7!NMM?H#f4Uuz>%08`@60}FEvJE5x zCE~$!XTv(eh+fWy6G8|G1brqU=)1}jNM`UeqN}@DE#=jnadr~(bkdKL|EW}=FHL^O zpC$NCbo6+?c+fL<5Bg5TnU6Gu_w(K!--%A%|K?fu{1XFG+XdO-Q_khi7hD8dN&kce zAZ+E2lUZ4RoIFsjQ7-c0H0~XFPL@HVg!8m7hv)P2)0|B%H8wSoV|9?+SUFtTt%uh> zKj!R0AEsU0^^R9Aeg2Tl62LtR3QzYqn}WKd6Z zGJf#iR%%NeN%K#6l;&@R=5M9W2D+MWA5#*nJj8pvk<6OkUuk|WwexDt|4~kOGgI^X z3&u}$@~GJ$q4}+ygET*|FSLhmstQarF=)--=4yUx9BaO++6c|xcDkSPW8$dC_BFq? zv(*|0&BqlgtF^P#{B5qRZxfm?K#)!l$5NsBgAL7Z?WVN)&4RmG~ZALSMggdt2N#_ z)7s5y?JgC+1)AR)Up3uTeBrUP=C>x$-^4QI{7eAme;S(%Qhdrx9T<`x5cMNT@e59S zwS)Ri+$RZE{Iko{MADjQ#kKY(#c%EXClr4uY~4^{SiVx|>^MC~2`u(dhIyH3y5XjYvTo2 z)W8V#5O-6*BjF*A6dhkV=IlA0>3WD?MKI9QSC9u;FCPI*qc|+3uh>R{!P||iu4M(= zQAD94zl_YEd*!_9s~q%$-#i&5;GH&DKjo|lkVX^;wp!EZx5wF|*NNEe3u%roVs-QH zbM{dY2{QSRG#>AYo;TCJ!nC@Q2-whxQxK!#;#k9Z}Z8*PzYH(=Q?#2K&D|3pd+1Q0- zIa?>daH5TLnfyq77!3Hc9+D?8oNf07+zO9VER^EXeyB*Gbv$^V9vDkpCbTM@;?oN> zpQ%Ujt)X_V%hWo-Y8@Yo{>X5OVuzOwIK}G-2M_&liiLC$1o}wQHO`%^s;Y1gAF~yH zD-Kkx)BKY~!g%JO)=??FluYe9KnJeKst<7zz?>5Bp@it-ZpOY)DSCgQQg;4AWoX~k zf;OT9F~~zsDRnUcww*6MTslTxUF$Su+h6gLHwIi>YJ_=pk)8>$?XP%+Z4d4z$g8{b zl=A8#bQfmZU-2s2{)*f%c*WbUZ3k4yO}e!0myS4Pupt#e>AXA7yG-L5=VQ14S&}`Ss*?)!_qQ)Qim_@e1uP%vxtz&2h z|IC-U&U}GQPb{|yF!nbN2;1;l(j1yUmk8T1RWk0iKF)#Dt2LddM`}c~i}J+{+mHoH zEkS=|8?wZMeVqd&YySE4at;V85(N54B%9{ihClQbk{ADnzC1Jhp|7F59fjUX<@L{x zO6jKz0~OG~rocAT?AexAzKiXHAAwT`VIK|>X|T;Nx88l*8H7((clNoZ-+rDR0z%03 zYyF%<)Ws994>Oob-i~A+Rw?^X_0^-oKnMoA%RUd*eeRkr;zJ=8;zY!Uf=t9~0|jTH zL6M#fvk~LPQ)0w;$3(>&4wi}F0)izFp_S51yoSvI+(1#RLs%d0=U zk-lX@(E3mCEQ9)CisBgNi0n8(-#SO|MS5U{61YLmk<*A8kSkmPiDhn(p+SbJSYvrx zbHEU*bu}|6?G%Y|jxrU4)iyL?08_CRf1}I}B*v)%xH--fzSwK)!zHwer|Gr5KLqnPk^g8F8&Ov@1~$T_?U+errF#l6y*c>Yjm56%5W z4{R^QD(toS9>0evy^sjK1KN!JmpO`m3;dqbd5TzZ)FV-PL1crm6YZnX9~q3D;=x6J zvKKGzrI+8st1tpVpNV2~U4!wBG$GsHNNZu){)W2+98_);Ee%H^*igK<*W-^mvF;0h z6cIC1TZ%W4vH*U{o1=M+k|`xC~-tolCTwqz8L0VpVe9nCRlB)rOcI85Zc_hUcYq zSm}@T066|>i42f=5EIwJ^ngf3e)7d)e}~fuCzMLqjH?>>&OpXB53L8S)&nAptM!2E zNrVVw5lQ(Xm<4}FvB+4g?LyVp5a@LBEUmAV%M`rG7$gX>$xk_%150$f-y9ds+FfLD zvn~EOYL+Dy8CRppE}Zp9yYO$lLjga}R~m#};6=u3xgLLKCh<0qIB+#eI1(boGVv$R z-}&?&O(yD5d}~Oi>quOUwys8j@SR~7u11RoxBEMT3ukerhadjVWMc&c`bZQr@+JR# zije1@s{*?}pJF%?!knoU##v7kpVt&2c2hbM2l5K{vJ(Md{x0AHrI-yY)287!t3>y| zStS=4zj5>KNYkJe8H6njvcTV!x>yd=kj)g@LyL^7U6g6~_7Y(l1Z#s#gRylS>6sAI z@a-kM$O!5u*fi``6KjO-!c4=rm#9U?x0kSK`1X?a7a16>bu~_zhMoRyWXR7lzq%2- zEa^QEXhFhnFOiFkZ!gi?Qjr!JijKQH{%-zmMz6Q=MS37xnTEaoZm0Ju(~!U;B>J0E zscRap##>iATW79<5uB~}wPIRiTsYk&#WJxH3Jk zQg{w$Er0jZMcR3OejaI&WvH)f8m>NO#a-e)!`2wr7 zeqW16zb~1`n86E-R1(6=kNFd**=pS^?(_eL^UsJ4*Fzu*kA5TD~zit!Z3)eF@#u>zvt-#nn={8_%^a(z_q2T zDd>+3gVlOWjYnuOqGMZ||fY{H3%4+R;8%U-pti1cijRTwXx5+lYt9x>i1ry<;D0M)Fk zgQa1(Jiy~e$|l7x%1d>1q%;g}$MJZ}%L=@C;Iaap3warcZe_;-AqikrVtQbMv<;V2 z{fVa$7$KJx0ul=v?p^5V)G!XiEbrAcFvPk#lx24aEi10#(ineC)5{8A0OK$mf0N6K z%Y*#{+#IJ^R%{?~+>{>JB#gsLeY5<1P9IjDG8Bh&32R`DjcXkeu8y^?juF;jOhma% zqOLd7V!}_!jsjb>mj3`jvM0~cm2%f-Fc%Yp1@*@G#r87NLnuGy4O~46ZD%YdxY;70b1KPL06A}iJGinU)mTgjxDz~nB+4OupCrheE=+?6tEVp~X8HSl zRfjoHkK)^irXk_#RO@Ow`XkdYRXjKupCD@IM$*gQPtcDb&_^Ozo@*MCUWLmH9e#B( z3-qg#;|(1aXp0}zG>Uh+4WA)zI~C?+ui@NCk3R)`paeGo950b3H<(R?cwY@NZ2X;esGV@ z+2`!F)?RDvwbx#I63;(A+BO2A(G%@~1Y!$pgc#X;b7}(Fh#X}j{*b^X0tg+3h}fhP zdC=b&pRk26Jd0@Ggc^t`=VXr}%BRXc6zUOY`iRT=hXhep?;lWBq(qB3fO^mE8J4mR zlWgpFqa=on&OEmZq;dE|f^tUwkRVLM9}=9{WRwmCAhQ-sw(L|pHOByp1mC5t$}&u| zQ)^wbmD?anNNQ$Ig>ei+&h0$U?U|5*=XS2p+?fev7%&M=JkC&y=1>YU472b~8HVXL z%oP3C#8}j!*hM7jcSxWVY*43#BEbRg<6S_Umb9*l9^;{@r zk?ChZ+WgqYZCvv+Oj%*d4^?WvIT0 zHt-5;64G`%tu|5F(0GE#sgAbC8NG_-$d70Pitf3+oIfnF(;$kM^5|!$akPO^&@%~T zmt!Hmh5i%j@wePEBJeF|+$l67@KT;Dxrg%LOd-6KXW8i#YoNU>F74K);g>}W`Iki! z@-K_pFg*akRPVZF(cL@L%gA#~SvM7MA)h z@siu>mkO}2DW+=5Si|p!QUx;i9&ucT+gm-iw{WcC_Ler(F`r=XCzCLOBMk?1-M&8n zfE#uir8G@K&Yg5t!;?1c_He08XZtIRJR@71fdsKS}d-z#qL_tNb>>@<{1p%?1Y!lu+tV!7h#}BV%R~)B)r*)mHEw1G7|7+r|^m^3?gNfP6N*e z5+fF-X#hHVGz38l>n|PBmf__SjNs)H62Z$S!Vy$SuY~BOVs^A?efy=uunb28kI-1c zX*i@TLtQCb1{Q9JWiT9qXy1fchPqM_Y6yW7;xt_8qMYq0;FQy#eTKSH)wMe z+IFY`rS;q{mzLp5CwnaQ02_9)#Kw|kI3_Ivp=K7=mC8^8t9$PEs8d028l#tVnI%8OdBc9s_5o$Q(xh=-pt|W4(;h?k(S7-qZ z&s9Mw$Z4p+_rfw<=?28&zov#m4M#{BkNPh0S>x3Wd+c$w19dO@chopH>@kQjEW;_7 zo|Eh}oOI@FL~$A#b`y$YBIZELtuGzdRsDCET~+-`qJbbZ<}j4Cag3c!*-q$e@t@K> ziD>ym$U$TqYfs>i1Fzm`rio(6BHfPPQ?Mfhz&m1!s1b3vGTyQ$3hkdj5r<})DdxMx z2aJ-Cvh9hr!!@r6BBw^R5Y3%D@y+po2Mf{66UDAfv?r3jy^=^jdm?d?G0-D%?3806 z?jL6DOSpenDE0lrMgpE!+W929dny-Gkn zy{c`ClLtO3M+`Cd8x$HDdy1JU73ap1KBh%a~;zV8ghvCO_*t@jP^~aX_%%0 z4pBahFb!Gs5f}D?oZNh2VB5h4u*=izQKn&vWlwQLHH9SAN16r=HQ~ZQ(Vw`0In%&% zKP~zICLlA{rUIsfdWkiFkobapG68XQhCQWrgpM?b5^}mxSsc^Q+|%Q2PJ|RZ%_2y4 zC6{`_&56o1|80e80*2ysmNjJojnH%dx#l5k3&UoiYpSY{HHInwVHJ z3kjr&-FEnb-H4{@S6y~~?L?*WKbV6`^s1}cpvJKa%@aJ$*%oVccH1iXDhz>en-5^nfmt`+%BnCB1;%&&Kr8cScU?3dw&ALepr z99%HO5FTzIcwE*W=5`S5{2{oB6f+PhnkbkEFwE0jpgf0t_5w$03uxs_^8#ri46t(L ziviXMM4FIU6G|<6K?qnp`?7<5l%kc{3u?ug&*)SLW{|3tnH_4wbC~C8p6zL#<7u8N z;=@;Ha&Pl&wesc4K^1O5$zUPod)#=*%VirvD1$i}9^{b`! z!rB?iLd5@qMkrndN432&EW{E|^Wqq`5Q~jYMHIE%M=1J{k)Xwp!TwGl^5Op`4?ZErtvt&M({l)8iwvnU2SB&eqpnLfMiz64|_#%tSCoLbyfoju6Ce zt3w&}>XWPeEPE+iiK_#M&ud^M-uDH0jS!L5-(Fg)&)teAh@5JurxSK)UW@z)W8h3S zPxD&-Fxg%TeGA6W&tA%rNJc@=#Ib>fmGCbVR>HqfMhyM_g>BT~V2n9h5h;!!s8~iZ z$z{Zx6m2BlJEbuf39mR>@LZNk(G3G!h!@tJyLpsli5%Nh(GTvh0--tbmGVv_@WOB(A2}D{JR- zokR&=%~mlk<@b1}XbM&fF^ zy%H8;CH`y8q?lyTOZqt27aYuPL@>^NwswJzx4lhs3W9M4F@}-YQ2_{?}>K3Kf7gZA8wU5v+}KZCaZeOm}Pqbuzv_)U>2#KACO4 zO>0wwLpe0re2{F!*M~|Q@d7r}3q}0!v0)^{B&e$}t71U^>LT#@QgbqG6eY;FkyJrc);nMNX6 z8i`~XJoNjM!|SlHl9bz(JkacEltsz}SnYKX$U5RshBg!*l`xu*N+gz#O2Uy;Nr#2V zrqV98*As~0Fce}(=c~_Bh_d;FG87H{*if*LLkxuxqKx)Un4xIsCqk4Va6&p9S68YK zWfX9#!=Xcz4gFNlph3=1Z|K){h!UmsG#^ujV!6GMLPlR7%7WVnL(#&=J)jB`YGz?W zKN+HIz{Gc?kdqQEM5*|=!m>Bo8x5!kQEv1FdF>YQd6m7fR_vNercjiS(~Y`g7>ZM# z=Hs3V%_ls~CxvBLOCieUA=)Z`3TkXx1EsH#pmP9f-ulbaT2o4H|aCRXV!05kR#TcnH?leZ) z;t~CqEY)Icx3|>l8QBDov|xMm{!2?D^26$HfzfG6V`P|yDwMOXz3e6Mfb%77h!M+9`o~!p|bn(#!zh;xXFNd(47qM%+u0G8Js=# zc1LL2sr%A0P^;{1COWVyIs9T;57jJs03d z&&3{#?}dGMtFK)OGD-;#9A1|&~*+&J6t*N{+Y^H@1tb~kpn=a*wmc7CXtKk z!T={<-0f0Pzhc8V7Ac7Qi0QBl%t}ru+FVwCplC~Z$-cd15DYlB&T5C&JL6qRpj7Mt z^VYz09KSqPZN~Ukav>L1uqkz9O!`DsXwhn2HcS1Yw|1rXk@|pJzL57nJsBV4MKiAp zr`%pg%N%#=JE_cy93r3hYy{VY0?8X{x#VqKqKqJXCsnsvC^T0>%nBxPkxs6opI!PS zhWM+Vbvq0h#3vCu9P!$vS%4=vl4Wv47MMs;b@@pgd9xzE+WSbde$3|dRLvS$0TpMU zFWT6coN;(b8Nd$ak;9pIgEbC6Es1edi`b)7vlg!Hi?rn>`M5^i{J9q?7`^=Q%s*F~ zaQrW^x4f5`>B3>V*-mvF3{5}eR7c_q>E>Y5G5pnj*^)$-IMOzxY3Jb|X30`8z%XU^ z^>=6(0vfQhv#E@fWr!o3xT}UmWJSU_(OxQY2a%q zM>l1DauEz85cl;XlB*p;unEl)<6hCZS|zzkrA+Q_8nMx3I2xdhQvA1ZKpnTqO!vB7 zE*7#yrG@cFiymJ!no8&vX6dfjxGuy_YooN;D4GW|SEOJOFdLvjWZf;5FjSpKLl(Wx z1B8?BZfO?flhRN%A4@Nz*L>u~ZtUmO@bp{h_WoM2A3GNW`R-ywjjBqzQB|(Wt-rWk zk|j>kYDZ~IlrVEiqGSTa6GalTE)$J^ObiCBmBTlRiKrLgq~6icMgt!Yz2 zS}(Dc@LMC&C*WUpWF6}eVP` zS)z^RYN;r}q9txWX!Snd{CK8YHzdCr5QP*15+-`4q_REtqQUL21S#(H?Ehk`OhtkR z@Lz<&;a4kef{sdq4TIcv`K$DhyTuXSl+i{~V{CH-qTv-z@cBdBg(C90S zeF4p^IBiEavn2enPI2NMbYzwlK91-tpnJt0TvS6?E4H$NA!LA7d+q9__RV3u%L@^p zDeR=1!a_ESIP{YZfXLpa@9ME9Snw@)vtS}-ynUcn96_P%Kcbxcf&x9#r;fX}oy#qv z=lVm5Q3kq=7QhK~%aV(Nj}L7C1jW%?@4<{OhixTDW@Y1jjzovTFyhqFpx0Up#W;cK zA9ZNTJX48YkW|Czj*Oe9B~i6IB0Jj}Gm`CphADbu983S~R~g_bEb znJzDD%LL0VLl;e!Vk-LfQP?6BRbdc{x+Ib>f-Tna{MqRl?Xfnx=9peIi176~*ZG#4 zY4q7;wMTiNCR9Z2!=bw1i-GgPFece$`IWQNhqg!8i~XL^JE$VM&hft41bwm_gzZO{ z;WtZJ7r46-O5%(C+SH<6%&r*OQcbbT4BK4>i9k)e2vZS9UUMPo!dsd09_v2M;eHXIc%VSHCzXOE_#;2tl-02>O)y|+UXJ2j)*Z#gb?sF%Pwb2 zQLa0O4l^i(#CF00QQE*mg^h~+^94Du65v<@>UE_(DY}#oN$Q>;<;|jE9~2dPjx;(f zSG0Y1vHxT!a_#j=(R(95xjf4c!y`{gduuj@jV8I!SIj=ACMLc$!#-FiPMVQm;t33? z877Kpd#*QQm*$4~F3N@4pz6M~HW!Q?pnfZM3K8~vMzlVo3nsyz@}r@y9}ShQN1>L6 zEtye}o_c^8xijPNn)Kut!uZ)vVTjqM z;7_TNf^T%RgQOVUW^wa6g=Rzmr+t{TW{N8=2hKg+um@)!h6?Z$Skm!a z`*7{Ca1{`nR=t&Lu)Hl55F?&1shS)u`V0NMyzMlxsnx{WBMk zXcjQg2;ooti9t_3$Pwp50*7b=58ajYISu5LV1%F$h+#RAPKTP;ECFAls zv*f>*8$E^9e}U<9mOQln3sa>?6~b@FpO}hM(g1+Peneb#LcG4S57Ix=9$5B4{g%x^ zd+xr!dQ_q_n6N%Q<%>sKOn3jc3%%Y)XlC;*KPF9|OC;xdy7UJz#iW9sr^)Aec*Zx~ zt43E+)2fu~#KYF9QkqZj8ay6*CVVbnNQaS|>5_2gTlP_A*HO)eTf(teC7372& zp!k2DA^f&SoCh=PD(niFOg_ehlz5R{Q74WxWooGJazfN$1hljR6Ec(`$u16)l8nU$8UHX_G~IEY3-*lgQNZcR zowpWcU|bL@gonF@wARERg2;zRYeEg0$@uE@OShImW$vf>E2mzMk>vkdFUIF3Rj|ZC zcvkw*bdGiDj0TJlfZitpbXDssLiDn6aiaQn&7o{+NGg`Pef<4?&OcM>o`!-HvbcDME!gX`A zj6!HVCG9h!tZ{G44dLCW9pQ=)%9sy&3N?sD8D^j;?vOyYs*!DW;Sxn9LdIm*I$gi* z3Rcpkc7+T-uNG{=k~^9>Vo#$6a(X1QI3juXgksj+(#ojJ@;|?giM}Pc#kjEWtwQMO zO5n2WY0;n)^Pi|!e`7>ZEw*QEz)CPFy?Y`js#p!BXF8XIP8w0swRHsq8m9#MVn4%Z ztT6QV+)5=T!`$7X@)%WyT3fB!4mI(*4u%wsWB?tbJtbwU26G0#mE?o}Tu-6ltl#!@ z;k-zl3{}Zz6Ho-|vWTZT8+?B*sA>vrY;-YnWNHsdscTTqUzaiH&ICi~ZVk z*hwXghFIw2D!alcFoeNRm$-qdz@s6VwJ27{sCJYlSE=~o&&51WIu(Veovxupp3{tn> zd}{*1H#Ii8JwZ82Q4sN15TXx)=4c;Wh_9ltAgY*pme5A9xQoN#xkNv)c%#AMf0NS^ z;xTb1xx=#j#fuiKNqz5FE0!%>F>6>{awj@Jp=57)#_;6sQ@jg{%D3z& z-s%*!wJi#v}QAdvm7m_YIa0IPO+K5V8o=bL) z?_3WV5-x%WI;)WI=LbY0D$+6G%_t^f)zM?ZN#T^e*soVx!I7K{P^NmbTkK=C2ciXq zVZI|_z8MuJqQW9bW z4brdnSFvt~jCeh4ovtjuGX1(nv@u<(B+T@aV~}S$lYkj!v)nJKebYR*>@glYmklPi z6gn;}(DC%_CjjuDma7U}Ximq_SWKSiE69=4V+nxDUbuxf!rIx#$w|qJ8u3=;MvLND z0&3{6e(*b~Dgr@Pl6~$x;d7VT$0a_VC(qozK)M@I;E=X%7*BM<*IrSt!@rL6*UT59 zamGowN@&@9j#imy-J1H|N!9Z0)<*sFf~pZ7i!|!lj)Luieu*=BrG5?J5|hcGor{&n z6WywJ_%B%X2u8JG)fJyUmsRhT`re7ChW)r47ve&OFiojQ^odj$7Bc$Ow<5J6w8;js zU|D5bP4+9o9Tu(L8Up$5bEsd3PAcFAIYF-6`;LRnZq|BUSH~a$1OFMbdaJ5WG7sy zw?d{aN+kvvvRXZ1eu4#i+lw5tS%Ah8u}|b%5hJ-WFb1#>Tg46r?F?~Zc!Me|VEQ_r z>m{4+{q~8vuH1A-!isLF%qgV%L18`%?0|j3ifp|S zV6#HXtt!kD+VFDwgx0KEx-~c~HCwjhN=ogt9>hWMkck=`iW(GaT@QfZVB3_nT) zlUVmctyUz(%4l)#5U-gYVYGe|+0l?zi>;Jnw1mSUt=18yHHy`0e;G$qtA!r9X_N3| zA2+)6$IaI?O0Km`44B{Agqk;6_>tJA$b3y-(lVj-v_SM+2fD;tbnV2~&UD+Qm97bN z>B_0y`0C;0L`v??w>>zyCnfZHj5R>heZlEem8vgqvQO4^AIge}UdYdA%ec$bK~hV` zjfG$1vCwoJEoy8T=j>Y%ZMo4#TF5kGIUJPg*WC?do&oyd{~^=-x*0_|o5E7Mgn&!S z1b@okpK|^EDcOYkQ~dsX7k=`&9fZChA3lVd^o@@8sk*+dlrI?=IoZ&D!!>A`&<<4e z0h69B+Fi1>s2mYy@7(+aUS3hlQbw(o#<=nPCH zXraxttCBlzE#6jiaCbR9_w;TpE-Tr-*K5oShc{qyA$jeXeWm4N$}0BmD=n)i8*^w& zS>~Q2V-{zQ%Njdrbk^j|4C7D_MdS|dQN$Z6yX=j6xUlRS($FV3W6l0P< z<@_?&`DIdGSU@_nYP6?il4nQD6zm>0leO*jgnFw^oH&h$3xJBHov+jCIi+y^DxY8> z08bb|5L%`HKGxK}-ld*u*6UsHOQ_V8c3S{a#VN>+nsqZM4a(zjV?Bu=wPkVyr8%JV z{g%m|mZ_jLM^Ktr@2Ycltpuqwi@f@E8oE-HKKyKP2&Iz&e<~!4&ph=syZ&_#`QUe*KyXv>q+&5qECISO6bRY?14nSyL<|j{*-+R<{>zf zEJZMfueo%a_XrFAdQalYjQ#awZHANx=3s;Bho8j?qmDqMJ;#H6os#gykW2M$tKRK9 z*IN`nz204yqPKOBV9c5M_r)ww3c>=&e5`4kD~5Nz(J4J8HJ<&a*N5WJQhUBj?&9^0 zc;EmMct?G}CSH9QOEJKN2+=-Y)g=b*Uom9*&t` zUpA4;0U-q9X18OPQ{OukO4)y_jr4b6BMIfA@$=V5)2}`rPaHS+MuL#e*tVr(%x`x8 zoeCyHI;AX>aa5ZGXAo5vdP6cDXYxfflBf^wKOLcTgHXKO-~)4|RC%EeBoxzfo)t35 zc>E-x+(E+?+~5jsQ0npD*>L%3*9HXNgiOX!JsD>R#Us*jlEv#2=-r6=jwCyJp2!w3 zgYM`}MtvL`LQ3$_Hv@k|mwGq(Nqy+jmo7Gv7TV1Jg{Ol<|@(FBmZ%n5L>$rZ1t ze#<=e@=CUXIrW{a`cA%c{e=};zZJ6N6@ST&kE?&vjv0K*9K zQ^MT?!U)QD@rD&-(sBT44vgR`LXko!R!bvjX>igADuBWW%D0!K6_iGBRj3gZrVuFR zk(}mP^Jzv!&B6U*%1}Tz^roP`GrysPCG=*>CA!piqIxxeF3EHmNS72;vmo{YokeVXsjR-+ zO6@EB`|4PvKxE4Qx*!%*!`*0^2~xjKn;Yc&g84G5$JssV`L$tL|6PO@C~L0DTHp&7 z$gGLj3`lM~<$nRYPK2QSyA7(5ZJDL=&h`ao%e>uj{He_Qi)X{K{zMNuwiKwWb9})$ zGHZ`DI_ulmRyPFe_r`0ib5z#3z91j02tM^(tFtzxhGo6oRcD>2vd;4b=Si%+*6FM- zjSkED_j7etI*OQCJl_|bFSGVuue1JkSyvtV}TCTD# z@&y;ktk_0J3HJSPtc{LzR;sLveZj>tYk%x1r1Ys8M>B;;*1uX)yh`O=;tMX3c?V#h z7@7A+m~$AC^}%GFmG)R<@htTPmr~Z3^XrrS%XQv&p~f%g8@1iXlK0j$jdz2}i*Ctsi8saX)Y|{y3Crt$zD#G{q%yDY1y{(-seY&4 zK5WH^b_nMCy>({lzjBFJ`hqJd^S=+M_g3%FRKGhj7D+~lf8r?Q7M1r|Uyx7Ndu3Vu zApbs{_a9LGUcn48ZEOBHQR6LEc~|*@s|YXh4)&Kic`+?GB=1KXb>8hN?`mIgHRb*G zocbYE={oOUtcgXEf%nZdA}_jlCCIC?uki)f$n0rV=%q^q`2kMw2+966xw;tHcNy8& z`htAg8?dKW4b#~J6T-58McON5r*l0N_I1ACI*EN~Rff*~@PB*5^8bHgDJ;bZ_8Ixt z`+|J18_*A{8m{yI_0+KJ4vvvN%M*c!yuu$e7 zS(T~t|2#V^fBkZuy~4;|#2_Np_P^`lNN)A_#{A4_S5?p&5VD%9{}$*BkT?k(C= zyfu@8LS-d;N^ubU?tNQ!ZQ5E?IwWJoaIQ(4eZkEPyUtsmdAdx)emxPe>%0c+7IoOQ zr{zTQ;1JlDII+1Hfv@6C+i`1x-{K2yVen@+*N;B!SmJ+xji@@i*#Q4{V?^0O`l(RT z31<1eNYc0Zf_zLF;M9*f?U-uJf)9iJuQD7I<9XNh`Cu3Og2hbtZw}UHorO;)MgHXO{CkNn)_HNw=mdmId^Ld*uxQzk+&u8n$p2e_$1o)R&P*e3k zX-=B*alY)pixcPX79ZJBbg-ilk(_8{)`1^Ill>MxhqPdJM`g(V|DfE`7eLF1?0wP3nB z2TJcai~uQ3^#QR=;x1os7m-+P&#j+$)^P*h&j3Jst^x2DTQxvWy9d}a+}*z5ZiZXG zr`~sVizf8>EWoX&ow=37|5n$mce^`;nkQc~z&*a;9tL=^Tm7W7yEVX@1ps)FI`Imi zK7ZQtZqLXQF&WriUvMu2`)X4CZjL^)KI?zQ&Ig&4+E+^i-#CFFxmkk46e)2Ho{Jwa;j%AIt^Rpxc0|w+r{YJ48Sw;Y94B%TN#bf`=ID*E8yKYgao^ zM*`~CGYqKC2E(3r)1R;nDuX`k3m#_BuT8GctKFhOzes1XzBbtat#=XiygRJz4G$UU z5nqsxy{f*vv3^!vq6YdG@Jx_q0M+{rdfpxG@HS#F%}ttG;qRARz|FR7LkIlr7w;L>_N(Eo^-Shb0PIDdl@}jDYkB~Uba~O{qO#&vtDcXeIED{+&rxl| zcb9BFP;{VT)0S=9TkHAg2^?^hTu4A@gh_qZ24QU^KapM#JjWsy;8?8WXa6*0L|!Cc zRO)b`;BB){0z==jy`^QF6q?ONdv|Txeqi6h($@NUmOs;SFr!mw;ba{1Wl& ziC-W5`r((1Un+is@k_@q1HX~@W#Tsmzp?m@$8Q3DKKv$E&3bp1xH}k$kcMEa1fNqxJW-;BY#LY5>um*Q4DQN?4Rx^Ym+^wUeV%%(G2qm~H zqNF{z*-AJ2akGOVl;dtEB^}1iZia9acY7)61a9^*gj2ZNPf7k5+?DfP9PSP?41YXs zk8)xc+?`-3{%%#XYAiP{E(YQ6f!kPmcJr5gaMxijJ$K~i{sb zMmLzBXW*G%rwep>cpgUJG6cHWxE(>yBL%woxXq;J(VTq|?y?x&SbkoH=kW|Lo1dS> z^F&7H-m{P57onU6F-yai|BDPqpKp>Z>8sAMps3$-$u{d1v-*_2|crBR*~p;GrBzt zjzqtY(UtNuiT(hiE8}Mp{XxopNT4IxAED<8fsSNh$o@=MdhRCBLH1`7>AAZ=2ic$LNzc7F zJ4An`H>2yr&k+5YB#{$!`3$RX)mGdki|pHRo5Ej0>Sw%shl+h>h`?To+o7CThC89H zRgm|Y5u8|oyHNtraon=DR-t;I$)eZKLC|N$Goa^Czt2qMM6!U-kqLZ`Y~XX<=+d1o zz39@HF8%2;kS>GhLU!=E;dCKO_#BzS=g1a5N5=3uvWCx*Ied=n;d7JeGL~sNW2yVIh zRcGLCA-~SV-AVxnT6lH?C;D(FG{1TpZds|T(FUA7C;&mh&N7+R&s5E-Wu>cLLS!wc z%d>Q$B3G}c%O<*PrVEw3dIw#0(S-_My_YWg=~7OYLv%Sxmy@`hcF~1MI^B^jB;wN~ z;?pGJ)6~$N?m?H{bV;Ji0J@~mh2(rXjV{CJGJ-Cn=rWovB->rYf- zj7}WedRZmLa^igYbXcoObl2-o2dczTt~j08v(>j&V(Oq1JGJ_nWMW5^cZB8QIW%?VM55_ZkwWk4qG`IcN+phRCFuMM9h$o8#90na-E`t)hbE6s9OKZG zs1jeDT9u1xl&Z(SC2d8O4W(wPPx1~ako>hK{|1(Q?9|BXaoIMm#K1%at+a+<~r!p zbYhl+K3ylKI_QV$#BL7yVJh+B2?u=!ClXsP?pEks!?ma{ZcvG%T*PaxXBQVZG>z1W zQyrR!ed5az4o#Ulv7bZJXq_1E&@_eNkl2bRvy9)~eNS zGN*PHsapM}FaW~!QeOquHPyj2NF|PPP1CqWIAxu#6URGcouLz_IrwKH(Q~Iu82%he z{mPxOB3ZIvlq*+5C~(S^N8i@%$EOWL9JsS6^@V;SRhKKD;ntOjWC?eLtALX4jFYJ= zWPP2@fRBq*Er&V$?Rer1@G0rcbmZ%P93L zcP2>?f%lYG;GF8#Gf&ot!sWIGe;8zJ0^3Z~r(@ z-f9@L+~mK+;n%_Ko`y3aJZ`k#?<{P^T^!vlqPq@sx41QNwafJxXo!6?uB+caA|}RP zwQF&Am)rW@@Lrw#Cwuu%V(st+E3WJ1b>iI$kACSt*;~9+s0%GjF1U|Z4p4pGU=omgXb++rXA}a%MV*z*ga(_RUtJQL^eoV2j5lD{1 z-;s0z5Y0CNp08l`DF0E9a=E>MjB$N4R^nQ0J?gOfaR-{ozL;>~qzA^)arNVlfSoBG z~j#>(u*MkJXQRAlsznz7>=HVpnTVx689D zuXTZE*K*&a-yLu5>H49|vlG5wipRUt&*z`)tjcetHsgE4t?b8}ANABcTgm8F3S@~Z zA3l1PV#&lYG0qK!`BUCHWVt(jZ}_90S<&;YJmci65wi($kZ;PPp1w)%jB4H5Glmxt zLN+1jmKf;%x(h2qPHT*>*QAG!a$9@G#&~v0rpR1UiHuwlnH$+wKkjMuqzij`E}2CsYJ?-cy)O>&xwn?CqE4S)Nps9qeLc5?Hnm*c^&yMKrak*ZO# zR-C^_b8_tIg_aAo#ER?qn?tcRJ(l495?-Z#ds}R6@4;MzI&1MDSDb}21v*)0_*;MK z)zz`JVl)SDt-1{qR`zwdX){0e< zc%8AW`g3y_^*L9Who_=UVKZ<=}DA+mDN4{ok6W5fy|Z`o(Cx z7i&U+NW2E3L<#)CM!a62N)e;7=g8Ohm*Dk6F@~wLgG2K5?R9v)NHb97)(pH+f%jsP zJ#t&>y(pzW^)D^FUZRk@)$2+L{eNwb_5b{sEShU^Z>MN3=Ha(XV*RaqM0VHW1B!E+#RAaUHMvVyiABK@<4f7e=*e7Unv5;u+7M_i9x%E-{eA6Z^kh zsNcmrD>H(5{LcTpuT`_I#Dt2Bf9%w%`PNgtgW_?{8_61~HDA62)A-%Phb8)@TNFC6 z6IjMCuRiOPY@rtu<7)zzxt?if4Zy{W{Ak_QQi5G z>jK|*efS7%KpHuMBN=B^y#M63M9Y6Nm93-l_Aux6uZn1~&tGkZTi0|@E?KU0!Hf7f zX}HVES+d;M#6!FO*ubKNF#}^fANTR}`nd1?G^+^)HrD0B40pdfuxNFxKc+C&9~W3; z1s3JS`a6u2AG^iJJCH=5cH|GN#ekn1>yHmC;*0NV5=*DRqD5kr(fzb@+hSw=o#2_= zPveXGOQYG6dNFDaDn9P(!ZItr3;zL={JD>lTxb(qttiT&<@s~OW^h<*^G!P8mHx0Z zK{vv`!lrc(ANOOJmMgYthZXC}#h<`pzDT!6XHWQMGI>nl*@b_G>?O1#NK=Uw!2DclC^I?AY8-d-w=*AOHq`1-uKm(*&K4WqMq(pYYP1PnMvp0~Wii z+}KZ+2A1%}3M>I9KUs#qtMOUvC(8p%C{3KpARsFYvcx8aJI^qNTi)1DRv^xYYhcN` zNZP!DI5Ve1+e&L0&jYWX3DjJ?942N_>?ex?OK!E2Fb04fpDZF_9FZ)@9m$KzL1EHY zF_{K`zdBc7`Rd&2C>+>Ii=0?10~)+6 z|5xW~@J8_=F%-Wfuv8UaXOo3DvN>hnsmh)Qq+Iq{OvaYjPqqY>R&v<~QrWjq*(;Vi za(QPq7y6yqydls1w0CBQQw5n+Fi{nSfn|y+olWp6(a82=F@q*2_LHNEs{Ft*CaS;+ zECX|n;D2BlDdN$K;N~Cs7$b20!U?A3!U;Z}ik{_)?|&KX{_#@TA9zJf6>}jQEi?IJ z$G~zcU=&CKNw{!AYBGROR#dDz&s2%Z8vDn1D$yU~1Itx`G;YZU!Y#Au0{yX*D$s18 zX;k*74GPJy2|KWQa6oUe>0eKfw-fV>a9hf`7lfF8o~@w8IEz8j)5EazhR^2WA8GW z2!(Gx#N4z`ak#y*zHt}jVVPhYSa>3^Vs-4N6}MtPJt^~sGULOnNIJD}A6S+|Ls%Z5 zv*n<9N6z|X_y=M?Jpk~K^>J*)-gKPi>^#^VSXtdmOxU`gb`fjVADHvCzLzaHF18m! zNiHUEfi5OU9le+kscjE-TW*JQVL2`)IGmH};EjE-hs^zhJyu{P+olJ511n=6?8W2i z*ay4tKd_R>K7mH=%A~-vbbr!XwZ@L!ll%4!k5DcZ>PX2_=8QS$Sb^I@fBMa;2!(r;u_0W_hU5hl^+jtv^m!QRx+Bq z^GVBn=aZg>}9k)+jb?@icG?PeSdsIemhs zwnW;HZ)0*FSo!S?!OFyMJ9VITsx)2x8(COH`E5Zj7@6g)p1`8*&>j z0zqpV;YZ$pSyQIW{p)26tXUW+9T%O(Z)eQa)h2{S-+5m4NrmhhD6EBRO`oit<@cnushjR^e9jWAG8oz-X7M9uRC?b zC&@86-qiX}`z6Ot@vdvTK*=#1yi}<5kfQ|%zZ=}z3m`hX079s0SAYa`YxQIP3$Oo1 zHvIyHbeo0W1j`C+Li^RQ)t~Y|%F$t}nm{7eH3-s2y&-KNU7+*nWv3$U?J=^w2yEhZ z{Y-aL6O+PJ@!J{lNZ0#m@rIZulPV4%P284xfTChIrdoj_R>kQwv)E0z6QPQjUhKai z_OM|Z!c@`F#KI@5VyGr2sOl0@TYjRe%Vh9&098#=y2LaZ9OUyjH~`@ZR^c^8-i~i|hog zil6rXoSL}Fa~XvKyP%4I(ADK}D^*NH0>DEPi=c8vq<_=tCvGR>b_S^;r6YEFBPXzl z?gE>z23Dlx2`%gMf~jU&%cMsfB0?>}JPbIHb(@uH{fmeGVhMP|mKdlWt@3Wzo*a{b z2JJ?^71)e4Eb)ewfRWun6}DmfuvlEQGTtqZr$dAMH_#{1b>Yq7!ukO0j%1e??ZFLr z-&^Q!L0}7&pa8!)w*)*!=^9RN=Kv*>HaBIku4>qz!heGnO{o5RsM(FX^+n9C)b+^v zcsZKc&4cigm9F;;Y_6H^N|7F?()FGOVV_smHxG+V?mc3}2ygE0-QLmz*b^4Jz?L1{ zvc*f>A4$6dEi1^)U$be&;!OpM^08xVQF-wwZ`nR?@!qYpkq}#3FCbtKE!ghFr)Ip* zxnzymoY(q>s4>a1#-R9iH)~uJ(3Bw*R zW>n&{){S@o?zDV4_2Ng7v5TX;-n$3xt-puWss5X#MzKFA5&R15fYfg8tHfo$ybrsj zhU?~D{0<0#-z*DIL2%_ayKrC5F2(l1)*SkFQ)^%=gngp}cNIF)Z9H8%Jx2c^g@oU* zN6PVo63}&Ri`o4T7ydO!vV`*NQVJt7Z8Zwx2)|(u;B7??>SUyfjh-N`4@#uCJ}8k5 z><1-UT>r0FsEauAwVmkIH0{zB@_=tYoj%@*?{#)XA473f~jbytXT_ zQ(P^A9oPx(T;r51)S_$qbbR^)Y>$lY&Icv32W}8&g5PI=M+nA$tu%mWa|zXqhTS0? z#THX~Y1l8tI58C54V?g*48cI-oA}#e3^(Ud1Qb;#AGQ|9s2bK#;zpV0$J_$?gPcyJMsrSK zJ)^V!hsa8DIWA@*5QinBBelSZK3qFa;yT_wo@jmhYbP*mqGqR%KR}!S2&kd=3^`}} zs`8O^Qko(tBy~!!oiqg1A~uM^=!Sm^xYSCot-vnIT&ldPX!+hK(t_DXlv5_`x|)h? zmcLSIOOj9pj)N^z>;OIV=@*LJ!33Nx}P%-u2k_B^xeSrG8u7! z3dKlBGGKnCIQ~L0Wn(tRJBoDOjS8#uK}2C{(gS;#8AAhmxNBQ8R6OGR1^;zu#Pztq zZn_KXRtX)*%wC6ey51pBf?jZm6SmgsEVMt-C{vR-RT$cTox|_=qqsUEr01bwL{ ze|x=)Rm#5A^#s#GTu(48x7m|@KShKk%$Q0S8B@U_3o9@Z(Hbt$C*b#GpI4hJ)eH}m z)O1#zSF&cL#lsyfroL;fs1zNOO}rFkn2_9Ud3n(R-q(}eaR^D#`!xElwFT@)WkFJT zt?Y?^?R<)G#ffmcJHjY2s`IM)t_ji;&w0cq-FH5PJn<5{#}vmEPZqAYSsoQR$&M(K zZ^Zc&a-vJ@o;r+DGaS$8oR*;TN(~3;RRaCQZI?pacjY%|p00Nnho5nsVTCtryKXz5 zPZg~K=~;I$@{@81e)Rn`H9t?ATqCn#p*ORTD07cCD!8fBM$PJBQ67{*57J6KHt=X7 z-`U_0*%Kz(-&vXH>pcMimSPAQ*#l&uulF)cEp=e8_lh*p(n8OLiN4;;3hbraW!gT* z)6R^c`3w_%K<3HMVG~_OCi?skWuZp}_SSTn&8jU-G(eGZW5igyx-ii~w`0-0FB2x3 zEOc3WluHv$7Wx1WTGnKOaMFNY^b71|G^35AoM;yMdY?eaEo8u-aL#{FqAjZ-VJ+aK zFabvw)OVflNy3<{-X0!9!a83+2>8-wE6z&+K!E5cB%DSvDrX7z*OKA@$o2l!ZAzE~ z+}{f9;}-m2cs?VW6fn1dhh zfKflANjp7E+U>Zu7+LjSPbX=oN0oMD+h*8-p`q1zC?F)FKBtqk53#g|Ia0%6&Hn4d z43@PN1tGGab9#y zw$X0J8TGm0u#k2Sl6HF(V4Am}9QFAS3w8o%Ac+m5U!auJCYotK3zasS7$VYtof=cI zH9buI8SWcgH`>y;4^Ih9?k6|c-&C^^0M+v3H0(lY9CND;Mw0e9l-KUW)ct2NbyXfg zBdq@GBOp}aA6_5Hx```}?ekwBGZG#1Ut(zP`WOrH788e~wK&>Nd~>PT`7ej_L@2dk zlMJQatO?4F(CT)TGn=T-j-u2C9!;9rNKKG7Oqwu=mKv#{q|`@PsV5qy9-9XFuTKoo zY9TP8)Z9ox5%yE=3a!-fbb5DaK1Zt?j?gRQk&RRZH&R2DQcnu(uko0T6hM(}nH1fG zc^fL6MyjGc$_*2CM3}3|AcC}dBK-pU8O;qmKS;W)2Vxm zNhY|1%)eka_xj8T^^EkX4cj@B)N^M0c%pT4ujhoSXO2+MVcbX_W&O<6dUhAv;rXxU zMyjXL&Apy$1rCsU9y639BA=n2M~QOEB#iDc(ajyE^mAI^KuveU=mHd}r#PaHWllD? zuc#&H<{l&UoZGOQjP5Z_d3+@4hJGGpkdV>=l6Ni>6ga?%Gh`a2UkEk2&syg*P?Luv zP%W$>_bq>%Ea!ZDP;yCGM6Dga@6L3RvWY5(EZz@FC=YR#MsL1H=K6cX2Hp&4SQ8|~Tv84Fo{^ zSb-97a11z@6~BBrZpY#_dpV|hQZ4I1_4*bCJMR_BnIDEvsu_BJkgjg)WT$*);D~52 za{@F~(SLnC@qppwD0swd%*;^+!|d&zTN`Bxfk$?C3sx75nZI)365si3rJs2Tfg?3N zna_+vpvbsaB=j`Tz+#Lfgaf3$?8M~$OXlV-FYp$up0~ogc#)SdVF+sJ$^ryH{3)R9 zsf{qz_2z!9+X@^ZnXRXvxLu3e4S^#R{Jk#k_3dvc5HpT=x+Q&r@OApUQ~exzh` zw_52bTJV%J@m`@IajQWhBB4SV+1g4H3rXq1u$Wy}!!z1yCC45(xVMrOtr(GjFk&?n zItZA1-%gzAlXMwm`fg9H#KqQzjT(ehe+?tDwUOK+X3?)V^kPm+eGM=6yW^%r(0RPaxe|Y_xi7I zzeSe--*3h34rU&aKL?Wlvh=j42*22AevMe%PMQ_%zrM3u80X`KuI@BAVhnex5SI+e zW$`>G9ZPy<-jXOGxyZUE$-n_uAOK_RT1vAaCG0v04PuoQ!kOL6pv5p{CR#FMlatdh z2D)$a&f+cQCHwZ4c@GqolofBqu;;Fl(o#Kc4jHLZJdHqI-4K=$1{W)2pf3CTI2ouz zaqM0Q)L|6^CLzHwP=UH`F`h~};fd-1IDkN1Ilk`g1nLHc1?s?D6{wr^IZNtWaef?y z?<(v*PWWy%hQ0|Y!gu_KWI#VWQ7Ue!=e{dY5vagas*+{ajm~)96Q}_F*2{71S7L%{ zMgDBh%W)Vc45{zh)*UotrrTXn*$=g$a>fy6?Ns*nS>@1-Z8GOb7&lKakr$)2}p zPUtL~S$PnxD#lZ>4C7d|w^3JM8FuhgNM#vN5W6odLxO1;cq(L~o=wA+;h)$v;OivE zGNcI05QV$SKum4BjF_JzZ9+e?3D7!bVT4VnAkP~%0Mv_4hE|1pg^|kWHX|3$$&}XS?E+cGtlRKxucGWfFwGc$taU95_wUoOit$$ zBoSyVv^z>h|2X{uU_B)+Hqv&4c0S3hoDhRLtPxN46%DTyC)G|-UYY;;Nz`U>kQ+lX zd@{T`#=_E2q{mI2U9ql#fwa}nh#J@g6z#CLmC@;-{69J%ihQwtT)+a7 zJH{Cr-GK@g`x4Rq7a}Q+yVQIaIE>0OVG@trHsbs=QhiCTmwU;ZQE?hO83J=UH&D+) z?x>Kn+1NRfVfQvm5$Zn1_cqJkiNAINo01&LY0)xLno^S*QfQb24MFwF;-f<7!VoGE zR%q-JI3})E;24pW@Worn#sqF98@oodlJ}S!T^(+izKm*KLR!ggvgd-?p0rZni?)y; z#dm&!vLwp_$7j54(qM2VuUCVIKAb8p}Rr4{+MSRM8HGl|r?F(^KDgFq2qA9`G?c*_nM^ zvkVCU3J>@geBNWI28~_m$Ek}A1>+8Rn1aD=imG7UWEf}#w2N%$%D07fdFZUId-DO` z(^@zhE3z%?;os(PeMmGXZ%2-2I3Awko;4;4#p@p4V-|Xcy$l^m8}6Cv@yLD~`_P(i z^A;8?UipP*Q-Bi0{Iue&g5rs@E{(3+DuWv6ot{k*hME*FFF8dx+baY)-c`9PX3foC zJO@#!CG)U&23n~~@l?FC`K*+fU;(X^6D*WgqAd2nXcH`YITI{cE0t2F7!xeKVOlAQ zqqH*jiN(a63#qcHPN!`ZX{=INp|RbeQQcW%Y1PO?F-gg2{d9Z>`o^6}%I%I|I1o5TU%bdz%x0&!i!T+Jm-ea93kS7a9Cse}cj9C9?KrgRjZ* zN_j9M+nhqv!-sMa)lqFk95Mr?;-4Zjo#Oj_Y*=6J-kks%>x7bp?HN3jnYC zIQlIUsRcN04{}<7L87ijFN~~miyq8MeNaG*qK4qOJ=j?eP_qUJfX&MR_=dztKaq^P zp7`~{6Mco-9{BYSz*lbU;UpwivQ{NWH_6F{a+|(DaD#}d!z5QOw1ir8Mu%D2Ke)-- zwyuY59jHPYACyQ(nJhllSaUofAdesGm~4PT#BvUu`S%o~_;f%SE%M{GGx-+yku2^p z!M!mxKuhZVjf1{;Sh8`DsAhvBVnm{S8*FHESRFH}mBa z0Ef+l)~$`b4059RRibuNt(x~lyZ@A%H#(2a><&@l1WZj(rMnx z@pYP0fHkvm}m&X8*#P}Q z!HOe0Xyc$O<3tCIs!}KX#=|p`lUo`ma1;txdP$S~8Yhxs(NjErzUa3&Lf(I!zL_H8 zmzbb~W{;{2RmQ3Btq&ZcFP_E2bb0GF?&+4pek*Y|lfJ~{&Bok7iI}KMpU$F(3^nDg zu>e!v24i92ISe^B7Knv~Y_ZvJM;pC)eu-GOh|%4~0?fyf%Ww|A7r9a`vPpVgIIu*> zN~jR61SsUC0Mt0GqR>qH$nOstr{**?t`c=^b@&p5XwyGl6Pw81$^n|yXwD;MbsCd^ z^jL?puB>rQ*sQr|jCrlgJsr?oV*$|`%z0zmx=uQl@jPp(ah*}~3@`WZPw5_mKi`TI zBcUD9We_ui^um_y5ZMsrtLjlQW4Wr?5mfn8A1w8!C=5@d#=g*tYb<8^&>ZkaY^>6_ zPTbPtra*~|C>I${wZE|_r06pIj^;#BW?Dc+y1A$ax?7W`%C4M*5(B7d$rOOp2g@7` zPo?brMFS$sUW5V{iLw{swwP*|n2X%F;}%UuZrnl3s{D;5e?pI`L}>ZWMIn4_WBvvL z(2?F+2dEH6w!g4@R)P+aR=Qnae0qd?wkwqLto{f*ON`WbtVm7*ZW@gh;Xmw?voLqX z%4G!$)}a1_-lrFZctK3nfKYT4LM^ER_4cT!QHT`nrO{$1L?Psws!YdAOBYRuER<|K z-gvOQost0zd21#1Xd^7W3kjq#w62Q&LLo3Sqj9H5#oa3WKq;GyeF@lIvjN*xi%A;i zlkg5Jc`Ic`EdOiVPkKgbb@)$+cO4dLb)+Fgt&SM-G`+phfejJNaMWsBsNGhpJ}ww5 z4UM4IVN3XMl;?M=-- zv~0Z6suAP)5*;_2Jx!_?a)DbTBp#6NWw|Veu7k2tdEM4 z(HWg@pm-i-k_#11@Ir+Vs^hsYaI$8Ym^ID77#2Cmbk3=o`EM-0@iv^$qw=6Z?qu^p zNfa8K(f9@|7blpdAcHDCjZhv7oaD6qMpD>P1*+Z0w?#TQ!cQ_~!&5>h9!IR)X&1){y`+JJE2ecrva;1X$?N|b@h4m@qq$km8vLfX zFs+QPU0REq;-Hqeuo-OX5Nd{^<-I>hqAA`AoTBWf z%nA{a(WnquaL<`!g*e3(Vx+1NWr0&Q!$T?rV3G3DdEQYg#3`pjoNAYNqe7e%6#_do zoI-_YLZ7H9K5&Zh95j+5Dg+U7=yO#GXHi5`Cvy39ooMQeIx!-oPBe8!+0+t=@8`=p z5vGkwKg@>BK+@URRy863=1gQVa&+O{Dw?`L35W;iLN;NUHJ&O(MQXxAYoy@{L(tT1 zTw8{~oG0ZB%QbZ)Lx6SVBv^lw2ZbIPT4;|U(7zLt#k2H|s1ZeQVt57)k3~IzO0sF_ zb2cSLZH$afJ9H!AtgvY6%}e;2dIQi!Drled3E>qpat6?uJk=3w>Z9s$dqJ8X#Tehi z#UedA@;Ty_2A;ka*62!ZCV`uys$2uHG6<^|)qXBdCR=tyb5P7At21qPi8-N7NhJQh z`1ND{IhxuZXfi{E*544?150XZY&d3Ny-iIuF!#6I?m|M@2fqQr<7`U#;^D5Q6!s#U zQaP;5J$Eu!sSbrM3U8h`PXwZOBrU)XOb`&%a$P=J~D)e9`>7qzHDbpxDY>i>0>l4XrqoEoi z8Y*nqQ)0=cDUF*ZTnTM5e(B`NsD^7Ozr~g8`LHkAa19G-xH3?&vqEhkcK+2I{1Y3l z3~so%y~+H7?M>zvY;Q7+c4PEylxD2lE3RpDc)->0k9A9FY~zLup@u%1llxj1vC~ox zSU(y;^>A57&+}cb!IWo=5cZg;buu!fYc!Bqq9I2V-*NmS&462%%xIIximcd^*WTG53T|R4WLH^1G z1r+suvc;^Ox=GjmTpBrLrjXd&U`w{y_Hd#>5PV8uZ4vg4Ku(_J+F>!ty_avvHW<*())48U-@_xlEFmw+=@?HS%(*vz%+&~A^*u{v>r0TQuBlgm6%4c<+e31@%YNCV!_Jw?O$1W@z@@wni<2; zVKDDKJA@O`_=*+ONFU1AVSLS?gyH<*2u>KuS8VW!^h~;p=9gpWHj6G}=`xNk#B@Ci1QCGo1Hvqca`c`tfLLa&8W=F2?c}wpmmOQ0MMtq5|CXI{Fr8?tJRyeQ&+U z1ncqfn2UP{ryEm-S<8gMV`na`|UiMnxf=tVc(U8FTDoR9&J8$1IR;v5v>=5Q1Vz8uxgA~gey`6t;JNwgEg zxH$^$=KS>35dN8asmc8YbYvvy9%jWbbYT(iW#(&Mgn)%mT$XC9O>$&IZE(S9=x=7C zxl+VMK#TTy&Hl$@{x5HD0$$Z|rHgKj2oM}G3pl`G z5E2H27$rb}M&?15#5`y`fIvt>17L$e5+ue9!R;jW-inU{e7W!I+vz0T{hpkpb7O=J zj&qaSrsDw4bNB7E{c_W}$rp}n(-Zi7BznLY3&`uD1s#bi0fK(C$`#*F6>fqT$x_rG&beu^>X;ul<$SWBj2#pq(Iw5=R zV%{|)eI(&SvA@bg+d}}O0N}e*=DAZY0sgrJ0M`c_C3JKwkabESh*YNRCeNMC65?kg z3Bug@>pSAa-iP)OrtD~{)K<@(Z4%%XZM}8fNDWNrcvkGx4AKszGRBnc@Z8ys-3!LX zb^PlJdl+qX{jV$SVG!nj#TE$mFnVb$o}x>5ZkIirZoTrh#vWnO{Wy7FYmcPc@$%Mh zkD}WNbO;Ti+qyl+a;t70joh5eT9912O! z{NiFuc*dT>4eLr~m6fb7nzeELDr_}0uRB8yT%K#TC!P^oI-!>!?9^=9`ddY*zPe3% z5J|v~sA4E8@937cmL?otkulBJ(Aes$qd@8be*ORBAuOQNt8aIt-%zl%{|=jJOu@tPA}Lb&mA8;VNV9S4>F)&lL^;!emc>f zLN_z=<2wFfrk(aYZZ71ITN@Z8i@CZA#oQ`0ijjUSMw;^chFfKpO`8`6cxea-EMUq_ z)AwDmi4%ohv7qx-IYD65=^+>J)=u1ERZR}NwYU8C)YElp;3iP{O-ub&s^Cdnyt`_gANtUbFWds#WzPd_y16NmRZ{YjoLQ(Qiq5YP3@brzF)>V{a zpRM)z>x!3n0>k;aJ(XRc=MrPnrg{P?>}z-e1IOZbZc3wQSUXyV?)+90Zb9~2ko8*! z?WwpE;Qb^xMMD(dIZlH?Gc;p%B`o8 zu?;+)VLsdw80n3j0b#lIG{7x<=-CJy3KSTD->8Cklc;?ZzvWS-j^K05&Y&whLp^xr z8`&2Y3PwC*r=Q*zqh^J#uHv(`ZkZ_vU)`pZCNRL;Z{5bV<>h7NSk%Z4*VxwLtEs{vML3@bn~iZLLTN7IMYo5c$*Alwdm5N< zI|ZNNHbz|2vcIBgI|7xhM;h@LF^PG5BsN+N3=Jo#v3@e4iEfKvhGnufDFq?+e$mffmjg8WP^L3{M`(3LQmt zdostm!rhH+ z4Yf2DQ>!JU9N$i*I*el)5=F7U`t9+RTC4|Bz?;tQy6w{e?^J1YT$__2cYKQ?RRFVS zKWSkLZ%>3RYzVQ1wVdCeOnvb zdc38KKg*pj$ zHpoE*O#V=}HkqhRQ)q264I!7cX%})JY6BS`6jC2*VH_$2p;pWFsaCqhmyfllPA&N&u+YUId#W}e%fQuUy)!cV zQ@58H6nU$Nt4*HSuosAe>Ie|=YQ7-=?=BQV&z@i39}uFroe$Heiz+}-EjlGwz#CHl z34IUbcov;Za(pf6Ls4i`qd8_5oAjPzw~LTAlJhZ{;9`{3YGEwF{#D5Xdn$!z7t+@v z4%#l}NNp}XSn{B=Ffb?{UxSn4>HK!q^|+3oPopDkm(uj$vd+v(s!H6irrcO51Ex3R z;q|^7$e&_AKpE^VL9@KLj`ym7&1;DsZ`wJ*x=5EU{#P z$O6rcm9dQO#>$JD<$wpb#)We0m&C5!nq7SECMcRhn223wP{tj*DsJV;*{|sAx{#;1 zHQmm9u0Xpt0ypXRbVDg!ZWRT>*mckFUp^0Z$<%r~lg!t9d+1|l(j^?}_x9qkorw#- z{N0c1ebDju_RUC}DmL1AZ{GvjE$Wxl>%O;N_7zDtRuaX`VOu1{B*CyB!$r9vL+Qr# zB`eElO=I?jrHWvg_KfEWW5&NAqUMB{$YpkwhQ~ChH7*1-?Sn&GQyYi?!-gzoEFd=u`)cr!BTjK_w?hM7#Lq>@m0ZJg1gjs z7%rxCpS-!samtuB!$(dqy!n|_Bz&P}0PcoQEVI~JdU<6wJ72~oW)RzPHsE{v?HSNgzO8s*&meQVj4f{-Y-{(- zqUA9$u&>>7ih8g^m>AH0v{m@V(3idkBjn!bxVk9D&0u>)^ zwJ{Y&->Ab!Tj_I}HTq0zwJu_tcE5p+RT_T~& zSy$OHAoD@u3PUwOS9Vt{!`ibkohGde-X(an8WZ!lgryM_xYd*g*OCHL9aHTVX=i@x z;;K~XAC)c&z7qYFkFV8vg*`r9he~P&s)6CjY@A1#*@L;bkE-q2XE&>3E?s<|rb;i@ zYSh&@LM0fBGbv|b3?AeK2yl#g7~gLe84VLv45^_lcI1XR{%DWIU-q1oji7s08F6=y zJs0KyYI~+7ne4{D?rEn9_ilJJ%=JX%ddr@dJ)nCwhX6imu;-oKBG=}A z)F46(q7cG~of$(5X%8txmmy_KtWFg+oQ+x?~*BxGv{I{UV7+(l2QiV_%pPiaV zIoHs|Wtq~VDn*j*zViMK9AB>+-!(}SG8C7kG{l5VzSR_htCEV-xH`pw42%%XHSt-h zE@n+q3EIGyCyLbgUMpr)KE@RjL-S6kOuHdrL~dG%rUK(X67$z3522z;L!W_$ZdDrk zjMh+7cU;9!{>>s($Tb5=S{)3DdnQanKNZnS3_=;E`x$H-qH1~^HQlCadi*oj)KJ{x zpJPprTR&4Z{TPCLb~_I)A{p|rutUt1giB(m{5%Go!32O)&-8I@JivE^RZR#kIr!i& zcz`QhX#e1Yr9+jVejJOQD1lr8EY1Bm4o=Bjy4j1HcyYNKBJ**AyrxSEOn(I56%Xg= zOS-@*7au1A!z{YN=i`3%EbfxpDs4&=>dvGuzM_Po?%5CNdk%R#)OmG$JW__2KSn^6 zx_?wV^+&V#?~i7Q`yb7kqMPx3aRwLcJODhwejC)WLJ}s~1u|enq=HB!JnbN0gAyfK(G)rT=EogZW6Bi$kwz9i<6XcGM$Jq1F?u;3i=*bHv zq8Lvw$rJ4F2@dcMgm+s}+uYdHj(<3XP>VRm0>~sxu*iIakK*OjtGu_6_ z)Oa2zAU_hB1Js(C)S8IKM3ayo%@7izp<*l?d@i|~iPls|tMaj%%&sXKDIT&88H6sA zS|b~i_0zO@;*=9exrUGA$WKJbl%y-ms>Jk9u%A>74J0UNGUPRJe0v@Pm1thfM(ry} zCWC6}Xe9McX*L*0W`lZCeq+!-Sl=X7pG~2tkFnIjtYX3r;!GMGNl(TC)Y_Q$9S_bC*c0tAt02wxB6=R?V`%u6N9a(93@ z67TK+%+v&jdV+&vN!xHS$P@HVIwG6173xp_V~2y40TCR=tkIse0DpeiLAoST6i#D0woBbM9* zhhtudYCfE5ZUqO2)Lz#D*5Ir;-|_ZA;g~!`*&vlFTNh35sye$V*C?A1A(<*0q`!+f zo4d(&Hm|ffn`k_X+)(}QAZc{&4s!MM4fqxi^*4?Raed>cYsfn~SE&975a7;wDdG|) z`HiC@!1TsZ8DE-mYC3@MrZgFsnlysJkb}E}?FCuj6|o-s72~}KgsK*XxOZwC9=Q6U z5N{ln8I@E8S*Uy5(A^YXLUA_*Wwzr(^nzc0H^E*&UEF+<#04yf(`kAyII3e2F=CV~ zi%#iG zszDaQ|H{Hgz_}*bJ{YYhI6&X77B{5g~5KC)F?2%%bLqy5!!B-Zy zz&)^AmzyWJOwDJ42qwn|M@fQzLF6#_fCE{x#OFD7&gQL%;GUarC1g?ZXX*BEnkt;n zhalBB-|@(4Q_8nWf>#lb`FSu!qT|s`2mEXqlQ%$Vs7+ z(0sn=&*jW-M+qu-HM|6nCai>0)00^8RSAaVFHj{60Aea>AgGQeEU196r3Ob*bLQGP z9o{g8e{wSn!Y>qrUufqb{kY@qVmsUm$q=eb5gay#S_TXrtgrlXvd@z}1 z?t{s)m=7k0DftfteyO`4Q&~x-SIqjI>G74HLr~`}t!DJZI^<(Oyq*Tv5jeWRC^Ms-U}oSYkl*{XxX{6a*5; zQ1rbecJA}2;5}?aaBr!dE8zt=%_FKo_Uj_`6i54s0vUvKcZ7{4WVyo3k5n5D{SYOi z5B=d1LHd**E_rXew2j|>N|F8^78be2RfEXB<%dhOSl_J{#tH1YSHNn0ufWblcyclL zpIb(bMmwm`_lIfZ8(|#(!zFSC_nv9$pB*P@W-pbdMZYR*D>W*zXezl_lw54tONn5G z_$-fpNdr&*eFa^>Qr}x?=c2pKrCUs^-kWdJ#AWwl`pW$y_`ReoyL$=87VlNAwU?dM zsR{3`ABC>Um``m}*$ox7lpmsxbc;t0w{$y2g{s^N>C-*!W!YV-5!GmePG}Gy)h?33aiaICtE1Z}n(6k1XiD6E@}P-NUZ0 zeU_b9iH}}P@@G_{FBX%YcfsbeMxqnaN@?p~GOi`5Cnh~!fBe`mc2H4yg)vl;9|vSrZ@2p&;XBgxWOTqKVqZAYKS5}i<=$1YNNF%()1tI@@J zX_t)}$u0qQxi5w0^+aRG+eOU$33ibf7s;B5<77npRLxQhY~NYW(oM}9!pq(JhM;*w zOJpMDD^pDstT-p&CDlv-tl~|NPl0H1*d?nF%q9iOP z+ao2UNtz!9f)N6NXur=c=Jrpt(MuJp19b?ad7w@-3K$qiN@7ly$fh*e*Z>A~9YxyC zP0UkGgo*1L6;11LV&!-@nFKm~dacyq_)v@sqs|1_$)G+R2fvn^F#%q z&&Dq3311e@4`r&2_lDrZy54(f-kIr`Ay1d{{36E|X^TzGjWvGC2W>>gZEG`f)AW8a z$O4sf=%0BlM3_dl$cgk~k`|=i_KgBd=o)d7zA-jG4!@)Y<9&!;^o`Y93=`|(ITx+Z z`_mnE0lhI0pK;MIX_I39eLOSFr~F4@o_&#w%F?^bY5T0C?J;`mGja@td6rolu9?hs z&2t9KbBoSUQ})^1C`8)lNb{ViDidLz3xr3=(w<@3XIkWJvL87dLIS)KLuG)nD$=DO zNLewO^|_hmV^R?EnEPa}eBAB#^~2?4I}g1+=~mjCa%k37IKew$`G(TM;tH}R$c0VXz{d~!(x)z^IIpi`k#tQ28qtszVwXi<_HrJBf^c+4A&6x_{)aMq1-Z&Ae7tHXokpnlvE#@} zxD+eV{jDl1E9#*fAmO=UJav6L8E&T0_3g6jyS`obT>tQ-RNRjH1(qDGUEi*9dG8k( z?EZVTI&!t}Rtpn7fvIH_MSE!xT*D2Bp;2n*>dwq|azca-B?e(%h|z>yZFv({ z7A((SwRXb2siG6+6BkcNnf@6K=tpJnnS0* zohMb62%=w;)Q}MagBq{I@#{300CGsl8hqATBC!-MjFgmSwIt}%a;|(p@Kx z#D}G#6s2vm?A3gOB)a?CSwu>pnLpoUCFBUz6e5x@PUn#v6RG(3VIK52@iB~zR?k=B z#7Kk~i`+e0V7CsR^_^n>Etj>ex7wt1B!(&KD<_h|g^*+r>2!e^Bq|$(CCCcQz*E@n z648=8wgWgNh}hZ#wxWbvSi{Pu#)>GzC_?l$R0gbhAdS$^oNzCLeV1jxkN=7FmH5?SvSB&=2Ir@Ra-J>6 zE)=#0feLAn3$*_4{m<$D-e~&2*A(c-h{^TskJtab#MBaeo{Z4{Be!s>I*oZht^V%= z?`FCBKMVBOZ`q~9(oE9-%xL1{n`=9~(Um}E{ zA=!TN+(1(bz}q8)zQH6{G%27LRcnQif5 z&DOqI`dK=M(|Lpk6R4g*`UI=*4>`DPdq4`+<^qs;;P?etI$-Vne*~losja^2C!&Wc&?L?TPofs#sr1x^@W3MEKo4;1k)oOOV*U;r!UPrTzF4xo7hCkAG z34J}um!-6>CY|>c$y-VOQE-}cs_za*Q4dTr9r3!dN% zu&}e;vNtY6A=B~B4BW=xemib4&)L}?Yj31)JOaKyA<5}ahwP2;dAken>+==%CR#P$ zUC8a9joIYRo{h3af44b~?hLiQ>EXIPPbb&MKcF8idvkVoA&GKl&!)BZ=5r@lmLUZK z+H?wDt`sec^*kR$)n{qI%>X=ag#sEU{3iULSs{S4HQ*Kkj0pwA_(hu`x5Sj-Y?MgU z$KR~@ctw9vOXDolguaKHBm*|<*F)dlW|okzUfu!RG6E%w{*`>2*56v6Sr9JVx ziv)@G+FQ=Ys``GnlIXkFz=o)4P6J!wHRlaR}9Q zeq0R6!Ia%S}Pz;`R<_~^Tpy?Jt6 z@(%(ILfdnYB9ZuGLZ)g^g(+Txe!YN;^Z}+B!k$fkO;`;oyGx_g55=5ZJHfVKtE3l~ zSqW{wnnHI`G01qVf#D|&TzDQpT4Oww!MSu16;@Q-W5D+P$G~b`^|qMl!@|iVKvKS2 zsk^IxIqU+&q9|DGEm@KDRYc0rMC!Y*a>zS-4uuLDd^tDUdlKWf`ag)lu!LDgvS_^G zCVA6y6ptksMLqGjISd(&Q)!`*MAvQjpo+`D8Ipj3@F5wev!@ZCBlZ@ioR+hrA#!G> zK1awIc7OPDV3(3kekd-f#D_Ox-#}Q>Gf45RGLG$421wxAn!*MC8 z$D_c0w=z=B{_EaI#lxj3dGqJ z^F&Z2uJiBvb94*o#<(6p{y{N!=!?e>75eS0fPP;cZJ6+P#0ss4ah~8pX^@;1T#007 zg=mzsLR0Eox|ZpyqcJWeWR|^Enyp1B z_H%}{Oq@**c+zBsHM$X8G*j$U@_tdMcQPiVByLiUDC&*EA=2Fz&eM9Y&B zTm&EO>O^}xzJ2y~AGm*&2;sB0Vx-b}b>stkEBx8+<>d8tuOPm!M3zjhC%A;dr`_x0 zI$s}Q?;w|Cm4zRcdVQU=HGO}AJN=p$sP`*t zBC#gFHAsjmVU>ssYw{XS2n`kh16z~V#+3s_JzEo^5?Pbi#!=(PKwn=QkJgLE4}O44 z5PEG9t8Z4YShnTGL~hHAa>D4vL{~q4GhV4z&m>^Omt84bx&hT<&ZV&#!L$B8k}wIOyH$h1tgtruw`HUqf{I{&bM6ra6Yu zfd`K-xZFF+^w2A?(7Cm_5px&K-ih^%HTx+8=~H^?mW>%0==&-X;N_?jNeR64t6S+K zehscBr~K+RWzuEs%+W}QyHrgtGpH0I0I(F{uaMmoTzw-(O0VmgU)|0g)79B3jC4}TT= zuetMA0C`L!4Hsz_OcqrE<9HW3H3A$Du4x(>rs8Ua>m?aLZpF1K7>-f}J4(=Yw?qS0 zFr@@f+yV_>sWObNm5+0lfP`pY8O>YDq90HPQh{pHfR%AEr0na-y}w!u4d}0|ol!eE zs=^c9GQ-2aS_{EN7zr5h@!Qp9+5f$J{SP+brVa=PL_rvZR1#qxK@mRKV5ykXtJaD}vqb7OiU9RL=w!aXjsy#59e9bEbxTnqjX7fsSx>tw|yiuy+avC6FZ$KQx zK?rR>Y%@h9te@)iJp-3qnt&&|H%Dh4ADAu8m}@4k6e8b2j@|^yub#jTH?XjQEF}pp zxn#1ui8lj?HD1?pTq$BF0bb6PqS%;&67})4@p2Rk6i~e@#n%kG_cJja`!EV|KB+-Q z!N_WlDa&4cp^Oyu%5vL(?g$z)2bCnLC?If&-J-9!vQpm_;%=4gZW=+b;+nJCwG6!-fT&SJq(w8JGhHYX=gYvf%A)z{B;npL+2t8$DwnjcPxPJ-?E_ngGgY(?2nFnM$%jaMQsuPbx&imU7Tz4yrHUqWNa96x zEVGTbno`|1YBcUTB?C=096(X2YJ`5TR9p4|jrOsm(`en=T@cH}e85v9*jod1B(_!f z)PgH=u>2WXZs6X{7?E^x>6B5&=x`a@4=uv!8yjHz}VLA<@d;UCHp3>&GOMcCe%(GsZjgM5sEUzaF`Gk8pZY{j4{>%-v5VM|T`p?Z3 z)m1HiPWXLx5@(emq{A|?7c#L|T<*bToqYg5kavFtz5kVc_5ni@rM`ug?z@vI`xf7Qtg9_wJWX^V8*})I%^(EH_1NAG7_I@UJqP-s;0?XTH zHGNGY^|$j%6L9i36}K6mgZ6&Dq>8I+x}>?_9c3`v+G@Ai4fJL!K8HdsQ}koHfTwol zFt-8IMOTj4IDxA3O86x*I6JSDstlmq_94yi$tK zF}hd;hsqB}=0Z7rZKSVF^tGA3w$K;FH!f_WukAc(x8qMVetWVE$Fww(Css;{Tfq%# zP}I2-#`QX#sj7^tIdg|&OYoy*H?1U~64_x37;~YqyYX&i5Ix`ZfdGMFzCJi@KK@gy zQaX3CU?q3%6c(;?r&jgf?fW9DI)4$M1%VC+?A$5)Jp)kh4ni=<`=)r4=##H|v}+{` z?%XK_+JHZOh>MJe-6w!3Wk$mMZXd%4O=mdoFj7p+GSxP^Gf>p`Ur(vDG1UWNkfq30 z(J=Jlk0Hhz3q|ZO2Jpk+!sx?fbvrs;0y0U}-gkc|x8A4L48!3YvLADci$((3oMuJ) z;W0FA+IbxzsU{lsUmp>|_mCqFTnigq*D*fq@cA3OMk8tchN9x-u!Py&rOL7{u$#`$ zRl~C+k|!b$TyZUhItADhT<6s&kk~nmc5R!4t<$R7>Ra_}-y|%xXyM({c(p}~zcth6 z%`U6ML?CuZL(Z?7@N4E5t7zXh-r|i<5cRdlQ=ew=c52?mQMgH)ztNWY)qYw|#M`f7 zGLbhhHMfzY9oQ5ZK2HmOZUbBRc<=;v;5veU*GJe*EMlp4Qx82GhRhODJ( z!BKKkq&4pivB@XNnio5Zrp*sw_Ba|ds}=VDZ7PchCczR!kX#soaqcTgeSLBRhd+8& zf^q#p<_adUHzk~9F}%wXizb<%@h+BxA7zIXbXt^Typ!~8v9)X7A4xzSU(PRSO-AQ+ zPQycaEN{3qq%Bm8)gI9B6R=~WN@d4(=Mi$e5ORz|$K;%WlH-L2=C8^C4koBkO^pMB zoS9D>0Fo5Tg$YVTSwfW<^Df|&n(m!HDVHf(L0cp*%?u~!B<$YYu)A(gTQl#6>}zb| zZIQhNq%XC8Y&=*)`$0!jooIk0?sa<_unDx@7MpWMKUbOF#xgBM8a?xMpA_lI?Ly2a z;Mo){(&=;%k!#=6Bkj8o?~+LSP6iS0$EtO`rbQG$Dbay4&?iK-?teC>X_y5P0Z{*u zy;azdyY3J&%4N0!j-wUUvGe*9kg540wh_-BDN*Y;Y%D7-g>}U`2QPM|Uuw{;jHzrUTn8`JtU+e!xf8ZS{4rjr+nic3n13-im1i}o7*#A485fue;{uf?Yf{2}@k zhABPKn^8s^dQyWQ+gM+^3~*>e`MR={+EB`FQuUIvEN@CWc1<^WmUPn)bY3^fa98XT zLYYnLyqnWAePw=VWn&HRZY~s-x{^rue$gUknJ}VST#)onuh%u;`+(H<2A_A5X0Ng= zikq}4ybp8^-5~UH9D$sxGj(|uJxfHfu-?0b_50sr*f|lf{mI%dN5^r!Lq$T~!%1cG>=N+@25~y4^ zw;AiHcKfkOZjC>*BArwdUKm=kxwF7e}Vx#n4- zV%iVUi^G=x1pCmy&uyGl+i~G!Y?YPPqYD<58B3G6FE2!McbGWddJo?xlO zat0e%biPUcoEmoOW9SYdgc^JjAyf={-<)6{M1|fQ_rN|#gI5GiyEh_8uz=Jg4%@+Y zoLw#_5^yDi0vB%F?5#v59ej(ovoS}zQ6w!?wc9OB>T0`1%z##l+lv@CcWr zGeB?GgGP;ZD-2Mpphc}7#A-rFCB9ZDCnl5<|Eft0f8n#eeNYTy)xJL(Rxaf-VB>$) zB+d7mVlxStfVYwN7??+~?YEKn*a}H{`yf6oHZl=gMQX&0JR6fOvn{Z=LNfgjNV+DJ zq=yWWb{jrVae;ymyI-dud^V;E&^f++6n42iuz)x8 z!NXd5gt}4GK3jE^f+5F@(mTFTpsYq<0YH$d*J`)sQE^Y>^9&cKLP5X~g1ofhsq!-=R|QYlU+8o~t-&Lcx_6#zIK?332fh1r`(aU?B(|3VS2T zAm2a43+nMHVPr+36jBl_kp)ayjg53znASh?*9LwcAb; zcX>IgQNR91{l348F;qU}4HM-{A=bn9C{j}W`UCwub-I>nzllGjitX|E$53{gV18(U zGUR5k-xeV=DhkVwCWX@3j3mA*QY3MF2o9_EL(Ufwh-J4yP;d0ZXQ16iS$CRQDXO-# z7oqAH!nviHmF$XyWY%yc5(c-DU2X|xnYcU7JQ4dCZehW6Iu1M{#*SxLOvJTZr+d0q08e%isC>f;nP)&UdHJ#h4L8qK}M#51ve%zPskGvY>OgKAv}}j#6EO}p-G@p*yKtHB)v^B zic#{U1d8P;Mat7aygbBiv)d>pe}g1?C~Oi=I}!%{#t2x!bs+}*#s~^-DKY!HP&zDx zMvuYu$sVp?M*()dOy{~WN{1b^iIjsALq|IIGm5YHP>)fu!t(FMj-%4O*O1B?2)Aj5 zfQ%uVraPt^V^Nn4yf~J<38K+hqZvOas^*gQ5!hixJ=SuJC0UG*!RhRsH{gHX@N!2I z-X%oi;aiEKN22!nkZtte_X#hBZo!646RZmru0g^A~1^*zASA8xr*M=Rc)<}Gxs}MX z599Z|EF}!}w4WIWZAfb&2v_P7POFtUHvQp%5V}!h;Rd;9MFtZO{HNq>1)A^!ED@*C z4G^zzo3K*Q5r3l7ag`}&e=Rm610AV~%kfzq%GzkQ2F`}|XbsU{iz?aix=L$NB}*EL zbxc-#hgM0`4t>1tPX1ekpq*kt10R+vrA!!=Cym!(RD=O#tKu4!Qn0D)i!Ps(nw1)` zUlb>Fr|G?}|r80qdC#Mubq&;Znu48w9pWkokJaqvy0Ou}c2 zeV9fh>RMk`($z$KupWU%$&KN?Vu;*x_i!qTU$>pRMb$&^pdoNM(@A%WW*%){U#s8; zpIw7jQp5ViYlWw^1H`mdgA?k1c5uHK-$OM=#=?!A#(1ttS3eSERNGpG;WCfuiH0-? zyixsAjYHQePk9E9u2nTCa6|hyH6Xx7MS#;{lviU&$q#CzGKae$pD;K}Ap+D80nm>V z0jlt+1p#m?^$0D$?HwK6yhoX2#fk#u~DJ)NDrW1n-#|dv>CPeQ9d&aYll&8OpIo91Bv>U zP`Czz(R~lZj4t3(C?JLHW;@@ezyg>?X(794?aPG<`l|dB8emb+fS>)eA__4GE*1B? z`96oECwnM|WE0H*-M|9$BNU3;On3j7Xg@_aTli*_{qzmG+loNtR(6X1afW^L{8m-h z)19=a>K|tqmF+z!q!QDk+q^@}=?_kB@{TTO#DU0|&8Ta@iLaP#!7;t!)HIAT;t?~T zO3bv6qK*HE^#6aHZXcx@Y~$xE?PC_*ZRfi(`x&~~;pRjjjgZX?{z?xXSGrH=IJt@I zmIda&vxQ^H?_eF~acJy2TeW;Y9>*`m*;kBWE2qK1^cWyQ$??Dq2C8DI)U6hFP~E|l zd}o_|{Ctg~Bp^V^cg&>>BFLAAJ@A4%y@^O^TUWNuTTs`6MHTh_LsECfx>A~*Ux>~0e{obs|9+u1##i>>&63xd>@Yj;9I*Jy#)8fhgs~Am z?ZqH4W_Jr6dZ)=galTf^W`BVb5IWy6*Inz-XRyMXw01+`8ieXfKY0p6Z;yn6(b)$p zJ;4eU{}zSd{7Bu`|GSL%*e8e%NIZLIzkPzVr;_SQx1>H4+4?z?q`G5K7AQoW-!Yxz z^lcbx2*x(1h@f?)$UllvJ*7MgBT)wg`o9IaRoF9R?C#D zV9MQ0cVf=BYRX|J12pw!y1`nPbT!_7>o=}lyQ+L0g66ZTT3h`GkYNFx!7hRv2lrKp ztp)1vi#T3fO|1Cz#IP)3no)ugTo2ZGW70)1-DLpTuA5IdG$y|}wGv;`ofylBVexq> zUd*iC#HljKx7mZ%K`Lb1GSRlS@V1#lMzd}Gp%<7G5UtCP-a6eJakRIXm!{UzY;<$u z0X>m~_Rhjkj!d1PXjW)@#Sn(3ylT8-F&DlO2ZIB7CSwFLI-%TOrSnc`(_a!rI?}uI z=4?TVnYf%IDbaazUNW(Ew=gxk)ik^;-JD{6So@s*(UOa=uq`KG0!JtlZ6r~0J2$0vR!61LIhDx>1aF1q|X(k zhhp7a;>6rQqqVRtLn9BX-brCMCw1LPvS2Ov4hrKCp3a;3m<$Q}V=NyL-A}7xYcTC~ zek^{Xp9=(S+sOv+W-z~+hmgrXYE|S`l%j-Q7{wC6Yj?s8*xpy3cz&=84OY1AzwUK@&8 zIVzEuedri~I#h618CVge)nm+HZRpfQyombUT#g?1=4uvbEC9n&1I)vcUz{1Ue73pK9tBEG7f zSkxt36pQcsP8Nt1tU>#)hTkw2t*1DDRt{5P9GNtvXp^M2?-j3_D;=aXUnFtf4{?^1t3&0EY4=Eg)fpwY!(y&o z9jq)-0t1|z`vf6YD^&GB^$f2USA-x1t*2nxO)R>-xz&l~Ef)4^sn|x6P$fIx{_f(m zjxS*L98!(Ha+Hg!2xmt*fj3V;TPOjiio5JvmJ@gWxT1FwAR$_}%;`+moYs5$Z_Zy; z4v%Mj`6s$Oyth1cGfaUKKpNx3p)l?7sA?zULg=?!?ReLFRrS7wZTN%rp5Osbut9QI zRyNjjex%KM=)@5r;ZNT@?!>V=9nge4{s6HWprNeno5zW&)sm_MwfmTQ6Dcqt>dk(l zZa4cqdd}(AWOg6Bx~m0Ar#SIn(wjov#F0pyx270EV(3?+H<>89s=Rm|ZMy&IiHgSE zn%sl0G$-D2paF6?pldB9;ifu4DGEWST2PxtPq0anQ`FSl2V5%*@$lB9cKUMSnVLbxbEu)Vcg8u7PwYa8BiO3Zxc5iG4XKsrh-t!kA> zCJQ8S*hFEsTHmVhgrX6VRl)-pu`d6mK~5rXrSZ~WCy}#KUK&hegqH@xLrD<+9v=zT zBAU{}Hny2hHtxJVHM0H}MFT<$R^oYkYOICQrvf$g?YGk%oTb@$I}M) zt+H*?|J(wmV;|Gb+tY!H79j@PNP2J2z%9CbE7;}nFAIHZ zHw5waOhXVmPWia{Sr21WLP!|1-r8*pqzz`#A&Mxmr37}QhK2t2EGOYhiHHh)aTH3K z1^+oK*!)PWE2E0QEW(N<*EPKD#qBI$?5BcOvSP{6*)Ot3i2YeeiELvI%oZHTBr?rm z77#ZKu0KgK-N=_e$P zHx7AeC@pomjrphB^HC9gW0!VG(RtD>d21M$`mQ+z|6dk&GE*_B8{?t%M(-wDPJdc~ny;Ky0c6g(yX%btAmY1& z072sO?qJnJ3Xs8u@H{8B{jksx2Xft@hRE@cnuv^9Chl`c2gf@7zcg6&I)t1b8t8iu zx*0*a-mpaE3HMgHC3;u>C)fSC%l^*H;G|o4Ed9Z-cL&o4v_lwgsTSp7+$|)(HB3Y~ z-x?-++LWL4d$UB&z{^EYL`n~Um+)Vwzpy#pwJL%4-4v)vU|9$&Q%nckP2dgWqYj}j zn!TPe)(GU{%>vALi2nWEA)vHJ4_gHvhZuy`-FqNc<=0M_bZ@mwU)hDfn}SvhbNXYL z(qAJV0pudZ09|F0Kt94i?v7Fd%OEDQlX^?jP+C+)L!Ec(PS?LX!V+W~H&vx^zB>wO zoB`}3Boj<3;9f6#*Fu9k&14DAbRSXl2%a{%v-fn?-jgcit^BeZvM zKAG%_1trHAl)P4`qB<;^{e8gD-|3GHMDvgr8pv;^#Lx@XyfWwA1yWLQS_@uVU`mQq zThiJBm&a0T#R9W1Wy`+6ZVf5uBxk^vMkxBOB<%dusHQ>Tf=I2E3l_w}m(Njc%SEod zGk|!5ndo;HI0K-yDS;x|Y@xstC?pcY*1n6}x_7fJX8>`nNOCRJhKxXd?IOW7y9nJA zKM7+SaV-a2D+nQPj!9lKlMwquNp=c8m_d(gg)(R(>O2pa=Q#r?*1HEQOPzrnXWvVg z$@H);KknqSG0q^m-Us*Q_gmwOtj+5~xZ;Fv8qobM&b{e8_Nyru z(Umu3cQ=qXa`L$u&d>|+MtaB{!5!>uFV9rQ;he-+nNIdWtnAp0${J0bVNHPy^(GJr zB^VKLrpfMe#gd3XPrq5tP=bk5$zxO&0hwXK|7)ydIaGkk}e5gkCp@qix_At3=rZ^570 zOE>%X@ala0t*EJP;br+JlU4sbgeW$fKF$z!yXyJVfy`l z^@IFC8i8vbh);MY)5jU|Jb7i<46(2`jm4QGt1-l0TNUE0BtYgkF;1fy2fmE$o zkFS%VT*rlSwX=3Xxv=P?iIwY2OuRGv!f@g1pF9&o%GIA*3@rh6sa?2=Qf1lZujIuM zAnD2gsb47!3W}bAA<`5z6s$k}WI4kr-M5#ypvohlI0Hy(7-diP4xrxvMSMMu>-ZiJ zg*5C;q7E1`BhMtd7#^)+a`CEGjm@Ry#iV1|-HlSk0%M$E7tl!#mC;B-4kZg1oq2o| z9aD%%$C8AOu`)$bGSa;W9TR=(=b~g)vNs(Dnw?3ck28#QEHJjx8K#xY5F}}&@k9%^ zl1+%_nf@Vab_QP4FwFa(eC`N+oMEJ9SZh$&o5X5%kkZ$Dy#qbH$+G)ApGO>Sb@`L< zKPrU@bVfihn@>|$Hwc^4ojlVfrRjVg8{RW*08-1X-xS8$N1KOxdIvtB*DYrx0S{IN zI~tsO2z&1!7;FYAT8_jH@W#-`8ASrv%8`vWQt=@#u#O*MX{58`Po8Cw0>+D_ zMB1T|q?HOgf~0Y%<&2@4jPRuNj+Ev>0Aft33G*-Ik}hMw$irYoQEw`jrATzKREW^< z$kLdR&-Zd^!!2hlF>mcqOM@1#6S$lFe>~vB5CU( ziUT7@D{B<3ID?U?f@#xGB2j!eeVnn(-6xDkd7+F1Nsbiys99rVvrr6+HSa{C^wDS* zTenkytc#@+?`SAX}ApC*EgVkgp>GUte}pM#+QO9d{IY?)aOpcc##F3|$X% z(%R`JmV!D{=_-yUoO*M}+Calj|8^rdVPmpPyF~w#&$5h2e!O@m;+3S1=fqJ@NqK+t zrtGfs*Vk6CX!9(6Jfe%enH2geCCv1(oOJEL|8^r6oc!C3!hiqmMr~1V_=N?Bdt~n+ zB=k25>~A+p&&q&xhmiJOIR1#w^zaZ8DE3B0Aq~2L;>$W>WY+;j$EhkSq4FelJq3j685{ziq3YBRS;3fua7gb0x`+xdM~eo% zel=H<`XGwV2IxV6?(nqtW6I_a%l^T0`` z8MfZp9pk@w43VD(5~{!8gd3}O4l-^|{>5aP;O@n-Nw}WE*Xo#ylYf!M_w)I;sSh}S zu>jTrZ>IAvSci`54D^7n#2s2dzd(32qa+Zv1Pnh6F`B8{r=zAA70q$KAcd`rVy-}$UrTT`-cf|mFDZr5enc=FuQM~44 zG_CK#{sFzq0FF_6Wa-I3qro)~48`w?19%?5F>;Rt2eeduTxsx7-S3Jg-A8}dh3+#d z3aR}j3RnHR5>Ns7ja?W*XOQ|Y^z;^%TCXPIQ9r`x>0KN}B%(-`D-vBeV~XUV3vs86 zN^1}VM8`NXs7yN;s{2BwpM>N0Y*gD_V*&w|My2F6Mm0Oe>va$ ztT^FD+TXA3BOa`dJNY&Ab?#`!*Jsqy=3kr7P0 z_cZSI@k>a$7EYI}x?4tq+V7#A0?b7^$6UCfMgNI$0vF7KgfGn&g9LB^BKNfh`Z&`% zybHjIH2xZ!Hgj4`OrF{fNApE+_xDFLv~(DJIcO0~Jg^-Uh!6DOy4gVpVVce%)2O7W zGFbd?gP6K`0BVCvB?B;mLT#rE5_OCeY=|a*a<3Ue%j(y0YE1mU4U(eVbCdyNP{N^@ zIR3*z?CD)gTJg0bQEHm}`=hGWy3zkONDNP@sz;$y#X#WcUE%3n>FHgCkmPEJc}Vr4 zU215Wa8YKpD-~EwNwmGi?qpGpknZClB68ft0O6LS<3~)=Y3a_M=Sue?NcX{T>0V@D zedU?ekkGxB~;%0cE5HeHDD@k%Nyn*2r@I=AXC zTgVeM1>j{NfK2U#j*+iFT7KnO)TaCz;w$(L!F@RdqBV)sITR+EGJJoV9#8=Y*9MixfuZX?y&FBfC7#|VJ$b#Qp58K`lUntgt?2S!rp=7i ze1$fvPxO$RvmtE(c@`U`{OUq4iW(agHl%O7)f1*GkAZW0zL&>a-1VVGvOxFEXxJ7{ z?^aLmHc#(%PcH(7J5d?TsHioWzs3gQCto&Knf+LVnI1aRiE=X^IMew6$lfg-ueUytMK9m*?yVZ0bl@8lmR@Q=Hg_YPKIJJswS=#QjH>mtvIXs;iUmDaw+gsVqne zB^%S1GXrBPr{DD0aY*|s_5_yWyrPxfeliG7kz+)AoPN|xIY|z3%4fJkPu(h^l_q^V zo)w$qpqFz7u(O5~HY$s}@^N$0W~rfCBo0j(cbw)V(>N`htJ2OJ9it*_&07qv*n!xR>^Y(e*v&aky0dxc4MJacJ|L7>`qND4`0y_YnDt8#AwuJ8-~6qXj2ii(qcbbQWCe2>rDikGtpB8woh2qH^BWEGZ{ zNeI{PB>myai?j|W&7k}FW5O4X!D&-Os>|ebN&wg?o+J$c_}@>20#LouxL%XDTHe^r zXwl{}Z~SId1m9GvxXl~*tE?2=ZeSIbu$&|hnVOPOp||2wWqHlY9TH{5a^)%mYe+Ui z*&rPt!yNx&4Flx?z1LD)mw>SJnt{sXmA*8Nq=t)4`g)@Vp24d_O}d%$wc%vwL2)y< zOYqkQp>Gud_1*2hfb3F z4v{&>^ofoOzEK-r9jW(S{BuI<6 z9A&z6T_X!JEZ1VTT%O7R=JK4yK=3Hp5Ky5Jg2#7EK3GV745#Xte8b(k#7F6vCfsZM+=t-jV!2B} zn3?+&gq)pa&J3O-ePyC}wv@P)$0nX+JqMqBX9n!BAK4OtwJOI2>PE>Y4%|4^XD4)Y zii67Z2AgDwMgB)LOKhlf5fxfwIhj<(I!fnoiVai6CDF9B%5pKYw*tivzCrH;I{Sj3 zC)u49PUeLwy(z1zt1(@k@YOcKMqa4KrXaGaQ*@tb2-OO7$wFZs0p)hWMkv}@1+}9T zdXcm2tU_&Z*j6#RvE>Mqd(eP2P9~_loAUJn>&F+svSXVxs`@QT;&9d)%{S6g0_*5E z%b7)c+hMPEGQ^(%?WQ7G8XC!2f%Q^bWU)!2+;s+nb-vRqD%6=p0$GBSKg(}OMHA-z*V?4PIj|0MWd%`SZC&&C2*06xeJO`OoX6^~=nmj%%xSB}DDEn#6ivOf(>F9$2e&Z2Kt? zxh6)9O*+P3$+i+s;>bG4kM$i)20Pm^R1#9oLY{(0J6Xv0a8_zy1C`0rmI5hnB_7e{ z2ujIaDQ7Xo<_KANB;^}~lz#%WE9Dy6A}QYxD&?Zs3WG4;%P5ePLEeG0%gG{6V9f7S zd_%Xr3Qez#urE~xmPq;fl=h_xqCzDSQ)*zR!#n3>*OY4cgg%JCCplnyghC+iBT9c6 zsY`}w+v~>PM8~%`-e{4QQR+JEV-K7e$QrxA%U>_>S$w;BN3}hFL~td^9q6apupo$t z+3SQ0WUq_n?RSlINBZ_UNJ5vHTBwVj?GA7w(At_Dp(FjSQOaM@Ag`;cXp0m!9g3xj zC%Z;j&g05+0~YQ`zbiGOENK_)b-FBL-V~{zC%aNVZ8?|3W}0Af*JvKMcZ~)p1f^^2 zBn}evR(g7?JiXQ4M6uXFh6uXG_K;cW@;bBS`&g6-FEy*TQVz*9WfWmf>)N_*YS&cd zrs~%t8>dVVikoV6N;kD@s_Ujc2G&hM>Pi=0YL9eNyV6l{tqB^1lxBmruAADG5ypyS zR|2)97}HH%8N`bWF2~c0EnjelvCM4kbLvv1-L=;lXxL(4>zR{XPassMHtQ0SZ0?%; zdoj=OkXoah;Yba+rm63G!(G$GI3B@Q1*mHjNe2^7jdEEDSr?;`!kBF6nr?-K3uH;r z;7%{olN5lXF(%P*Iw%J-(KQvH8P4o->s4CIUesGFyBT{Ok3YN2!=WPF$1Hj)%y_uz z6NaSCq9PGior5xZ{p3D&WhqBhl|*4t)w7uHV{he3qyu+l0XqBD{_H+>&2i>j@Gn>X zgTgS!FoxmgJz7<<9951zT^rjq$8;Z~LAebyyreE#^5(jaU0Cuq2j|1EzQcV)o^;m& zXAY=5fZWGZy}WN1dRD4B_vc--g|uqE>{xlBl^>v3Sv!}K#Kp#8p9C#C)(~jQ?cXis zo{LRU<(jK!^=cX<$8}{pb4ftpCv@dZ5$@xZH?{j{a6VGFO~Nda?qfSS)~@?#z-t?& z*;#jP17FfJ^HAAJXYz#KBnP9PPrcbcEGN-9Bjwy>D?n1HGKlK z>pk}R$+!?S3HcsV$W^gxi~vEx_u7>Eh&0Zw)y_O(1+sd&)_g+?*gCX*uXZ05ea(?p zxP7tLshFnQi$xorcC8ccV;6!$T^piwng-(yebC-xSD8?VvZy_uRz(eJShjN4dlda% z`87jeTo+F0db;OEZw+{voc(IDFuGfu`4{Sx)}??bG$82OV&VviBn!!GePoCBZ-XXj zaoz%P-iy)(b!PV9%F|niJ&Rr)OrpD;gUS31vPMzet{o06Vs;WucJ3n~OJE&nz14Q> z5LIcX=@p-M1DT8?o?ZYnq286u=RVWf&+dQ7NZCi>VMO6-f7{9U3qe0M`UCx`_;iJ zv1_W6ePLfBGcANj6s4c)at`4wkqsM?-xcrmlp-gVHV>7lTa@@-3H7JM_pZI(IqBG% z7t7OYa7?HlTOQY8w_fafjD(fCTEEY~d$+%)l}~i6s@>O!osogan?opPwl>ZztoK(n z`>;i%dQgUw2Duytp&cb#aDuGPvibb;UCvMEU>gR(*<=Iu(nnnG!R3AknfzrdZtKa) zY;_jUn{-d-)Sv7^J`S#=FE!+WGaEy$SNqe~02*w)I`9E~C)3v;`WpNYgD+2h+{rHw zL68{NDYT&B%M%gi#SNC+pZxM%gko_$l&%YX2&-aZb}y~#3$WvKX&1=}T*VJ*GWn7@ z9uHYW6)1t%bO~efTq2sGdm53yueU~atcnvPQ&=1{dbU9?A zY4l4~fCYsvRYirBCdz<)Ya*M07=YC9sOb`h)u=U&fvX8=YppA=3Evgj{Cb-WS+``j zZQ2AP4Op1ZJd{uiHsZ_46;`euTKUUB4c3a$vZ_mJJJlpXTv{~p`1wxS9YP)-u9sC^ z*sHW}pOgE%-mor%aFX6(S3!-{U9qk+*CyOMY=o7IKV#bCA==-;&3imms6;)N*orkP zmv%e3BCfpO$+ajdS?cED;b*KV$t7>)Qk}yf{z_bT{+4|lRFbm@TG;DmolVrJ_IG`%Bwi0GUXeKm0on{3mUvxMqePJ)3lKHMq%nM(~u z8PAGixhWb(4aG{0CDiD8d>WyvBwFp%TGwB{gk=%AdLXIgTzaO4tQslhms;G2$Y~)j zEk-5!`WA_nDp5OFjjWtNnHY$bX4sE&&;>7L z2}tI@kiidkrfL}shaw_j-N#ZL{@3NAQ@PdbmYbvtcB5#QPN+hSG9TH8SS0u-s6ea~ zSxQ{lLyXaU@d?Jrc7oEE%?#6Di?jS5I!j5Mo&m1QF%A}fTskJ69K(aS7l`v!X=*PZ zX7FV*bLqd>A8%R8)P>EyVSZP0c3ibKi)1)8>$NkxD~l831mlFmf4M6 zPH^=s;dzgMQQI*_cG2;gCx-30oB+H}rg^8KLU}%XS5Z(0PemoMz}8(qaXAT3MQ_)# zK5arsRm^Sb|3%I>#A3>;CSHW_f#~H?m=mMbTx24efl6A?KxG;x@4=la6pXD9bDyWS zR;Oj9d7-IUoDZePIW;I*-U(f|WT|po+qImp!_sM-tPf0!0i)0T=d@4AkJI zouDN&))T0Oa(V)rkaV@#lNZ{Y6u03MWbS(D{ZHu9F3?h z!BfKWitMG&%O_}&rgs@smm$!Qhm)&2o%WyeEs-JLWFB`jJ{5g`VJ%*>D;PJ|1(5@`4x_qqMKK=Q73|~kv zUD?YS;8uq67>>d`ManG_FQYhjksXjSu-HcOgFF9CZ6B6LoX*3i$jN&if0jA?1nEqdm%C^4gn95E?sR8tl`0aB<>8vR+$d7@ z9td>j(h&agst~usJbux%d+#EcE#qe$MOMVhNIg>edK~Otg0g#L2q5uak2Cy>_>PlL z2}LrNMozeQv}3#~!}~e)*I$^*h7Y-6tVpYJzn~bnjQqQ)Y*8~>ruzt6pIt*WnNJE6~<9v@dx zRr=ny+;{Ki-gn=7_pLxFiKJp8fT@8tHS55eAu1AhfZKep^px8A6-rvvX?$ zf7#4`*vOx^@aIk3($H4^vYDz5ZELGWFpX2V-nvYykngB~&r@8Vi*J_l%OyyWBI@mX9LMv!{r>kq$rIA(-}bhsCqkxYJ69_rrHM0eXZ~66l}qkU<8E-crW{ID>TS zBxn!#(u%4xEZSRQ+Z>JbAr7X!gA>Q7)xC8|&0IuZ4_itUA54>dd%8o4l2yJ^%(6n% zKrvCg1&i1@33s80(4}iLrT47PD2w_&#~EdvYE{R=a4oh+EFzr4A>wzA28-Bp4tHi|JGTzo!L)afu%n0_h2udcIRcUs!6K|_ zOV*_k>yCnTLb!LuE}5#)+fJK z$q}2Ya&`yx;fPHw#Swh`1{^G{MNb#--nOg4Z&0)}EEKV+g(hlR%WoiBOhgtKzoCq3 zW50nufDDZ1-`epTYLk@-f4W2MP&1fg&cVe3b!{>R7;iz`X(2akTJ@%@is`pkb;SmE zYCD-q<+jU?fvUC>)rTO-0^Y-Sl}5!L6e+>XDtllbsLA;P2vsdmt2Q;b0PiSh5&c4? zc7cqJxOG8?Yj|{PagB_cdZfy54TTdf6;imSwu_X^bJ80SG--C#R=DOMC=exKSw4ek zO|Pkp?SjaTk;I2@to zB{a~K1CO1r!ryQn1aycN98nW4$;q2yXos}NO2w@qj4+NAW)w>xR7J{# zGZ-ua&Kz4nDRe{XGz+wiqDZxN;zMhz&n*HA1RjbpK?&jJBMeY+0xhKqR2XG&#$j5- zCW;NvP;CllPRe-#{ifCZ-R*y8yD7CJES(pTou@m>e4LwDBGS+is956+ftjgT09+uZ zVhd+-Or0v6WWddYK5YuBFb5R^`a=+5#u6DH960JImuI#3v~YaxYS-a zxF2s2(~FNc2yT6BZfJaWd(8Brwlv8Nbpfxj9c!Uxwxs`fgPdMi^j4P_CArlhvPFxS z)(xqo!5`y>*&DUAT}uT?CjC)KXO&l;%Wh9binY?aAW~v?Q6l;;K*&xW%CiTEwiymL;yyg;yNvLBHb1 za`|JXc8f!9407zYJ8rqfp(LaWLLux%V&Bg~v3L_6h{c)^PTs>yJ3(G=sFxc`cSGIX zP*;qv8%lLUo!!Dv7dMnf&K?|!k2mn_xYoXx^k=!!+bfa94q%@*lpedbNZ+;3E21N{ zhp72nteDu>9x@z_*AW@1^j%v#p|G!iu%x=1URxBcCG_iWmFpKF`Seq{v+kuXF21%% zs!0jK-ywPhO9cAiI88|+{9%_)iC$d%c!SzaYwVxF8ynLqrSD}8khPCRFVtXhv4p|( zSdbe;Grr@&648fXc;a&fWtBp#-|U`tW$DZ~Rd+;_8k5o%w&KT6G=YB;$nCRt%rv)#o{8%Dp%}; zxI0(x{%xqA-e2LkTth=uxP=R^$iw_Keph~;!lj=3X6SNZAB6|Ks#09EOz`> zBp-|I8EDT2w0DoU*j6S2WwB$oB7OQP&Rgj8XD`cSZ&A?BvrC$eT@Ef3Li>ssZ)vin z6~2wo&KI@9x0B6mfmwJv*|3o0RZUUY8Mxg^7y)csxt+qk05=Vhg4?P5m8QiIUs_lU zbu|l%N^q-rVG)9pl*S@QA47V ztJ~>T`yXDBfT(bG*k=PG1p#7wAwsR8XbS$P7zTu#(EB!=J8mnvC1`L4eBRp`cn00M z-5syY;6iryh6fj#HKsUI^zjDYHKyCW;|Im^Ei{N=jcFmx;coY}P0ww<-XTs0Xjh0@ z{~_%6TVv8*YYtQ(JzfQJtk{bG9PZOklT9TrYmw^EUYcupH+f#zT*{)J^FmF806o-}(3 zb>`15f9`^&;}041Y&B5XJz9IY zO-JhR7AzG8V>C^xa@5+(ZMC&c)b~ZMxzp1kti7C6zQw3uX>|`Jp;RWR=qOu4{XGk4 z!OI5e*TpRY5YSRme58-RJtp(3g_!zdcoukp-zF5N zgpm48qTP=i_|Q<=g%}!64m^GpT^mLY{80Rg-!OYAr453i5ypY%`(R*2M0@Og1j=Wk z4T7P*@Q$3&a5e!8Pz;%b&=3l1JE0Nm`JjAwa;4Z36QUD_ZYYbKxh&L7%|U1bBMRkk zLje%Fp;rJCm~sqoLj$4VP*fSs745KN9@0y~_A9AnV}&&f#D=s(_^#c1MkreVNma(6 z4fH>Sv_nI+f6 z2MN14j=zY{a3y^ngsXO_O?9iD=7$B+57 zIR~;53NiVPOwxgfNID3%qZ`o?@z zxvCH_(uamT7qqyBE}>pkZJyQNSbdN5Mb)^77@B2~cQjPSnT+%U?HJJZ11%{NR0B7+ z;G|3>E4ZqRRArdNpSYp7MbJ8u9~tO?7Da$9f}rSFZ7uulRG2T>e{UUhjxfC>&H>_%CDla~h@i%_eXd zr@*1^KybA~Ke*BHZgheho#;mM+~_3KI@yg*aide+=rlAILs@q)xSC02@E5Y$Ydhz{li6RyofE*Wa18yby< zIN!shrZIzqIgOcWG4fk$0J4plF}7ORRN=zw#YmrE&e!-dQCQ`g@ymj1s<*3o0+q?X zTBZ6-6L5{h#1)kRb(dS9eAli$Cr<{-&y*iHTwZZ_PvBH#fbNyh2Y~~la1426Xrx5( z#!Q{vRj%O7{R7WT;>aXl67lb@V#r}+gKHpDjo4(+m>FEde%&a0V$ld3Swj;aM+vD+d+?5!?q z()tsk%h2P-S76KZ2_t;6xtY?+m)STt#-cXj3`jyNzO_c$bE^nrP#cFx zgR1UGY8>KQhE`zRliQ1!`TT~?1i%P@d}9FQV4||fi5jd6Fb27CsLUSZhH{l>FA*dw zp#ZaR^mGPSPOKcN7LM@Aj|GWbRCe`lA;^cJ@vt(D*nr~0KWWe{{u$%gI2@#7L5lq+ z9SKjC?slwkY#af40zPabNvPUSfUFJfjX9tt;KPm(R=QCfwg%|Yly8C?nkXlCLM^OQ zFsWaiD#Y+ba1E&)J?cc@4mFMmuAz3gd7)A97_QXUWZ$yvYU4Nu)Cd=?p=nU#c(nv7 zs3+F(@jlA!V5(_BJBBQbt_ za7_(IUuq@=*U0wXXr$KeB-g%%IUAdM@OuQQd2bVwCdXr>rK%Q2vXB!*PId%FO1s}U zg)x#~x=UMd&GiML327;0|3lK{m`3(IG=^>cbo%Us#`2eZ{=+z7+h_2X@od`*$hMy* z+nyGhL3T5b<|S;~i%?CG6EwlZn(1uYYo<%xs+q3aEN%Neg$>1!)9Iiu_NABVWzk!< zeIZDZg{cwo@U>!@v1XdE?K7lpPYWR=GDX?;QgMq;<05G^YNnHIUu0QfdmgUhbC^^3 z*!HPkJ1t{?HB*9XtIL#ar!q^ZXY815J{HabuFIynB-NR8L- zrEGS3jfAS2=^ACN%SId5L52|bY+UCAg(f^`vQ z(%ZN}n&>i-)4FkkZxI4qGprt1HhLq9z%_=cV$R#R5hWNuMUR~*qGq}=HE||-6ZkL% zeAwjE0zV$YL|$hVCKI_C#K;-dxY>u;kBOFv+=BUIXc}m-aU)^y)Y#=&U5_)7TTwN^ z$5xv>E1}=7YVMo)W8*f^5`G}o#D-}{GHoygc94lg1hPPyNFkLw?Tr+FJ%>C0B8Z6^ zVN3x`~8k9tCkT05`I|HyZb&wH0JyF)*t_1y+JKG6ZB|_mhP^_>)A4)5@yNl?8DJ z*~I3lxIp6}hB$)Td2PY9FtIaIgo&LZj3-~o7@Epfm2YGW@ingBUe5FEkhsS6+k78m zh_7+|_DY)jzd~po&F4sZnMCU!;FQxkF?~(Jq!n?G={#di?sVe^hk)WV9G!x|uyvqy zqVq@^eo%?8$Q3sD`UDb1>;w5lg**lGiwbF%e^H@3daX)q(578QKRAXK6!Jv{(Rn%J z3BV;e4CW7x8)-`Yc&sqwFOmi&RwB9SQwh~^oa!jVVLuUsRY$zv_T4 zn|N?MxSqNbT#sS;!7;o}QXpkI`0GaJfm1WwXn`A@=|*R{(b;ZvjvJi|O~!=p2PeT! zBHG&)T!$sr$Y741%!rAe@I_lrw19`0Z`z8^UXh1pC9*xyxf8G=#>|JXiB1^u2l-8)oPibkLF-^reZAd7KDA|YNxZC=TOCJl8i)k zL%_FdGjqW@$vKSUo$0j57v(gMP#Mu83%OGN%wZ%)oFK`q`qDm7AdC;bfCh<%qeb}F zPD*?L4-}Nq8=ex4nMEvEcx`4Cxl?y$>qgFF-YmE__^);YXK`T_6}k+C(!lb_Qm?3c zSOlb=(crq#`4G#cU?R$Nqa|*%)Qv8}fVp|mB_hUmXFk{KydH)S`~+J@e`QCTi0%mzu=a24TnA zoyEKlLI|UraNJocDjD4t+;DwCba`6J@2R^e-CWo8Zf6p99yODNfvzE|KNB%;(?!w0mgN%$F0$2(bb^EZUrCOL=hm3{n`P`pzKj zV6=>P9_!`ScLDtd(Bt%80=v?GI$4djmNn~id3_pau^YP{=lC`P9#*)~O>3NLY?`R= zMuh=aR!T6|d{E^X>9JhZ`g9s}#NvrKx=P87B=&2~PXZ#KL<7)6xT^Jt$8Q8E)tzq( zZsg5N(Y37gQ%IMc=sK9&sUpUDx^BOCPxLt zvl0qjr_K0BU38(?caWqpCy~Z%O0gP(Nm_I(D#Ijg6PW|Z6V}s5Wg!oAxCS zasC;O(w*(PO}a@^J+AeOZ_=M*WI=!(@+DzOMEVaFfP ztDQ+CHg|T)PEiNTf?zK7a5WApZNggn*Us>Y)ND#@5Xq6^@!kc9M=PNs!W$VM zgwSlpOn0X8JtGr?n+=fZUaC$SO|UM!iA>_W3lW@P+l-w$3OF>e6fdjU(%z5@7@5Kb zCvF8m)7Ge(HHXXsKxB%OL3`Dy5MnRl*K-ppSM&z*jIoPM6`&P?2WrGY*PYmIg_ceL zbxw!1HT&oy(@_S_R-DD_oS2!x6)b!2!teIU$(a(bjQ1|+&RBDs$Q%S0is*R8c}x^i zLi1Uw=aN(-k+CR;Pu@pp^P7=v0l_blug&C{n)R#{YTb>5S_8zoxl}Fb1UAlXqXpgt zs+_y3Qdy7|zl4IQ9PmGccrpGBZcC#te6yd`98ycpHl$9`=y@ROq`y)9pu@yeNkh6% z?~97jeN}|MQ4mFtDBwT~wFNQjZB^3sv05PeAzipcc_h;LLL4VzdwE)DE?Of>oR1Ru z)XV~C6va!dVyR;b>>$#$n;RL?Uj(;dI4>+nBewF4xU(5aahX+wdZPx@TrU(NB}U|Y zJLW!tP%$5kjwvBPw;Ax^>X;JD0qM|uM;0NYZ&uYZja|BuNQ)Eyut%Hp2!-KkU=W7i zF2GWkkw=xsfu_+gZQS)Mli<-D^8wKSC(K zD7c+I1@#Y0Mv%8or`0hl#+2(+DoL#Gl##p1G(vvXReiM-Z477F2C3+6*h0 zg?4QPd#R1^?<3pT&~C(q)+(&A2FP$JgMguw*4$>Qh)`rV9!I=)CyOiWpLM&=U=={U2Vy3W5dPdq%wgF9(-D)BlI+=;pJ&b1hl%i44k(-MKwNJ3TleC4)BP6IX;k?Fod z1G+?8tpF9lS>q!12{~=}l%;*|LYa6nW{BH!6wXp@X!cnrSk9(psjkq}ch1I9Z6-~H zR(+OK*xeIiQHYAp$qI2=ubjk^GtL2B3k8ov2hUro`d5pE{Yz2x!IiKoeD8t=DZ4_= z&hu1X&UAUL6e?<$T;rsr0_O#cFPX2r2sgBpe1kM95zyzp8d_rgiCsvN#?Mucx#B5u zk<7w*u!Q63p%U7HzZj#BUoYWD60XyDU8cuQ2g}s=D^>IfNnIjWNXg^%;Jpip?ul6y zj8K$_w!&7)b-@VYL?}h!gw}MwVhoS!5a1zOmlrC*RGnlWYF?+byo%5`M$Ospth4h) ze|0L47EYO3YCar=qy~2ecjkBd+9jS2*L4Z*tX{-xHe_b3T@iGr4z1bLbs=_C;6oZQ zssa#Mz0XO_ptV=R$g8AL%Sgb5T{sPItIj@vhda9N329TARlo$~3}dX+a;62v3}79_ zJMb2)z`C9p21P1NhpmHuAtwLWl~IQSto#p%iF29enkc`@zk^g7PGa2J2DI9^hP<MfyEzQXfv?qq}lf^hK9dWj9B+M$*4Z1@z_37Mg%Y zy03*Q|K*63Ig*GJ`Xic+|4Cd82ft9YGy|>c$D^;SZC7-xcq3?1QfL~$R2lLfo;n1M z^wi`>3xre#cR|4GNYeY$cqfUoR!o=o@bvFs7uvDvmZW9_5P8-i8=3aIv&0>KY(KF7i=y9fTS=q?zy=eUnn%~~663tuVRUcFNC`nH#9;#Ql_N zupg>+3T%1rLYh|Xzg)|8kT{M6IBO+>WVO$|rY_%8d%(G)RMl9Z4=tA9X2w0# z_+faBgE6Y0FWvAOPi^V8vy9onS`woy)z&o5tU*L}QZQrZb&d%61cVaJt7R7_3HP~< zLRnQ1=uVV3F~s-!!u*g5oG0mk;`>e7F%zmoC}}U{O$>=Ufi*1#JCW8*g=i+kv;ech z&`R6K7G%>bXsK68IQCW(Rd6pc4EuEIrdjhj#GmV?(|oQvMQ;>%??To?Bt?h;9J3s3 z1*7T;AU&%HuTqHtt0@*$H&SL-78Qd+aNKmiC6&}**$iEP7D_omXZkr1N~}WF z;o#}#rk9g+iVD`vjR|lIu1=RG!c>6G` zoK9rW+0ix1vsKr%o3XM<^n}2@8CpZmF=-kices(Ry0eH^tu>Ph@b1&LSyXKi%_@At zRao+?L(uI22|m_)G6;NP3rJHXSOr+(uL^+pJE65w{5gO_BPVI+$LN5Ve!U1{<-V>c zcz|>O@yELPDdZ2Vi7}WL(}cL%M{fT{rS9HOl!)fk6%!K*g8S6>62~XJk|;eAKG>Bg zG4Yi;#JYTf%84GS2@lm#Vl#w?>TqWPgEW~wY#$N#Hi%#lq2QG0(yFY z!bnV4+Hs>>@|qVjJy2Ep*+R=So8pYU)gRC?=74_9|VTEfumKTW|ZV09X=0&XH3RnHgLKqfy) z1`F%SA6IB4&67xP9@+pWp}wCJJS1!cQ?M|nf08V`vrm$B$6xQim&K6ZKsQLO%zc7P zHcTo=@;*t{HYIiyuznCE32&dTzI(+L%1NrvZl|sct1hz&Sf5Sx;9SxnXlwo860r)n z!3}M6Lz}JbPP7PEKbRK*>xTr9Fg<|}pCn79v-luyDWM01FIt*+Wl`6O8`nSGKhrO;}G<)ze*#63BoZRDnOBZpx-i@+%9rcfyy zxTqgxTP+_qMLa2oGO^pL#y}zZJqiG}jf7{E6Ffqd?oeJzSxhmS1zL(!F;q$}mBRm` z5asQ+Q-BsJV<_rJL%9(KuZN@l`RR?Zs2>yKrPO2D_{iPux+gImkp`=s5g1DyfycU0 zPKFKB#~S!TUIsN8K0+hSwR3OXKH%_Q z{RGmqk-@_h=;I&EdQMgxE_{+~)*q}v#GcC#ZT`WY%Z}7fkH6(+)=!GD_Vt*r974FA z6dg-BIGR>JHFyY|PUj0_LVPnBY|AIf;=-7EnoTHRG;9u0SEf0^N^m(4Didrrv>fNH zpJpqQ<-sXh;&2(rnZ;bEpqp;VgXS)x@AcDymCW5~_&i;>EZu&h*K#x~wH#`G*qAZ{ z){QXbu660U0rgLkHMLepbLDz{0UNV=?EIuX*Ei}hcQ{1dnSs}AMn|=B6Odua>K>%} z<>U=)fM3q1rRo>p@dZxs82(fos*pYjgp;Bs_4f7S_SV#Yq^+s-SYkaUy3R}9U>m?w z5ZZUY{7$YbLvRq^emYs0nYv53Vm^j}I9hu*`u1Z`3d9Od3-zmTtJ7 zwuVJ7-5g_Ca#)y#p_HY;W9SxCeTxb-Q|saZQxA;vi1P^PmWvs6Jytwr4|{6oPZwet zU0sMB8meDqg@z#3J@IlKVJ`##AZ#k54t|D9K`$ftI`m^V*$iHN+e71oRcLBUfC}O1 zcKv#bE#^XPa$5OW4l>fSk_U<6rK%(ztfv|WC!31=>-jS$naw_LS!S`o$)l4riW@rX z>$(TKp*`}lZhTNzbmJr6%T3p8NSLR0SNOMRtKIWUqDAr) zfMkXM#kvy-5o&9g*DI0~O6e=VU)bYi1^mttVI7zRE(Cu`en)#l5NqRx24whLbVUKzL;7cqRJew=%C;h0r27AN$4#8 z7eCRqV!Fn;eqHbcNhE!*EE7oIgOFJOD)5_}KehH_$+?C@6Qr!KBuE8*WgKVh)-nut}cg`c|G7{hnjGJLsf z^Q(Ju$e{j8@ObrdI>bRP-&Pe~^pl}#Yo2Jy&=negG>RMtocyT2l9H4}Y`Tos)gYwe zcz!ooSwj*kTfy;v@-MApcWMo{fk_c{ZAb=(k5M^bPeUgX{P}SUy4AhNb5i#|gB27< zZb-A3mbjoxPsJNr%`US{)<7%Bcnh933%V9*;*88J=r(lY1>J^jAQsx0@l_gG;lFYd z?KNlVhn49|-TkWZYhgO8EO~9OT5elII=gMiN_Cp+ztP}IX_58I&g&jn0WxL$8eGK3 z01CaUh`;;g!Vk3z!Ba`EC)0lP8N|E{CwK-;jHea?P9=3l8_c&?^)jwmRBk}Fs}Rm5 zG-RSI5`$hiF7)U$_PR3lsEoU0njzXm^GIrWnt7F9`5!sZ^0LB{z00ykN8q4|stD45yEMhMpn^aF0UIT-tgQta* zv{REd&QisWA!O8$%}dJ-h)zizenz_1p$*@r>8VOa2N^~wa0 zrs2#|5ol%UKS{o=i#90TFifEIK8ex|!;+Hj(jW}QYj_Z*?W_Q+Ox2X8DGwI~x~RMOe;u6nUa>P7Gz7VI0Q1S$|H_-a|A(|HSCkNm#g~A(VN$)g3teE?0+dSe1ya65i^9_dQiD%<# z^Mv}%t}!3$LL5fu+tb;@$I71G+LLL}s~H_WDj_+J!bbTOtWx(F?x*2;au zTnH$x8EwFl`xhv|3aU2Di;0RiU_BfKDF}?|j-Q7AB%pWWG!*i5L2NkX?7$3xW(*2# z*wPbGw7*!d->Z2=hDBvzosKMkXqa5VZzx2IApu#e2S-hOTomzXTOo}-k>I&=FZm9RG?fPW;Ja*?`~$qQ&}o!$LxZnZfhwd+A-XlCNP&$LzE;S4k`2&c(D=PF#K8;RMjJ5+hb*BtgH(&{#H|J6ioNZR6wUTd_ zqETBLO|$d0VI|!8FMY;JJ{Ly9y2|u1RW9V)v#yRBZn+ez+G>-d#&9X}qc+gdA&WISK)im4?XG4rMC=TpwHw7deINP`Kdnq zb6@`4kACh?Z(01<09v=-M9cP(VFKo1+CF`OWk2z+gn0a-1yDI58PJXQOOh~fQ5<*Q zP8>N-ztGDGUZx_4(@ua@(K6bc{XVu8UdfLP=b?FjT<}WuMskayJ8;swoO~@*_>Erj zAN93f*BYBf>-}*K5Xkx75R^L(Mm93yI@0x)yU_~zl7m{Ky9VKSJN<%3CO97bK2onx ze52RHV|VEU1kax7+rtx8s1${YFMoelZV`Wf7f-j26{47lIETMm+%NZj9+`4r={I_r z70dVSWQbP&r>}1DNwL^@&7OVB_Z-QOj3kcG#-JfyQuU_JyzhhyR!0i?Z$|G(W+lRQ z$(E&H<4rHM<7Fpj8>ghT#8s;#**#or_3#8XO31zzK0NXFV$*Z*`1`wXc73<(dHsnJ z?mEAr_jzsC22)Q6%Ci6198>>pFp>+vTlA93pf2y{(aTE`uo}q8)h(|QOwg@G%T3dD z%X8zJE~f+}pi{7WH;ortqP%iy@dH@!{#0wOn(_}BVrtE&>8%I3_Bmbsj0HD!5 z@?;L;>(og5?2@V@;~TxKO1OC>(FNqO6SF00l{yuq72ylAyTGC56*yipt#6@a))j(R1@r)=rs&yE5ChNIx|fpLlv zGrVxQqeznW{wyz<=8^9s)7|^C=>uJm^Zp!F~(kZe=Pr+}1D3V6bb)#jx)E?k)hdGl+e+J@G;iHkC;R-MxD2cZmdK*b^qh26` zP#J@NZc(Qj-^uqn@%0I#@#U{8J^sTO`h3+(B{&~Tw=(=qrq`LijHAy(y)N{5JhFBW zN>!v|6)JkdWU0!4h)y6h<{QKjTKdg6KD^r0-QZd3|2%eG=pDcZ%K}Z^9j_~i^$9g` zR77^jS0}ha*`P@W4KcO}`_!9yI9{4O`LI_uNFDPOcON!oP|?uA-;9HtG-cRwV&S%x znN(d4sxod#LaP_wA7nbQKR+^Fq55twt@si=^viBe5b0MGnikKmy}{8XjC%j<)w){se3%iM?hktx1r+CnetHt zPMdmpY4`@&nTVN7AXn9lRbwjDe(F;;)AT<2wKs>6ZSFw3e zQQj(_r|g7O_RSr-Tye;@i3(N=rS{;Xc>^7G**H^ zC_=zNd)8neQ|Wf%u<52}4lA7htcMcr+nF zdR6o!iU`<^h-t%4(UsUnSW5v-L(vKx7i}8)#abaVTmiP5kmn2PY03Vs<)ea3C1!i`O_d z6I28shUP?(3H(0sUnD4*lzB~je2>51&b&y+Qe6mrAK-2hOl50lHDUda>xHePLp=&;{^v1m zWiAq^&UCzVs^E%5Cq+X+MMd*^zK#gBA~4)E3pB(HoUv${UFCS)h4DVbX%x{QWh*(w zZPPq;L&twyPsnSXTCsy1FqLpXFf#yTXj>_4Yyut3nKAem^CNl6R32F7b$`oIwvy<` zR$A8`Tc)yC`-K}0Wv_@gm6TvG@W)w7naKX-naW*qD%70@Wgfk}?&6;InuA_<9$gdX z!Ji8;Wb`Qck4;5**6R+{YfW{dm)z)O$O7c*s@v+gtx0Yx1gewU%4cKYe~7V&NsjZq z{Zb>jOn9hG6TR-F7L!;Zgn(k!$GNwgCUKUyt1Re~>2oWhN1zeu|YbT)*oKCJag%u*d?HIhr$wJVUOZVq5SCgDA|7@R3Ws6rnnIyC%-`~u$r+K6oE@=!GJQ=$s33$Lm4T zv7BCB58-kh^?J})rNyMCZ(1QAMaZV9#OpzccU!xOQCdV}>$G;~@mtIToz9<^@aG=l zDbBpy+LOm}8IR=@F_tPL=sUSImYcr#SW3b~eiOT0jO7$HmdCuFZzZd-Br+PyV;zlU zyJhoOx@Ihq0ssHhSYA?N*^|bSUtUi!mdCxGG*Gxz!@6dmX|v<;qd2(NwA$;*W7$iL z(poW=y?OlB@%Z)O&+GYfU-8ri{?d=fawA{PHL8cSSBEtn;?E;>lMeIuQT*Hy&V}2WE829F|1z4} zR7vS>r?1`g!pqE~DQ`Z^_>B)X=Sh<^ za19(js>4K@Rz~VA+NikVr;wjdPU15{A8he@l{sE79WT)I@TUOt0ix$mPsG9bv_Bup zEl5HsTX}2M2U|f8mt%%Qi&L%Bat6spZ4-?mzrGZqLfI!#?r%!X#XnZNwsF{kDI`Jq zZ%P$~#RTDo5EHgx$noa&&KW6Pz~7X9Zc^MqPgk(EPNT5$2iv^fxYSgZlBbI?4KNF( zau~P)f(anTi1yBsKH15fQB*8#+i~xDi8I-fv1}NXCDN%8=cqP#mm8TcGP7;B?Igt^EU)2xA_4DF1*L;TvsYxE!N!thg3tLWv?YC&WMgT{DUi#}K_6av>7;kh-e z4-@$_gGy_j(xML-SDaS9)b0ZTER$J_J`liyw2~-cBWY2A?)m@n%DwoWUm3t{gdJ+Mg6pbk)d(!gL zCr<@-l^;GFIL*b9t=Wq}P2l97Q*3v32P*dLtvs^-ozl7lqY z`(T792;T>iaBp@Qy?K4KSm{9#EY5gyww{z*)3JMV5GGKGz)->*6vrTSZ>}g832pAp z!*3q8j1hA#{~eObj}mBeuTVpvuC2z5s9cFKP=n|5;zdS1ZuI=-JS{SXpF?Ek8IchP z1vNoMLS~B8^hd}{QKHPy8ZShqN=<*X%ycbTT(UAya{BP$d?PQHmO)_B;|1oDRjhVB zvrPfn12>*HfP=*pw?laWF8RQ|qY#eWT)Uiq1!9UPTpIih2-%dR`SP#q>X(%NaKVQ zk`yWz1dd4{m`Ie+V29vkZETCzI$mFH@j9P#e1Dd1yA@u#zu2LI9Iv0&!>l;U@6Srtl(EN} z?=QhNhYO%zV$*AK$uz5C8ObR__wO$S?M3<*w5+M$Tu5h1E?t``g68*?CWyYS`20?N zb{eg9R_@+&cz=HNK#82+T;TP4YqC-VDih%F%>}ReYJx5x`&x#aY2lQA;PjazJL#?} z3UOB+ojFtDWT5hBpqv-)@!{0|@>7Ac<+#uD@Zri`KyRSxdHfk`uazgx2a3e6AP+1r zKU%&I@T(~($XSzY0zI=HeV&E_iaZ z1kMh`;XjGg4CJTYU+WYy0^VPzcDaagUuO+a+*(PY3;<~a)Wc>Cdntx}%3s8fJA7wt ziwyv3dhG7Vv2pIDFnWcAIp#A?0^MH+rU^zbvKcM!2D-md@p+RR8)Q4ZznK{ge=&3k z1Cx`7yHZcaV8T|@v(!Hkc?&Ry4dGUv&?z@`+6|p?LuYY5_naF#FLIbMRuG)nq;E(x z5kp)WX!H7E=fY1d{7v9DClqUdX->FZ}Yi&|{+y=uN`o^*Gq*Z-}lO6;jj{?RJcaujs| z+1K0-;EsZsa1{Sdqul|ig#8KZDoGeBz5YT8myuAoAw(dJdwCxrfd1@W-aqa!4H5#} zKY`!8{=#Uj{yRdus|xM3G$l%dL^}ezQ%>POieW!3l{ZZcI}TNAT#7?G3+(>k+Ewg{ z(W91cMtvnleVQ8eTs7<~ty2BbZsg0hDwWLV6tIaHjm4+?rxl-9fqRLJK8Huys+<=j zr>a16ff)_73#VaVfOes)vHY?eSsU#xJ8l^NL+1b@o!oGW8&1_=Cs}Qi{x(OTiKzNO zo7eyPf^b?Iqg@zonp!gfRJ}rzQYXxj=8yQA^>8N!v?26|aEeAdzG*$2%6}Wm)7o%n zfp&b)dbkUt-Ecy?YzDhNDzN-dbAfgb>@5rNX!lQIPxwF0m6-KUb2a8Du)AAGWkA63 z=4ENL)0AbxEwm_OeY*#^oju$OFXI7k$mJ1T;?UJ2LXw%C}qVWxX|hq>_6olhBSbmdiycH7&ODCuLvj*8$j7 zoIY{#l-S$$e+Ki!<%%!E^LU+t>@@Grf-XM5GTws>FH2zFI+hJL+|35P2iO~tMY{N) zmzQ;yXs}7*L2oZhkLISFkx<5raQD$eoNG5oTKhT}^7O(og@bW&l3~f2!9h?J3TOX; zO57ymq2C|q>}!G82!Y6tmxqmgIu;0ceYo#lg=4Z`3hOhN^)MIA`+ne5Kk$)|B9`R? z6w3#SC;O7-4q}!Q{Pn@};CQ$@(t3rv!Xt9N+5-=~0-n3!9&Wg&TNrlTaE2Ss)Ho+t z`HJat>b0ta66dlCX;{0pd07CuJ_6@@FwUtM`$xQ@7VgRT#VcxImp}7@niI~@K*u*r zhco$)e6e&`EChU1z=+tJac(BvBNlZvv(HNdY%E zFB!N|Ku0)7nL_dA4bV6z3Da+0Qj{7Y-`)Nib3uA_4<>-6IL-6`yLJ=kZ(foS@|%}5 zy@hkQaxpKAO~DT)f>!tg+X&|Z*Jg@4sUJ+1_Db}1vKb6`bt3vB<2ec89An<6R==Wv zZi_eItr<$LsZ7GTEmomx)18B>|gUR&r1_+$n zN<-_0`w5uiI~DQgDR`D~ZmKtcNG9Pm4diMpcYcL&F5F+kTt2~EmQ$!;PRpk>#Fm&? zliLURa&r4%hO&D?9%fi0_XX^p7Ka@WhXOshRr0XID%IcJ%YE6C+t(@h&b%i+&Y&0g zXt7+}PW}LUK?KKV3y$ZL;?7}~6U+rLLf+!>?$V z6P&d6iKMp9k|;NTQEptDHvlLX5GWVBlK2rXDTjF_@uOvoSG6OHf_&(n- zuOxo7k{=W+i65=vFT9fY(P~Dy!HjaFrRINmKcSp;SSK|B<-WY1QSQt81y+4|zdy5?a=3aXj77i)%YEp-ykDZ+m-lOW3*}Z2%H@I<3-|*C%IzYQ z8?8}pbxdDZTk`isP;Rh7xpHsdTQe2P5&sC~%B@oUQLdx8tK2B@Z+Y(e`ckQ50~z12 z^8R48H&EbP1qp>4#yU04T@}8q!FVygt@Q?yUSjd>!8#ASMdc{&1HQT8;R?*O7$!=C z<+E*Y3hxRhexsVO2oc|C4Nx4InYn9C+!A!1Gy+nU` zwPG|eZ6m!DpZBu%Xhus^+oJfq70e|X9Q}K+jTud-HX6?pstu3jmj%<-+%-HD<2V8h zaKkxnc%+6o!DE}|;T7pXh{0Xj<_!eQjZPLg$D0p6;+w3)BiT3Oi>$+=P&B5oe3Nw` zoXcPK(j=5Gs17TC<+XG|Eo(0vP^&_~s@g>G8urHnqF+lFsFm@WSthW3vjc=$2OMvZ zMy;$k%Gc5jW!xn6AW$n0^oZCQdQC2ku2r!_t+D`UC|XAr9nrc$0<{hiYE4!P3=jBp zEBAotplIAz|bLTi7i3@rcrCZP$molX$Hb)4~}|+ z1Zo|mL3P7p8MQF+K>iH;xe|{uY8?|KNATNm4;$16$yh=qq1HHsS{+D(?Sh?DF4!sM zf(a2n)jn!<6nfC3egvaFN4sEZ*pFDH`lHsEm+pccm8ivh#*Xm^r@%D9XmH#xTJia; z;xj^TOoNT;56&~A3AH9;V1Qa9!sDcI7yPwh1My&~Mc%nuvNn@#2>d)lu z1)|RVZQdZD*5nj{TDZ1IM8L(u(d+?9^G_8dwC@rW-FKnK%4 zq|o9cL=W{D6)OdV8=lgJ*#`PwexXgjxBHeL>^ zRYa4x2I(Kcz(TheEk)c$^Z@QYM|159L264M!K+obzu!P z6z5H;5OK8X(Heh!P?=2VNqPOEpD=&@6%lfw3fEADZT!KbI`4*yeMj{gS zMlE`CEdCL#V=H=-vM0QNGdQ`<+v27DN~^f_4`dhf7G_u|XI-IYk7!Er~D^<%Ds)?<4FnA3+jT!Z~k1 zD)V z2q8R7oo7|7>dkm~N>^-+{Jw-b{V?r+Oc7iSpssyX;XYJIvQh#kL5@qI9vF+$h-9fX zx75ZoDJCNdX=HI|{m}sr9{!`<-U#|(H(rNcC8(O4qqbRU+mLAVP2#mjhn$>AF#A*0 z96(c{^DHGdwfA;o6gPr8a>${3j_?n;HxNVeA|D-*-(UfeKweEk9vu}nCx_%IBaC!F zcoY+poSa-YTxz6?a=SmW_G+Yla@m0K6xucpT5^yo?|M0q&yjJ!oHI!xa{$7F{J&Hj z&ZlY?e%s#W!q7_htDT9Fl@I4G(!G^lO(7NIB&AIzFh@_T_`Aoo3ovIaCnn%8CmnC3 zzLt>Mk(E$`*-T^Aa0>K`D6laX5suPAe3N#-4Hu+9foH<0bHlT>RZty-lIRY;Q6aS9 zg*Q?-Z(Xrl7uvYU8V>pW+O-~Hc!bA-XV{y<(e07=KaH7Pq#0`X32y1?zJ1`jkV)18 z;RP6eCd!=E+cORr3T~b8Mw0L%bHJms#MeqMM}0q!Gvw8y`1@7jidB+r!+z1#w~sEOVhurMV7IAQ)Jr05Dz+f#lBqLGX6-j~1S4rS1yy(n6%qt3 zmJsBS43lke!_(wh2da^njda0!$RG5S8tILqal&@hM^`*V!XKR%KOmjMqpQ8BUJJTG!( zH-nQ}YOI->(j&N~|<(V!so@sNcEGfY=ZSIV}RDrK!2)V<2 z$P=ziAtVFkbGGSb$~GORiqJ$SjN7o9fhJ=}6_*QdV7W@7XVZ7J=%qTcDieKI(8u_f zsP!(TuNM9kx52@vT3;>Qj5#jf+>Q0bda_-~l8%KCbhM%y^aXF;80}!H_RE^{1UFPe z3dL955~F&}-Bly*nj%=f6Q?jX6*T}GkH)Ykx7-acv*!s?VdKZe&bM+$UeD)^A>X07 zD_%W3+-cdI=8d5rfW*x`>8d}w zEKsq6?tM(-eY3|QTCnWeU{y)>9~~|DQYJ?Wpy3oPXvQwC=H4n=kZ#3=vJ!l*SG5;n z0z=JNoM5gQTZeo?O7|dt%s)*TJ5g#Lki>DnW-Q4E%pSCan*F9Y%>%IGYrH zP;Al(&!FX%pO54~WdVO4#h+*L=Uo0gi#zi3(QSnUfU~J-KOaNOE@L@N$G6ut^Q{$N z$lKFMpmJZeaK-|EH@t#yJlFBY8lJq3B)3c{So-!f!;@Pg`z*ZB<_R38YsO9&nFW|h zB&9T1Z%>OKjeF8rXvTJzFk+0&*zMv&F9E@#w*Uc`U4^ld)|>~@w}q#dp~G~#@zMda ziZBjX^JGNn#BQX@ru)()rFdgW@utwr8!N(eF7X?Zw#p9EHBSXEDNNTq&0`Ymcxj#vp}@}sPctY? zw?=GU<%_FG@;LgXMXbPp3!-!tJkEOWl^zu#QW@5$SYf*60@AVq)QSJe@Q%~Y(A(2w znC|Uqi34lmUCqd7mJ!}g&d_+W**n-7nk^?rR0zkCnrG86E4yv!gK}fUlkEz#?R_EJ zaCkc@(QL=#I(Mo<%3?}M?77wKIaCPBzzM|hZ02^l;g#U98(u4?Jf`jQED=rpYJp(1 zHy&M{z}M}DRkA=q!f~+XLc7BzY!SxIf6axCH-Y?=GI85(9KDFyG#6P6GxG+fF9N;s zQyf(-y9eTn4nK*nc~fDJ;s0M-&Ap^GSAaRBwXzQ>X~e3TUGn>T@6i842=#;{5ZqE#tDaD8$DS%0||Vm5t^Ss%MrrPJJ)s=;;b6F&UyZt(VsE zq}2baj%tsEv`iFX({fS{%<{kxif=V9YDZG2wndINkt79|z-15u#M9Cmhteu5nRA$E zaV~J8nOstBTx}M8!jQ-oWa;6E6O1K1B=V_t6;l#jS3HY2%?Q(mT6i_^({qxBm(6?Sv4wRP%Jjvo4BsMA+KwD;Z0;#p^)Vs^4T;m z5uyUR5%o@r(jp}I!gsUxhyRP zToQ-lFBKH*YF_8%p}a{trbM8sqAW@u?ZL2&{6@5H7sZq|kH$z;6uRLp1gTDVts7p4 zV;t+@fxwe60DJl-N6nh8-AAeVIL9R6Y2XSP4l3<3-6oB|SBfc#pc1nM_3UEfj~(!n z@IPJo7G6!$0<>ZqN!J$o5zX7C15MPoG|>k809}0K&SHVz@Hh2MW?s_pZ{`(i=4Po*7VK{?fjwTa1u z1-msCtQKY+iX#I}Z%@nQNK?ONrb_ae}w^vU-4Ehl9U^l1;dR#VBf+RLuhUUeA~6(Xj{d#!=@ zaji&!@np;t!&YFc!o5^s8zB+aD7eyn%D1u#@lydiTD6Z#K^a(fptL~YZQ2{mP))Y? zru}%U2BLkS!fvyvm>Rj^t!4>S7x3`>P0?rjGC&n*qKNFiED<%|M!JZ_0&c(-UIGnc z$hJZ%w&5>0$vG8#ohJOZ{Zzj27C6R3n#eoKlxem6x4rZ?$D2l)xL;~wEWNl7shJiQ z6usrY5k1x-rp5YiT&>lH^oN%r>bgm|>P4$aoS8nxS|Xp!qbOO-K)*e$UH|PEsSA!s zB6kw4?aLynL=n2`hnJ22#;x@A-;Ud@(2ejD6x)A0PAxc&7Lda3$KbI?wFP5Dez;Uv zt0gopCkP=`w!(iFxsqOZ(@1F%)o(uO;cAxVqv8kbS8G1SD_{FiCfs{AN4jLhnMkT- zv=-ixE;mvxL{f;)YOxNw8tb4LB$dLTB%|;%5F@IYr2Q;)W{)>TeLr8tai;TX$C`i# zT1imH+N^!H6arE^))E6v)@-*AX1izgjx{yi?PHaSjPIiH)*%wanO1O|Nv8hz;{#2U zvXYJr@Z1$q_~!H8*t=rB+xPRu7p%y}6%l8;!VZqyX9Cj{C&*vXbAUJ%xGDopS8aMt zo;Z6o?&D+XL#G>$u}Nhthbl+4d1EPVG>+cJ)7u0NG)?5VQXc&AVh;uz9ejwj9M&+GW}0{ZNP*YlTR{=)`v6|tp-{ADA5E}=Nn zbcq%)Gg)tDGGE@z6t?iq%=Y%*K6ZhJ(22*c<4qSj4th;4?f09Rnyr>2{x}1)$3Tln zs<_v?c7Trf$Rg5B6Lb+xeYGR}n6CcgjaiR-tG&~2WOhIF`{*(=z%A=&&0;;)ai+-<8lJIo&ax8)kLx;S~n!ycgaKG2Iy?W;2(@iixP7mMAY|jCEJVw~9$75Liq}|ix z?c%c&K;2`7;X>S&n}fr^)5#3w)7y-HVf%4}4a-LUj61<#S2l?q*p%J@-!}7Kj`8O$ zWMvABm3bY(Wf+U}*9!!iyk4LoK5qN(<5{p2m(ikGj#r?qjHG|PKw4jm-m<>4Y5VUL z&?1ssAjE%|wlca1$q1_msek`S;x|`YnR(>J(?sm`0uAm&PIkckwH zD3MLTb=>%d9pAe7md!!Hvj8??=7K^@d22fHqX=Zqgw3hxL<0<)^Ljy=Fgn%_Z|vRD zv&gd?&H^#noW&m9tUOC&`|AY{k7daX!A830>0Vf|oX5++u=51&S4X3EfP0O@;C|5! zUxLuP;VTl`15~Q8Ty~gIpO%;#zvA7{+KlExAhq5kU z=27FgRW)S5SJIDpZcVZVe5(!i#cT1_^YsE_+f4s`ZQCjiWj$Vn29WS!@A>1^h=>qN z)%UeC81dIi)f1X$r|z(ikSZ{ih#!{+XKJ-py^&D8i%RvB=85FAtbPReU-Fye!1Gp> zyadFTCkY{u)ZMl8Qo1)P5$pW1GG@cp;|&t=u{YZXE2a6hfX>3ojRNqocbi)?-D&d* z2<&Il+bn_j7a8RbGp-{QA29w1f4(dL|EPffD~$M@qj(+`KK^T8Z#JE{DWuP^Cv)ga z5q+8G&85%t>GOJT9$)vf0AK!FSFe!%P)wf#UJ-p>h{H-Z{@ZkKK7A>n&&$09^tqIl z$VarElM?bq1+e+aYz(7{jl|xw0{oVbj4;pGx2$xf{CAwYF9qk!TaQpSjd4^Yy&SLD z7~(e&-s9o~RJ~D=rc*hZOH`iBp+Z25g}`EMz9eb-8x@LHlite|vEBe^<|4b$KTO3$ z?-HGTdxE1k2}Qh7A^lxxtOFWaO`6zu zdB4itLeMM#?FstVmsVD$H#?Dt$=J|3ta5lJP#FlmvM5iYfH*q@c|!zP_OK9LF$P zkH_Sb`IWf7;RIpIulV|gR=()uSDa_YX+5R#$^1%O-*B2A6t_2=Vet7CCz@$JOW5

    =K@_fgw#K_blJdxNu(K!p^%?tm7@z z%KckRh@c<8&5;uJ+nhfcA`gjJxKIXeBd+zN45S8AG_4q^-{u%hk=H5(KvN!z3s{mc zwPz(1Vf4z}r}U%(Mf|6MPU;PZp{0V0P^vA9NdIk)YKHE?PXams^JEw6HgWvPF1rc3 z1&Iu>U}ZNLa1o@tKQ99;RM-Pr%n6?0h=u8S2d!@EVl-?oXfY>vf)$7a+TZ3#iMGbf zMumN(URObkr3W8ksa_Vbg$nzV+~>rq{WfVwqb09cDg?~|&?eEpHmzo}L_w=si3(z< zK!=m^&*9ZQIp|BDI7}8Q;Gq0-(j2UHEX0N6t>>XqK!sBLbcA@|wqB5^&_R0?D%>UM zrNoMO6U3L|WX?}(EOT;9-0J=W%L)q#RJOrQSx9L|TQ7^fOd=-wD{)K66^4l=?3T3h zJu$!HlrGO5jVGMe<#`f6$Z1`wo+k@H;hZkdd4}+-m6*Op7Bilx+xl)IUl#b%(#g0H zsR^z|;xigi(h7f{?GmI|x#S30o?|_NJ&qPG-SJ8^u%I+XmUMg&qJ|GzGyh?amhqMp zDhG{2G$D~3L{iFaasw|dsRCZ^O24B8`>B0Pk#b{~Vi2aKGfMf=1t+ykKuk;Lc1n>- zW0zu3qNNLZ(gi)~VpC_~Vdez>)r>GOXXK?N4dmDj+>&OKThvl@?8+s~kf^qF1vz#X zw{&fX+=m0^g144#pvD!DE!b;aVj$kCUANt!yoEL`-9g_S^xgex_ZNbnpA|wtjYp2g z=jrsXA30j#BLoI=T9Bo&>^aiNwIEHS@92xh=h>`_bw*lxq5(ZoLQlJd&lo#{JS|vW zc;~WU`L5V;PJd` z40!NeFweU&Ch%1-&U3zd=vSOD<$1aW4^Eo$yazwXIa8kZ6xgx1&81>p_D1#*cq|d# zNVfDwS}8?)3r#uOou({Gct&FfprfaO4$98P$dRd#V`Lc>?s%mdI$CG3zO<@*@2#^e z+~ApRAxK7nsoY5%a`flZu zw2byCqIH(kSgQz$7Uc4`j6n~uRMLWwr%&glx>~drREz~_ACO{-T57a4q4P#njX%;d z4z#$>uVtK1wSK*}{E?RNpzQ}*WGM7$vQ$#5$u>eDJ7L-L{vgJtZ69I@troHIM_RC7 z`*{{fC)%VP4Ymai*s%S40BG}UTFt7&A&T=yS|)*hAn37;%BR_h!(^etWRMO5>12?? zA9d8<-Xg8`53jJ=KfF>VMB(8T9fy3qKkFU8fedb54UEN8 zxOt0=^lN%WZsK_Nik03zMf&m@Sc<3E^s-0LG({Y=8NAdJg zow9RRRO;J{)iuTCXUY#8F0VMe2Nx>@fV6Zu!zegsuhuB<>?syGMmbg}{tvx`9;I_4 zj<0UUP$LEh9zvL&BHr?}l19?4>1@Z}pJF=;GLk@XpPmp;ViU^KllV6=6)&)APbaDZlpVdEX+W!dpccr}pUu z6v5#%>D4~P30t3@NZ_@~FfQ%Wi(mp=+NT$pRLy@n;-EpCr@K9%O z5w!#QElo}bT~YSx7)J0Jt&-!-TSQAE&$>yEl9l;cH>+wJMgLbBqR1VbGt?-00U$a$ z9z-wnu~4*~{a@HGtt!d>qp|Q5V_`D-2rPUCfqB+VfZe_}Z_)JyHLf^uSJRcvHC|@s}QCM1S3zjOZLPqG>e)$UtRauL2v?!5|f>>}oFy zBMOIrjc8g;hFjBznpueF0wRa>v!QbQe@3^PJ{xKcl)W?-w*vaz0pcSpjkQ0?>LtnBH{aSYx9E3dXh=#1NHIS$q*+=@1?|srjR7_jm1kpOjOa5=lS~n^g!G@~sv>ml z)}qw2vBHYV;LtNnmlBGwR7DqIElNEbhayby`59(SK1E1VZt=j_&}W!5mDON>+cV6X zd}u`n@+{g|BlZjvry5N5o?+f($UEYpWyGFg=2U~(-ZRXc46){aj|A>n>pRadbE?5? z?-^!JKD5&KTJ4SvsXW8Psf-Z@GbbN}x3ah#go$_dici5)RM(6gB$2>fg&=-CF&Rx=6Fa$5cM*#iypq-PR-d!RK2UR?$d z92*aUSFKWgZQ!s^KgIorFU<&kO>7859|_84SJ9jJJfO{63?n#zSJIpsx;PUiZ3LUQ zzBGIMHJ?4N;VI{@`RI8~fAP>LK4M;z#T2<@1n2Th@)bJ!(NZX3@4KlKohp3Q!aeKU zhg&lUr(;{N8ob2J6u*ni959mKO%)^e-PE_^jimG+Tf|XJepZr5ssC=O28&p!Z=rLT zcmt=1)^l1G^O5@&*d0TwNfVoDX;8~jV5pUo zs%0t4fO1l6p_|K4_zG_cb|%f^-L*ACXlSvw>AR`CwW(z#If}}tnd2uluYho+<1Hm< z7$T0JB+^=>)}c^MOLzkEY=RS1nW5^mw+(&om_!tyNXtrZDP+85C0?sWhzP>Ay*Wc9 zq-cgnP_V|%X2=@M#??lzGPBb#ky;C;JrZmAh(HTYJ1*e?UGFWaqBgC;YeVed>JmF1 zpiLMcl%Fo7Qb+-|JKi#C=+3_rLm+FB^wNp_ zZt8a`<)ZeF*J=8l*eprs$%-gCHI&8=ZZ%ad(;t2}Rr=70PJOq!EsHcTh(r9H$_$qDy;%tvRZRj z^hK9dW%p6HM$*4Z1?*x&q6uiQ>=cFn#_Ea5An1OXe)P1YS&mK1Zb#&1W~vP8DYbUDC0ajPASBCMPRfB(j4gZUf^r&ZTTJ{!x_PNs znj)Uy1fPZn5)Z0opH;x@V*f;g@cp+UWHgX8ASQ4f2`~U4>B&yQUgAp^D8lyE_S)&Oe&81i&5L~Jv zm};L(#HM3TA-HrmhE1AF8Y}&{blka4vW>lgEhoGcct7N=pdSw5brRtnmi(>sYRsO%lQeUfo z9iqZpITYR!y$=d+{q~YK$D2d-IgiifN2+V2tYND|1nWK z+ErmU_%}3Fm^-15#cF!3^(ax)x)?)KwJruZWN}M(C?!js2LZ+Ir#w)t$u|B&;jolZ z({U7bqx)oIeO+@!eSKrRGs<IPg#M#Y_F~DqjP3E5+cq>4pcy@hj|xqrGb5oghA{o$0C=@W ztk>rX`jhjKa>c$?#`i)x6{oZ^W&_qT4smHb8t_sqye(vaIfr@k=y$d^k3?Tp-UEET0x#ew9v~pf zsZ1|JCk0B)j)eo4K%xeXdxH$_$#kSbe=0?}BpTw^JOmb`7g-qMACggpi(hTI)c7QqTfnF3`% zGzVZPhC2X5F~~~ORe!r<#G6S|-&6QP+*G>U$uHCR`rKXoI-P&-ro=rXaLE_CFeSZL zOi3SDNj~+^3fZ#XZy>o}q3NLjmwNei5@rO`Y|rKW25x%ZZ}>oH`1czW;(>#=L#r(} zH&W%XvfNZs6zEeAttN3n_-lglB%!qOY?pdy&5O(WP?cr-)I)2i97M{-Dd_m$aJnEsfPHUW0hZfMvI7V~Zcx&a+tLh@Tg&-hqie0(Qq@kiCJw)`1=jqqaMO3ArqOH zl^w{4NL2xu7@S~2+F-S1VkXL~nHZ9F%F0d5YS2u2)K)f3>QRRHJI$O3Ffj#`%UeLL z0kNQ=t?Pt|IiVbCB_z@rd%r<3&Y}l%BjfD@sTzx-q3ur(Ww1no#k>be`ZJYHRr$l;Z?IabW>RevmkFB+ z6f+4$%h`Be+eXdK9;W5zl8}lLY4<98c@HTMmP#%mZya`quK6(nxK0%}%7eB2>7gvF zq8wNOKv@7p^}yNmvV;D0q1pcQ(0&RL1fZi~qa1R9O@cy41CVVw5%iAO%Wf!JW0rKN z$)8>We|jhzSkoVM(`*tdH=dx3E7p!GXvOAOj*vi&b6?}0;y(Oo<&_NO*bcSGMxvFY zAuIi9Y!!WoT1O^6xh1wkO_`*e1&g#MXE<3zQx4+blA%1UocFc~&&qp{iaCH!zW1Q_ zAjLU{4hD`Cg zxgFNd1_C9LE;=8@(p%&G>5>4{b|Z%dH%M(aVdRcJJgg*In)7V zg$7e8Y(gp(aVj|{qS8dI?XM@v`AR_f7;SnnM4#hc>?S*O$a|Qk4-6f`=LA$RPo|FW z2}o>K1PSaq@`9H`Ubq)LRE9*Xgejy}Q65SL8|ML%-dJZhuL#tOyx<`{ET+cC3bh^s zBHKeJy@lRFLZTEOEE*9#3f`eWw0r0@?@;U)A$q=VE&L4>z#YgM@&YGtTB%on5Y!RE zZ@moB2j$hW>LGe7FI8%NV5NAOwVL$!MG$n63eAk4m1dk#T%3AU8#Jd?1EMsU5?JZ8 z&L%l;{A#C_U=hP%G*!#`kJ%pxh00sAVA_kZ*4lE@h$z*2RG}OwgIL<;{0gTPxU?|u zQSVW%zFXn6N}sh=`K+q`6E&KT+*4E!0a+aA+nEC!EuR$=>yB~{bCc$?A~tjsyvk8N zD-~e*thQ2^&}Y2>=$kpjjpVa#gbPVq77k(lTM_+U@D`D1UBIVZ_^cb1&)QBt>qh0X zwgU}9HVH6}<9$p)J4$)6Y;owa_o(n$sQ~Pz_dA4))XRue{|=M4_{&!YaN{KUCG}== zJ^wqG_vq`3mCq^}{yRMiGtr1I`K+6wBJwc*ew@p?!E#xbOPBR`nD~{1nm}fQTvnRu z848zmf-bhQTuMdTzRvH$cn|w`2o>g&$2zoZ#G6l^Xa;vm_tIr1zud#h34=gs^L0%LLcTm zMoYJQbNQ(9RIZeDRMy~52#%a`*Y-m(&7C%K~O$&r&%KZ zzWyqNQ=T=1G6G zJ|wo*c!CkBQanE>aGqop!aiyGr1_JUs|Q1^C!aKh^F4Ic12?_$vsM|V|6#eROc^S( zlko}FPs;h~5(Sp$;kN3DHsGY|=I*0kzAM~8;u$7@y)n~U_WFiU-tku838Mr8&tI^0 zbEKK zQe6gqhPmP-glB(P4yA)&52^bw$!IqTeI;|Sd`}c6-)<#$QI?QOz63E4M&b$Wl_|Sl zu8$*xjc~^E0S&y)gj9*wW%(r}XY@oMq`e{$sv3upw1FHpm54n(Su<=5XJF!qaKEbI^<<&AL?FdR&-g2BXWeJB?Ik%t=iHCml?>O<`8JHyu z3cLQCf3JX?(F6pfM|bPV8E-kY8>|<3Qb*n5C+PV;srS-%nczEJHt?hpeub|RZwb9h z_h)$uEHJL{b=-S`Qs}-BhCWcKPo$1vNkojpO<5dEcc4Ytu_oKKbaIH^;{^TSi1#>| ztpoIxM_=6970}f|`Z_emV9>TYxkby`X}t2Q$=(XGZu{x_C2u8NXVX3+zgp@&NjEuk zy}^5mEY@K$890Li&AT8de}O*5=rZ~+Zxt!>z0^2<(n(4!kT$=6MBkWYAi} zaUpCNE>yqu$9u~xy+2Bemhk1RO2h9K6#<(NfHGRzG|tg2=!#f-i=br}UR_Oim;(i1 zU=6OQ<5dusXkQA)4qe?u6e3M6u7qQUi?0|^9^J}PA5k>{Apn5vAWiMHR&! zMUd6eWN$SjVH8o#(e3EnRRQlKS67#4P(`!^umtrYbf~rxLT+>5Aerc+;OwJ;LtLN1 z=o4a%g9|tha6JKP8juuHnFoOj_Ef1sR~&Zm&4Vg5rh^J#r>dpSjWlrMFS1}AvC|9C zDuPf%4CKZwgs@<@_kq21xZEiA6R8Dv%z*>gGio3gvV@1&D5Mt8*uY^t!u`wQe&7)8 z=5z?ph<=Db)~4@?i#G=jJHpV<#JEuAido21ND~1^F?X%j6NQcnQgOS_TT5i#OG#PY zT3)eydIP1R6e<-nR0!Zw%EAHxI*^Qhpbjus0L&(U2PD7(dIQQblQcA~uPB7mkMulm zEeLQ-1eA_&^e_%^hW6(=Vh&_?a4e4SSM$ARl6sGcrdIHV_sp$TIBokg1h+;DNP2O$ zVlS@o7S#sflhFb<;T+BWEgm;GtsC#eCB{XeN+5DNQQ6_hP3kQYAP;$KZ>UwR2_)qN8weydJ?xiM!+Da!QAJ<3hB%ZZ$aDm^Ny~eWJ{yDMJzC_gxkYn_X%OMK z)!vSh7@nX!$Kp1{tpU%7;W>`5Dcp1!j#McCH^|I90+Gg_wgLG(ocPZEMWajxy|k-Dp(j7hyGWS8&F!`}K^iH-?NAVJr5)Ta(#p6KaJ zQreS)L$9yhvxtfnd!nZOk*8JTu*=Ns0&S(xap7tQd9 zXoePPN(L7YZLDTJfo`x@iH)Arnj#}s74z|)r{FO1n`6MsQRby zsitwyQB089y#@l}4K<#k*M#ip6O~8-DA=%$qV zb;Xb3=Ckffs@Aq z0D=VCiVM66ook`!x)Uu>oD?PDVAd4 zm$wo866WWaCOKh5mrX%*3FAS6u`rt|A>Wl}SweD3%z@obF`3rlw%`+&?uk2*7;aSB zacnXKo%e?I?2#1u5UoQs6MF)Ly&(j0yJ&uap7*-Im(Db`1nt@AA;APMrmnp*HkF^A zGfu%nKCL2U)B#OX83}8~F~uFmF!L;#L?b=07o-dDCv+gwiSiox0x;H(82#=}i+UK4o``IM z{K77x5-1l>lwHJ=Uq*4-y8bbSb0zGKIBl4!V!n`rrq03VD=i#|gEP2N)ww%Y;sk3imeM+RTMZ&mKVm7ucOKP*=(NKg5Xa z2G`D|kdtC+`IsR$wr~KW#8O>4W zC$kpR5p4c)-K32-jKx_!Q7$7SB34LOJ@}->DO!v6u~gv_pEiFNE)P^`eZMoQJ2G4u=@8n=S^Mml?>Q1m2MR-n7f1CC>)EmK8O?m&$daU9!;M@hXELc3*pTW)RR0mllANmZHywdO#b zInZDZ)B_9!9LF+0pkPB4X&Ceh9*cv*9=FL@*W)%V+{mSoilupE_ySrC>yOU>ChjpE ztc3(7M@1hcu<*xi7I3BVwP4p+4unJtJy!BiNR>IzBG_L=>Z*maUtZdw$H#3l^7yz- zu>Nt|SHvC14%l%=Ew`$!!q5&nA`8{`0P|w4!C0>M9LWk|Yh(EcI<`PyPuf98fD|!D ztIiRR+Z0GUSct6Vz&R53QzAl98m=OR1VXwpkK1H_NdqE76U+?+4r#Foz^WonHX=$G zHqby03}{s}&Kf;Ss^}6z3Sj8o)O0 z5+W71C%kP$0~)p;EB3bWh#-Es57{aTKvaqV!pFrPydolK0i>=129R`sKxQ})1Uk+q74)9s zam2AY@A+FPNNWaJPIr*}~9l=043uxdhc3@~nl`vI@jB2a*64jdQ#|6v=X;=L$0?J@6c}3Cv zunJL=3&aCHQ9zYy$MD83Se>q~Loq!cHR}sHCd?|DNG>rULk`T)2>62zizf<`AB*@I z_Y*Ht;Ey*@%o7^J)ST&5J?$vuQQpaeF9!yH3FRTirJ_EWV zq7t&{D1$XV@-~o4x!{c?^`0W_(b*9Oy_Ke>tO_UwJ-7S7Awi+O7ii4!rBPvFP`b@< zG|od4o*}h}ieDnNSjVV4br}-WOgi-v-VJBoD^SvdwuCb-BG6yPS$s%2mK{m?f7ImR)vo-o_0ZC*VxJ=1ZzE%7j6rZkq zUAu||XO0Hv%@FB3gYc(3$s|E9y-IE=w&8}6>g?-gP6PI<3bbm{Dv2deCukSa*im}bW!BL=RR11D94ipW4tKbRz&eQ?*s4u^Gi zP*CTJjA2-|!_FIQZB-PKvRYv@bnR{SAwfgb4nkg$1MgK@)I=~XF$mkoZJN`1!y5MK z?1+*v$V(2Eil~lkXbVBKm&f;Xq?9hyq%dubE8V7X- zF4jta_IzcTh;?|ckTzKI)xt790!gZI32FCw6@b(U8XN)2Nz`Z#b!1|I{;8=v84C+~?G`dCtLy-?n(ee70-(4GiBTIE^At=6SV zi#?tKiZEYJ5|JhNtf{i4bScMiN%=GqQa0TSVY`BPl_HuLR@18`ExW1?UN014esIBkY|V6BpjbFY{J zGCGs_F0JFL`Sn3=!AeJUU>l0q0zC1@?dI0$nh~eA7$$7LwifhDoV)9^^P`G5RRH!@ z&3c{=hcso{(j;Ucg9;$yiZd6i(D=AmrF8B9EgHL^T@iCW_)OnFMXd7mEz-H;mTv1K z!rHQPHG+dF%DL;XTa`3bX~I@%Ar)N^Qoue$EHN_%J3}I3+1ZiGiH#%ixYG{d3I)?pZ!=XUU^Qi{Vw)O8!r?`AYuhCp3)zE=L$~Zg?2(-fZf@w=~qU0K>p03`h*XA;e)pW|RZf z<3Etu=a}{Kyx3=a3|b|_C%Y8wvlMdQuPa!kazY?D+pA&a9j`;jEynSk# z`H(NM`0II%&v`4Jb5%9<8V%9!mZT}3CYZx3MZX@eMh2nNIUxmW!48TNUg6l!-khhi6|KHIbluSp(Pvd zCc~RyOi~?C=aZ7& zIY`!IbdqlpJ1MM*uqVQr@KSgoAH$m1uLf8XYUrbrq&3-0)?`xBeC=gR=fhF~r%7Z? zJ|5mo)+C^KWld7)t-!Rmlr^z&(2R+ba-e$j10^&%i9Vnc{;HDRDd5&*G|U%zOB`wd z#=N&pobElUhn+QRk|eFkM7+`4y4rYPG|aXpUzJI8T8X1{v|JMX0#lNkb>emkZmAw5 z>r+Xdg>dG5q^yaONf}0aZ<~&ll9*`_%0v*HYQsfX{#dsO6Xu%Et;s0&F?lY+L!ZC) zOEX3`pch(`(dpC-sYFc;Cqv zT%F|$xuuRO5ZXZxfXiT}o0QU8=mcd=BsN-;(@9~sIqbDI%y%@=$rns?+nS8dR$5u0 zGrLn$J+?Io8IOvlUvyLyFXv!2(C}Kznh4l>uw7Y`Ajkogqokfy}=j|iD5HcjR;_sbG|n{l^^UARXfLmy40KlNL^QcBg$;8jR~M_{iO9HZE2u#T+&4 zt=%a&la8}o#+{kH#!1aEBsVX!w>XLq_t+=aS8$^hCsyP@=>V=DwnBddd ztw&m}yF^!G)E7?39m3}bA$!O-)w(~*Wq-$rFANG50*|jI9u{h*%N9&SB;i~1pV}FA z;l&<1M(3+}BlIu~XH0ayn$NE%%Xx^MuNLroI)th7)r0()!$CTY2X}{fN;tnhOoyQ! z;(h)!uBAob6_~+|oQ6*8VMRU9HN)y1<5JKM7i#4H?_?E;fYq?oyFkEq3aS5}jvG8Y z&3q;`B)U&Fhqs!;+t8MVx0}N|%;6L`L8<2OPDw>xm}r!`OZqoreNN)2&lHV#hj0>- zg@s`hG$v;W_o_iL-lER<_z|#aNCPT$K!ub03~uUi?K6oD)%Y|JTdIB2t@}o*29`C; zZYU7Lf;}!oIu%@s44N1bNpRA>b%RLyhvoCj9ot`T=_M1)3P1f|kFO+M-D zJ~`r>M#layeHD^yf-v|xO2$7e%=MgrW8;92Yk@=)m(VJbH3mj=xs_sDO%I2+bJ0A_ zuXiZ1tq~`3rtrjCo|r1c_6)z-$;Gyg#I{K5Pk(%rv*hEWLM}f(8mSl(u+eH~51rzi zYv7mpW~%PE%pPv%SJ{8FUbI|@bq^ike1Lv=UUN9Zo?VTn=x5K&pqk~$?#stVVN`nb zwH|+dOlVcCavy%#97a&Q2eLO4-GH75vIN6yRHU=a3O(mr+#2h|q4i_m-2*}Gc$p59v;>0WfBu*?I@y+6#I2^!@kD_07Tb5*J3!$DmgKi2+E%1H~hq=;Zut2{M4r5DxStqZDPaK zg6#1e#A!};I<@JY6Simnt4L1uUq#A@v z=o+(&JA0~pb8+2!4l)1E2fNfL)JIb}Pd=LZfoKXJO;w`&U_a-EWXXeF%<_Xjnu^Lk z*riqWy;S~|*ccxTOF@;K)}a1dEPwS;DuH0slYfvN2v{2t_$IyhbFvrzpV#^#Z)56; z^l1rM^x*%qHpOJZ9{jUEn4$wOHh{?ZBV5|1x`w9WmUCx{X%~pH(mLVRUd8##ycZt{ zA$X8ZA73Q*niiOYGtI$S=HP5|aE>|nk~uinOdX6g2j`iC)(Lll)_XKsOLI2ri!^+Z z*wF>q^+tR@g)tKQK{{Wp^L{Ex#vq-q)_H#?zn*J})BQBMXM>SEaTiaV$K~mMI=`7u z@^pVUT5)eH@u}b=B{rTLh`fN&`29=>4qfphjxazmPI3yEv^Cf95{XWMR-N&pqd}IT zY#p+c9ul4c_8FI+gA#a{1b)y4{$lY7`FMOtq}39i^}czx#XKd{FM$Ik`B|@loyY@x z_J;_~0sPa6&nwsy3*v*&(mawMSmOr=ee=lKS_+OHB7* ztT`Ab#a|Ld+XN*Z|fDUlxySY?wH;N4|<~PERic#_-{3sm10x3Dj zGsOz=IW+Q!q%Mxj5BX8O=ShAlmH0&7!x@Dj@4YKRcK?u&${(h{C~S4ea!Z8n5R z(MeQlCkUz?{m=vl=RgrQC#|570Ibir)q_TX!GqwS(RoX5Hu~n@7BiA~#e~+`XrpUs zo$)avocC%nh&n;BB;%qRck!Abh~=9AbYnu7`E;4*U%=LHO|Fb7wfgP8ua+8kUX zMMlu0%Emn8mt~eMo9~-XuFT-F0EwyKeTBj0ToNj|Bw#odQgM=BuT&CqitgFqDxSz4 zpuyEbWVquqxQ0v2X_A;W;!&%ualV5&T_EgtPD_1*ix;SyR!d^8f9EubOsiIt@!Cc~ zmAXcQs!2?%R5j-?hJR1d|kv9%r0b(aik+Mq-v& zie>>x%z66w7Ldej1T|T!hOMTzck)(q$@LMq?Bb*E{JtK8!BY z=yKU)y4*#;JS(Qqbvj*MnM#+tae0-oaJr^*Hm^FS(dEmYd5Ge_>jZz(VnUr%cJ*9^Y#?^5Au?TufCq7;^XVB$7x{R2~QO%<3 z8MEl|e!5JVO_$k(>ebXabe%(&J71#9-2B23HZqqk4`BQ?k}mRaF^_}F$JKm#RDg>G zba9a3DvGWS;bI|O9OfVv(Pbf?MbpI*T(}eI>L{t#2sH}$Cgyvh{%>xTeZe=ks)pNX zsJ>tX1_4z^Uu78(<{>x>Isow9(3zTHbuHf9ingx1{l8u(`=p-a5u~uiC2X;0o*d{? zC5QqdCM;nVL}=DxGzJX!b()K*ZbhhN3|$<<#S&iKo zkH0@JD>FfPM(1+K;X3@VI8-R!=v={AtRhFGLRoJUUmEmK)A4NunlP=klAQ-Ze&Y|mL-ychCOury$lZLdl}Nz`(B13 z;j>Xe3y=^qVv{34L)snb-OZAG-^<|CONA!-F_G}c%R&yOaZzBCM;wC0!S94Ukeib{ z$3*i~mH-iklLV-qWH)grIhy?-!RVwptnY57-vpL$of5VX>-Geet#{Wy6H5alMx7Xj zo@GsHYHlp9C~Yn+D{ZQTaPDCVik*ZSuY36SG6W3+>n>bmRQ_dPvIRdJ*S)}XfRIfZ zEd4>A(V3Z$gY|UpCIeZP0FEJ~u#d5L#c)MmDWZX82x_5>EO0z49{G3RFP=DFN*pg! zE%h44bRg>C*Y*osukF|Qbd>`FoIK#V9Y1Ut@w;5|+qF(LnQyP-aK61xfcf@1TWY*Z zEGS|sQijj+4rD)yzvV>13Zh`8qTsi;2u!xf z9>}-1jK>6EPC3lpcX#2Jtt0}T^vsiL?{D@)QRu?}wBb-T=-ut0>1QRo)YX z`iNss;svRn#H;fD+kVBcX4E{x=xk=IDR-4*ScuKAf4j8_*UVKEZE*EB961_?2g(4r??FkW4Pge6G$+hjeV$#^vu39(4{`y4%? z*?2V$32{jH$6`GJIhG<}DH8r_k)CkMcr_jg@kqD=U}4&ivRTQ;UAyJeSa!pfkFNUO6Yuq}w}n!W8D zGc?FV4Tx1cTPgf7(xM1fT=rfvy5_SjX-3xqG3?*lj=p=`M*Ls25+EJ44>+^06YR^2 zVVoS)-g+o!BCg%oaF7@preYD2qTx?= zTUaYL{$<8bIo6UXZVJUfAi5S)bePJbKwIyHcv-OQTPhYwy`+&+GFjMgqbp_vAIaeH znM)?XMn@(VHIHSRH$yLVxs9$k2nw#|;BPZD)QtwxP-m6kMS8Afa%sh{0E~-pt)e<; z^z*t_B~uG(%uo8;!A9E4qif^VT)wgZ690Rlcz@p@W##wwagR2eH#!rN1{)u_jIN}u z2l%obJ*Om1aL((g$bjD5ab>VnX|l~|c>>$aW(OEzbZur^iSHZmx0UM9l%F)%M0lib z%Ufq5at&Pt;B)P`AjY-hcK_0@LMrf({cOA&8IMLfX4T~K%cOI6=MbU^j1r1DeO7<08A zbLIE$DN^MXEaeePK`qOSuF4dGc1jYGLxUP6NGOX`l*m$rOUiN5=&DXhA-w^SIGw`P z;bc6J43uOE|Dx8ald95RW{6I;)Q2@fzObt}U>(O_3PpK%^>9N9=v*W)BXx_Dp3Qe_ zP)`UC-A{Rx%2HL4pPuEM`1Gul=ugjTMe3S~x}`NnS2IhcCfaL`fI% zx06aj9Q$S{`U2*=O_E%91eNYMqMb=<|LMe|0ut$ z1D%^)baeqUlOziFF`j~Y-Y<|!d6v5RA! zYTSI8!Ynsmmj1^50s}W%kndk(WB8k+4vI|Ne3_*ej;5Cof)`Vm)oJ-q7>o}aL_rZB z+6LpN;z(_vmI&TnARH6fZpz=z4j(Z)9n@uZmDe}488(DRUn#_GCeiEW!{aS@JedP-z-imY&15VG zy_t-MGx)nLb>i_>ytEm=mFfU&VX{e3F4m4 zKyc_Xs^@n_v!|Dhn{|u>MQ`k%6AWBnq_2Nn?HhP^1CWM+E&!B&%kt4 z1DE_o*GfFV8^&wf*nYCGaU0AqWB^?3KfPN1CewPoKK|~Cm+&_7?_m3l*S7Bm5-GIP zP9GNEB;DY%a9>N!+FxkN`u~UmRuspRJ33nzn!hVIzaTGq%F`7t`X>-FqS+5K zjn{TE19%uPe}vmkw!hGLEfIhFi3(23Bu#@|_fG?E%s&k)USqjk3TN3Y8_)bxk!HM> z&u^GNBH1khw?O_N%PwH|48F;qe+H4`5X*sE<)6V%ug4waAe`@7k7NgubExz1$ZxL1 zbG&A}c9`V|vuL9B%x@-#86#NGPlja;Y3~U5c|CUOQyGR+>W<64zxjIX+WY81XJ%FxFSC zLVY3}rX!3$nCpn(ztN6JRHQ5$`Sy3pEuOICKHdkLdO*Z$e@PCgQIqsi!wE5SWn^Ei$H*fm4p z5HC~F-V^rNZFI*IXqu8{>CK>AVH4y^fNafP=!D1V zpN*3n!A-2VaY1^26ARHcx)bon4io~s(Y;L230TgwNwr*|smhc3#~Y%``zv8nfaP*P z_s^aPOWBzU&c%>z1)&L^cCX;bEH}DW0-2z?hT9}kWxuyqc*lUUmY~EX1`)_@(N)XD zxk-75tX7U-PPkMSyN)~yY zRMQ0#0*X37PqXr|Mzi}M_hJY#yg}3LkAjMtFHKN49fahc4-;f(J;d_ye-}MiXuVd* zU!yHqy9-%9HhT3hbg)OXk037SA+4qA#>qP?QL*`Wh?;Oswun-Xa6Hgz=Fkf=eL)9w zC-U<*iYPl?n4K24k&MV9;t^p?`$$v5EZ_vH9yPj;;|g7NlxcLIKqZm*66G#lhhim| zNL19n00xt9btq88dvlSMs)ZOPj9Paoj9Lds0RwB;d|2E9ll4u;*|yjo z1~JvAD~;~61*`yhnwP@pK`>~y!al47PDnI*oRr0h1jNKug7fKGqsKskfdmTSyJSE<`sq5O$Att}@pT|N zg{ZjR=m`Nnmz@Qu5Y8^ErR9P*TIlN>pC?M3_}{T$po4%I-F%jRA781`T}NDN1=o6D z;W^hZjmR1^5L`1k*B}}e*ESeEGY+%E$TM4Tt!FlI%>>tG;fCRC@gBS~ zUvLe`iQQrVls1cT89h;l4+ryXqtO$67@r`XZ8Cb?NN@-7EXn9ud>A~Vk&ghLZ8myh z7_!jFMCR;{%FC+jq~)?CU^!u z5YHIrSm#P7?yrCN69#PU3Rs^o&^b%5d zad-#HocGtE8si-d>not>#PcGq=SuJx`L^rKBB8RKiXini z53eVzG_Ol|iIC2v3i_6SqJ(~nm2-M9 zY@`>tsZz1g>?fCuo=i?e`X$_$pO?9hBbQM=_AaY?SC+jlPzryBCs(*!uiTcHJtm+XpTPRr~9xsp4@*>2C zLXnS?Ac`Gh$GG8IL1h&k1C6k_uIJb>p1cUD0%CM15ewP4a3u&@VPhbeoMl8TWVdiX zK_pogLBu*?RS_VIQ52vbH+o6{6<7KAJ4RHQ>X}CfM)+4*AZT}FKaNu5A-0~X0F= zN7!Q5zsB?@VjGH{^B^7{XS&WGSrSdjQH~WjkOa~yZUik?6Xn`iF)KEDT8mM!R-=a& zef8Ai?*wgGb#EGJ;|m~s-<+#%hCrLy_ma`ug8BBS*mStvrTof76=lfoHzMx;n^YMQ z`;8sIqus`N+-gOHb#10g);*`2fqS)T-c$LUp8`>4x=xFB946- zth{ivoCq-^&A7AvBUz!FmpF`@mt<%D<|P%=Q4sE|XGz|BGX@qLcQ#ZU<$GVE*qfIO z+}k}|mp6o$m@(?aTs!|3(x;d4hPr9TO&Ahb1z|B$@!&RQ9{^tX?RHU(+wBU4pJwTS z?mG>DyUn=Mz$yXZ#zi%%hMjde2>eRE;7S9+@k*04E@8%<=QEU+-1|)7LnZmy8BTTRcw~Z{F9kH?@wk*+Wusw!bu7XWTg-} z3#&h-oU`+j?#-r2sdu)WF0z6zVSiBwI%8Lv38F8PvU3WKK*HuC8-eR5n(h!)1AGX;af`d(JAwYtTS3bMwx29AR>2>&R)sVh+V2 zWBt3NBlE3;C|+MN{^nLrNHMYHST7P;4aG>(^$gfk&B8b2-8i1%5jj4)j62z^M(8>m z8w1&J`O~DNK|n zRvgZFw_&7?Dn1wX?9O?@&!0)tKk;Lh+&E<1X=C*a2c+J)Ad~1|z&jTu4W5lvKr}9* zfmp?T$?R*s%wuZy!K|#@yuH~4yYs4&?#-1Gusc@OAG2z}ffD0ab!u?!`PVv#s0Fmh z$C}E=hZ}G%V0Dw5+;f{)-}ofIGRy{CL!iaD0pAqk)r*h?hoghx5ZOCW78gMn)S#=y zxYJ%yf82_Z1AU>1#wx^KlpT2T{V1VBI<|h_DdSInEmn!=LIJchtbp_H1I8Ka5+Er1~jddN-6u$#*nv7G__L6iQs)_Wr=by|aowHXkP11R zV%#-R3oFBnh7z@P7_W#vpZNb0p}SEOxgQNJ-Jb=J(BF4w00$RnG}~URAZvH6utX^D zYlYvBl6CrA>;}jyhCDQ-BvEN@mCwo+`GS0NP=z_b92#mSY$52z@x=hX)h0szlblZ{ zC^wfipuWaP1LrO+YreIVxH}I6vi+CXSv1EkQcy%2cOx~<`QW=R3jI>kU*Ji`Yrcg| ztVvG5LxHc0-A`Y?C>Z$qMRZ{}CK?0(Ndyv84PT4{A)f;LvL-l@vJ;>{i0VeXe(|4n zOEP_t#3|%9d`qYs;ak!K#?L3l`(m3sZqE69;`}7Vd0#9zFJ6gj@+=mt&jt_&QVXYh)nl8RJauyky8i1R$t+nJ>lT9O}x68zrKWPOhfhLdUpUOPkzHEe#E| z)v(mf^==wz-O9g7p;e!%t8c8tB4KNV64`DS;WnuSsD&8)VL%NsVG&lVrJyVx*yE3~ zmZ;hNkOWcp=`Ah9 zW&R2!E;Rb5ySTfCb40W53Zs9f8tl|?A5h4vo|BFHOee-d z`ezvZvru<5s>>soM+qrdNOW0n4wqdHZnVh@>yKwxJK3KQPNQM*+8PJ1n2#0i%@pm& zn>m33yf4m?1i_ZUw8!IzwW|JWqy%9@a0jH${B$_~}+9X;B zOxLm2LI~J;-l^@2LoR*rM@^O-+-iFrmRyRj*N%NjUu30_V@zM~?rPv9$w1Gv1 zZ4VL|wNE8~vVb}M57 zuc9_Ir0t9!6}o?K{;vJG(N9yyi$N&P?&e%l)lL1Sn?L$RifRTwSj8*hHu`rlZX!s( zP{^r29pY*9!%ppPZ6#~A+34S+ShAIKq@!*bGFWtzpR> ziPuZpC@tjodsM4g>asiNiiE-PUZ~{#&&1ZE9DvcE>xd7D3a_og(dHBq*zS(eG02UI zh;b*o8@1$s#&nO`@*oLRT7IcN;|2 zyKtn9j~&t8J>QNXB;EN*nfKfruY?PpDZHOtW%{cnS_yxjBvY4N@JzMP3j6JH;H57- zeXCmX6PlN9))^#S1XAnR$VHCT z8Nw=_h!umbyLWp#v2#fR5M06$Jafr2neO%YP*$sDeJOlfd!Pq#E^i3 z`ML1I5j9HipI0^IuVLk(dhJ_H>OKE?!+RMAx_H}0E~oN}o~Me|P(|aw(>SW9Z_*V{ zlpy~_(~lEVsG``$dxK04yW&}B;pDk`+%T}^q+OclTghpvs_mx#5cJdX^B3@Mq z6*cuuMmR#GPPyWVR;p8g)k9=27W0|E-SADlg1|)R$Q54KcGUGd z4j!0T3Ssum@{mv3<%IfnQpN8s|Ip(o6;9OM<;scr7qKPXzqCo;?_b(fH&1ElXaCP) zv4friP@GAB+vTJML3dYp9A%c<@^!%c+x#0GeMIwCU`nV|%POa|%^Cuo3IppDBp`rM zLqw=nF^}V$ffzW+3m-t{)iB6w@Bbed-#0JT}^&UrsC7Az$E&J{U?nfj@ zOF{eWoc#s6qMu@0Nj+whia5nOFE|N9fS%rx*f};PtzW z3T||!jg(>L@3TJ~52n$Vz_2RH9M8=g)z~e)%js)3?k@8-eq?m%jJMUz|y`Vd+$ zzOxDXsLMdJGNRT7*7{~WF;THntP2x<8FfiI`?PUjnd z$6H^T$2g_f^>x75tuIZU#?-M6EbD{!ZuV{QB)`G~$=jrZ7xwc5kgwgge1)iETJSbf zn8y(2YAug9V-snpRbExHVTm52%92!q#Gh%vZnyKMLFZ8PZ8Q6DT%-ZYvA!+1HSWHQ zTM#texSQcIc#@P$SA<^hz~@M8vTcT&=aWcJ{?445V*Ec#P9(V@oazTF@j|*F4=WR1M$JlEQLeU#@XCkFyVv2+;=eJw}ae z1NK^AMNHYr`S}4=y$3|ga zrE4xJT|+XM^xwN&q-%`Zmz1t~N(&>tvJ-&q@pM(=eTq4nU#4qjQL-Zi_(9doMuC?} z*MOiYC>@xP)}c$TYm!OV@O`2Cw&L;*BOfH52GYHuXxI-8Z5$}_*)y?~3VwyY!Nmlk zdqecWQw{GV2O!{wd0h4w#Y9jh5J?*fPW9<{_J@x5T*EG%Dc&=&S-90qmC5cSakjYSP5|BWqX{2LBM71Yt#}8e;UC3aJRZ z6GEO{!b2yJC4B&zMIfjnV9Z2%sHIc|&X|3rTsG<2_41lp6`h@hOp^jL&DJuFkEQ7% zVhv1QjvZf9R1~NB!2flPKrkqjkw5~sn*=TtCoCy{>Dd@a1Zv<{a(9e9O|vEwLx zDIX(=c|w!ALU@sdo=}fzm3W=!#GDpY;&^HO!8}g%AIy{S#~;j_fPsZ1sj+=Kq^K4q zWy->iqOb^Vn|6?>(&nDRGTZ25lc1DHW54eQp`T;Q3{O92&Gv8D3#gy^vMfp z=?AlO_eIA%-8sJZ>@79C<(^Qiy&=9H7{Mh(f0;dB_0PahNc*21luF{y4yrNzUy9-N z*i_@r99rgnXO67GpV8#QIW{UG|0JV%w94IuB<8_6Zk|b0)N+Jd`kOsrd=9`0K9$e6 z)kB*_-dl;OzaH8u^4=<}!Ws3@R+0Bs(-mzLd2bCDzK4;r&~L3ZjDZ5J(7+jw8AxOv z%wN)9*JV}CcZ8~84AAR7+gz_H`2SaM)59tNMp^$Am5Mt;Rb%am0qF4{XDb9 zE1UV_ouhc1M33jte`Obc+DI8OqKe0J`QyF(F+ZZ= zVJ5%6L>ZI$D|}PzhuQS_-edy)Fo$1Hp;xx@SLRXGw-G$r>+;@qQAyhF^4<=58byM6rPLrzPy)8>9qCby`6I4F@q*BKCIv;zTMkNy5X%VPqb%=CD&i}ShaIfwJ2HtuY0t~}Gwj6DHp77jKLuWo9_q{7m`$vV}|Rqp1hO83dqa%_p# zHoh&qb;@|F+7sQtJkg?6?xp!iQeHS3I33wmQTN0w39?NUpb*}abIHW3FM89SE&B)* zc&i4{3tENyR*f3H{Q{%RXmVfd5US&g9rnf&ozr;TQ|WabF?|oads|~v_n-onR?xH- zG>r|QDJE1|>ekW~u{6`EAUE&j-RbeJsHp{fYBKhcbI0q2X&FOwBDkZHuXvX+)?Xk9#wr~v-@Oyqx%ewuC2y&Xg(C$ zBp?vyng|&&V;Wb8K?a2B?1@y8gyA*~mDcK|ap?y>k`4JmUmBp3- z>O#aa0;O@{H-WG=Pg?Q61?a~G)VIYhXd?a_QPXnx1{{Lk0TQ5P4ZzVIwd89^z&Ngf zlpt$UiSbseCmK54niw#y8AJqA5`=7{)(1uNR-0l$3(2i7!NypA?^-=)^`-*+hyQ3%TpriQR&Wh!!FJ^#asZ^-}qA(H?1 zLp1*1$1VpG@gEy?-pBGsQZQJ1m8OmdOZ;MowdIMj3)htp+f@vOX5m}(-=Ab{t4le- z<4Jabu4kjug~VAKs_5V?IqOw|_Q7rf%JYZyOyW7kv=>0-jRu}wa4DK34Lqz!x|jCI zW!w+*xIOV-uha>oy97)Kb8-zKiwrQ8y&BhSl7w-RFps;?xF2fVpX_nt*Sed6(8GOD zX9_1dZr(a?+z*$!zH-D9O)J#W(2MD!4Fw+TP_px2hmxJ{6C8`~6H<1%PbkJno$o+S znr*c!sVkJaI1f_Z@GSOhBwUC?WW{B;{k2U{$RIfu|(x%h04oc z2bEu>3RF^!2QLGaU3OcER8+F?xA!4ZE$jij+`e7Vl@BsxTj@Sw;Kml+kgH3r?hS33 z^#JbhV*H`Q8QZsqGG^)-btm@ookHY8-~#sTwmNGZewGH`);u7Ln-d4cAl|wdW4ECY z;Rm^(YLYc7scMxfnhmicu;}T(ISBXg$oL3l2x`RnWkP& z;`*e@6XV%zb?+X>qRmukzKRyy4h6Tf3--i^MXlRaT1V>+mA6z~*VJ5ES6=C^KUdk< zSY1&m``xw|09r)NK@YEvRw&As8%oi;$E>k^QH$fDA5gVueIK z_4T8s!8>bctgmP(uUtu)GNYEpujFgLG(;?yL37otp1z93lai|O#6Uhy84qeL+B~Qu z!eDuhwx&W+*%A+JK}9py2vD2#XnA|ljeJlq7|qp57O9i7Qk`&`>T`qw)X6?GwQny< z23Mdg&I(aE$48NX4GC`Rt2AFRL%aiOp+S(gZGA*FId4f<8?-Ih41e%JTd;gtHONrr z#a5hckdyr-wz?fx?OshN?MxR??fq2C3$m8ic{TN8NVKjgYIXrN%f$y`N1E^=q;89) z31x}lk7I=umk5-L2l)l8 zD@=8R9>-c6&)Mz$Lq!g|-ChAXu!oLlE(aIIY~W`@JdUNF(AxqLuJg5?5Xv+^?#n@w z3Zo|Vw!?$7nRt^zS0h4Z1q)QK?XftE4NKYx;T$ufFB6O{1bpLdr)LSnAL@3+c-!zS z!LMhDxO1fn>b>m(^|q=#b;mL6ajeZ{)s=bMMHB;=%ZbL@A)I1wPqGa3+mpsi3|L`_ zj3Uub=2!+A@1x4L!~`ouTaT$e``cmEQXn#KhiMJtZGDmY|p(xn4iDm}$n{DOx0UNS% zF}KStD|ZxkC>M1&3M&_bu4Y#lbu}kT!ObFdmS=v}M_Vr4UqBl#NUDc@j-$2jFVLh> z>$l_~RuHRR{n9r(G)tY-HJJqeepHqx){|fv6P_JQVJ)$@Vg)=@bR;+ZU@kVqT9DIN zeX6>yw3gcjWk0wHh?-m4c&ddKwl}%4;iwyirLMWUytG!t0eVBOPWw%)3;(S0qpAF5 z0p)9ReJ3d2`111Sy`cPLx77*cbzE%MQS)5-@&uX@3QbQeJcjwk{TNRyRCO#E6K6HS z`*ErXevW14=*oqE-YA;j=Z&ff{_7^IyN>t4Uo;It_@$`#a^77(fXAc&cN+JXqPtFo zBq-BxKVf_+b|JRXP@V@~H~aE)E$S{qAuD7dv-|R8Da%j@6z!C&t4nB9$@op|=Z(_e zw17uwRrm+dmxedC3c#_87!X|jQph&NjgEH=~VVYP!`hR(Q6X2?{>s(S5a=Q# zBnAzDKp-ZKfIvuUNr0HeAZ8>G5<@fToU|Pb*soDhPF~WliUJpd1XW#$Ta9!tY0~MW zyB##}+UC7K`Sa}Rzg@1_{{0-=6e zu2NW{;$DC*$D;hMs#=c08AX)aRYu)Hv8b-{T-8-31hC$@VHM7IwHk-=T#ltsaU)iQ zjMt_m*PL>r;_0|ZAtgG^{Puh!+zV1)3d_PIW!*r`#}|4?T!~MG-hLUs|8XB@wdD5# zFeu#u5tcneZXdLc)_c^_5Y2T|1J@gq7G?Q-H4QDk^yY@6hj;F70A12Ch@?G#$V115 zQ^?;_G9R9z`E(XA=K*uxw=*r=cviekmL|z@&FA?qQ5yReI&MQJD1bp>^ByM?1 zs30?}5&hZJ2xW^a(9Bn}+bc{|1}3;QrTP-n7a!$^QGKZ&1w(6B;MUG$P<0!-UGRcJ z;Zj2i{U|@$EcCBVEAk6Lehs@_7>p^0gcHPxpErlMT&dn)m-H7Y`n7zEhLUd~J4}TZ zp-|}5Tz0z{iBg;wb~}^ZF0s?_ZKqMT3Ad|6U|JDJR)T&0F2_N7C0FYZSluq8CSEw; z<1p+pqa>rxfsL0`R12c$MKjl71_K3u*F)2?rLdhbjM&0LcNjH zbnXy2h1)xH!`?BP%OkEsp0VCBT89sBjZ_gl-uGUmoz<@HP&tK{!a2%3C>yec-LALn z417SqF67gx*LizaC$66aO%)N)l+!)esMflCBrsKbSNt1N*8WMNs`>5U%vwUT=KLsB%-;doY zRLxbcMb(53ow$9B*QAl%Zss+C#~S5^iXEe6Toq2}Zm8G-q3=|E^6J0S0hIwR!!ptt zuK&DIi31eph8la2Ubz+c)Mm18e4RY$Hu#%STysudv1i(IIY;42sRSsGag?o&5FW`P zZ-fZY9(3F0420nNYhE?DiQO zasAA^Ns=jN!IOC*JUMIVnf*tn4Mn4{sXBf5ivm#6p;rqngIOcna6`n2)P^e&2eam! zm6S=WdF4P1R>dQ%V6H@xhuTiD(FO2B46T|}!Oe=uLQ{pDl}VM3Ebdrv{`Kq*TK1Xn zd$ONmXt2QJ(};rc{Nui1TzQ2C-=VDzx3W7PAcMeK*_{Y3FkH7-><$ji#RdPVh24p& zM|v!ZT;vWr-$?XHj~~wTex&o8!%z@y>T+jTkjKR_M&JvK*-M9UUfqT8<4%kWKTs$1 zEA9lXv=hC)w%=h01@Q+^f*7y^i9t+XorRg;UW68Ry!I@6z6vb}%?q2d&k9vjcE<}! zb|DcG&F+kDqef{EJM+q94*5z-b&sS_Vw0V5Z9B$8D0EUQoETr?v|Wc1)T*Ir9!a4@ z#gW&6z@^Er)pvhR>ea&cbD6zgKM+AP8+k?9zCF0Mqt_9NgTpq($ z^|^aCq84$-Fsv^_NBA&__z=hhHA2K4(X1mH_#xpdTEu2m+F*X8Ny29z+jFiR7wed? ztN{4b+JeJU#Me=4pu+nk;yKq^yxvr`!f+TDaZ0F&i!0YvRaTT%gh=^Vu#_cNT`6BZ zirKrPNy>AswNm_D1Ua3>9dSxY`SVi7I%3T1$UAd|l)(gWpwWA^2`>Nyf}SH0a6yyE z*o`*_X%6G%F^JReCX*yvl_XDjubyCceU_bxpZKAMS5HVuo+3%&MM&}lj1@`VOfE@) zw6eSCA7s-1d6&mCj(G^6m^F$&)0>jLHYrBR?BP{Hdcr3hLuhT^NcME`QV zMBzpg3@OuyB;-Drh^?ZEO3+nHXGzm!V7?pk16vvpv#LGRn)b>h3JOTdaij4A*b)_+ zLcY?#pqoU72LD&MC?b^9HQUa-wkK@rf0u48upBxQssAygu9?>wMyQVGGY{9+nOk@j zw)sLTfNkE69BpbuipnRe0L`R2pvlNm1EH^038?WHQsc~Pdr6HWpoQ7EBQ>FoUa1yz z>OB6kyYTHf{3j^X)sw2%C1n_=v?fS~qMHO4kFz?iw$K;HG@IR>$1#Ct*e#kncJf{) zh4+HvX;Ey? zj&OC%HBH`d>?gU^=Az=TcVg%yKy!O1!)7-lXwGvzw`6vck?D^m)89&_e->|$+iwRS zG3vC0dA5 zrhmOew$s;3!kGSU(N13=(|^-@^$bvx6Nl+H-~;@!JH3S{zMxHiv!1zh_kcEiU7(z~ zWEAL{{sEe~bOx$0mYe>;a*$l8I;+=9VEPANZu--|)N(Kvz<2e`SG9hf(uE@562?E4 zjQ_Bmb?u-vFU*?Tz1sNE+s#6#IF^h*>)IjL_}N!5{_mg;uW$V*os2*0+F|Ug%p;Y< zxFbd3#*bQ%s%Ak|53svO_%CGp7nJQMYAK4Lrt2kU;+7g4E)#iZ}| zj@#KbcEXMFUhk%8&)dD<+kL>>eUOZ<0zX>>+Kq@5&SN}ZFmaGyPk`^ZQIZ#PqlBY5 zVCUG_ayQD`ec0QLy>qmNek5EG>FH%>%D{Kk9B)>Y_npa~~FD1j=i+^DPEodoE_8uGCX7y0T=p zC$1|?D4c=e*6>|CxGG?su|RQ>(mkCBLS#p|D@zUNb!Ca3a#t3HEO{M1%D*+7AVjK1 z4-0mVgkZXHl=6+0#K+E2_B?x)N9DeW7>0+219Eqrj;`ELT~c0IS(TJs-LSj0`8YOI zr}YL$vAKE+j+R8~?BxCfSY@#HK;s_DgXt8Q&DRxtqEcX}gIDZBf~-M~W`JA&XwCJX zF6Z_400O7-Nt)w9^J>0S@)+3a?LLly8E6>mj7LyEPwwao)COj`l8;y`xiiLO&;Ly0 z#rRk!&a*>dV}NEN)&VuM&aw8qI@URobtc&JfF0MdtaBW$aiMM#b5Ny38fgVFP&C^1 zuKR?Y4?(ZuNAq=`f+luOwCwpfa;WkCY?vKy>R}9%OtiM#@f4MF#{9j>-W7 zqH&@GpEy;6f{^&3a=QY$ok|(c(_RUAJ#d=GE^Li zn9eD#iW>uF-OW`Y?@e{zGbT3~_V^7v=}flg+hw~{ipOA; z@CWfh4uPYA%#!uIZ4F>}5JP#|H3X!53L4|vrODUK6eYcFl;m2TPbHam(Z1{5*s+WI z&z4XuLscbI<#gV!6T2wlpFLma{9Z$Gf4!L32wR_YPUqH&xnV%$?QZ2hSs1<&i+1D& zM-)R+X{p~S)`C3R^gY7ee+_s`m zY4PgtTI_OcCK1~VuS8A>89^M|!Mxqa!gvsc@&$G;QDOkH6TQ&xqTVk;Th={V55l~qA@_@UvJ1?*3xi>x?KWs2DWc;UFCmRXP%nzLbZbr%X58`@?izE zrmDFLo@F(8mPK~1y~cGciMm`BX}qOdTAd8(Tx5C{B}{Ec8bFUkbuO~Jd%90U#TRRr zFtnsS8qoDDSVb#F?srSa(iZAjRPl0{!w@1(RnUxb&14C57qdZfwPVqm@TDpx{R-U5 zQf{_OIU4LXVEPqB&`{Ux@?#n4HtSplc$f)Aear1!akH3puCQ|rzw+wjxiLy1C$G@X zMc1?w8E?&XE#5HUPIz_|k@3)JDCAX&p)EmP<<*IE1yBl%$0?CbPK7=|N^F45ucS*% z+33t;ovRc_=CRJzb}k%(_zAako^D%P+a7l>t(U~ut}?yBqb{^gbULOw)ImdP{r#d` zdJvbN)tsNzIrd!evxci8aRos)Wo<@|~7M%>xry3UQPb0dD5OI3S= zb=KjE-sHfWIdBB#qt3-Z#*LLUoN;3n|GS!cG-a@Wj+vq((lJwaL=tUhwhY>43su8| zRBb}K9|{m}_gQNE&Z`bdSkN$C*bW@0+kKYd%U*zwVEVSNQ|L;`7ouzNhs2EYJn7Mq zFQ?LXu{Hj zDXek0&}`FOb5~OV1oa}e4I$`7pepOv!I{nQr6^j)ItuIs_5xUAMeh!K_PNS2}(Oxlb3K~4H~kJQhT9Yq8hRwv@*)TGc9M?lwoyaN2zYh zf`Ei>yv=E`com{`FRKF{tOq+tv5u9}u?B&LDNp*KM95{OYSAdc*@F!_s6ngm2`g>E zejg{o=%8tS{FR(OfNHFU4y}d`=~gX>B-uCyAwqpn3t2bavUOlp4yeS;HK6kLh{fST zP%4uEEZTA+!>A#4j_-+J9azD)5Z1Vgb*!});u`ZlJ65uea_FTSG`}8|+97vTa4IY8 zg%CpJx5U(YrA|;b$Ks2Qt)vN<^=NEFWuVWoG^0I_=)Pnm$8erFYdm;A@ z5C`ryAl*bxa}h4NyMkI%+qzwJ_PKAz5EgtHW~+77X~!P64RM^PK4t%zAwE}O;-3e?|a}g)&B8X*L0d%C? zf%Tw0kvIpHbh6%^!WM+UZ8yw5QXJsIu?|xxyU*gRo*U)FyWRF8d!@-cO;#8U(>Sr-B?FM6dj57V!K#Rj`%bIjyKVD^~M4=@A}3=jZG&T zYq7+-0+V)WngoqS>^RxH^XSor<{i6E@1V)N`96BX$LIB?gUY0o^jDl1;Vut>28}*MY<*HgqF3n$5?%>ayr9%1k!iBWc5tPw{B{un)c#NTJBs73|vbQ}N zFL1%oiWZ4pXz3-n8%jK$YN@&e9|J~^h!xpO(bqk~I*%i{al~FiJzw0lpe7S=zO{0I zAY5AVrR6@U{svy%%j?8k=Jq%`?t8NL5m6V%siQdTl~G{&a(f)>Y_;rVL`@6pJYg@x zx4lf8A4%vaphxc9)m*5;ip2bvmv8M9`_ z#2_JXhE6_0-xvM1&NFU^b7^@n$3SU@=9q3301;1fgnr@Wz+gRA4stSZMuJ_=sVMZ( zE?#;E0L-iBv!&JOnV4>_wiTd3HnH&>eWN0z96~$Mf0~(5t^U!m@h@(9{5wo&ZHx7^_34SH zr=0as@&VlsgB6(fVMh6YhmZ213=hh%X=lHCF?962^;au#6QBSTC2>U9e)X(ZKcq^{d2UAIx8C4Tj~1x@O| zHwtz0^169N-MpbCef7Epm*lk~*gdbPTOCxB-HW#ixr&Or(O9N+Zy38b8n!XaULkJA z$nbvuFfx^qo>9~);fu%oh$*qWa=Gl49;7!$c}C)mdiv(C91yRFM(i-X;q{DcBP96d zZyXYDW1FWordmmS(c2%iVo*+LaM!q*87w=Wld&$p=^9~B&NqB}0h3Huc zj2*`$3y(04Ji-(zbUb$mR{J=14^vf(@pvkw`PwItLzrgSB^Hr5L3o5|A(A-#^vt8D zI9Nz~06efvkAQn4H7Fs;fi!Q`>28}m%z~+A+^U;9)vRV2IQFBCIT14M7*NU2bZY2h6&5~@`}*cirrfX z=_7B4bq}Q=Ja3qyJWm%8$^<#4Wa4keppvFM*jMCH>&b0hdfY|9h+l&x!H5@;6GG>a zD2LAGvU`i|QheiqxXl;RZi#bMMr+J*h#I?0NQF2dRi=O#tW*X8Bq@Yc-=$FB+BIB% z$HeenLl{@G3@@$!Gj4~^R!P7WSxrvFZ4|LYpicE|}Lni``p^LYE`nJ;&~?YO^ILPGjj$TDrHLc|6J1 zpUh$R*66MrgsG@dd2J&;m%Ta66aa{~JrdQJ21=$8CFS-iyG~HD1_xG+BlWMONEv0p z0WwcSi5e=nwEX$tGKQaxPMf=9Vp0^HVx(7JgCg;EmHW2a*D<;UP#`Snr%tl!v*6+_ z9f9i+vU^oTx{o?Vk)ag5@z$3VVw4fa2e@UtLE0WEebq@?JlQ@8PMUM7<{DVFiCC2s z=Mz0o>YSn-;y>3W;Abq`7C-CYJ%)605;^?!mc0s6uD;IhZLqO02^z)$Hv(>pboAIJ z>E0XcULF4(*X!EYy-oJ2WGk=<7ed^kH}$GD+Jpp1JLJ5MyWdlsgf;Bm<^l`HxbPm# zy{+iMY!w};wdgGIs>#iT8=HJPj~{Q^eE@3{aFV<3ZJ#`F_%L=g!=_~i8u?P& zqSL-ShIhtdVn~y=tF2@pQ)te1S4@%rqgrHknV3{aa|-RLHrn0mQ8p&HCXHUdVO_S` zps%XBtfCg=QXYYtkuxB9n?AIJBj=9|{8+Uq3K$*+mC82@s*EY|k$28FS?xAZrO{!F1iQ~7-|f7QpqrqPU9auMuiJ|%xDAcp%i-^=QWL)rMTXOy>RB)iWn zdo_NFq_abMMyj7MOP}7$!s{a~c0UR)Nsu^pe>m>wf1GtuL9_JlkHB5M8Z7t>JE_8q zKN~JB?6cw8h;>Hx{)h-1eRn^WvZJwfK1Buh<9NTEk6hf3(;iJ@lm5)W=DIVy@9l}9 z2#3Z!?vK>ai5gsFD?S_UCdT#hPrMIk9E5YDg7oVCDAZ=BJ}Tt1;S7%qg>o0~cvYfd z%2(@dpzhn#)Sy=A>~7lEc;HR!6poUTCSYq9^kMbZg3_cgNu1BCHFxp5 zA8)U&Lyg$|(e~+F9KM9lhF{czbW1=C>tXjN00l&txJ%0*QOh7i1aBLJ>P?vLCqe|V z*Ode&LIS&J6Q&HJHkL>&WcM-MmXy%fL-pW4$ZC|b`;+hyG)(3x_ZX?jG0O39F($cU zblV^l!0smjxDEfnhw<$G6t3M;9g^R|9Y4QOvd$}ty_~}CCx0}QTeA5#s_AmeN2gQW zM@Iu8#Er^FIGWnSZGnftdO zDon8i37BFD;iuSTs4(h58?W3uH)yYhGr3U-e{nelXIyyOr~jYHKix+Rx8^z(nEHPv z0d*fUde-D!PC?{zA2aG~EYtc6mRU{VgFBZ`;?DRbxX3M{XoxXR*%__Qr#p~3=;`HPLDHh;>oJ2Ic%?*bePVA&b zbgIjn6%%s@u?T;68Q$H;-`&o)18q8dxalNK)ei&aD{SHzJ4(Xa<4wjoxWuGRIuGg4jOJ0qR^duOz7aBBr) zO>Cb*J*oQzvOjXaKrjZui$)Un-Wj32goHEe3%=L^phA0t22+6n4Vw?R+kL!qcE8X- zsb)R6DBW%u4B`bS3z6gj8hb51Ahrm^)>FUlzB{i+Q>!rhG_}FR-kWds%Yeb#PTMa( zYM&;kEjFmtT$E#X!6=h7wLvMV`^B)|VohwxKE>J+jX7rsweOt?ats=??2Cyh`s_X? z>9nU1Yf~fxN;S?QC||^Yf7}lU5CYz z+>9#m>;Qi@>{$ggJ=N57IXwT~8D+qRrr+Q}Vgb8f1D>T@Fw0bODYbUFjV1l%R7ja^ghvMRp5}uyppnJ*99;4rqpH=7068H|_Su=mXJmwGE`*+2JTzzm6&|`x`bR?K!R%cM@vSFlJykx*J0f zsaRwPNkN^BG#&+tk;y+yZ{wS9^4%PDT39*IAx zk&$!)Q5A+zZoGknY0p@1PlC+)Zj7VZ{d2@KXc}Ex`~{p}h-2J6+$cBL6|lMrb~oOx zz-r2Pb{8S&M8q9;$Jp!ez&mKKqe$Zo>X}qi^nYinh~4i@)p6q`kx;p`R7U=nmTJS3 z1DHr;;C*k;1h|Zz$!cW!%i%1*B@-Nd*_E8KJJWDci5l1N0ihmnjNL($R0XHglf+Y} zxK;E1axN{EzWdTr;TA3}4Kwru2%hN$Hf%04-L9h9gFTZ})BxswK< zB!(}$3Y@~u`22r0O*|YeiS}Eg!?}k$Gk|KMo?#viG};B#iwy6i6Sp2|I8FGar7~Q- zwA2ODvo7vnYt^1acWY1sOv}^-ldb$pUTbz|rdk|9P}pf_rfXzI>~8f;sL7jjqlOIh z&Mdpi&Q%*YNw8TiSR`yyA7wrqJM+@|N9^DggW#P<+IoFWln+J~AMtIDSX zZ+a$}+dT1|IgjV-U`=k_AoElb)0`ko5xl;HDUmvc;xwq%!-sd!0P+sGeSFVE+CkKw z`mEmFpfi%2+np@C3i|@hWOuS{>=0N5!@h&MuCX40}l2s%E!p?K;b@(|x#~7xM7)=Y>)|e_p6v zj%;OxO|o0-A+Icag@vvcF2R5fiePVT@bDwwdvfgjx4k{tvZ3NE*x<52X&G&t*^|R= zZ3t6V+|LV#l=Wv*9qBl3Z4{6j!$AJL@WUaHL5cZWbvgyYDXSYw84)&@-69sc2Y_lG zD)iBshuSgR!jw;f#57LqmwBrK9|(f0S{7He3R>gclg+Oy`89aOZdLsjDz$~{+$Pel zt)z3C>1rEv?$MyVg|4@|$1{G6#0@%MACHo5>f=$GnCJh#le5Xg{&FYfF#d9>^OsOi3L~T&>ZAw4qEm$LQwCrlfZaa z^s??&$j;l{3XSnwBRErh?7K)+{PBK#S@y01;Mhet8eqK*WWC>=Y45gM^c=1~pU&{e zP>>&sgk>YmjKY!<*;gTV|39d%{5aE1U|<-OQbre*Nwf`flPT~hq#(>2A< ze>YLe@w>B$gWsKP*}GldYe>PoKR0;)Co>h`91e(mk#-L)QMv-7#2gr3&yaHy`>ZGlky(TqWm6rDg9W=mT06?XQX4 zF21E8aHS>Tmc9}7+4#ubN6-g#*;jV+vajs^ktiD%6*5SX=P7f(viFL0vv6fM!>y}W zk^SNrH}X8|@rz~eM;VuA%11SD(m6K>VVC%588;kygi$+fRw$r z!9IwmwfNWvo#LKN5j^5POcFmt6-u|!;IClswc3a2He-L@WquU#d*|#U_6fzFzo`bH z=T^9sM!j1hM^hrb?Kx1ZjhqE$j0i~!Mf%Fmb`d<((t8p`snH9 ztP86q8#%^2c}`GQo{smQifO1%6Qq}Y>P|zoFR$jP3mD!9#t#Ya&Os6hPFgl`>@+Wg-{ z4C`ZE%g7A7mJuT)v56sYPZLr#+y`P$0n~E2C)s-bZ;Nm-h<}`ss6s~5}_w2z>mVFdjRU^85T{S`l5NhWTEH|yc z%@=I_+x*qxYKL@7&pwn|-?NwV1+YUv<`10IMtfLgFF1Yk#@8I`PSGkU5`xU67ZTkNJb+)x*x ziAE7g%B-st%5r%oxse0AkmjZtuw4g4hZwHVZWD6Dw-8(vuEMb&ASfx3bse-ZRp%Iz zZL@T;Ee9}C{u&Q1-1g1T@54yA<oDtTv77O2H;cR0HsVI>4CxP19JIzb`!yuf z6jk7kZHr9PILb6tm)2CSuP!dlE-WrCt*NO*PI4ycBpKy+`cyyAw=%M5VWt|opV~GzCu$RNUE>9cO}Z{v_HoyzYCxfTzn=J(27FhY zpYlGD!rq7Sxquo@Ml(i%+K0ang9RL_aoi2VG@vRlS{JB{-N)X?%3eT501^y1kB@zv z!i{6R1Jgp6&D7$z($#U%fjL3fEpDiOQ8bDd6RwMrF1n54*Cxw0@%>t*A<-AnuD@UF zsz=n7B%vL!_-6cK*(X;-EHt3-K;SX!r}CtgxICydf1xyk6qIAN30v zYtWiJya(KT7siuRh1Np${#N@GU7moIyuSyl7-S201*5POp(Tl8;;$rWKjBts4`xQV z@(lsGlH{IG?1D6SK<@hulH4mv;6#H#?c1U)N6v=GeZRr7Pvhgpje@E;MOF}1A~q^u z?_&kl`+M!vb?p7!_y7aycM^N4$`h)I`?RszAJKZ2KT3?Uzp+&~{t<&ra6ROW=;yzW z+$F^LTge_F@C3;mSKQy1@1X>QBd76IZ@)?9p8|g$jHTETOAy-NS|23XXDs^+d2V&2 za&$ZP@_j&5{TLkp{E2!#*q}AzEPeuj2m~hZhW!Fem+(%KaoU4PI!wrrZX1u?N`z<87_S?)&VcNcNz~zTiZt6r9GSYoHs!fapeJaO(laTK!(A)DC>Wbp+tEYw~I?&n9$d z9m`<|PnRZ5!6Z{m_z;noZy$~jYi`)x)V$}o4?pm=WOHneN3>Ar|V$9+N_+CU_P$a(8 zXUsPJ!$jg=FApOhGBvshGSQ z=ijU5n3Ejl48u9P{v?!z7r~Pp*1hl%G$k1feTfcM&HE`230Jmv@)o2i)ZN$E%3LAW8TsJaF4=m!wi^v&XXT zQec3vFBG?tw5O2#v8`1k2EP3~@Pg>Ee0V#ZchbGmVEX?k<6=eN;UCYpG6JlJUWue` zxu2gfV8X64VEf}MZK-E&4(WDN)8f1+dvb)9Mgu_w4I9Ym$q8t=9z zib_X#+vwdf7!kQZV(c!z;zT;p+(9UM!LM?FhC~|_CHg0zBx2GeSwF1BLRm_aT(J$U z;5vv0XGKRs8P>Ay3J2R}M3PyrX5E$i2G=WDca;Ob2~mi<>Qt#l)oomj(pYyIbPgFF zcxn8FuPydZisa)F{xML0{7yH1@Q!t-)6j%}90`|3CT>#CpnK0d`Uu_Uzdekq?lGz= zRfC2u{XQ}#Let#KFqqConc^&GYs>eyK&nBZ9#FkaH8;J8$M1H z^yH?8#2ZW83dcH(clfd3v%(vyUEB?^bB{Roe_GAZpkYQtZDVr zy_q2Dat!iRwJr`N5671KXBE_ewX7%FiRLU8cO$-&cQb-hFv1z`#OUGupA5sRBaHI@ z*L3CM{27sG8g52n7MOpg*FVQ1(MJift;gR; z`V)nD2{*ZK@aJL+dw;gq51%pD>!0WS$UmQ&^K+~x6(7rq6`o)^oTp40{a+Ko{uu^| znp<9fuE)aOuQ!MB+NC?OP8@BM4*VH}9djZG_gU71@j`gmo(w0Jh@8QCX5z}BLz4Xq zD69ZMxi|3cP0&9ZAN;1U&H>hug!MYTMOXnN(PBg#ZQKIZlj+0)ZxTLEEN>TRa1nQd z1-xmPPjXBq-!PoVkojaGOGqVbHbChN>Y9vJd=bIdOt3seE>!*T2}#*QQ;d zL#@OQHcNy;{Xf|34qod_L{9p`3qM{P+oZ%dX_^$|FY7=eQCC-(mVXIX`sKu|QfH)S zN|pwLn(>wE_a6C|c>T*+55lsM@O!J&p60SV3anIK&y7#~OT7dBMR_-)x$>-bMmnQZ zbP_KCR~v-7xe$N8*S{1lb|g4g%6iJ2k(^#}x0Xi|;OyEq&e}z+XOR;}MgSLA?9Z1m z#3HUAi^wiUa0g#Z8IJ<5ztHP1`kXXzIP2MrkL8RKvQN|DMD%~!0*%>f(B?AHU+l3m zU=jR8_?~UfD96h!q9AD)pWnP3CD{4=*a(=#HenXqolziuE9=?ejCvadk`nM358BDg z+G&&}xn4j~8VX}QIC^oEDsUGHjK49YM4*!vvx{2{w0}1*vzGPLI-|J76mpK#4z{5i ze=`OfJHbzsdi|@s{?%T8nTH=z122I+oqC!b@9&AmGP3DoWLB}9hvidu2$Qle*E8n# zP$Q{&xbDOVe>r}#oOr@e2}ATpC{H86u+}2HB}U*?z(L33biM!}YDDgGy$mk!Oxua< zO&l#?{z#NpO`)Nm?{q{#@a6hwG6=uf6?Z37c>U#ouqQA-8c0l69ZeKhaN`ufuTnCS zaQ@+BqSg2Yj$%Sm1-bd5kE1VA+f*e@jMoL!L>ml{n#BXv3T~cNFi*TP`XXof8zHb} zGbuHtjWneK#W4Q}6p8xBtPFv|c@0WXQLcer_rKxwugkj`M+WMTbw)d*byT2eHCs`` zLMzdGeFKHnfmG&?b4K%y(+qGCPa>IrB%b&q`R};H79a42mAG)?VF+*f@Xv`Sn~fvo zf+s=^Hj}FGz!p~V{CDTUC`>#vG$lm_1xU3I;y23~BMf&Yh6wJ0-x=Cb16oA3zdJ8I zE1ra^4CIFC!Tv2y4XrjOsclex*??CeepvWfkh|sI!u+#94AgcMcg)*-{964hJN79x2RiVb&Z$a|k z==HCMGvjCS`m>y|&KRu#3N*_o(@=oTP=Jk)btdy?J7ZBYu4nt0KgSu%D?qo*KNl$j z|4e*4W0I}!pTR{q8L2+QP#z-(2@nX0uu#`L!x;mN8O%S=83Uekz1qzDx%}~D=AZ10 zA+^FGpT+*osWkQIawch4JLd=#>tE8KSH?VF8i$HdX@qtZS7SK|#XhJ*dkM*LJ#Jyc z?aEzqc$%B#%FqQbBpCt@GF<<*lRz?TFD4nPf@yZG0yG5Jj$JRH{2Ne~5FmCv!1e;g z-u41}Jg@gG2YW0fJVM>qGk=|vz#q<0uV*61)W%=ObcQS{byf1S4a~pAa>iliRu;GK zt;Pv+Fpd{~dCP!ND zBsd8=kmt;)H|ppH^1O(iAr|HPToxVm)!`F#*E{aGJ#c5|e z=sn5&Z#v^GXFMe|+RLSyaXK(Ss-&uNOGt#&x36LTvj7k^%2pAs|C~0;#Gj!X2_gJU z_FI28EKG!p_fg*4P&Eupc-t$JpKWA*q;V$XUC!a0xp2jq;3O(l`x)A+JCr#5Iv3r}i=k(%W9)ZC^`q+T~0ZK!3}B6d$|-@$&;k=Sson&~onfY7+dP zU7kzz3ygOXok>dYcQ9QIPc*~T_L0%3+#gc%#29e3js?cCK%$d~I5LsgUn>RA>6qlw zp$FJ$V79%Q1(45{iSN0Pd=B5KATU{r{!Tt;>>WAp?T#_;O=oQJItuhb^7E+ZjmghI z4)(N~h$GDBan?+6COVUq;e%Ho`FRwM zcqzX3^6F$elbk7h40F5Jzr%aYUr%7!ERe0<$SJ`qQ{7j@8*^D8#{p)jR;DwF0&biy zjTmPSVw?c)tU;A8zKa+qupA;&O+s+LG_c%qCbuE17_Fn>cM(HyF}-_U1}5)@iE9Gb zaQ>11ARj&R?^f}w0V{)|u&^sBmOaP<=;|d=cXJGnX%3++3?M^31#RJ5{zIg5$Z!x! z%E|}K%(@xW?B+quAvB11Ws1nO?F|JsJAwbaTDGQO)^4x=5DTnEq0j^WexjfZHx}I; zpgU|ZI|USu73{$tXhcsArGF%kL^u82^E#{P&fg2H1(w5tMf*65I6OKVL*V1zJ+HD{ z27Ed3=`ai6^pB}j%QpXhO8-eJ3?y1mGi4@E&+G~ym5zS`-hUt*c-*_^RV5TS=64Am z`B zUmwK}^ArkL3s4+-eH71p3I(>V1`3QcB#Wj#_lg*ZYO*oDDBFwZPgcNi8x3(ANscCu z^`jazfPp6Yb~1n4*e)0&+R{>AFrqysXhd7=o0NM~ZJcD!caj~SYC{P*1zGdCWceSO zS6amDgLX6wE~Hx;ak%sHKlo7fC0YOm{yE8HM@{%R$<+29b&_%4$O6ak3qEi>V zAzmN193RibwGhJ?=`O|B0eB+q-Ch1#{wD4ivEqte@li*i#NQkY+G2ppz5)!fz~AKc zx3EA93e3AXj<-^+j?bC4Qnk9WWMdw1)bro(5v+{xzU^=J`j11hCyK};9AzGbr(L!gMGhOKx;T8|w5Y5!B zp{SC^$1Gd6Ovl~6qfJeReR%SRS2bFAMXELps`hXMv0xh1YJzU=zdb@W_i^7IA)0}2 zk1*00!T<}{LmcJme^az&r&M#VVZS{>_OF9rxg@UxHjdh{H}P#bDS0<15Dy=YbW)sD zifO9+C%pbsUOycHFREAcJlk2wdc zvWjJ*Wy;iZOLd#fAtmC0J74tq zzp3MMKf=y#C>TAg)H?PNt~^dERbrB?MBHOtiQiQ5I{c*U83ZdQKC_UaldnBU`5{oZ_XtiIYLV`ps4z-y0BFrhl-DLX5h?nX9g-i79ZT9 z@<~KRAW9|czX07I8GW}X|2EEYz7Ffs4*X_0>5GKQdeat2>*r8DqpI)K$!44ZchdOT z2n%*7Ti;Pqw4=7Vu(;G47#0L?4lI0rj2HWRH$MDAh$QZdI#JOtT# z$TJ<)^s$eiQn=Qt{t_(zoUW0ib0h=^Bopxg67XsYS#5?zms9C-CS4`FB-)qMT$*Mz zXmP1oE6!(c@G5=C|FVzjJl?=ad|A#cO`U5(U5$! z5g%NEARm!7o35rwy&mV9l zrdeJTyH+CQ5I}x*j%${>G*OvnN#S(!V=l6fA+kAj?Bf)CoH;~&iZaUy+ARGdUE$A{ zX6bjc0WS4($Sl>~Imqo~IxUV@iG~j~?t^j^anl$rkQql6Ef~s_-oX>^<2Kz`aaN zO5pCLxUynp*($@iOj4nV0C%AyhdP(Ui|4p#E}j$hym&5L^Y?Kn`Gt=$0H2LY7P605 zI@!3^3iC27u70lONRZ(mB>DIN2{@I;mtr|wchEGbDcj$!<%E5^_PU_#+qGJDeOEJFGOgJ^Seh8CdkXXpaDMY~_XKHX0Re5xkh z6aG(W`ka#D|4dCW3iuTL@ww21xx6mv(hgEFToIUtKxsb`ZRw=leKVYSPNA|*`{G)L zM;{qz|4B3gdI3CM;mp9dbYHj{N^Kvu8wyNAc!R%E2L$qT1NYBLcS(|E18g9dx*i@m zjw$+3~*SorhUp4xZBgpkWs4 zo6AYaAg*3n$@=Ewm!b%7Aj2D&4#N6qHY%0y4~Gpp)VxNc))6A_!fP$q+_q$ePQ3in zwj$0iAM3-W@A$_HCCX+7@pzG8rjgJ8U8v~qBE5kWkOMm8%NJ1$#J$*%$kW3@AWHKM z(xl_!q{&2(R{p-lnE4+_Wqpf4k~YP#z|WtTOX%N-xn`)$675z}E{fgrn)dZ|ATQ!EzwTV14k;^W5g$V6re7JVVLqaSB90Vu(NI7!zn%-5XP=Y|=AZ;xtWFWq4Dxrh7Mc7VUt8T* zFO#= zFGp2YPVOzk$#E_7vA*4?{cfVk!5Q%00G}@h-bQogHn_}#?J%ryroq1DlluGvZ7mWo>J4^lR>#SV3Cl9ad) z%7jiBq;xNLlM=IahlG0=a8Z|>56axqgMAs6=>_1B4+A>y z+Rd5d%Nn7L;*3ykg3@wj!)0*Ob!6E7G%|qw_xsijI=RA;!9GZPE#YT2a^HeEjqo#d z{F-czeX@xh*(@AteRCFtQN1f2oV-XQoq^@vzzT1mzY_$%RNepM#g|6i5)T+`5&@h=I|GJ4A_55LS2s|rxtAqWb-1c5S;U%>_T zqIB)4LbXz3mTLa1As#TJ02nMasiD_BlO#;F9wS2ma=)|x2R<1FJpcd17AYPENbn~D zJtwuHrz|_p<%@)m509W6I#Gb`K`&yA1>o{J8ij*rTmhL$=3^L6NUQ=9Tcne9oDJsQ zH-9X0E;{aYNfDYE#D+D}ID0G9V(lULj8*q<2Q(2?<)C7*@(>&dIXf+jP$FE0Dk4gT zHb=cUCMB@Kp+oGc4V;#2{oik}UTn4F4HSVrpCi#d8@yRhQ6R=k;(t{}117yRwMz9R zzF5I4gi#LyDT$Z!^a6ZJ6<{RDMg!#ezwME6rUYWW8!ZRNe)rb>9cj6Q;?jqDEBb$P zM5@6+AukfLtFthxpD(`b-Q+BE@;P_hLd~Mcu`(u@D zL-h+ljmLwk*OUIi=(+x3zudqI4>oDuqQ$=xD3=E7;7$YQFGHg7m+D}<(!rfngwpce zq+rW}6pW^LDM5#pFjT?ZX-K*!+PfPiWPvlv`Rzd&v~Sh7_ORYPdR~im9P+rSUzKU& zUmec+u@gV6ADmyqdK;VtU_Gu^v);Y@8u_)};z1OIRQ_z?sWGn_UU?sR;yE(lOQy+U zfmKii`Cuw{x~qlLokre3IliBkL+Pg(ya;uCKP~6V`qOgh_rHp^+Qjo@)|&x-IeAdUSv>e7&T!(AM&Ps_IyQIDa@LC}v-B1; zeGYHTGJ$M?(iu*_IgRz7j_nfkt6qaiVHDqRDQf0=dGNQzgD3 z(#1G^qj#>wuqZyXK&@MD^<~WV0cSGnou@m;ToUsPb#OOVBV|AiB+u;^>M9AL{ItAo zNC~olT;A1H({yw~)5X zg%5=dn-;au;KRSHl)fAmwK{2-*an#O!jZcrCJtf96_+7FfoX3ZX0&9oUNVWH1k142 z)R3UKw09Bcm7H?R6gu+L^6O!AM9&`SU8JIiXf6eFsP#9ar4Xowp3EwSmtnCtX_JKB zeDHQ*h&9Ijv|LGEyDev&+D@)FAHE8E!uL+bkx{hbq&|TCN8wEm!<$~759irWr$V)F zhQAodyF8!VLjQ1Qk+WJ^+UtHo05L4S&VMSQXqM< zJwihbCQakr3Rg~Ux2hk@C=tK#_d$m_#2V@uB*M6ND+EJ==x{h&3xL6*f8pqm5;y4x z>Q?o~kO8H(t4%~XW6X6Qp$7C)f>IPgbcpX(VL<&ctUt!2Em1Q`Rmo6hX+Oez`eQx1 zgWn%(;?O9<)Gx>$`r|ywyPj=f{c&Nz1qC@Kdx8w2f27#+x_t|D>0(kuN{Y7~$VLJg z6btIx@7)8%DpUHa=@N+FHpHd-ZT((MN#BN$pg&^JSw#BVzLl$QD+L3Y$S?8v?R}@6 z#m*|8Us~r4RCoiGo&u30$)MhyH&BHMc*qv9zSD#wP(?UCji40LCy&l9< z7XlY7D=UkpBQ@GOpGDXzxmnj#ub-G4@lMUMI z`Q&o7<0?m-Y#eNH~E-6mrQii{za=VSeP=XOpL zs-uI+D-AL*P+KF1AdLT|yMMJyQwnH83}o#276SImlsPUP!In3jEU$fs^aPwB+S5x# zw?mR{A(+DxG#%XE^`W%9>8yXcNu0(|uLS7Q7HoK_o}|d;28%FraHI9C_7D!L6t ze+DdVH}qxVpp(xViuPUHN@A&@SWqMSnA3%k>{UjxR~kv~S3$jJJKiR`59f`|fy~)|-G~uLIYO>9#Yv2P z@R&Y z?qKY(k?Via9LkI37+s`uO=Bx6cT{dDt*$OBDMg=)FA}3nO_Hn=J9%9v02mCrO4 z=e{=c?OwmezN5sC>8`y>}>}jU}q5FwJr-0@(2DWk4EFg!x zFcBg0(g-(`Itskz0!X9!`>pR5lUkXOUDtbo;^kyF$^7o zjCV~sf_&rSM(!JrNDUDLH5vqkhFPyfP@@&?Z9gUgh{uiWabsB8WcS9TO*&fH^MBk# zD+iCV$4z13Mcs~?@IjvT@lj9mvrX*rQ4=~*S3pUq+!axfUwwRx_ktfE19;x$h47<~ zk3)&F=P5@z1k-A*BRWohd>qk3v(~~^AjnbD=fXGV%Kz~;89u(Cg!r)1ZzV0n&e@#8 z6DVMA2zZ>*Vgo!dF^1cehdn-lt(xJX_6<6PydQBiDQahP^Ul-k(=~&S$nhWJ*0q)# zKlO`yV<>OrwF32G2!`^FJH_QAtXAlab&4#f2=CGAMg10;X+2(Ky=cYd3!~@_1xqjN zL@&Ia^`d2!Z^UC^1D*m4VIIvN=XKoVz46{LPLYGu%`k6Z2lprZkI|@7*XHs9);s1A zd`0vifKdZEcsxa%Rr>h6-o^a~h1}wQP$=}`2Zinoq<}hQ1+SyubCdUb9$#>Z9i*m2 zAN&V6!wFAZLza1Ex8E}azpE|UwLZRJMIxun@%Li&f-^rN+4>R2F07$sO6&Q7drXrg zGXYND5;8r{dOc1t5cy~ujz9yoG&@L+3+O-&NxFYNS_NZyP1KNHj30|*;0*oq1#8~+ z&)15sN$+h!tAH$LlT$)$UWn-i3u%D7f38#Nl#hYy-tP_IJQRGVW31v3GV~DZ{v;3F zc|Q_&rHHU|2Axvw&+#iLZ6HeXFd@wvbXF45MKq^$6ln8vVRLJaW+*wq;v>?Kff?_>)w1IoT!@!^-jy^}4Q zG6SwtOsO$S^XwT+A&Q+fKC1A!Wb4X7)(@3H<>}j5gU9uOGn4TfMB5LSEDknxW~OEE zvtj#>;pND{NpIi`9|1U-9Btj5`CG{9Ogi~t05uCVfm7IXgMNt=Kl$(z)<7Yb*ME%l zw>WFW^#Ru3Dh_URGWSYJhX}fj>NG^q&j&@OG2X-aPtsberBtO8WGzB^SasTOgeOsd z8S8K^l8&|DC(FS>y8UlD2!=U^{iq2=Pk_7I_dGGDlwD=k^|ugy*fh?3Q%GJzMOK7_Ix@}ollCaMejf$XRpZx z1344Ou|fr081R4#kp>s$yfznPSOYFZh2g@m*W?1sUbA7CpcI=B1+$^%z?+f{!(WpP zCidY1`*6VrY`!}X&G|qEb($D1<%rZXm!o7%eUNzww^bF+{|q1nVg=p=UL>xm0)g|? zxSUUl+OYF*tE>RB8KK&2YM=6o7qy*e_cjn?d7^-n3P3)D7tl%tw1RRJL)wU+kQF9n zf0GT26eikARl_?c1SrB89REBpiVeg&Yx#BmNj5MVL+fR54a@bCJJh;GHblb|Rjy0m zjasxbPWXcYHZa!mKIA)mu0V_Sa2Q{FCv3GP@hQSe-l8cy(L)h&lLejxtipR3g>TEL zAQqmOS_D@oF+FW%Y+#(xpxPJZnJW?AM}ZcUi=P2l#0JL0-#tlM)`o8XoUugfE$>6TPQq{>xn=JgSBr34FL=mREw77nlC)gr+lO*MpWXMb7B$SC-ua&gi zaR(ii4G7z&k?fkH3946>G{V_7lU8F+&*x^1dx@Mqzjc1p6fGyTmfXu6&;mMVGtG zP`3j8t2`czYOS)IDh-#Y0TtX^+QhU&>c{#o0HTF-0X3Y=H5vsf(?s8)j01wi~H4yO9ocsx<8AHB0GL_I}1>dEO+=N@*+{hzw><6Rw7VGs8V1*{)?=RAy| zE){Kn@#tZk3VR5P+(f=Bzd+IPVm#{*^;i!vtzezyR8yc4o0cP5L3q3%WU|pAZg7)8 zQowC=bZF3D!R2NEljjtOKn;KHBNDZn(qm-+6&?T=T+xD&5RSN~%?b(OSfr5z1s8zN zVff=zqyJfn&37Kgk}h#{IR<+acU&pC3RMXcd~KjAM3AwpzX~Ob!rzEXo57u0{~O_| z6vCGu1@R?lunq8!G&x2UhT4Ry+5j=?@QOgy9zk!lrngOLffk1h7{qfef*2LR5=*^;hkI5g6Cd7IYg}Qz22`zNMXf>c zBf&<^3K?jJutHKSmPoLEEZ)IC#ftS2iWTb(#6fspsOCYpB-imv>ZtF`NV*1Mc| zb)XHB5gUT&ttU6586oWJQ3xY~>D^#Ou>K8#5oi+DvVI&A-M`j(L)_HSG@g}%P8Br< zMf9hb_Kq%bm)|D-^#<;G{(6Hj-M`)trycCq4jS6`y~W6t#jx)!wj9cp)=-mDT7x0h zQc6+|EOly~DwQn#d_0=wrAE{I!v>KSK->Iqv~Es5AJ2=9`+U4eQ++;O`oVZJJ1QlQ zXcEW%q6x(szuMPC;l}TnIt~bdw4dt<&$y)BzW@0D*Z`Vc zUfu*uCB;(QVCv(Z#H!jDY9*|gD;FDBhY9Z(NDhX368m8oI71ydn6K^iq1-S|Ggy`M z*dQ^usL*0wE|TEt4N?`I^&8g12oBD9P99nr23lpPl?bPt@ zU&eBh$->6w;3r<>Ks83IAC3ou;iRBi)DcU-1MkBL&=hP6jE#VC^4CBW?rQlB!k<+- zfO-{%|bH#m@jl=)Z}k<@4HuCJOZ*P7)cCE$CBO4{`F2 zPEH8Sl;4%b==MVV60O^09a{}-;cW$aWa1T-AAb_7L>jI?qoX>2f5h;|sS}#PQ|&-3JaBrTp${kSK9h|s6`-!3=JsFZ4uYoft^c>h5tU6Nf zUdo7IqV{4o)=a86wy%T&4eZBaC__Yg2nhaHX=Avy)omE}TYM^zDWF%=8;L^6`M_6{ zb1;7n0!t>Q1P`eaME6oI1*176)Fo2LF7gi}yTgk0hlS251D>lgi>{(^1D2gUv-$o+ zx8H7X(?qMP2981ss@AWeT|x2uz|kQxcr{Tlas*B_BiBu?hBiknR-4BIrV`qD zj#$krU6{5Hm`8|xpj}JBzU^r_AOlgFK))ovn1=lNuyY*Vt(D8oqO zC0g<%5=bL~)Y&ANl1pl>&=dAHQXN9C8>25%o+o@nZY|hRUTjf-HAUpVqZJFD9ybRf zL>0aiDaKJf>XCDNff>?aJmV0bAdgH!zG!}CiY~M4mT_wJVUne2rwNS6f&@Z zJsFKwc!RS++$6{(_d?~$HO)c#?GHi7Lkr4kAF(IceQ|x>&6SiMe}c6>&KoK{?lMx9 zl;KJ~#PdIyiuCxvszC?Gl_2G@ivFyoKRjK&hOXAqpYq>h{@TNYNcUX^|*CI9pPWY>AJ3uikRD>12gQAwz;+RJ&CO zllT4JPWUMHJ%qN~>e%;o;Nxr~sw#8b^v!h?4mG=8B&fPxs`?_pp^LaA}w*LjrE@YpSd@IuIjkbM$c7AAV6>gVh|wEWe|v2fZz%-2@N1I3Q2$v z0tq1rF$$2FN8NM&gc#ZjTO=#pf6@!blAqJhZ*`}Wtk=o=k**YXuOzs$62LJ+!Ui*$ zq4A%%@$#Qvalda@)u~hW>f$(g&RUOk&^`Cu+Pik`sj7BW?Zd9Xa_DbZKv83?2B`L8 zUx_BlufVc=arG<_wIC~q0+Me=g_*ylG1R&yG07TrY2VgjX{Oy!~laByoh-Prp6d_dnl7MXY)`4QiYPgnS&m)Z_DFn&g%li0 z(G)0X9CZcR_9Kp;WLIj!K!;;fgP$qI-N!|`FAQWj7TppFP(blfPs8YcFEygdc}kos z*x?tZ$vp}Gr&LWq??i&|iIwaf3^{s0ha>!>b-H}ti6QU`_6~L%^#H$=DP6n9wYCzy z^1%dM%ih5z9~Zi(n9nOCdV7KnK1$LK&+mdOVYfSGq@aHz(nAZ?e20$lO{`(>;27VQ z#8BrJuIc~RVIeXvdk5j4iqkRhJnv*GVCH@ z<4@qt#4&&@vW<7JS$qpR)-60~WyOq3QWE>B+le{w> zo6qGTrx|`kr^ffLke*0fRS^0{dPCTBXY>TC*(HufF=L|5BuXzC?X=_^Bf^7|HG2cPg159&)$Uis~QG5?( zyGu#IHtz!_A0hIUox$`18NaE6c_)n?&5H1s;mpW1T#yKcJ8Nk;R$flG1@6G-cX+=J zH0F1?Qt^J5tD65_E@z>a{bmK6>yJi&PGtJWH^aZxHJK0uzsq$s*;3QkH;tN6#$c*SQ>^JdhH9Y#_9{#5hkun44~YY5tRa0C(TH`@W<(2#8e_^C#G z4~s!MDGkHJbdG`lVZWhcMi^!z+Tzk5uNojY_q$xzNnNSsfN1h5-tbd8pn~g3_pOl< z?rJ}RyNX;0Uyjqa#mNVN@B!Ra4B%W!RfRn{{fZhAUG>sX;i@+*plD&f#-9(eIHeiv z`clgHd)>**^1EDyFWGz~&;LYyJf4>nDTS{u1^(jh@^1fD=;1jZyw}xvh;zJp#FLSW z5yq}!YmHi(_-MVr(aSVmtv6piXuf*LeDyG(%vX=1WQM=O@FQ)H`RcJL z#w3sDl99o#A_;0mL&6L^OB!if$55DEU6|sp5OFMD(wL;V9L=$@bOU6^kbm=>j}~FC zF7{XW3!Ft*PR-&?WGY%6A0m$0kZ5XTbf{9?JQLD6|s@?_%kE$k{-S&5Q4>}oDb<_PcR zRY-9f-+c8{;`1_g6)THXD4EBuu0+YajWWN4gOd)xym~tEc{#fZ&sU9-tJqbnWL;fF zj?T%$S%BK+-u_%6ZExTHTzvQLgFN235--?n8siY?{;&}zTy20?* zpyWDsbt90jD?@EZQDhN{L^ehwKHtW!V)r$geb}&iozgKlxdRi z&&m{oOqpE8twNwhjn=TMUn~p zhYqnWrhra#V_&Xt8SLso!@rZ%t+8*?NKb}o zH%7Cohx|K*wxtc?>ekqcex7SK_Qq!>v~4lhwj=(X{vwrN?W6TjexXpjp*Z*|n<)Fx zkFe1IdPZ|!d?;qc2vnp(U8cn8fFm?CNpDj}zoqkFl#K!Ixts zZ`IdAL`$e-|HS82?CNR5znkQJid_RQPm#Q-Gj-&hNhJqB-qq|H&Q$CvX4fLvH5@0n z7J-tK+1e?Yg_4ntX5#bh>>9#ldr`6%yN2Th*LtC(u5H<57LBoq&uiEe27{cS=!Qi-#!Isa#eh3OsWCkYB9oVS%(U(roM($<^)JaQ{v)<}kZ9!oL$* zfHTujw`(K$(>?6!9^ix~VW5e>7%}26j2wS4ki*|>{ugsX+%M(Y*4RgAo0!U6r8jJ! zTPEZ0^AMnF9BO7X4nvc2edlsXgRV{X*ZJ2k9 zNY7ZQwCLJoFm4DXv1lBg_)J!iTc9zp6%jhj}jf2g`c)_x1 z{yHerwMpz6ChrF%$7c8s;MsUw{sY8~TsmAxZ-Tm(#mA;I%*)dSZ?paNDTcpZ7*{%- zb(Oa9I`*-`_r5+sc6F~$2p!EBUUqG%(J=a_UZ^C7GZgTfZeE^|fzyzMoSDn~_5NaI zj;~JuE9nJ>PJ@D2$?M`jehG8DwhUvmY1ru_>d8et8y(NatD#pNI@L5Z6#0eSW2?bb zK_l@AI-TdQ2cMR*Ys>xhiVO35Q5X^pp_jN3qtY*_7Og@}C7#i*ir$r1wMwh%1ucpQ zQjMVQY%HfNgI!z2Tl9CbMXOQIrl1yK-9T@V0Q=l+k!aAGGrUDB*|mJ$B1aMhD}k^y z2qA)p3SnsC(HN3Gehs1fY){8{t$^sJ~E^yOK^qd60!5_<2hsq-%Mjz#?m{l}*V8xR>3XYM>Pj`AF2hU!1?KF+nubuWE5*}$`b<(8p;IaN$ z${{K6>jqy7<+aF4r2EoJJ98;7LvhZ6w26@k=Bgca+iLgh+j^kNTU~p=E0vj=cpU_* zCO*j#Jr{9Bqf8l#R}CXHO^}Lkoif&>d(B^8PBVZN?D4(6j&$#Og#VDg+>vrLuT9B6 zen#EVy|w%5j@HdMw5@L1o+C5XOq-Q5Gi`dxylI8`vu0G)Z<}%O(4J{Cr_Y*Ev9+#> zzLZHeiexeVvdG9Y#b7nN&iq&mh6aVwA!qp-9YJ%(>ye&`Q4%J;hZ229X+a2(lU$KA zQ&(%5^j!h4yOs@Sgf)da2RY|Ra$z!s)v^TtdjpO)anbh zbSM_@>2)f!OJR{p#Y6B=xSv%@h5K2h;=HuK6zI(`Y}%2sQUF5FepY!_0XQbe%O_6B z#b-lyiXnUKUuC@rgX=L=T;lq$ZV{J=8cf-iFqT`6<;UysNqk2X253L4lsg8)Q7#E7 z2j%fxFM|OD<*_}R%F9D+*^OfopYLYZahmT4s$M~o9Gm!j54)aV_>V&EN3!dq@Eay! z%ekQ^`j7axazmFjK|d08{cugakq^a!3F^sv$%waM?1{?Q6QA!T76!x0#VV~auDOr- z%FO*#WF(e4uMcC_#~M&o+zw^e$N3M7dy`!^{fB8tN^73yR$%M*xs^N%a$~YI84TCJ z6Fmd5ZZh7NO7WxNKSrFM7z8q1)Bjt&M9>m@PaBijbqtMbaF%S7!N- z`74}G0mZ=Se)cC-4k?I z?1pxmk(C_wjc(t=5wdLdo-=@JPGb0?DTs_0A{`7`kDv4k6n<#|8evT$U|Sx| z-ottn{S6B!2#eJKpEzp&9@cha*?U-t>cNR{EPPMCi{8uLTcU@@--E19LK-JY8XJv5 z>@zB%gK(E~Fn8;KM>&lxOvdFL^Kv%5I_JFwW`TYQ3{r06uLhI0m2EB_hLaa86c;XpXx#5JXDi(pUNmPl1si%6{P z1qcSwIhcuN(P?r zL}y%H4%yv|G%OEoKU;2I&f}uPaY;N)vG5j!n^8)1pZ1n*iTSj*;J~N76+6G@iQqy2 zAcA8amv0K{)83K;8kEDfa+2N6UM}A>D5=>RlxpS8USQjD1G3BIvb&4}qIeJhDl{o9 z4N`qHYz_^aglL@vM}6GphEboJ(L@80U}jui&hXXpSj0XHnayrSvYUP0cKfK^yV_cg8{}=_c#8D1`QUDMiax!Ry|PjexKkr}FKIjZ+hi28*$q1Che0 zaaudwSP{5{cV3Hwn6au%94|wGr<;SnBYpJCM*%$8byLWn$>nH#9{k#Bl|M6{UT~ke zq%dbj{*tT}IR&`SE-TC{$w5-)Rn$>ZuaIv=`1d(|B~`&6YPJ0RNnXoDB-4B$!o=tG zTCU8@zjXeyZ|k;QRWenkc=I^)9fP8qgK=3A4e{(|JXnSYaqQ-B&OD4ASMx2Djnm0K zMj93)mQM%Q$v(>Q7!n?7F>o?L*~iOA9ff)Ms8HIMkGcw@eA`W=bI^42<)e;Oxlqcg zpqrvUWuFCSG3(Fw#8JI1Qf+UoA!o3+{pCBiT*wS=T;ZMs6$E$IC~1lHoSW zi__DMQvt}OXm&%_FnC=}2?WtVu2<|`lgwp}mk!J|&Ptjotz;ruz-&)+3cEScup%Ko z)Y!>Hm zA@^TwmdgB#%}SYFyTPUa;qy|3GS4Js#^!~aX{d_Cmx{0KW;(vncRqID_}$ZGzt}7^ z^%t96O%2lT1ya9*>56gT6kRPjqQmpDn+s6)oLx{XBO)mQWO$;Dq*45nezSUkZ*$qr zg;uW%y#37^x5oxZ*qY#A*z16(28uSiNk=6 zX(-EXF2^t8$CWrwj$iSVTf?f=(i(m>64s!_-9xP53|GZ3X$=LAHLP1{_4XfdtbwW^ zYgpH_3;9B882kLtSKNhsjdo0sHS`AKS3&t!S-r^`*764{+06p0H!J}|taC*Mjj*$ z7uJwQ*02Co6{9K={(O98H%su1zB|@X9#ny_hFGnFAZsXft%1PeWs-|ujTCUd8tHa# zu9lXf?gg-hbZ!ks<9v^otN|bFW+|uv-`3-Hv(<;UpT4r2W$mstP*vO-el=3M1C2hn zo-(vI4UmQv4IG8+X89Q|gQeZj92i0&{V5`An8&RFjCagoHVuO}(=d38A8TZ*%*$)& zaMjJ7?mXoO+a(b{*e<2;gY99YuoD~3Fi+Vd<2xFZBwd3FV(l)>G8K?BZur4=!Pp;c z569SDh9?^1Orn| zigD&v&^V%53ib!v{{+>wu0s4^yX#h6x?Q*G3aSTNp`QFdrUB?4)z5GbIH0e;j|%0uJux`0Ew6NxYgLI9+!BWV2dV6)f-k{ zX#-zeoeO%9xBDOf(eOHU^N`h7+;8u;`a=7m-S|^R2_4FjQ>dJLYsX%zzrU6eZ(lAj zFBf_;UZRw-oA8{b^;>1XYwbd2yvr9XFe%?$II`u1y|?olevf#;PsP@i?MZtufTMyuuOlA z8Vr3>%2)^9eC`C>B7Z6pPr�%G#K6NzMwHP|{CIvz46HR>O|`;I(cGCpNY+uv`M;KR6mj+=lq#gV4$|G>^zB@@TE`yMBNcfFwfP#g zD*D#3&RJm+_-t2OqO=$HH7>4kRBSzn*cn8`dL8J#*i(%cx09qyyAS$(1-k*TyMkah z=&-MqbFS0Yki}->A_Z0qu-!pm#cykLhOVruc1y1uw%x@>WIL2RpLJ{`%_MzVt<(ize>eDBsuG+f#w2AZyA-YZB^eJ3*64XF^td#3h zDc7eG*0GuElV$n_OO4tB&hGK>mtb~mQ7^$%43y`oG%I(vCpbfiUNG>02$!1H3$oOovVb3VeazUJULK$ZJy+c zR*xL6VrzaU#>y1+FfW$?r4!dxz%j4Q6U@jZ5L>QQ@&hQ_Jgm5d`$UVFZQ6m4N@@7ZKmX*=;M;LDpp0mGd&$ZHMa>?_7!*0~mH z*0~&?76NtyaSxRnNZoZMjZLd!x#+i~d;iEfHyT!)5d7u|B>Bze<+2`vFVO_waDFbA zd<<@+%AKF9$v%R6Cok*V1mQzzHd%3yeYH3=Tu#ErIDIh5z8t>?xbVw~i>20J$bPeu zeF`d&($}kUd8no_!!JL^8JCtK9M!%gU__X36=>WbI zcUy6!3)K|FT8}YSXAPurrZH2@_%cN_t8)j<-?M;nhhYuDC6uI`X6czoVNY@4PD~n5 zh9>NtyPXO9cjw^;9H>#lDH-#X;j2Gu!oJ>_u$Qg0hWL*=of}m_U6Hn@`Adbb#y&st z6;If|?v)evAzNEq3|E_SY-<3}5 zyYt*`)s=ZYI1jehTSE{G*dRh<*<@W9u@8kj8?*7d2#sOM36HIzD1N9ynb&t6*Xq<0 z`(4+CyQX!Bo7PA((3l0Bj#_KL(*J9~L3V|=G`XJjyYrmMs8eb9#vR6R9aN(=htV1g ztf6J$YnLuEOznKtr}L<8QX195bLmZXS&JpR#!RAs0o)pD4dvW}JP)$YW8?8zhfl~A zMu9Jw4h?}ho!h`e#F;V*@Y}v*U9_x>C6ZhxDAt8;*lf(hgc5hwF!Xg@e7R*AH@!gP zGP5z4bus*e3qMA|+Qd_`v94auX1q7IF?@TWjcGBlOX9s`FI)!kohx>1Y@0RAf5J1m zKGLslSpC@z>L(jSVYCqj6coU}9Z;0~S4qVqN{LVc-rC zTL}X@+ql$hTo!6!UHvr+dlQYq)auP`(jmXOP4x*%3%Ul-LclWCHNc`x;~RO{qmfoL zyJ8IsTaL`e<*0|cFFf_&X^KVL7P>9{T3_-0t5#n7g zL%jHO>`kG1bDPw74V7bWT;sbiu5t}dgOeJs!MSZ61Z`hVLm5C@@r#YGik88;^?gZN z27?xC`EFd!x(0(7D;`3QWnDw9_zSpkz79i>x~o6y8pcfp_c5$1-oiKB8s2WGGE8zK z5-c?4ifHvR$1r2bFiXVR&1z+sNKtJzuJL$NSQplihC_~C67edzcC*TCT+O=1;1}`Z zIKFm6Pq|@^ch+uhWx+7Vzt}MUoVA-0$1tm{;r^2ptZR}rTpH%~LDcoF1Veim<`m5^Z)I`Ayp<)D{8m<|VH#f6 zH5H;-g%4{u40Ds{`Y0aRHQlgAkks<=I~itqBSj>;rduP(FlQ*kyp`p2L$|VoS=`EU z`&iZYb>W@z7*@g<)(FGQ_jvi*3qBpgyp`qHxQj~B48wHi7+op4VJf&=S+WD|*;X_) z1%{B%4KoF8`|4ER7^d(rr?vC z3hpPft~u6lYdF@Dx<+?Hm0_5h$S_w6!(8PU=CtkrCMVnZ+@zYsxx}zWLT%>CvpafKHQCMPPAa4ixfowRw3Pf5JR{dHc2^ z4Nb~rtbKYxJr=>JohyWXqGM83Ni)#Mlgf!XZ`TT}4)FQLR#fn3w`}E~*)o*Js0H)( zk+iZ=Bc^lPNlcjiCQu`{i&X#vH=u5{mB5Ls6k+-8j=`k7>sZXY()M&tI^3sean~9s zshpB)Ks|XP*-x%v4b)>heOL*c1n3<6cD|UM6Q7_-m3V+=;3qhdwWK)k6>=*31)SGv zL97$JDBys=NzE9WL~@rKI(ZMc`Ctf5S=?$Tyr9as5tH#njG;!RNVDIz|N&&!wuk{&;o9 z!i}i`(rhfGH@25jXW1ERCHm`~S>m}=OhjX~zF5Zfv-QCKVRu3(HVVqtk4$lK}80Xp$ z))@~ByuF_!E-<9sav}$a)yqit zpKgZ=)pw3IoLN#2e0r$9u*o4J5kwqPr&l>pqDF)ksz*~ydmsiq3f2qQ!@;mvhf)oA zYn%$z7n+R)3TzC(js$~^(ZE7O^-2oT_i6Hz?T&^SkD>E#ougRiSSzs*cjH*+L@P1C z#R5}Jc2sa9tu9S6zs+TVUWp`xQ;$+4lR?_iVA8ZBvD!$p*SgjDYciK7jNZ0&FTIxf z;9lAbhgVY9*LwFJ+`ZddTd}iho3_c0?29Oz#5$*N!?F?)VHb)p6}27{5%$ihJ-tCs zdkYs~@0^MVdm)8B`*ouNxSh=^g~~UOTN(c38+DR}->6eo^MOdUkl|%Fn1TP6mptGu z-|M@$Pl>k1`0EO|o7-bv-b+3ZVYO%~vl}nDma5rsK9g5~Phl#!acxC6%o}*6&W%KC zEFstf!{|spPq`24B%&u``}vb3iRX#C{ag}?1&d@O-}m|+BClhTHO^n<8HIeB2h72j z>k?SS4y+Orm0R$mVU24T&bwYTUZRe9B6qfHs6n8J+w!#+99_O#$2ulk{ccJqVhXF|<02~w#Lw@xl8E?y0X}Fvk!S?gvm4lE zD0StV$(;IcCSMXd_s!%`-b1G+8qhcFN1T9R+kU)7-(XZV|wkA?=?(zwIcLsRhOm-$$-%NJMawk`Mt8Yw#o}Fa) zuqJX`#rVr^Oh&}|#)NKb0u6EK82{@B%BlS=h9(62LqcTZSjvn=~Wc%NkB&p6ZEK?FvWSn_-v@T+xy2 z#yn7DO%emVobyt*G>UriM$NZxq*M0(dV|^%i6*fd^9^e<9v$Sfjg}}95Vkx{A^Aj! z*#ZbhJcyd88y8zoLva1$woB-B^eo;zl|?F`Ax4>f1uX=k+bZ z6Z|-b-B@f*y1>OQzGh%Vdn2XWN+zAGqd)cZ=iuki&O=-|qc!Ecjw7A$D%XcduX24z zD8JxUZsc;WLZ8-DYI0w!s*ru>k#<71SdyKJ#vc}q??;WtOmP}Dz8?zkm9rLPJi=pZ z8Y$-ruAD|oKhjR*z|@rU`Vhw`uMZI#c74d;P@+K73IqO!Z&z;Vw95U>Z3c zkB$ln^(P6fHLMvVq5d8(_a6O8KJ<{QXCM3k^^8Fa;6?fi^<0WyQO2496vE{ zZq!6u?wjEx!+yS3s*KhisdWKZKn=JZiw`hcorPDB(m898YBqLb2d#eHz|w09>fUsw z+e#sU;^-Fso}fPQBp2&YDb_&CLYZ;@OSk zhBc?iXfgyDm_D%^Ck$&Yk&z(1cmfeY54oAd;|G`tmNBrSvn4^83Ebpdl(FW5At%_4 zlVm2x@EHK1?jG4UTpFFe&!sU)(J03p@hKWS0FkGFhFdJ2up6hXRG>e?I`EWp2bsF* zYlMBv7`uN89pr^=>fbs>%gLp4?<~*;Fdd%9w8!; z1_2l?WpX5q{)bYKifOv2}Q;WCrB z<3=}_&Wm7-JdAb3(?EX+KH)LbTvY%`PN%1n>555LhYn<)0_V_eaXNawMINI-ePK!B^8+D) zN6Jz49-QvNV&G=)9kUkVo+tBp?>K*0ALzz22)CCj+;30h4g2;)>Fd5dQ8mov`(Vy@ zm@!fZI_^gS3XXhxqAOO(xdm1r&TpHiWx_dN`cTqZ`{&v1fiE%2!+k(6SvB_(0V z!M%I8?y0JrM(JpF@7TMmjFQu^TcgoSHud%WT~l9Fu-jl6jRRPIM@7G(>x;-h}Da4aX%K)xlh z5D;1<`e$*xM8+SvmG8V@tt6a7T$~Gf;N$?Y8qSa+Avkwit1b}Eq0|w!6#BD4qdbfD z2=9F61Uu>Ge!Z3>zwmiPLwaO4Cuy%?trjk5UnYeL3NYUBH4P;hkzcB{R$FX>!*4>! zpK2_>c!J|3JuEb%Td+TVH4<2~6!L=mt<^B7Tl;ZYtGNLXetaWkABNpV(!hU-x8uQ; z#r$%8uf!?3&j#kaN=7?i@~Oye&e3vw7UNw!Vz^P>A z7UW{X85|5~*=)9yfJl{re4 zjG|p{EjwX3@As3IbAB1a7p;O{uXQTGno7%#Zv1LkMWAjJQTKj~Rb=&csN=xc9j~}_ zdz)YA0HQWK&6e$EOAV6Y;hw$U-@-dh-;c&+75TGTc1A|hw!D@d3BC7i6KefF?aXT$ zt@^?SOOD*EblKtKiL#cTh!oL_GuZn>Js217!KY!ZBZW>>CUFUAXkcxZ7Rzq<(&A8f zfR8fp-&$WzpkBB3ufa_Nw&81eLV06xr=b5wl~mmK$HVU*VDFDNtPO-%GuzZKQiF4oCrgKNbSY0FPZ?%&XS?W@wRUdyk+_ z_~O%6NiN|;=w9M{)d-K%b;Z%4MS^i6*Tp76nZ&b3wVWc2%8W=Rcb}6KAI51cyQR+RW!{PpE6rcMgkw{*(qMI zmsJW?%K&FHtWxfa2|vD(eob(FaRZJYk%ZSd>a|YESH3|ef>RDi>5I2*Jy=&&R#jb% z^)soo8HTkPzL?&?(=<^j-gl}v>hDxZ)ZeKJMJ)`4lSz$>wzd!k1ihO?jMnNO0bktO z>qWlUig}qB4u{o+$y5l-|7Q{>y!>aRh4aNE5NavhNx4;ynTEuPufC>9j_3#A$39CP zUo4tNPy;mKy9>V=tR zxCA9UlX24|QjsYbn@-{0F3;L(^>e)4H`aj5`q=G#G18=wX{%|r4j@%)9T4n_!)Q!y z_XSikm3(pQ5I-!ZL|V?nX{Z1*BIQn{<)8xi;?}|N#W>ep0qUl5>NZ&wR*XX(2Nu~- zIfkg)+k_MNoS_=Cb&%N_2NN+`hp_ictqL@H11_t=p9LbgFK!*2(0f~zRO^kz2t+yM z*y0~W2AhBEE$0^h=%xUYju;A31wY&xk59weM#_xr#uB_^30J<3CDIRfEK%m*f@7Ed z`@5}5?uQYTm7xDDmeT%NE?LF?bFu<;y=a}sY#qhkuQRMFsyM}U!nX`w^DRTD{VgM$ z6F!ETk6CoIwP~hs!rSS7mU5V{3}@^E6MTKK6AmpB{rfS3|J(`V>_-iE!m}05hL$1hAVO2Q8*ZHhW%|IB%5*nPVt3r|58^pJd_*kWaJ*rOjPBT-2{+uD z%-wLRV~fMBomPM4h6y2A*lm*)qq=h`aU5i4oN+(Q2Qqx9Ry9t92PFO_Ntg+k)&wQa19^*LS_6g*Kxi}q$_i(~ghPSkR zf^@>|$mh+U^l-u;$!wjWoN%Ir#PT1Q#LB(oW%zpp;e^{KI8L~If^fp^6TZj^J1zAZ z)?RBboUp`+ufC={W;S|RSCpk_S zwZrG7qO{|L$6J_|(IqHB`aukc(?~(m;pWnbpbz4$S}WFZb3cd&m-QU>x)X+zoW~Vy zUP8P+9u8KPVch@D=l(Z?OlFcanSb{Iw;p?tCL{gt;%@wESo=WOd`{S8Yo9gHA&diS z8*+mDZ-&{r&}?1A{ci^QV2Xv5{vS-hW$lCi&5-_gvFm?7NG2ZO{S?v*Hhe=&?(nIi znC|pW`Opti$pvSz53qi|pHvxvDv9BZBV5tmI3iu}8%LBexZv|)p7X5(+y%RVCCooC zOgbiXHuji;Q~vjZO!(hi_5rs3)={mCUH|)i95@5;_je2E?`tnwa>4UZZJtG`!J9Hf z{E1RyH!blsEg?qV9$_7_1}R7MCbkdW9-*tEia)jHo2_ej{Hb+K!Z2M0>JOAvZmr+S zBT%=86LT{$r@ftAJ;j-sH@qaaoZwTo6nCnv|E+?1PY*z_fFgMs-vb5@+#HcE%p^xk z@H>dtb?mxG^k^V{)LMX_3=4}xhn3j08l)G)lTfO#>G<|!1OF|gdc8dn7m%QdSz_95 zC09#=-xVJ5-9@5>7v6O}qD$;NN{Vtj-8nh&?jlf(nV1@tToLceA%ctC#j_C9?=EsX zS{LU zS6j!>J1nKKTd5p>H+%!R+`uEA68>i-+n-H}5^w)9`tW!e9JMOMf98Lp-;CrsRgRe1 zZ7f6{M}@nRFnTR*NY5ZAzd6zPaWcET8!JbxrSxeX$Li5>`e{Q*-S7@dKL2;6n6@BP z(OPV_ZZum<%+^ipHr(uS5wNf(Q8gQoi9&9n1%X&Qa#GUaPUr`2Tq!nuBiS*510ZMv z7K3gd07~D8rls6U>#XBe99ML$z1ZES2>a0jm#__-HX_Uq&hBTo>n*HC5(^GxN*?%{ zmi`zPaTsU^4+6h8g9|8v;@jEnqs%(SJBy_hMrbXek;UzbZo1#Z@3)bSF9W{Q|ArMf zBq_OlT5VtaF-GxlN34>aB5jLc zcZOLf@mnk|>m+r!dEnJKY~_kD$2V@5o#CUwjNK70px`2m`gRJh7G~dAaREOW)@cAV z*68K2>Y=3l-zV|%0S>_KV51vy!qO;WDz>)XZrl#-gP*zMys#pgS2)E*5Ry;KIXd~Z z`9{>QDam-kAWBJDR8W?k$&*p8%v-&}caCSfp<1R`r>%I$?Q-P&sfORpCKCoz!m`~} z)%D(*t$QoIbvr7nyb~AD-q}SHy*ui>w5zu6;J$se2QUQ1##%3}Zq)HN7EGkUsn*#{ zbXSuSmi?ukid;8c5yo4pp!ea-4$8I*kb9ooW30A%{D2VD^pM@OG%}&Xc+Hq>7$!S@@iEtX%hf8n0fE zj+K=EMZ&;}rxM0Y2xCO3FtkN7CzBGbdxlZ|#OKHVDr#FTxCq^Z+WK$_E~axX&SiJz zbFD=mb!UOg#RbH(N@#F|!$tJ{W@9xy;aptgBskz)#0bZ6K_MEg5*&OX7hNXKUI{La z4CUf%4gVKR!eM^x+l@!^#o+Hqeov+&F)}>5c#QP z>`op>RxJ8~G&=48qVR^N#2~^}XoS5YmU5^Q*@m~!ZKbup#vQU|(PylDL2-5m+Z<0* zAG0dR&cfuZ3{89dg&LyS85Gz`2-OhXj+L4P-uCWguvh(SwP8>JU#cd$E>i=ik;3`MTk97Fv}#Q+CljS3ZmHf8yf z?(WoILkxbVi=l|!DH3AXz{OC&?i6z|IGyO760~Bp-ikXVTK5^%C0+uHU~}VE48ah) zxib!zbs8sjw@2bn4c}XfiHec^wL3haNiZSO(x{aW_RdmPbZZ$3a+q5~SX^k6| z{Q7*xK3oXdxS`Im+%>pi$%fD}(f>~cFCrHItwk5?D8ibru)c{!tPrFeKwHELEfRt= z!|ufw|JRZOXg%i720rNpZViygoxt1eJdRvj{I@amqYT3~mH& z+`JIEh;}Zs`w)^M%sti#kGztW{{s;(jCQU_AeLi>@)l+&Ax!VF{7+?gbKml12$J5y zEQUYn5$!~)Oe3J8oq0BLdJ1;-gSZ2|<-{mO!zrSjz^!nqgPv;nA85IJmK%1zGa62O z^)>OFge2xw=k0!$mm8jH8h@^dUt9hUM4ACa*&@sUle6i3bYlU$-a{~PjkElZ+EFM9 zKRMCP)pkErjZ3r+ujatyYaJ=c2HG#Qfz z1AX0C)NL`Ty)BokZ|Avzs82-R%nbG+UW*OO$(5GFu~X0O*m~1y*K!hKs(0+Jq8(g_ zobBrdlwo5Rl^`;2C8!)1L?xa%3l1QnZ@jZweuawgzF3(8lLsOlK>AP#UgGD*G z?3hF{s6gL@grIO<54*_ePQfI0tBiQzn7^$0_aY(b@14Aczjt$9z9!;^0WbS-BaSq{ zvxLIP_&`q>fRrE@@T*LGZisM3FTY2^(ho~CKD@>RADmsBUqFmx!x;#v1g|&SI)y&% z{-|Kntfa(H!h}KnUQ4(r8w0|SaM8eIfM<05u+;8vjd$e4=>tEMoc!X=JSm^|Ake8G zH;kN0yY2pGAfJuw!_9Vo5Q*Sj4+>?NIxOq9fWip&;TF3;u+Rl8FoI$!yux=Bx&{l| z(BLxhDP@5>MUvZQ`e+~O^k8Q_VNxW^ycnru7OF!J=;B(^W zVe#!T5SIFI521*aH|TIb+|543ItfqA^kJ<%z?z`?3?PT>Yc<~f5An8m#afi$Rmb2) zx@fKHPj(LCssi!XQeU!*eYnpaVBq3gO+Vak55Uu%xaeNiA;{@#~-Hlm} zqgSH=!CxaAh;K+ggFwmM0rnv1$z~K7G?s7Gx;u#AkW6i8q3@-p&Hpr!-Ho&3tVzAd z@F<+=Pjxn)`BNRu@w<5elqTMdGZLnHy%db{W+bK5dyf|t=gv$|N~!eXW){CG$XU6h zC~wW`g-I!OCld!7A1-Hi2Y1V^mDdDr;-s2l&b1mb1S94Q3n@1=JE)-aH{d}+6yGvf zj5emQyTk1`(LEK3_Ku+TCYVjsVA0-@XzygFy(vKrmg?$Hb-jVx;E{iBgL!)sx<`){ zV+p6hqr$giSawK*F^19@w76H9&Al<+%n-858b9YQx*hh!edKF&fC7X_P~z4+r3-s>#O$ctM}r3 ze_d6*m%42)_1pAd;>0n3jk%4r1zf^nj$sc5YiHoH2a{pVWyR+=U`|zXCxsoXn zIxAD(MmwD$M?-^*D?96O4>5m+mwM=v4*#JW`&L7RyQvrwB;Ka*&)qo^buEWcc4dLbORhq!IR@YY@@Ye3D+Dme+uc`7@R{VA3SIOlUA>=m)Tom&2arvbh>~5Mp zSo}DR-JOPe^fYBOUqp6A+?LbrP`cYncf;teq6V&{g2u4-X4&!9G>2)wh-37`<(PJ0 zATY@cB)f}1_7tzg zgYpK_&H!$u6Z7N*X%VmxrGG)pvmhs{tgxtH#_Ba?SxcAs&T*-cUYD-4hg;Jf$q{n? z)Rmp)mv}%c7!zWir!{wz^Z#MNLr8)zckC6u#zykGdn*I@Zx1)@;cB$57$+Tu#&VZ& zYiQ;9-WtI172SLJxC6T7?A}_AP0gegHYLCx4aXibSbMZBU&Rdp764fR$B@hJ|Q0XRB|jO>|P15KxryTJjAqZ zN@-ph2Bpzw5+4nz2yrsQgp(O2lqU9-VM_L{o5cH98tdr|()ac$rFq2xbd=i#luC0O zP2VS-jB~msno~sQfn`VwuH9+JLXM-dGf=GQ@^PeKW(3p&L1#P(3}7~LB1-q50HtwhfF03J z`{+l2cOrjM`>2*K*tO!k?q|G3rTmc29yTc1vP!q-P zVnu7gqUjVyyg%6qFQZNNkL($6u1*y!_8UclU%i!3ALDo zz$s9AmnZmpK`{IJ4KW$%ehqXJw8T?JzffT-@>pn8_n2~`Q3^!bw;YgNhtCK8!`}hoYpTBhazVp&) zK?f~(Im6O_a{{pX7`PH}f~fhDrhF&|_E_Ct0NKw4k5jXsL zYZIdp6TiPm?6|tWNbQpXg^n!3H0*6*SGit*LIO%<7lSOH3bBb+;zXD7a-WD}E~FjP z`CB?5lz6QwrHk20?6NA^E(G=g7&;S6M4mIn<#3Ub73NpZXe98d4TadEblvt8qO7)*(uYA;((_=-lq{z#qS zaEle3^IO?{=m$S1(nzbP_nm!voY@-5QMY9OiUqB+-6#j)D0iS3FP*`U7N}8+oE(Ag zJ3PqmeHfo%A%#t*XpljJ_$A1yeq>XoAw_e;3O^eiti1e;4b9 zCsbGZ0QEoc*pxxDX$LJ4{8L~7JsM}&<1*QUiF|i;AdN;J+wm1k8`xa^AlV*=@x)GA zA6V#&`~G1zjTRr!d5W;TFUAZ1FgrT5jMn`=m~7bNQRg(?{Vo*UFZv~HsD3aV-LD;3 zNH_L)T+|cz$VC8atgJajlfz5$d;u1I)6Bp;GZ0u{2IjK|DZC1DvOCa!oC)~&#wh1? zQG|%I>>p-JYx#%SirZ>P^uXsae;x3l_k4f>!+2lQP8u3LNVCUV3%Sl}G^J@YHGD(% zKp@ITbj5O_irIs??7=+VEcC<=u(b+YMaOorX(u}R2lIh<5g5G)PYu+E@{`zu1!wGW zxEqbj9!K5RPKuB(;A!B`1ky=_iKJD5`J`bFyfnz&1?-s>qN9J1sXF?z;=J8iL#WAx zvqqRU;~g%6C0M@Ud0Zc4*-6%7ET+C($sS~dB8qV^1tAi#K=3RJZCPU2Nj#CkZp0sV z)5hut>+K0v1_r9x5zwqXYNYzk7%7r>#>k%IoiU;0*CnM&;nWG90-l3&os7Y)kPvPZ3*L?v(?&1A!GdK;iH_L5mLq>{AhYpmhfYk8rK|M9V4`#SW9D;zQ zP2!mXc56I@baR1R&d)=C77Dli!!-3?20pL{hk=5YQ*nFLMjFcpwd}z$!={v#O|_&V z`;h#dW(3PgIrg%Lk+|&1r!~*3HO%|W9=!1J>}c@VNlYXM5ARhZb$=H zmD2`UI_iM$PCWVoc6q|%U&xX6cp;}}b5R`75_=rS4!`dVs6#R2xQ<^j?BNi?z#a~< zk;?PofO7l?8qj}$=pQ9E^Ae$>KxkwjUy?aWk@-oIP|Ht}1f8F_$(0{X6-t>w&}JYX zekdid)}c-Ux^UsB8%=QRAtugKafw0sPTCn8SPPep1>XbYbRUkfr&?J;Q6G*8CG8U@ z?{g4oQbiw*iNKOGW>W&u*tdZxTVfj96O#-fX_%DWJRA#qUyC>UKJ>DO<2b#zA8Ft} zzJQ~3OV~r7J&kVbahqXJ=L<3iai3?;pvQ-VniSY6^zAU;><6U z#+fhnOz;z01iJ)8W5s#qJs0d*g!8Dv*^D#NAvigJw#P5DNC?hadp6(W#}i`)c3_nH zuv!v)rJqFZjE$Zx<;e9te)|nuBu2FA_Snp=mU1Dm7@k*gpfbgJgK|K zFR;Um4q)V)N@lx*7p%8a4M+mURc)v8)g!`>Z)Cv7G1!sg$8QZ3n*6PSLX*EWP#IkC z9>0gxo`gaA9zVJE^sw5n=U`234zc%yJ9NWY92jzpH9_FDaCBI%ON8PTo8AuDa|y#q zbRwsO${*+3`}V(Zd*3l1G}Mht zv~WA$=64Eu(roxrn+@)3YVCPet}`ilwiL|PNB+NvbK?ug%)n97w@ZPe2_wSofphd` zFV)~F^fh~U4ae+sE6;;BK74H$dw5Jaq088&13kLjOGxZ;FSqmj?7vPs{_Gf1TSQ<#P2e15}= z(Ds{?iL?Rb;VHi11~o_Xrk&PWroD|KaKa3n#!jbG8XG=>R%%>Glw2?aCn60y9Wp3uq%@E?Bs7P2pd}!H@bm9OMm)(HaH@j&-=w<|sKY)n6<1 z%CHv{8lV-r81;fe`f3LTaat+Eru>!7j8@h@8Y%_%+5fHt_w{uI=x;@^0;3FPKR$(V z#NsZT0EQaAUp6z6z%YA(wbBuQ1~1<)&*7yS>oO4Y7sz0kkub;WU0RUymx*AwBLY-} z=8eeKIHzfG8w=vXNWIuA!<3|gOC{)~E=Ike)F{0a;>+|4vjT}wRqr;)Li%Q z(P)I7*hd(tEkKm;qeOc_G5cu9ZTz8qC})yB>L}X^QL1DWm~VvNcPcW8wo={nq;t# zrr3y!BM}2NY#M($fqgUq57C~e6nEtCiCD4vL@um-GR$SrEwT9GWsf4T^!e|lI0aa9 ze>}b!_98X%i0^^GRxQ0!%c=9QM*}Dd^$0slT|fmVIrNFUqIYYg12>YxVM%mRimFio z3YqE@|5Sw4P!-Y_)8DWa%2~Kp;d{BfLIX{mil+W(1e$u7nu?M8B8-YCU1@VK?3Qot zMZMgkbbGP2%F%}JZ((?%DZ~AYOpXpK1Z@M%w*GF!?)zdN@b|X}(!amO-7Wt}ChlR6 zkfSjhf2CG%>`33Jv_dYjV08+sEPX{cEH)cGns3;PRb{F!+1&4MQF3XpgvDUT!J`G7 zQ40WB%pT3dWiO_FzpZ~ljL3Mit@c1=)dBo~j{aP=FoekOxT}nRJc#D&+wf)FJVm4d zgAw3lp73ygJSffZj|W{Xa~qzA2;zxv!`2=xS9=FESg9v?(xV}0$8u~R*hjD{9IwL( zTS3+$t@H&;9qQQK0J+j3Hz+N}mJ_~YNT$#13~RL`age}AaW>PCYkN*Y5G8&ZsV#A2 z|E1fL4pa$Ih{M>l@f1slhMfVqt-xhx;9f~z**Joab}M??zW zo7h=HVSu)QlRO5Ho6&6p6OGUQR~mbS9F30oKk|#exvk!uTiXjGlJ%>t9VC2n`30G$e5C4Sk*Ek1)iLY9iQf%pI<1hXBN zy(EKM)Ij3%b{Z~6Q^3Tin z(KKI^L0g==@Gr`_Ivn*c6NTeK8{%kQ@wl&@$=i91MJLS1G7^$7G>8uI&hX(fXKgNxKRyX-{=w+ zOYUhHLRW2ZzJc3eH$%lp#D=t~KCf()WR zPEd2S5G)t8R^6G-+3y7$cpq2eh;0-oDs;rgr8dge#P$=>|5HC0=Y-BwEzb4Tv5$&&{O5S?QDXjcywIEf9IyEJ-zp+FTJRC0tH+aU%J1FWSAqUeK>W}nz3mS@ z3iNHUpoSf|k5d4eVrLU5l8MXM&A*7O?f)`Sa^zp!tnJDlJx&8;TENaB&=>{!nuxQ$ zmLn&>ueotn<&hpQ2IyjYDaGZ^ZI>h2XgKwYMnifUtyDi=E0q+>JK)n=mzn4>MYBUT zYSL0Q->B)V=+9V98w13Q7~SS}ascErdfUsab&i5?^!%w-!{47&TQ$QWOZ+tIEAKn~ zqn*BU88l-pE_)d{yCR#u=d;J_>}BXNkj96Ib#fL9=4^Nyv^ypoxO3S%cG=z+Y+v7l?R_=u8rwq=C4SoL zt7mzV&T??MSg?E(XZZ&9xYS;T{s5c8x#~RLf(C4G8gN#yo;DCWoDW?;*Elb&C_Lx4 zz_DC3R7df}VA615w`JH|a1o|o1`@*o3*(Q~>r_LK$K^DXc#IBY8O}$F=2f71#ZL18 z1*^k+QFV7eU%`D1rTuO^4Ldb2dd!Ov*tzm}H7=Vn>^Ju(?K#BPIFe~K<}tnVrw!-I zXekC?oXBwePY~k67~&_jg2>JYftpVTT%cHs^a9D9tl zCY;mF6(LKT_gmv=jbm_ge?AmAX6ITPJ$$kCu^SXrHAtV?vxa2w9znsjvA&lirsKdw zXJD~x`}nwOq{1YHuVHe#uHzBr;I?sK;!*ba1gDocT8|4~z|jGj>`5=VVrsV~(Rif~ zp7148@wFem;?Ukqx?5qnNK61OO9sy33_h>z4yF+LA!5uWz$S;(0Q_ zUT$p?OnovS92HVP8WmCn$}<417D_b>A@GAY8f!KxvX9(SCQ4RD*nBT?Xokg^o`E zH157UysX)PtOf#}nvOfbW1WR=TjJ~-W!vqQ$J)tD%&4VOG1CWc2D4HoLDsD*UNf@4Nv{wNO zt`d8dVXyN2UGpGL(k6SAwb^l(=k|gkZ3AP}#j%_s<8zQS(`=h%w#_!%Qq8tG5x`W0 z%U&fYnxj*+q5GdeQk*2o6cqU+MKu^2aVG7sR|826d$QBU40$yMzHywQUG{2gi$l?) zDWGVVZtHhr1e2KA<}=&U%(i(r*!`p$7to;E!Lr&|DVzDS-lMYGWA+-7%~AH`xV^@( z*Z7(T^J-7pYpgOQo0mv7CpFpplYEH`s-17fwk3v!{L7(|&foVdrCtK7{Ih+TYH%%AMw3-^uVqtM;=$M)_5H7n^MvX4?|D z)~CI30S_u3D(fA@>y2#7k`+VkiOo+(*lSUK_$Bsqq`lU#*ZP|I8&aN*ve#N$RSMRN zb5OBz(E_c)E?3VmzJ3p3blf47> zH;gxUrd?oFI1T>5i+X2j4Zh^%)l&c5w#;nHHQVycw&eyeO~z#xP;$t&<+M=ybSf!6 z-j+i53+=*Kq6&w>Nc~rXnC1cOX)Z3ih<+GhEMZUA+w?k#=8?E9v)9vY0&cM^OmBK< z9z_Qq>BSArqv>uVEyFkC{obG3C3MG^-RUI>&0`FDSW35e#lz<|y(*x29P->6-8Lot zZ^nxmK-7QCwae%mUQ+Dgjbi_?*e>T-CoEzAk!NqEUnkHuz*^Y zERdAglzP1xe#}9#-L5pa)wK^LKKw@|fwm9h_f`Bpo*vUWcJpL3`#);!D%wui&P(t` z#VL4t#I81oo9$!x^TSkp>Js+Muqju1^E5Y(9shfgdiD1kMc4EDjc#mJ?)A)I&k*I# z#$P$;{{2RVFPdOK76T$2H)u%j#!rS_1J>10Rny%US-iUrV65c(|O5J_O4=(fXm)R!LR_Y0AERMY=9?WpxVaZL`H$nuy+@C2fA%asosoK`f`qa36Wahy~HHmOL!-c+Q-0AI(Yf2 zzMW|ADJKkgUuLt|-eHfYEJf{eU$l?G9_Q>LZ8*DxMt?yJms#1>n=w#E?L#}eM6?yp z5K!cF_DEHPhMDcDavOs^#-?l?f}OP_?)h>b1FxE6z+Eq~B8xkP6&oXDt5volVCE7U zYuL4Rt-^}QSgo(wNy=|0*|kzskv#zwi%ZgyK_jwM(v!Kq=DDPg&l2r@R<-H| zUq20ICu($je+w5T!cOgr&FJ<;q;Tzv5{CEK#^CA=y&bOEM-vtwuVIS4-`b85F?KOL zb4PTa{oxpAEe1leq^}lpOkN-` z5|(-Kk1`aL;T*`Cg6y0EH_HgmEg}Lx{8nv*$fFGrNJUb#F+XSFSR@@8i#-subnt9O z_j2igQ9GKPqRH-BjgXzk%xzy1R7&rFwI$!&_ROGS`av#jG1}X{#B9$p+p`UOKNKtZ zHvZd`tiCx-DClH~2{OTEM6#odnc=k37`57i!* z#qCSY$aciMp24QFY%EzEAcoA7gQh7e-j7!yJ=4=;IvjDgkh~?D@YZUfm2a(fBhZdn zfF&yoaAY2FWM!pYXYGuXEK$%xC(pdK+GR-(jwC}TR~iY#5wV3KJVzWxtadglyhW{8 z6T}BGalpbqEULOCpprRLuvY=MwJ^GX8S5M#B9gJ>sj$7{0C`Z=6o=d zzX0ReKKr1xM@u+kM%l;cTjwP9SAp;n|y#g?EKl1&W?dyn{ z>m=a{K`+5fLJ-2tOvhOs05f4nIDmc7A-5jn?p2)?C%0Z>=6ho05R=#TwT{X5C=|*| zMbZn~gw=*;*o@v@h<2FmMKoO4jSG~4mkVh9vArbGz)L$mjz9pTedG7&#y;e0UO@fd z$IL!t)hZr0e7%n0nMQRelTm`R1fP;5+=VJF`1~;gO~pAfF8RvFD zw=VWg{Ioaw7-Q}u^esBk_}7!{uDJX{7a;mquFiG1B^MRtb2omlFU5Ek;`JrhoHD>$6#gdodeod04Kp{QRy%e>vXY zuqb;yi+T2V@)l*T*hJyai&x~Y!=RLcpK0L#*&I0*WA&tWT6Y%WC*b`e0r|E zf9C7Gm?)gQz0;Tct2taw%#fHJ(OJyfJ3SCvKK}WS>?h;N;Eh|Rj05WsSGle&*}BzX z29#kE!(X8=d{Q!VrW~J@qkRXjWl#gJ@E<*WOtxZ^~;wTWk$d3%pkA1_$t*ip>G{~gV{j2QFwUh{SZ%(FZu#dxs6^;tDZx*X52 zx${}M>$6(_QNw?fuQXRgT{T>v?Iqv6NX+V|3zhyOl3m`T^;p?pA^I-Pa{}>h&1U4HT=zyANa1*GF*%rfY5wDv@{x;M&y-0&ZF zmi`$_`h8PjP52vVfF*9%8LoSyA+^zdT$Xij1pa{vjCP6Ko|}p7q1za(8rK%P?oFkZ zzJy6tu+E@=+@Le)5n|rzf5i)Ueqq;_Aybt46Lv(#dNfVb?UB1^#PKx!vdqPBc^a?Cyb&pcbmKd{zP2j*LaS7wupm|5#0k=So;Gla~(b3*HDS7!@fa`a@4jR)=Dx0b2Mntl8ea`XzqcUxRPAiutF^k}w+2+Ug$4IQgaYY74e1a0 z!5jly#4Ur&mVRc-K(nQf>)t`YV%JY(p%#FLG(g8+wAi|a#NGh*c^~^ckRH>q|7(a|Aum0b32F!z)aNb;DjR~z zY)K|{p-!J{Btd#Giyyal8qhl3Q#|k(=P>|LsibY-;m)zegUk zWw_abwl%`AdT?$>Fn0S8yE$A)^NHiMRKc+uQeQ(ZV~h~`k65CO&xbuu>Mf(qmQk+H zhZ$B+j&B~t+O>=!p%1yXhDkle>gkVVT`06D5bC>QKU$c|dj_J5`S9n-uFpMIPYAjv zzPMeV55Hwt@g(y##CWc=IorthJsP)&v1y~T@9_#>eaLWqF(nEr`eKSHu2xbF9}OkyElyFm6F592;JTCT}DVcZtKkRv9_bwAZ`(YwV0LDp;F zuVPV{4E5C-E|^zq)bP`C#j_d!a3Wnmdw-(Uhp2CW&$~b8tl2UhXgGFj)}R$s&Ft2R0mpipf@z@2Oi_yTu9Q@Zj zjMd}1k3@YC+Wd$Vg}5||LR`p{d4B-|To4hE6HL?ql@;D!$lw+NZj zvxyP9>igP82roBnl#pD~55P3!{{w@g{ej`EQabg>#f2X}w~UZgAfgICFuGRVK;73; z`0xF-RzCy(KzEZ0O89&*Bl-DY0sr~nuJ9)TzXc3$u_zV#wap6D7bs`2_pOqDIgDd`>!ss-IAUTS9l0Ru$BgRg_A55dv`PKT?U`F~glk43^e;Wp{yOd`M+? zX%xlst#fEH41doM8(@t+>X|e9_u?G2j%HD%;z%KTT)ENCj7zRlur8ADT1#~ouTqKLQQp39( zaJ+};xudqCS(dr(@3jWOILoX-{AsTUPTE@_`R2N zA_%L(h(ULEe+T|q1JS=VvXz1@>k#y}h5C{E)mD zG{pLEr(wA`4K&+&(5U~8G@NF3=-X+$vh4+F^2juJ{}5<+uWg~UZTF8r?Y$+2B&8hg zR{xUNUn$3FHAkYul_{LKrc6;jJ;QbXsKg(JK2B=W!G07B9tDFi)&uwl=z zi&FkydjcbDc1h8L9o7)PsodD>YhA7f`jkl<`DB|7Er_=l-s^Ro@j_?!`dZOmUSF#! zsVwjT(otl(9;j7ThMl?y8TI%!zipm^2uDY|YE?z ziU`OFCTf6ZWp;rFyBWdVfZODHP>g@p5QC<_EiCBw0lN{tWfd`1Ohb)r&LwYWwlT>k zoY}_lpV_7)f3~ieF&5?OOeFlBiH0?ldzn0;w{L*{^(wyY>~VGWp>5~RzGllBxVCNN z+B*ALL;Z=;wVm1K!V^uCEz=ocr}GhX9450Am&!gm`=N82F7OWk{yri2^_1%`E#@^- zo0DDeH)&FE@DDIBxkMiO&OWZrf!0ubR`#plg?2S~;oV%2!yqQbK^%$c>NKsPOi8$v z178fI5USbksHOuEW@r>0Q-tO_rl?9Q&3BGwI7b_nTQMWx5+tAo_si61snRj9?mSoL z7(k**qwvpiGj8&Tn^BZ9^;&_$%K#uFg4zH4F-$ zdp2z>z6(=P2t*Ut5U38IbFPu=y;i_1WS%w5- za?-gQz`Lyx#NAHPGE2D8S!Ip%50FBB_OKFYJN)jMK)q)3pr54dE!xz>nHuRZW2F&gN{#hf* zR(4?s#E#N-9=6i_gB4dz3&0eDv=vig)J}u;z2@DPGTxu&vq3uxK<~sUQnO``*;0l< zdrZq7HELgHr5RS5GZJZ9z_@Q(z-_8&L4)GmF{xwH@uH5Dn%s)(3#?@-E@TF7HyV8mEXT8e;UNG4}8g=L}~c za3cn%k{?1PA5`QF!pD;>^J7Rc1OYOe@HY>y_0~9iQ(cN6njpoY5xtVgMN2d{81%-m zti{K*zyk4Bur0}l4+{*7G6Y}SC%*5nD822qO7V4y^zj?~Bq>3;ZduQiFj9$19W zl@{f>y;gNgl&!MT>3cO4_weD7VJRaS0UBQ!Y14W9BbHk>bAmyLHLl^hc8xr7@TN7a65MHfw9~9_eJb=dWin!B0QL9TEzc7z#A7hxU zm{jiKV+W&9J6H9;gJ~;;d=(Q9QxN9we); z2we|mUfe3b>WMET#Cm#JQ)nBfhHYHe(g>}Nyw-Fw+k=kRO8Q_4ljR3Xxb8k!;ta=q zXHuCXh;sQ(R*~1jAHzbTU~2|psn@W)&R4Zw7t2(yCpw_;pnGy_NJA}iDhiKyBJ9)_R`iIEz(ZyUs zc*w6|bXGxHhnlTJ*gin(5T4#nE}jCWo&`X4f|xt;taa*UW~126#deZ43;$qv^sS%ZTA@o)_#|HuRqRV36%z(*4iP@8G<5EZvS!g> z-vb_*cIXj_3my(L##T=ZxxB-8I)Gl*Y&L&6>KMm8KJvRK#^dStoZ)!Lbin6Jit4Hu zAJ$IiK<#rF(qpPa_+c&+@egx(mC}Eht3=cVr-!8Nu~>fA;|tM=V_%Zx^+ceGP+S{N zq|~;wkYj6e5-I(V=lquS#&H>+Z@V?0xM_A2_6fKCPlWZSf8wm^c0sTU?sYtHVAYAz zN;XG1Q|dPmQVQ#NV+Cj8jTMd)eQz~mzyrWa;-J!6NWjiIWYQaT9Jo#Z*EuTIfa^g$ z9q?FW%^(DDv_9XlooO?LAObNAOCW}0Neo29a?D7Z zl8jTQQ`nPBez~`i!C7dIVH})_wif%{YGUx>6tHj#F#u|2@B?{=uGu=njBA}~Sc{2; zSkxhwV~Dku_=m|l{DvXWPp7=iM;)vVv&`1n1WmCW;zaic$PwwI@B>BhBKM^TBW;~a zKN(gg!E`65Oo_dk8*409P zSGzO)UG2{GceT4?Lhm1!CUgqXXFj}HtH^oD&0_(Y;J~T|_3&X5?;AaU8$-ZY$6BRY zk0L6()z$6_@^!xTjUYkaDI`wAo3-jB+{&pmdRdgIq`9{0l^Hz%2rNJ!3xvWV8Oqt`%H*`9blnw2R5k-@YNoU-Ro7ry*aIG0qg}Z)nY2W ztZb@!(&_k4&9heflcnK&g6vLsr1wbAFJatZ#@@O?=CH%l zg^u}DhLz(_kz)Am5fTIX0o5Fu3b;+-G*RnjA%@=`VU_;vkz2erzdaIJ3`~B6Pf8(4 zK}x_@#ilL>1)$0cn5am! zM!HxcsDyVe1CC0=$|od)yv;0~kMC5Cwcekq1_Qsvloro4k^Llx;Xt%zYq@9~Nx#Lk z1f#LvV#>n*KGQ|Rp#!^9HL_VLz}phCE60-I8Y6}c0g}AUN!(}FTO0f%6r+EdON`cQ zjJ~snGm80QrDXI^h;{>`e?sDL{`XrLt(S}{K*8vr=01+mdV}#fc%8R-FlY3bwb4KF z1?kw1kz=biTdSP)(ue<*%GkkQ&KEx5d=`857!RdZHZ@q$yznHdFKPFp{%RzZ^_VV!PF1ov>- z08PAE8>u}Wp_fIup_+$~cNp1PNABTtjF@5n4Q^z`H_e3n&Q+U_UZmd)Ym>Km2vh6n zSZk9%O>KH`V13Z*xQEs{v$Zyc+(R9Zbh9>ro6|(gWo=4?d#GdXVJNwT&BUGiBz>eT zRn5bccX+^itOwl}b5T7A8#!hGV=`4Z`IbeAt(u){f*BM))Q|Lf)sCa3a{)0YnxxSwYc*x;$F|c;>0?&$w;H~eAn~5OW5UkBogXk(mQpt zJUu}+HU-IR+C-3{eJ61{!H8i@dc4gZ&Sbi^-9K9T>$qp<6O-whI?ohHkI*Jlj66G^ zvGnYG!Q`{^zlF(k$)ti5OcG=`lj%k-3352R!Enyx46DFDMmU4hGvExywDmCC;#5<7 z+08ggc-hSnzw8zqy&2gRoSrFrOab|_Ta1rhc8B?a5P8oeTfx}AAmtm0t4i@snndpn zBguJ9B$BE;BgTpoAlKL_a$F&$Cjwm9WHS_|32iG0{!s+M90``o~HWWHJ%V{ zo+i3&31%BISK}`TEI4Tu5<8g8o}OzJo?6nDz}ox>HczF*am^#C%@@-rB$$&k<6F_h z9t}F=MnG5swXh;_xB8#rqGvb3SpzM~IM+N1Sf?`?H~a!oE#HDQtO&3&q$ha}H7m&~ zA*`cmPTiKoWV%M;e+FlMIer->`VP+)$G0!ove^*BDiv#RltrzL^4(!RG@8Ur%W6Zd zQvWz9XhO&yYN8Gv<%1a#brRr#59{Rk%t{WkN=e>p=w+4CiX5XrUnzd1d5p8-_G2C& z{Nr+N!|6YMOmr|nque9;O&|L!t7-}=N~^1jc9j;CmX}sg_ZZ%17b8Z?5U5Nt%R*w{mviCKQOV2l&$MYpi%C6StL2|VZ z`~wZjv4&wW-UmJ6`(oAzQUi7|67Tn-4GHj~Nv&&kH7djX&HY}y{`Y$ce-jgyT8*k@ zwk3<)YSwV8jLG;U6EY?1XiKAdg0@0?zn3^%tI)oj%A^ zCH1zkX4@Ecq@rz%yH6ye*+8f#iCb%Zr;D5ckI&*!BKXU-gb)2yfAhT!%GDN;^DDCU zlF>{a<87Wyahtx=d#!T+B-t_l0c(301pgsgOcVYP-4#4!IB6q4xB5mE52Y@UjXDSZCHR;523 zlAVjkC{gWNt#;)f*w$bBJ z6z}1}iiD_tvSIB<*W>e3Zkx8nO#O*%ON9QXkpAgc>_lT5Z9g-;Os4;-!T>q?shW`V zi9!iru}{07a7+^vu&6MNseih)-#-IVSYl?jEk+?c=jxw{A7-i%Hm9IxPSMn#X=b%8 zRDfOpdbL6iV96yPiX&gue)oC*q1Q#=7K0w7cTY{1Q)DmDLZZ>IQn zdvho7ZttuJPQX8#sFUJpVcS2}+E1tc+7?CDI|*(a>3urWyUc*tc#!nIl3Ly4+Px^^ zlyLNpog6>bi4G9*Riu@bzBwLZVwKQ)HtBsOenqSajj;qFU5^&aDe3_&j@}vI3IH;_=a&F( zfz*2=j_@*YuJ@+KgOT)}54~q&m)++l|CwnWV0vE>u6IA}j-d!08gHI~+0qOyo=w?S zm4BhErLO_WDSUoCj`iVJ;`BaN;o5S|Hk>VQp1H)Jk^Wlj`1+9d*{V((gKsHD=VIL3kTbBP5mg`3vzXwrc5=Ub8WJ8Id2F7Ib6(A}Ie)vYI{y+W zyoX<<6L-5c?pm~&$X2s$Gr5>OXVqaRe8JeVZ3}+!Aljg9Gp^3U7}Mw9Yt*2ym$R4f`^KyV1)?t{RHI;g} zo&1irwq3l3LzEOuYbSn148h?hyr_rU!73?}|8VpeL@C$xHqQ_Z*MK1Rwo-I*h>GGw zX4ry?w|PEwa@Nd|7Ot;>t?vRI6e3wnAX!YX4znqE>0@L;ssEdiL9Cf25c63Zv#lfk z6;ictBJ(kxX-sKOzp1UrhJvx$a`^Ld?Os)b7p0 zo0Kp3#hMV3PZ%*|U)vJ+wAtE5u8jpZGU*5*iHW7g`v2lt4_@>Tu%Wo#4yJKCtcU~Q zGD722*5{}TO!t7UiYu#0UA<@-MtzO)2z?d6!bi64#Yti*mLd>PmyvS(ddDKd3+Q-6 z2!Y6QNer(L)d%x*97>Rv{>H>)T1Qj`i$Q;l8f0?@inR(lY61PYon_^v`-+^C(_wm< zp!Cw(J47$VbbMM?dIyN+hMIzY)-klwG38jY`u6(%4UfL0`tD%$J=LG5c8k$Wz66AE zH)V)AOCDF-1X?dEt*LARwnK@{KjIjPCKE=I!ahG6jtApo5Inu8YCiLjhI1) z7wUp{l69O$ zL2rt6+`mpW9Ky(+YI1jO(P*o|(p@$1(fV%%(MP9q6xFTJeetoI=b^p!fB#m@Tg z!>@R2{GkC^?R}GH(RVVR9 z7-qf#I>TmBZJ8MPE#>l=(~VYqk3Q}?4QsYr4AEZWQx9e_Qu6oGZfn+w!5C=?h&l)OOr#I|5;uZO6p!!U8g`BXYra z{#m)=3kJ}2oxpE&eJ>f;JUbe+@lVx}!oi!_lyo`G2bQz>fK_k1{OYF8o5;N9v-G$F zZ8~tLn|X)zmxs0KfQ4)Q%sa98g{A{{VtjKb8M4nhV!I66r3R@tdBVP%3&no#O(!9s z&*HbmW&rA#a<`n5V_FMo;sN4_qP!DJMS&J!gKuhW;X73}?VD zpKXLxz&5Z>sXX@^LmU!RGy;W$n<4?CM&UvBN(ScvOjIa(u%oa)wOL}nMdG)kBA^FW zDSqW~LVI>QT2hE(GP2RS6p35>vSFcMvR%i1lEM`1oHB4aa~oO5_?juljy-#Y{q`VM zfs+#bcQsFE>(-75wN6`?{xkcfe3*D*!2EZzPG`Jr^W3`r_mI5bLyKb=fG< zgj?s$UW_Z=0-&{Sq4LoWr12#kgkI6x{!SQ6oFJh_Xrds zndtx8=9s8T8^ndAhgqNDBNyYZQRY>qggD^$3O{GZ!_VPrTrryC&{`hU*oP0hJJ60{yRj>ji*3RZK0#0A^b?z=Mubj=gX;+d$p)+lc$Nrv5>5M-;$f4G>z zCwwS+h!36c)=%P|LDXm1aRj_tQU7om1O9NCXaXNDQ^bXX#=RImdjY89q>8=3 zuzL`K97X+O^m*X^<9b2;<9dZaYoQdMX|KEmB?8z9r)}k@64n%l>F62HoH!`uoM2 zkkO}I3ofusZr2(%Wz}m&Ry~2_4CYxta;8M+^Gu1ViI{tz^Z*bEbMR&-5-_fA5x|WJ z47f2t=(5qNfdB?&{sEVAzyZuX%%_erpm#aBET_m%*(`ih5{w7U%^A0=?|M zOrK6D;PbpKJTKZp`t!#hhhQK?FbOyY8a5?~Yu@Cv#@mA!7qQ`GC(d?7>Wn z+ZFYvu+#<_J|!-eOnhpyLhvA)G4BB&LOt+i4R|-1Ktek zSb+*`HteB-Z|`O(CJPB#YDZ>b`x-s(+Gcgl&kNTM>+Xl9#yPg z++Z`_rSz-70%E%ZRfauG$xguk^62d__%1D=8b@;OtO9&>M0gIKsL|KVuLh*E0a~Mj zCMnvIv{P}%n+C{tEC(!nM+CNo(P?Cb6TK~~T?hCqz}H2D7Y$#75A}V4g8*Lv@Phz% zlpe;qqjb&l;3(c5Y|RGh0gJ&v0JHkQUV9kZ5GeLGvwSzchG;w4zfq2*;{FL&hvAtv zo^=Ak@8ot}0sSYQHs+rygdG0KNgE^T!M8*RC9NOqpwq7n30P+rF4X7aEf0UW7VyF8 zOhP9m4G9JtY+WuGP)B=wn zYieYhM6@nu%InNw2+P;aX$^hFh(kX4Xc z>#K<`qzcZ`xu`>5td!qbe-T?QJA7xCfwlgvK)4ND<%b*eRVC#}T=mE8w^FbJfJ9yP zEd)Ej|Lt6XYz7*3LqEI*+9{fKCIMqXS2Ia^97bmwC8Ciy%jcmZ#D*jT0b0U127_WD zrT4m%2g{?K*kW)sn0AmGy3-3vga;^r>`Hk1P06-B{vxT2n?0xj>3O8Z_BukSn(k~i z@8Af5037}z0E-!|jd)J9b^vxb1S(3TqJX+gm}5?D)oOq72y5DE?fE7T^uH+s{ck#f z{!Sm*kcySNO%ycHFpOTdhbmMGhg8ZdG|L|DFOl`}om@f{nx#>_!Q&ayzwRcD<>V69 zeWI+em6J;xPp(m(1XM|$=~k8@*7Kd z!PpM-PKg{mRHHwkY|tZ@01+<4);sok;YNuLB>$}*OH^(B{O0DE}6^f zcUlGzjiWp;vD>^;X5QHo!#LU##!+kx51VONOB_-99eX6HvW&vYG3~@h%D!V$PM&5h z`_95ki2k4{$E5A#7YR(vf9cU^vHU zaK2xNH)!XfafMhx5fB=icb29y2-ux9;anLXCOOWNr#YDlAHj%;Hu=5j>vQ+MjkI|tR+_7^qW zT7DrCuK%J&S<4$!Idw9YJ)H49hCLRp<;mUjvd7Za%bkOd(e`e#+kMXUDV?-6^`xFE zO|4-IPeVxz$#Oe4aUpg(Th+g+IK?WEK`1cFf3nu5j7H5!StOL#@OR^Z{|t|oVKtBa zn5S=2JA{8Xp5gzy@uDmKyYZ^7zv3JHK!3(C*t<;`lA8C^7TBE=g2qpAmy_>IFWpJ2 zb!JhApTd`-4nLJQSN(KWBpNhRKGVyvCy0%V4B9O?fq^4-h7L3!z^$F#!i8OtpUz?- zQ)l$i(+fN~AtG~#s3;`J3iFFh)+Dl~VNXP*GI^~u5{a6@1#@eLOPC$tOg5uBg z#;Xq&(J9)SGroc75Km8Nk_h{6O-#N>%#C83PL}<$xbKyH46V5D+xb# zgp_>5>bY~T^c!%_Fse6Ja_zpc(&>LS`79*-3+*W+p9-hly-%lS&n(yQtE~mW{`>so zY{L8E=0a&fwomo!!m)lbbKQf2OcK3cg;a{WS7n=)8zwg&G_TQJwiSO4(63-gL zLwM|IB%WG@|5J((I)fEKC7yQ<7l*3%Q@%LxsaPCH{L~5H(ZsWn*xzVRXERHL=G8IB zd1i+_!@pZP&W4M0X6%d}QPC>n6NRuYA`=C4adCifA{Pfls>}|QKFBGR zXWKLVWs=nQ>7dpb7^bRMV*;<148u+O{x(MH`{K;__qSz6B2{jf?QGSt9z)A|PO8@S z`u9jmuMZ?jwIK$4mb(x&wtRgcBlY?~VZpBtj6|vyr21r1tqyscdETir$L(4Ey=oBu z@N4RN&K%dO)!+@S7K$)h3HZS686PxisjPSgVF5X|ly{hRXb-chHFmdW`*ABbA4R-D zapR3RJ*$NH>{rN;;Uq7E1 ziQhhCUu>1_sJHnjr<9s)&-L$CX!tVUgh>eCfUXI!k4@Pbp=pY zGr=wFDvbZ$_xM@NXevWwSE*J1wHeV|=`rTRuO=eJ8SaKZg@m5IWS=h+p@AoGl}umg zYcki@WTCI!;FOvd1>9tUS#^mv379<`sS)|(98M#SXhcHyIQC**I>y;E;*g{>=yv#X zubf=Dzh-jvp?#J6s}EIAuG>*Pv7&zRnu$}>r_7p^K6~Q2+^LgGYj#XVi0Q;Blcr9l z3$^GAQZ%y=ZZTJ#R9&|_>NH&GPb~=!jbn#CgM%pgtZ@rk z^L0Z_t$xhUbte2+gyH|Vr}E_Q4d*rR0O~NB*cmjTYM;uG9U^2=NGo&okxg0Q zu19;XM>FSjHpyP>$4%ptxjX&v37G!bBu%nk>=o+kVYbhN&Q7l8Iy2k7W;-(0{a~BH z^=Y=xG27>w?eom``O)kq)Al(mknU_hx-PGME^%_UKl?n7|1jWD2K_Rh{W6evba}61 zJ~j^p$JtB#b!sT^X#(*zE`%?xaj>-zY&B$q4YDP(J;Q8Y#Q0)nX0|UeJ?)uh`%<%g z8E0z=r=Io*;x*ed8TC<&uciE#(d^4I_QM!rD`vO%I?sW3cAlN-SNE3xx*M@IkNm5Q zqx)j7;wuY$*>fdZD=_<6sq>X>wy!qZbIkTNF`Tb#PTk9>uV&O|(dU!xIsBK|?3Xp{ z7dr27J=S}jC)PWglWi~c*UMhPq!p-wtOk(pzX!cQRExW7C$$h9S%W{ z^2J_atk_{J=3n{sy$1Fy+P9nSTg~<-D9Y_DT#l3 zG-bN|^5Iw0&_SGqi(Llgb>l|0%UGuo$36u5cAq7`w7r&}JOBj2b)3e}pw3jaZFe zqt?Xp1Y*&veJ7LvqY|LC06pq}W^qRKwW$|)nn(t!>cdhJ_|Aru6B8-96r7Lu5@30+a`GYC^!2M}hui0Z+>p zS`%Jjy<9`FD2GPP%=Iz&KCVyYCWUT`9d6Rg=?yc)T;5idtp~$AG!%e|(nhdCpg4YZ zgldJT>+TZrM@Q0T;-eTydsMzv_JJ;;Z7=I0J#6_agl`XH2${dy1Fp%*9EB;7H7P+J zv$HH7P^wi(kGKuwo#K2!K!gP}X&`)dKVHYt5@_6ewwzw}ax8;gk25g(g{xZ9zI!B% zfv@*qu3#TAiU$4>od-LIA%bG_LwP@tJec$mcDPwd(K0@Ak~ui8;9O6%47}MZAg6P^ zveQz=WAJ^h7Y1sgBl+_p>3%s)efwDjYKqkjMN$}VI7wO^J=g1zFZqfWg9yxH*kJNp zV%p4*is_4q&+IQ2CexM5H&!fBrPmc3NA;W_)IGAjB7~Ygp%&Vv&Pm>tn53%334RnE zY36pWj{(cTyS)Pcyx+UtgZY_reeD&NiUsB()Hh=Tyf>sBd_}Mey~J=ak`(*GZYwAv z{T!B6&-G(u>01wa*(+c<`{J18#52pOq2smZk`0^ga&A8;m3q@8LmI9blIl{-_5<`2 zAjCTJa_ZyjTv52}l_496pNQfJywYq;EO9v+3b5Z028C}zV`p#j1!%|*_WJPaS>jYG zE_7h3%^=p;tx)(Q(9|+R9P1e3ZUC;sJ7LbkA$rE+7gqx=56mOalI>mG2 z;F74q;{Zx?ul8zTBwR!jz`L9s-r(!~I20pyxWdXfJ6vXa732-X6M$Gqas}q!?bU21 zNi&*Hfj)ciuFa7e6-{ z^@@0=6oph7>J}s9OF1{$uyGZ(@7xs1m(pI(5@xg?;-^Z_O+_R@dp-R;6~*w+d%Y)b z6VvQfR`l&Cp3wE&G(#RgCVE0uSHtS_@W1%MHnRO`^6asy2Cn*2uJG6VWFod7+7JAS z=+h+vSBX7vK<81<%>V-~r1fb(1P;L&SusKf&&|Z<1T*Q0_~Krcj4pyf%`@z5 z-yjg5f6}H*%IAU4q zlXM2HRNoM$n>41iu6c#r#UmqU5~5vpIlptp=IsZ;gS*GLj8WsZjq8eX2u#cQK-57H&wG~>8+ZG!e>5BFG?q!ofk5^mwI zs*l?Q2@$DTc;QAq>fEvs<-d`tC>N6Qs3C7p8xz`{Q{aTO?GT$_ty{F0a5)HjWaG;1 zXpFT&x!(-sJHw-+nD`2~_zIZ22W#7ediQhv61PR*mJhsnM_<(XUAXPe?GuP4w}o=w$zC;j_h7MGo4+JK zD{r*RJwaPMuGw8yJ&CO#j~VMJ+vgFB$@o}ZQ?zeKsYme3(I3%tiX9r>4r#!1)a~|E z)K=Gcs!D5WtM++7Xw61XWfgWs)-TV>H=Omz|Iy`c%M%k*%;ZE(ezh9Tq93R3IiWd@ zTS~KIUhfSrR{r&v9M6jKvUs9a{_c9MIJR`9@Q*|I-B=d~LR>of1~Sf~aXMB4&O^Y96TLQ6Zpg4-aUfS5IpR~ADXmQ{gnGT(XigigCBSbu|%pHdTj=? zI0L80|2rF5-sz3|$uE#Fb3v}RG)|(x9%?lHIOifGf)(g*-pC4L4;xtw@+9BL^8Ej_ zk!3By;xq>ChMhx(+~3hS}KC&7t+F-Yl|o4Sh6ygG0hPt4phpkp-nU+PU;Q zt~a^PuQz#Wi@nw|rF_m&qNb;|Xwvy8V=_-iHEN z^S;KE=WY)g2t8FqPx~@{=pKaYxL)CF92LYTT(0oQcx11q&jaY`2KqFRK5aDU;~@ID zi9RLKCrY}0eK5mBN!PCrp@)<~{W@~86Q(EVvzwl7qff&SQ+a(D>lDrpw6|O8x^RjD zJC7(CWn1D-Ra%q1JITB|fw#TU7I#}CjUAHuE!_vIT)B&x@-HiT=u}UVn4$P{%$3cTV^J`T8h8x@0-QABz z=$^i#Xy3ld8qbc({f9g?mFR=mz9`qfXJ=Jqg{NkBsb^PNUFkkgNl{Hv&7u9J4%_Y( z|BoB6oDkc8t&&!|9aeiDljQS*Y((E~$0R-(%eKi}M?>ubtFN3M)v*PU^Fy6l$G)EG z*dKH;8PF*ir=YLN=G}BG!SfvW=ZD#aR=?CJ++TTjJZ{LHjD?T8>Eu?hzzM8;e0^Te zo%#>y%C09Wi2exkzm7At=Mj5<-fb5W=V))|hmi|EKNzoMgm_-}fPbFX{(P!kWc7FW zuO=(ggLYmU6vF=$Ko4j9PciRK1^>gj7)IK~)&Rl(Q1dSRsJO3=dzXT;&g&Ql!odHT@L-cEzeWO_?^MDHGY8~#^#gH-M#D9QTu5#%FE=o6)L zw@VG?ujaFjzw^t;VWrXYowSF4H-q}_^J^dF;_)c&^-)|)TkKudV8-lXv;W;C#7Y{9 zZDAlw7zp!+qqAti_wI5bmOs#OxAQfcSg!HQJt?P;dG*W_^s8aR8?Y4XzVo&AZbT_1 zU*r=W4z6!nIj8&0yUPTTxbR{xPf&7Ojtv}vybj2RN{26?^u4N=MP?GJ0MOIfn)4W> zoUgWbpIUNvIUB%_;T4qWU;i*06>RGLmrn5 zO}V|Bkc_ygmt6+JD;pT)v5>479{p!N8y@{}U@icMNx%jUn5zLk zFB&qubZ(SE5gr0?EdbS3a~y81?neIXSaL3gE&?hKQ0itj4uui3>fn86m#3-*kW1Et z0I;SUyPSpT++7=Sgw&J+PtbS(BkBXJ%{SHB6_!T@#RLjT748GOveFmS@>UaWi^uTsjZqjf>_%`_)Fij7Y+nrmvIG|g!NqVX zS|q=s^GNE5ol zNIK-vUvW~2@n=<8Nl|gROlYV0k%DpBpw@g3VdU#e{?$-TFvVpxQ~6+ReTs1ffsjo{ z`ThlFp8{!uBW@+__saMujzIFUZzI~4?{t8j4-tx>TS9FT; zAB8}9Or1RKQn6f3(C?KgK_4^RrbL9{8Z4$dCNtAFKuP)pcpBNo@bn~1j--PlQl@q$ zvMjHT2pkDGa&^dGFh~Nr&Bes{Q;eS@hw%kWUWJEMH3>Hv4OJ8lqXaSNXgW^7c7?3L zg=o|uEwly~uqCb<=m` zCnNDD{D$nhq;?9b`BFxxu}0Dj`gA@DM<;oqcSMhZB?IniFC>CBb-NAcOb>>5e#vd# zsdR_cy8Bg??x?IPDJUv0mv_!ohw>9B3^!CUP#|t_U|smPEamy(;FO^Hf}8QmaydJF zwKfzYsNU7PD-SxkR|O+Usu@d#J6(`7?zn(s=Mg-uqxb&cWJ0Lk`#-h|G8rc|rBxMW z`-*BRtGdc0+@U;on2D8S(qD3t==4F52{{M^>oo0$DPh1e!AUOj9LCo0e9wvVD9_b6 zF3;)OE~Fa3ooep`aATDSn%wwiTC(8n`7sR1^J9d*o*$!{h0`Bhm|#Rl?S@~eVxxl# z6YWY1SIqE%`txHjIJhvezoVWQ-)WHozq>mjXU*zGo7S#hk-ug`UP@U=^rv9$L+WcnoqL z)1pQ#KqmR%7_2s-@sFWLKtt2V3*+f!SJIRzh*2?pqZ!jgmEq+#qnPv(elv>m_nT2l zdM|9@BUGX>hjcK<-cJggq$>B$UXpyU?vQvt!Wg@#1%G14KRz8|LuyZ<;v z313672lKL0W*^4gom~Dqfe#0Y>j>X;+M1FWKgR+HFn*rO>;HMGBS8)0eqh8d5|He- z4-$?U9tzaBTb^P({2BrE7Y@@RPC5Hv*Jq|L#jlbAhW3mE{&E`l5Ru4HLO~Pr3kVoQ zBn+*pZC-}$03!2m>+u}pHheL#O-sLRvJs3@FWGpQfC9%+AcpaQD8YJa3`g)fVb?Q& zE&Ne^A)eI(81`E@kMBNQ>}6Bd`-}1P!c%bPb)@(j=4Q}S!fUylpVxAg<}YTd zHhZxj1XRUTf47=zzWNsM^)u|lgm4zsb|{7!yt+eGb$@}tCZPYtWRCq8le0ARvKd?) z3cl+!<4Fnwa~z#kT{oCwac&pg_7SH_0yx1Ts?l_+l&qi;j(5bS+|Ji$D|hrCySQBb zV;ATAKXxf34<9CTEE%ZuL|{2Ye+}er1BN-u8=m3^eow6w4(-%R9Rv4hgn^C}Uz~0q zBj~wU8k~1(5;+mqM=V|czL=OF z$5VWtZJ!|h&!(T)UhF(_+w(zc!7WAWU+0UUuYa8{e89gt7iMZQN3-Z~8QvgZiB?7+ z%9aj2oMYI;uPldDuw}5CZB=cB_7T3`&%E_u;a|Oy`*Cbi|t-JxC-75|9zM0-{8N5Ftyn9M%!T2@NM2%eEzs+yHk=64j`%LcVEbx>{P&EX%ZV87YX3pPEnt3(fI;5SX)TKV~w z>EhaV$UJj$4B;e^zK6Y(jbkt7TVvD2t4pBmj;18 z{$Y=WWt{h28XSnRa1EZSI%frAMpXqZwx6LfJ9^Ww^&;+czT}29Gw2I?`-|%XF7ix^ zj8jWGdLkgDBVi=H_hM_ylz86k7$7bEIZBm(37yzueMVRbcnsds$z@!cYy@yxY%%i@ zm%M>kYx+vsJm~04Re{Ul;9jjBPJf;FzW1Kx=$~I|29( zhGZ6y%zB0oe)gIjZW?BF3=~YnaW3@e+1L4nAZ&NyOaXxBQbndqCgy{QneucQhn}y| z|LG>-?BK`;y3O&}{VZ6Qzi7#-{Jcd=mYN;IqM~5VOAKt{bqq5*QfNahlQ_anAwR>? z|D{ESYp9vQev3*>8=7ML66bXBG-@aZh>B`p-vixwm9|Gy@8ZEm4y)J@IB04(PZ)vj zgm$R-z75~W4lXUhYiXc6zIv}`a^)-wbho@VGcmNp=Z?L*p9SPyTISR%#>ZotJvb*o z#3q;>$>4nHsY###`ok&6(cN7vv*(4dQeXt)bXE%So$T`xRd;0|j@~!BV@pblYj<(T zL4xTbSrW&!FRfxFS8aC3l=xmSjjb;-7YMO@N%*? zJEFp@^V(>ET1!HMb3uoC95+R%CSOMRJE&??g#c%?=Rg^wzI6X z#IqBTT01HcBeSo>L)WiN@N}`@`Dy9Z*m7kQL2QNKC<~w0F^;l0i}WC$X&ECTe8Stp zKsREZzOQF;V4IKl9EhdobI?Cs+7O7vSMtA`#C-BOEJf2W{kda&Ao$!dH4eOz|2Avt z7?NDtgh8P2HJi~wXUkQIEZ#(s{#Ch4=Y&>AzqDB)-e@z8e`FV{dH8<5WSRFZk?}{i zbRb8KVK@m-qC=7} z1vX z`v7a4j><|bYnvLlgMU-Q?F}z#*E`<$T(oe-+n|n%*-J(ny4_(@41bQ+$ z0y2YmmY|iITE7(8Ly$hJV-x@@0Z1*h0-h!tJoN6JK5}CJ8C9J&r08-+nTHiJG zJ;|2>jlag2{*JWp!Ww-kV5UT-?NGeB*~_v_-|o28OV_Piw0!A0A`eCv8cg{BFXWXK zM*q$Fuf-maVla_pMAgx^J$0%hk{5Ta`D2P29V4M}LIu`Hpg8VzsV)#NzU{=fgNCw? z{d7Y!83^oS1yt_u7_FEBPn5UnlD_x&!)Z+4aflY|2vJ5@NpPR)jFDnAta0IJUp1Vf zM9~N&h~o{F#NTKfBE#Tl1s_Qq2_#r^w1Gs8K&XcctHhufp8+2G=K}aBfagkJ57QT8 z{itRdKU&DSfj1n@Hq9;_22;lZ31EvPT94Opk|BK|qd$Q!=ufD@9qr<;gZge<7k@1d zYeMu04966Lh2L|Bb_jwG#%eq{!&bO@`ppRRq5}s{ZQ;>yIB!7YtDDV^LEh^tzbIi} zs{*~Oc}6tK0;D@d(Nl7gXDFkD0I0=C!6{#p0D$?ASn;^ch5C^Vj{hJA63~RiUx(rg zc<~-53B8QS6W9;EZFUTC(AT5*LIc7gcH*hLc3gtkKa!2Xq*MMd%4c-r_|mjC z7=;Dh1cI2k;4o4O{$Vi_sxMntq9itU<#IcYr!Vr}Zwj6wJV64b0Vq?2gK>=`U8xdE#NiyD z*9G-*P79|2*}ru+Vm-9*Kr%q*92^A+Km_!4I9{WfKrYbnqLPeX|Q2Ec5sprFbQfL88M(}l)=DgS}upF|H7|uAcMy~RS?r| z6QM=fT>0q%(^~3`tQt6Yx(5F6zj(hv@Z)H}9waPNxHb5K)4Nm_j3~-oD*IM1URh9I z{Kpa?nE}l$dlZOe^G;a9r3rypT7OtVZ<+MA^sn^3jNVw*fh-omxPrZ}WGl9-*n2ju zSPY=G3U?-3tXPf33f{1Nfl1U8&T#mOdy=O$#%ew5?oU34T@IQf%rF9po4yVtVpX-V zn%Y}%vhWUZ|9+ITp~p`-UOh;LoM#0303tCsiRUQ~uEWMikPLVoe&OvV8kegJgdE>` zhpAX+!7d{>foAL8#%h+}Jh&my$6CG;VIb2&fH!Es&N5zBZ~`$tWi;!yF)`wlHtJ&{ zhZIr5p5`=n^jHu12`mlwAr-GDquvzg!z&e>o6<=g*H%^&UvOL3dTi5JQ7L0hUJv4C z`e?ZMjEoTWx9c1^K*0&b2WB)xn~Tl$rkLveJ9>InBe4$u@6JsZ&Q5)>(4ZZrV9_X^ zu|8OYK=mMtc2?3T()c}7qQ*L0bTd*K*d4mTV$xtbP+|3;kJ3g-5bD5H!ND0J)hP)< z$p#@bJPl4@W)Yl$(^Qc3$>0ok6eVH}Vo`uex)7gtipu(eyQg-oDmT%8KkDpiIiUc- z-84-O&Lru2CYo>uK!!yD5*=j-2+GMTw*h^5_#GZk01iK3=DQalB(-wYbqbT$7mI!B zQc|FfG8hSOh!k)ag=+oIdiE_wq5>pXqnfSN5v5P^Vb-6KpAZW2ot*n>v`D}3?06$Y@nfqqTm<`ACH3J0r37PNQ(T|euf1p_PnEAAvMrfx1AaUCm`xD{;>rE zn-~EK)tVKPPFx=Nf8i3>v;?sy6|4f1Thtu);Z+^zBO62v=6assM6sk7oD;%Vou+~p z*77+XGeqF16c9qk`q@G!HF6NM%-}&l5C?Q#H(0M6!no1BVQy#x?kEqO4H~z{ zYzj_wN6*1mSmJbud20w;`XF8Ih|us29w>SnfV{9{qJiagMsN{6FADU@U@;X`ReWDe z!KXEFj5VzDdfE*1wN|L;pHCOTML%t7)qg9Gt0f9Ym!`i6Fxr@VIuTItrX|6}?qOYz zfrT9Z;@&4#U6k_aB4(ippDyB!^3z3XrKxGkZAQui{D>4{9_Zb<(K&>ArXk4D_WU;{>`Slv&Nt4I4MklP8YIu_#PW@ga{4%#6=B8f#BYDx}7U z(Z{EY#73#CW}?QovnTuXV0fk_=->Xfv6d-hNT8pU6Ky7drOpAw(O|rW2GHX?Jvh*h zh8*n4Fs_eovN!k!A!$+SPY(knS+8W&YYnT{ph)#9E1??Ih195u={!=6oUPxdQ&6K! z184Z^ScN=+{#Gs%aVOp1_jF2t2|5KIJ=C6`#`&42hcl5=SM~G=xu?QSc!XoZ`r)b2 zG?iER>8U8{gpmBN}s*6jl^Y}oBkh7;6dMyAe9Fu6jf+?-LmM8o8!M?@X!M^{& z15);JH2SD0>~-}ek&U1i|A+)aPxlD)&A_Ozv6hB^jdjdREFdTGbPw8A&tbErxuOPd zZY0mJNUK5YwP@Ciu!$oR^F6)D2n@jKxE$_V76%4c&ehor!D2^nu_7;@fn$*!bIp!) zvtz2+G0p6lZFbBwvpT%s-78UL0+n+iG-oDL!{y|01FEbJB!Lk;ECpb`@^2h)sRrm| zaqE~XO(?w7-|%}u;cGkQyr^(518!UeEH=S8l(wG}`;!IScVecC`ps|vmjlqbTayDK z+(>o%+H?zmaJ#ZLSpeMFg`%G0L}Y=ZC;$LXb^uoba1)=PPG-N&qPZb897=`r^i*=X zQv-CV-Sst0@0mjHAJWO9r*UD3V(wZr?+|spVCK4&5i|TM~2z4$O%?7I~JR99hA@0HyBguj`=1PTZn-TrW9ai2fYFViEnJUKD{27 z0fOyZ9K>pR6rdaNuCG&j2CN2b7e;`4mn%?vJg_oD+$IJ#6^SzT6hsGz*GuC(0Ui_X)jhf#=Ic(4IjDrh#H z74x=NQmO={LP;r(lhSvYwAlT~l)BbYG_xByVRb|gak)|+-EyMlafU45;q5F%W?A5T zP)L$YHRNlkWs*u)2|B{0>P*gm!Y8X4AXtq-;Yyf}tS@L;>Z&zPrbhE;;*3oej!{9f zy>W~~%CM|dX8LoWBy;b@a`Tr-HIu@4bHXAu-f9eY9I0u4Wo3CxW|nUNo0zW>4xE#r zS)nBJj#vYfN1wvUIAN$7nS&$(hyt@n$k}(fewnb+dRmjoGJ~sdutXT%A&93~ngi!R z-@SS#|01s`8D2`~pvRdRg+&Lm8e%YzulF|AvlX<<$3_N*TRT89n@A49V{|jV%g6Dw zgpq`Ayph8lsGggp3(+KY?2u->*)cRNxr|WfE2vr%eMT_Vm8gKsg2O6s1+7krFu)Ja z{x_}Y3Y|3|5!Nw}nH=yaiCu|?#7aXXc7-p5Fsq9F{i0MZG1PlGogcf50mv0sz=JJj zVf7=@Quz72E6yP&xj%Qdux`-8LA-w#`5<6DCb(i9jyyubN3h`(T;o(f9a4txU{aQz z-SI)1lzXH8!4;?shFc@i0;a?dPNV9fdL|t;J;o~W_`zuKac+9UVLVyIX5!=JR zk~A`$vLHM}HU8Wf%4&NBi7>7V@dRjt=t?49Ljx@SMpT4nrMN=LI;4<<2auv=U}Po0 zft@9W2b-%`+_(5i(<|;sMk7{)A~z~GoW+W0g?M-%m6%JwYgi!F2&BUG36oET#q%qa zxa(sUpR83r%zIqHmhF`kFe-dUT8`dZTuId`Dtk=TVTN}X{{PF~n?P4}U1@_?BSHwS z%qZZ2oOL5mSr^=O^Yt!R_%^aGGxq^IU~aSMk|9{3u?#sT!HiZrK<K(pg#!o%W;Va|JY?A2GAFVov*=%VFaJ1I}=S z5;N30Q&oI68x&ZJr`-i3=2)=NX>a>^k?Gihbu&L$-{?G?Lkj0>D4E8ZcCyyAb5U^| zLFHEiFhNP6)3j(=N~3aq20rt&rcEtV=E(xJ$6PfoANLA0MR=2^L!+*Q_YE>nPT+G= zw@wZwJi?v;RzW#%ItH=^U=b=-$gPuCm30#T=pc)KI#1!?vQH?T;tbD^LSS+1V-3q< zYKcP7yVy!L@Mn>gOpL(7%lRePTFj3ZJHN!5{MvrUI*DPieCL;Fyz~>zVM;U|jcA^G zRf;E14$(4IbemVSK_=l5_k}p7Uy@SO8r3)gOj#zUn#eR+r`P=v%y!1$;O?gZNk`S| zm}!!3SX0O}$?)SdMNys4(D>{OD!_+v;)lGOo#I1!mNn(I1JaOiV@N;vh(XBGxa8N> zTAqvx=xfRb6-9Lyt>|tsGaa-MPx>@A(-m7++smuqGSxGkKDPk zsM4;yf+)hR$SKwo47*cEG7yz>K1bRIIa<@HmJ9B$8q;&A<-!%<6l*d8eFdKt7Dk=P zun&aHd0GwL30}2Q zUc<>*5@9Y25Ig^>#uL9T@MOFzj*JDVFQlvHd?8Hfq;o}VgutGK^Xp{f250iRds-d7 zcTgA-{w6`FfkUHz9nt?ofL_bSjcMArQG`}K$SMIg*c@Y#Rt3LtgI3ed=i#$n8#iWQ z*hph(XU=bW*0`a2!rp99B8K;A+-SufoYruC+&J;HA4(q-g&JMoY;Xi&3u?B34;<^2 zLVI)~2IZ;ww`#0&WKC0_pMi)g7aHQW(%1pV+WD;(0vgV5u~Nw;aefOv+dOky!?;Ln zv!=dw$Poz^-gSQ48I7M#HxXtHO=?v~G0&}+0|$@lZAlXY#|~Zlh&>q2z@f&CHyeg3 z?jrv+?0Yt)ZKWNkch7C~uQ|pvCi}rkOlzYOsyJLC=SvK08X^*#&zJf$dI>SQRAaQ# zH|DvGkh|=7npC&a-oJC3s3@NVg7ZtzOiQVBxmH^CQquiYoPIW}>F9QmoW~W`^w;=7 zXAF@05kV4wDl|^}b-urRlK70?S4k7uP0Yb`z6{8A%J^|82ZBuW(QZ|#MN|)jr$f+J z#(LEZYZ^F2LQ#y*E<+f3yS{kC?V^SQ3=VguHI;zwz^BTZ`a{E->XG7B4RPbgnL*`c z5y3>QzROi~U!?Pg|A)Dy&J(cRumYS(&pzmYqiW%k>i|8p$lo5Q>}UPJ zapWC9b@@?WO!|ps@DV9X`JZxx>yvqgO>|GKrv8&%DkiBDhG|;hQ08<}TlG?nxC8n~ zCX6iZqCMXTI{CR`pz{OH4}B|x-Ykhv$2VL{+-=&mRU;PRQ|!^F-fu zEaW|&v$slzMn8Tv)F9ES?no*J{17^8QIoWAlur@Osz9v_OoVg<~v?WQ4!Rm-5`y= z@|g|G>=0y6YlnNC>#%lg!11>?LJiA5n$3qELP2hH(%dIm17dEf8n7L1^cH?Jn(Te; z{%&-}HLU@U10LMyP6IBK^VIerH~P)|;3m9N$RI|h0}gkiw?<(+iatH#E>((65T5qG z^0a^1GlxR~f*`<=er^jhIL@5gtOi0}9-5@x-<8fV-_>2|pC1!B(}9X$S_o`zkFjQ= z&$Yvu-X5FoY31jBw#QmCTEcQ1lLPRHj1b;*IPT8E=15SI7@*nAbJ$O-yVDnZpZAn@ z-JK5jpNCEGr(^0PjE?~{^lx=+55P!x+MgaEt7nvY)YvUO>h18Tr@{DWH(UIfo=&w5 z@ToJdH<1e1ih-2%tkwa{cxZnq&Prt;SE zht0y?9~w%*a*U#DISIpbrP&VWb^9rL}5tSF_C zD8PN)K3v!txL|#h&3$Aeh3ckv3VAmrVII!AsQ~P;W)M{PuiHmhGhk@UP*OG$QWhbF z48trC5Z^~?JoB3@W)=J3^O7+2t|1JWhKL{^A6E zon%i>(YAwDgRWb{=nHYm_@NZ#9L zrCAAjLnc{qQ_6()WW$;T(Y;?GAoACBzwXZ#o@TRX^_XT5c6x zUaLCRn+<=-$fdTYOaYaAn^4OlwtE#kVDySJn1ZS#{Dw${!SVL+ezupwq^kg-N9YjKF69x2UOB3k_>j_plG{` z!?6G>Rd7tUN4!S6Ge>WqhxXV>i+_P)Fkgf1Fh-2W&uNW6By!oL#-2~W*2!;XAngk+Fjp~p5nx6u(}e?Fq{+S(=vXGb)xmEy zzs9qEPm8-O!)|{4DDQXv1(O9<=s$X@3Z}H$bn)=)KtHMBm&>0t2 zu>s=3DzRd4!N~{bM5(p!3Tqz!vs1=hc7h0CBRk5RU=UaM7^8u37MRZyG<$HEQ@2NsTGw;z%T{#cO5 zA*d>G7gk9u3dv7Wc$gq*&^;W>9-$UE7TV$!swy_s1$(@Lu!Q2dHU{kFNcKv_$gxmB zpv3a@&-~b{PZ`I43^aRzM#)4CWMYt%i5Om7&qDDd5g81%S|zr)(++p)^=H}6SOuvz z51`^CC=RI>gh(apKx$&3MSKr;Qr@;mHX%Y!O9)M4%m8tRYwqUu=P6Fb4g15>n!x|TcM;09x!fwxzTF% z*smbi(?Fb7Cj)Ve4H|nKgm?Wo?T_)oDq$IET~YJ7wKo{Z>>w~U0--wHM@Wh8SaLA> zHHbi%5Y#Q5WlJ3+&ZNI6F&+d)ez1<}p;-G=!Xywj(U?k1m2DMR#bAY{BRps@vkhCF z+bgU&q%OA!V{O&n3p4pbgz{uO-o~`x<86X(kGH9Q@612(zIlJo_i4zyzfantpRZ&j z*`j+QnlB(!elGdVFGLC5@pv0_^@S+ZU-s(eE!=P>^K+khf3Mm*z+a6f`IGM|XC8kQ zkfN=*m}Sky7;=FC53uIqju6I5vz~(?pMZqY%ujnzYl5OqagVogEvRwhCn;QgLJM9% z9`+#W25De_I;TviTG4chR~&4-A6)h-fkl$p`+MnciK2b5Y_Y+r#S#L5J>KRBp5xxS zFqoRGd2~Q)g{s+)W`{Ep&>=67qk%Qo5JrO9Rq!0fK=z#S@dkjzvsP$71qnO_Fp$9Q zYRF9Z0UV={{vRCTtoXqp$Mxw9yBEfQj5>fq3W<3HV22RlJSD;@iXq=K8N~0&gUr9@ z9CqvkC0;-{(EWNY!i|zCpReRp;t8TyFJQJgU%2OG7}odbp!f@^THEVFj9e)VICD@4 zJb!oEGo+Z_1*mD(d|FYvfYr4N({XLhr@ItmQgPy$vxPRO)^E7Xz3b`9<qzM$~G1?vFrHThPk`@{Lbn3q%AmFrawWF_8Vl7+vb(zsI0bXIvTl%v)s`Hr?z{_rcF z!_fo{K5r{LaQck~A8F?AV;$o{g{Wd>U=?>-3ovjlAi*ieXP31=Rk)x`_LyqbV}cN$ z)hnirK-EBeLhxO7nxMk*&nUc`l`o~ai6{;i zia(0|eq$CTc(_o@A(1?610+aHsYufX?U3*zBy+{zA1&aZezd^hv@U=ruy@$>hMQiJ zjuVEpkl>Ud{Z$#8t6mJV7PSnMQ-G)cz5=gZ1Vq&xe>7Uy4H2S0;vI$vuOT&Y?( zUFRZJn!M4bcfdWuSWcdjivw_IrbqyPae&i$&D<^A+&ovXrFm|yg6OC`6fwQAB@Pcz zfXlNicot7W6^vm_h^0C2#TX&bHr}Pm#%PrdnDkzZF|5V#wlDT{lyhzkv=+DUV;sSH z2|-_2`q5}H2T{`H&?vSV0&K#J&m`>=m4Z!Pb(N z5wgL4GoGq2SgV2{AnjAxUcW&wJBag<&YEc$Yo_6%nLdo7 z8V=9asuqQsifg3E_>G(#z#h1^%mCiZzt#pUs+MMX38^96(z-?Hs5QJS0w-U}JF(%{W zE%Dds+m153n8esM*7UjnCdsgt5tu4;?JCZODOP&RD9MKAGjwY5MOq?}<8@Hi#yboj z{&K|exKf;O^!LRnXx>EA>tdIvokY?C^Z8;b;YKU%_H^v#*ymcAEu$6oza?kkMQAle z{(r9*3Pq^jzvV8%zm+b+zja)Mj=SpOTqNM~PBFbHglrFMprwEB^yj6jfj&aqExPkZ z%Z22Bv|O>;i8Q;o6b&@}v?HLZl;A+}|5Bj}6!XgQZVKl)C~$?Zi7z4lHwz0*wZ44UCw z^w0;m!L+@!V(DE(7W+jcUZ%|B-X+re_tTS9iA^9uI^6R;T1GGruN+?>i%q=L!3 z)Mlp?lA7>oD!eP+`P!7h^v-RfgPtyKvEcE}CNSGFk?Y92uz3*?vQRLSL);$efQwGIHk5-ZJEPU$tXSa(`vGgAQ?MKb$H}h33u0Nu zX#;1G$h#OuwRaY$)JV7~q#g77$i(;Nh~~nv8zeW! zHJUG#3kiUww9NEonBGj&n`L^lO~Z>R)5YV4wVYC~7L z%Qc3CXef{b@e%+6?kSe(RJw)>1yF8I#R#B!@Xz(b{y+iK;B+#e;RN(jSUOQEy~O@N z1}`KqDE@h|P#dRDQ>hK%=$VKaO}VLoY=$piz{F)tgR@cG6mo ziIw5aK?Md{6g+nknJg|Iu$Ds&v<^hhq}G9VVTxTEZ{@TkV%4qMl}p*OUci5`3U(r3 zyBTa3KZ@NgavQkoarSzpyP|PlojcZfe z49<1u;HJL>kK;-bAeLc~!H6dyBt#Sx5hz3yUA7AxYT|-1m}uO`2-8Im_uQKFFo zAS8{V#SKX#D@AUcLJJ2(tRyH1+_TCdN*OH8Eo-10e;KMV3cv@5cb=!!WISJC<+V&FdXTe^wrpLZVJDZkwcJ`Q z?^j)sy;a zkXndWYT?bHa;vDL6rK5~(;xPv%{s{rLo}v zF$Pg91vE62OBpDE?l|^{Z=jNrrfUz!^Kq$)gaB6Br78fy@H>n(X0=t&;$iiC!t!%p z+KD%I6Rw@sT51Hk-wXZC?YX_+zyi)Y)*loHpc$B8)d=G!`gaGhw4s|-92ELBKqmEb|$WaE{epGWI=SnIu zp_VM914{XDmF$!Hh_pljMw7>c^#yAhC3%tzByFx zHVmZ|9AD6-gFxOuQa8d)V%NyT?vTIgX)s6>kb$X?#I8z;9m9d$WY4tw?i7BX*t5(9KoU{7n6fU=n-GDr{LihBprFPrGy! zpW{|xD4;ZJlt5JzA;6DdvXZ2MHdu%oEF?(C!gT2bRrsLws=Pl%92!ZrKPV4RAhKzr z-&>Gd<;?imLPoL-orX8ytuVua(j3XW0dIv{>spqui8wc`1qgk<__RMqR{PvRmL}#B zmag84kiGA%C`iOoCJ`%w<}d%L2eB0Y+x%9v-f7>`W`>%x#mUpEO{`r*wG6Vk8Tr|H z%L}o6bRbokSi1=XzaqU4)N9y7hii0(HxEktbU-Lr&!nr9Z@*(XWYf=8JH)wG&8)3! zm|1_MrnavBNd3%%<@M9|9iF*%`s}n>3udG(oW8DL_RPwL@|oDXJbl)T*)z*Z>nrJ| zn9m`_7Qh2D>JL_hwTy(nA`H!#L!Pd;qUmGhkYo&{k3~ldw8pZC{XujYM_+?kF#iy` zG+E4lJYBxTE{D?PFm^edE=RD-k>vHLS%(~uMaSz2E;TjQ`j%yqfiY)hlGN!D za3W0Mo>;xo>`8w(|PMy#I{oI$Ea?(m4YoF}{HTgWZK-4`5kZMN25evu&s zI@0eQ=M^WbCtLxmBVoC`h5YL4iByA;?Knq9qMBPonmV|r3V^B5^sY0#$aG5nqqhfJ8(}|e#2pS{ zczcMo5$NBJrH{3d68m}!xx8X`CPD-8d=PU!tz$&t1~iBR>svOrkh^euco?H;d}eC+ zxJc8x-t=xZy&FvLMsj&!|J~c;Deq(R+ldzZm7541GBUsIW_Og;-n&CE^*hvDZ@;Wn zMNb@j<>|l80n6Tg89+Q8{LK5eCs> zxvY$Er}wD>05hsN`?O=gXznNj5#H}^=bl04BxF89YRi$VX}0jDNnkfyStF08>n86u z{>vEl%Xaq5SZcs}Pe(oLFK^F|v^KZkJeq-~w?xxZrnl7emYM0^a?@L3dMi!uPSd-K z+7kxR+q1*}Ow}!Sh{3~Y3`qsYIK7`Sq>`bW!G77vf0@Z{cCjDQh?a&ao(`U2{_S*Y zOA8L90WDBa&Ez*UNCr*sZqvKR^zJpi`%G_*>8&+~d+Q`I>5P`WoEGEsU?#JR7?yn; z}XJ0QBz)6vKolW%Qp$qr}49MBri^wyi+2GiSUUhy6@ zy@yQiVbgm=(voj5Vj7(W24iv=%7Yx`O1d$;hZxFL?3cs*m(}d%2>T(A7<14wyyGAv z=5m;|tz|i*<(MWHfOFjRo-n;9P46l5fnh!fGarP<3SusYF{QbXIuLoKSx=uUgH&RnBjt(*0|7cac3{#8V_7C=7WKBY3*QPSPx>f zeuL-Z7$XNVM&bsbxo_-Zh~C)6mn`4dB{TOnTiKU!tPU|F#t8?!Oox|TPO*yF zFn83`ag@u$T&tudS8A$d%`MZYhI8*}y!-(_bVuR@z-%qI|j*R1W`R0Z$3!; z8eu!->EH>PE>~NXEqSV|HGhfKkak{3bO@(mtWoIj4#R`LqPyWLu$FG>0|;+=Fu{B< z5g0oS&)iO)4(IX_YiG+ES-*dsP4%NGibRNjlroM{w%fnXW(favHm_j(zs?RsN7#-4 z+hp@WvYGo}3SkU$+N}&Y-igtr9x+e;wX(|?4!caqhFul{$2-{6{UFtBdN7UPS-S{L zxTiCmwc9&po>kS7FDv%flw#u@1m3EOiG4PzrzQL~_b&XkI3f41Ph^L}X#(d=!W2>E z>5Sm0Mp)G?YbB;$%Ip3P3n@`NJX7djy) zZET*kyQM&)dzG+_)#{~o0Z85TDr4oVytQ6E6AIHN^6@~7~dVRnZ?59#PK0?4JME|2)x$^G>bU32_4i?wk4;GmZ7Ml;25WZ;0 zVl=PXQfqHZp+tCp3*pnZ;r;C@A&OFA-G}212=8wZqIiExsM;Yw;lVQVK{{#2&HO}#Jsc7uxhCMz%(@!UB<|ZDqkMVDY!g1lzMrm z6ywi3rB*E!js<^XIe+(9buC4bzh@^?g=sI8Y|jglzcNqu*~tvq*~uK)*|U>FQM(5R zUINb`J~esggteb;J?gmk)#Hl@^=NxF9*pilBr%|cp-hfbHX1Uz)f>y5lVnJ@r*ZD7F!2faMTAE zc3xKBo%)rHnOqvefx>)n0EWwz`Azg?9VC0=!2vS5uFQww(1`=Q@YZ1gE9T=kqMjBH zB=rG46#CV$4uO`JI4x_eLoFL5Exh;|tvLKA4l#$#2ZxB5)o%K-4xP?=a5$1t#EjJ| ztBInaEME7)aY0h^>$E_2MIXoX7!~*H2rIpU^i7AUV#oXoRcM6#GMdXgcEmq8jv@%z zJ?!Zm$}3TsXB}?AQ6`+D0%fIET01i_=D{(lLkWGX!z2L1h=)mihAiGphs#{icOL~X z5ss)U2Mz0pr*jy`f5UoOg*SyjQIE~hk(^-8+ zBoQ(ktP3~$-g|NH3G25rT^{Fu&o z{07vRayZ^yB64eLZO7EWxuhULr*RuF>wjmEGrc1@QdO2_^9I4`c1e)@C) zXADt!C@j@T2@7MFdiexZNm*Xw6_16XGKZbwaNeFsdlvOSKJE-@zu(I!rs79L@g}-P zd3d-^5VI53c{&qVBVQeyXPs=pSuMOb{5%s(yb4vW8rgAh#rF*{`{LAy@pC?6{5(^b zcRzPVjB5pqg1aE$>X0zA4~a#tBH_x(;IhKb(8@ZzziKMpUp4j82?{mm-On@6DLK2# zyAEMidmwqG;x)oBHC|l<{lKkJ2}o z!n<&d#ve`IwQDQFu|cEnrIauOJ`2(D9+t9>7x%D~GxlMr!uk8X%8Iy_iza;uAh1#9 zRAAYMrGf>1Wu-t~TNRdg2D)egO0VjtFMzO4c{)ckVZ4@CWSwfMpd*C);^B7a9D`Tr zVBMs?p+Z0(mO?H~h+KlNNWA=@eub1HM5<8r| zJ%--?dIEFC^o?aVqp9dP@{?XIvm>a}k4IRaw7u``Wi5RVJH$!V-rK7g`g8dho*vQM zF+Geq6#LvHCW(=}A0?A(0@*E44q(bsoIDO=(r7#G67>pr}SUOj>fqGvyfuq4M zs{sJ7LoPe!=b3#kJM~`(()Ov)VosWFgkE39IH$UVKo#dSw^n&IR&^|78=gB5Pu#J{ zjzr@&L6|#c;j@_CD)CXIKbM(H!${|JECnD;NrjXw1L;W9&PN+g`7)Yu%igT|Q((J^ zkwW9@`A8)n%M7+!*D-m?q8c>*aN8i1Xcd`qgj{#{b(Vl zzaOm#5ydH9@7jEH*s1i_uww|o#OBe8Q6KW@?T1@LWj=JKw;BaY!KH9OSZWU>0Pvtr z8ZTP4htJ=7CJP#RwE3Hmeb8`qks*zztBda1u>@t3ns9n~$dAZ zXWN6=ba%31LUXH&aRGmM_lw7sDlhC8A|VNEm_vUJJC5)tJ2T$qzo_Cc{-TP*zyrr@ z&|stz4XO5EDnCWhpKysgTP`i*r7taW+R-uLt`<_^LVE}mPEm!gW2ZFqcHH$;Uif;d zA|B>vxRipcnN&K{HmUSfRr*b=V50P!HG=(b)~M1#^^gc$T}6dg+3{33)nVP4^}O0= z){EwEKC@nx*UYzsF!OF7vXfs;FzlBI#xzAh^BK2*;TEljC!t`BBNE2hL&*WuItq*V zqqx;tZx3tPD%D8LhgIl+SLxI*IRZTWwB#dZvr!Gs437G!jT%gG!l!QQT0yuTn_cQ9r` z+-O9W8TK$D`d}c@aUTkC(~A1F`9VQ7QXC7a4}t3K{!|~*$4ijSxy7iCWhQP&qGf+~ z*agf=*bW(y_XO3471ar<##~ZAta8NM8RxDZ7DWG05q$(it0VVB6Z<)U{fLf%6&#Y} z;1(8GZDRBh%%zFX6c(|?83}@D4%x4dLct+6B>{s>$+=_Hz=$mQuu4Q@%Vvt#+DTIi z$4Ih|8TN3LK6fJV$4{o?{y6SEtzu?&!XDnj&+-Gr0^-_WOQI!yQKg3eruZ=Xq(woQs zc&))Ra~k#tE=a{Y18X2F5U&ApWZ~KkA)myHl9Q8G;{$~)bos+dqkM2HU>-k&nXi~xIvX3i(wgiU#^V>$q>M6 zqwsM-8fk~?wW0m?2nb{63=+nfIK#1XCa+S2J*uTd>cM7v1Jx)(tI*#aXKhRA^!iqt zeTd&Dy|67BK^T22Ve%!g`>pmU!yc8uf80U)6X}4oYf(5Lt#1`x3>WWhXYZ+TGXV(s zpl5I3t%3*l0>>R<$N*_JY9-__i6X;=3^+!@wE-jp13=a1#ipt4YcZb>onH~c7xM-1 zIhy|3z|V(HFG1J_egSM+*NXjo*z^*FE%pmw(@fq$pAVZ}g0Kzx0@$2c@wK?mhfOa* z*y4bVx=EPX4+%vVNh3$HyRU%H_4COsREt@PL@bLE-JPSGUQ z)iu#}{JYl<13-xeApm#0#uvRS9zjJ5fd2@JQv)53BW?96ZMhXnH54(!6)~E0oRr#L za_6Mhpx>PHea;@Xs0#LI+_}Q_nMR?;os$OSDpA@bp+Gfj|x&q0QDFDTMjo6*n7|6gkz2BTs>vDMr4www>ZDHxS;evWlh60cV2Z3c6MDm z2~G=Fn$Cter>QmOzRqd}0q)?5VjXHbV*I$v-Yelt);d@TwIC#SJ7RS1LOz*T`+=4m zV~hZIv;DdItat4|?)ts>-+1Vv;m=*U4^h&rkqqW?`5{&eG+ZXk2MI&|;D1 z(|?HP?}(jhPv%Q@N&m6dvqGFq%d;zmJTgInM#00ppv9@+2$`+ zP_*_)O_2ONPdfDH=vSKSc8oOGmklKb6y$vBfKfS`(S8kUj~$~a%{EmZ3jecpb_u~8 z%RPWF(lAE~EXpCB)nvrciybbpaCH|@Tp^1KgGei`_1_Ls|ImsZ<4~3jRlG)txTUth zx*1Rm^?Oh7Cj%cT9lWk`Fi?N%)l?Im>DfWz&{U#y+fp$o zm`rDdsw2r@OS{*0_1g(#u+B2D3R}QDm_}bdwZS(IFc&7qTU^(ZJ&SzH(&PNoMAjHT zO%x*d(?q3sx~4O+AzS%dC1GL1>5pNL+4QMBhDQ834v=mrP1LFTqyW-=QUUo(zL1y% z_i3jJx9O%V5}kxp;-93W7f||FiHC{K++tLjCKi5cJOvP-2(G zA#(0~zRi4k*Y zb}*NzjrX_Ou9kyxcJMKs_w~LW!zUI(+2mlG*|%9q^v4Lt00-kfUd%=M(uV@j`##J}dmvn@NX7uTUE%*=7boIR7~ ziDByF#azq1uLlHuR~++)0Ez9c_*6PWs313E-SXn1!i+395_SY))e1-cdOxH1&w{^D zl0+M8!%!T4eKC6-o#6f2Fj`7@zZOJtuA*$3R#>*b<1Nw(@8^t#i8_wg@xWJ96*+4T zXsi)6G>~KkRUFN#xE>W;i>el`&o5rLd`(7v(aJ2;Rgt1mB094sD8Jz;dG1mL>Ullt z^?GQ9gQZLqrm_f#Ao*xy)u3HD@9)CrAT`>NI4074Tbmb6nkS_BtK%sJ38Xntx?`Nt zX;F2=r13=%9)7jYcExA#x6&P{h)`fV4D9`k6oje$x# zDi%t02OB`3SI`oyA@Ho2Jwr3$x}?7ZdT_quntu&Jo1m-twXs~Bkyvo7Vn<6Ns~r?Y z&v1xA&v1J@sZo!!Dsm%<6~7^}fZa%PI)kIDdfZglZ6{LU1qye<4|wFy4@6MS4~{!} z#!*o{bl7fBvhAkA3n`f4#=QJV1k+6L)V7XZyW1is`ps}J33#RU^ne|FUHTSCncgv@$4B=GxqJLABe)$Y;Ezz zYCDOfV5w8O!R%{ftwF`tv*La9j7m35kQ`Z0vVUW}J*kO`zmkErnQ2ePbt{XG=`z0$ zBHLhaQ&V-tO9%F2+N#h+kwik}V1Fj7+ouuEwiv#n<_g>H<{D6tJ2QWM9&$mj5Et?% zp0JZ!aHyy7i4#cnR0DdR_cCnBl*L_RODifCxtX!#E}1?Aho@zJZl$X*Qy}1N?Wf;*9KUEdGrl z1Cbi(ls%<|pB0LcpTlL3q4Om1kxt`jK$4?e3FW0VHMI@Mh=5!+hpHQ@xE1V91~Xh{ zGJ8~Al1{dC2=1K2#;as)H{T0s|FyFHr%?Nkq>l}oncZRAZC3)cf)IuX zAq)}0!Z1doSQiF#6kQl#@SAOeG+{VEJq$xosOq*wkT766nL@%4A%r1934;s2!sJb1 z!Z4160faGO7_SKf!!X{#@CAiotPln(+)imxr=>y|02jhwg?}Dl7^4eAS>?{!1C@?A z40?_@aN_?*io-@J4k;uK@UnJ|w=tNoyD_+PJK_*6!~s*SK;1CG;dhiS4%oY@i-U{A zAx;wqiU;qSs1??ALpX`UM8lp+;t(#xAzX8Q=CJqb(;!&i) zeL-<>NpXm>r?woGUMGkH;F35*eI9Wbt&2nH&W6eZjx@wQM;bWo|0AV=4tipP105pV zHJLv4RPdPYCg3xLOG9(lRGJKkabg}_fcNS27hSTBHA1Se*!bX)s@3AzqbeU%HN}b` z2RX1>F&J3YLA+vIwPMa_O&d}-yD|j#>+34Zt9Kr8m(?~@xsypnC%Y-}STgURGg-qV zi$VB6<=)bU>e`z6s_Hr<&X%|={YQ{NsPcS#=26)2;AH?BOF#2&92&LC}ct{ zOt8mdm*iuyOY*U^OA-?cWkPn%AQQ4p&SerrF{hMcxM`CalwyrG^DVztqm;7&rVysA z4m+MTre1VfEf%eIT)JIktI^pa60T@8H_Rce;a$r_tHCgG^9I5nc_-yQDkh zv1ba)`qrh-aI|@^V>mRB=A5VXswiD`0@KG0Von@??i41)UGofEM0s)Jrh!jL9KrbG z9x*%BCL~>m6Kpe38gYUsZMH>$V%%cVM7Jev(fob^?zsUuh_9D-Ex~&E2W^85i@ld5+0oA10mg2L_P7R4sxLSfSX4B}z^FL^n$^b^`HTKW&ftL!XCRBCpt(fK} z5eWUKWR{ed0gG|o3t<UyP802Tm$hpyM4H+)+F#2&_6RT0V^8y!P6)Zs^~Fl!-%3_kP7#AOjZ@TdBX+Gq zwj!k6=~^W^(+XU#wv+8-jN>$-(!fHlQ$7VT4H{XPK5SmpgcgEg3?x+vg_=_9lv3P% z*G3?_OWfIj&n9iYV@{f9roCPr2$E0IAA20{hU4n%+Da*l#>14!ZX4os$VO_|ZJNMo zc95I#8m{4K>sS>A1{Bk?CF`T(SGJ%JBSi)DEV6^}(Qz=QoJECMGck98SF{vJw*xNC zkG7*OuUDf}4qTVnKWVOio#LF1uGsYY;?t~8z;}U4nQa3-tt^h4nqf$uJ+0+LpyD3l z)Q@rt@j2iCmp@I(BlX!Y=yD3_N(!@^aQ76Pz3@kBlukRse;p=-6jfa{2XCm=nuPdzdPxdr)NF)^--;>;rx1$H(6<2t=JMoVRu82kM ztpVM|6~5j5|NSmA^Z)NM(xmn4y#ZZj*8gj|3{r89|F08BKnH|DK#`t`seL?v^kM;( z{uuO^hRyBt;g?tf?5d*43k^AYPm4*Qu$|zVRq9&}%YVNmXxXD{pVaoj6O=ROQ$xH@ zqxe4E-U!C?^r=LoE$ejEJR4J_!sJ(gP}KkxM)^dQZ1k}w;Ss{gSOukZ;FNb3bh>IW zkebj7Z1ss-hA77_;vOADQSmJD`_rUdAucP*US+#c>xQqP=e(i0C!haOZeaXNG@iL1u=sFf@ z&ulpvmS}vX0)!porB|T+CZ&;434r0M~F%|HKH^x?51aWX=TeD zS3-o9(3xyja1=04*f^uU>!>}G+Ke8YOc(AITw#|hW-y_6a55a35heFk zvw=*o4?-EL$SEaOr@(rm=ulcjb2qM`SGptl6o82lcP=?%B;acXtzYAoNj7vx1qqU( z=*#KBeXlzTl7uf^l7ew*63cxqXszyOR3@C{s5_d=(F7$&q&JQQ$WeAk^f*bZZ72!S z1SUw`F?O0|oEA;e9is~p0CG_{c3KJ2vB1tdh3JWa9ECe_^w^U|)QG~eZ2Y63!c=yk zY@%*NXQ!cwx+xI7JJzO#8X%tFau9Nx^BvyZgY0B0EDSb134iyXXNl2cPiIIL3<}ql z?E~Zpld+Ix;-s*5$8kaG#&o!Qu$oT}R~iXJRg>@$(@-~bvN{EaS-su*0@vTj6K}yj zOqCtu1Qlvo`50^jj&6!;+Jlt!Q4&m%y>`u$bGH&&v$N7%_Fp?ag7$wISey-{`hQK~ayBgcPYROrUeMm{QZBScaI zpafF+gn|T;;%~Y~D~ZIGn^5JSH=cFlNMAnQc8@`6;S?DrPQB|Mt4_&M)F^*&ZV)*{ z4U%j@d_BWu7j;44BBWMv1%rEb<(NWo(ZJ++T|yfNXps`yxL|0?2vlQ$W@>dK9$Xf77S(^*a_(Ao=UvNLeTRN=>_@FNSIPZ*dmWw?1n3n?5v5j5XcTy?4G7MZ7Ew`H!@GE zruuu43k`N8yoR~(V|Qa-LYqC>*kO!pAd7hvRPI`-a`uo?p)%R&IW$)p4vW;&I#Dj` zjkIT3QAke;^~(oEfFcE~MH56l{rAlrT7>Ct8_r}6nbn8_$DqFJ{u~c-H=S_ZJ=dO1@jOZGG%)u>sYs>H6b7O*MRdE)6a~WZ9`+zpFfGNnS;(Bq z_0X9D`Rj@H@8n#R$@_&^iJoYCj$zM1ZDQI+APT*0Btni_u}<29KHM{a|J0TMz1B7| zvW$M4gy%8z!EZ$AKoY_P40{H#WJGcVzFc85@C>!;!NxR#PcIJYqvjV*TvaPEbcC+e zAk>P*7vr=7gk*m4!W2Zv;&}XMlbV#XC(fTeO3G=6K@Uu@Ianf|1NrHR=*Km}uhL<< zn|-Iuz7uG+<%T_%7VNuo%)XP^IeHaKS;NJ3itI$4r9X#Ik-m-j-QWpaLQ!r7qPEm} zTPLLp>PA`*rIq1y=UVPlso^>~TnRSb53UZDg-}Kk=NP?`^UouT%SJkAp!0t4WzQvg z?76t0O0Mj4IW{<4TrfHNv?<=|m1Gp->`!H(0cnRv{F2Lf%VMM-Ofk4Yk6&hM2mB)gD4M zw|O)vjqZGVE~(M1etRy7>oOxdb=w z4r3S1yEO@R_<2a@rzq3BTc|6)GKvw05dGQaQew}^JA6;0&sl5|a;pFfc?%8rFqgL& zrUyCiMEL{-KvpJOtBs=U2yqb36V5)hjKC>8`mCvh3(F~!6OI2R2ZfK~K-RW#6T%E= zK{N=i3FJ|LWR~Dz+#Iv#;roz14?Us{TX(wQ)|f{!P}gtIBN=F8NwMDBOM$ByR1L!y zZu-dj#lqiwu4YUh#vdie37uuEjXKMC^*YP2o+TGLHTm77%kN!4_Q~xz3V`g;Wexys zu-Z(u*$gW1XH$tipE}_dNFY(uBqVyPjpcRr%eDz}!+G~METH=cA3H;&d%F-#gvSDc zwy^b?0ZoIjV7M~BXnA3NMjrP6XGqg$j6L6qmJ56Ymh2uat`DJ(nXH{L^VDIu(sxxh z6j#*lE3K|s!&D>2V-gPgrJ!EH0 zW}U;0A^%<*OLUfj-wTn>Mw%nOYgpShJ_5_7L(2c30U5jX(&zXuX(! zW`?3)YHeqGY?~V;s!@U{ujt1GD9>7l%{JM}pLDT8?mfOJ@^D_PaHx0uwl{I`nr{${ zjIpLK7FXn*x>;b@i%_YXYwblqaTB|?Zx$JJ_zwy80QSmy!(Ir4%TLdsatnNM6u$w< zu%p#3--{I#4B32hqu#w81!Vf-oamDRBnw&2{8=|Q*^8}tVybv^6Qp|z5f%qzIj3hd zk=j9MAHk-x7-5XlmrKRqBC2RmeKv#a!K#jfSp~KjHliMGZnl?D6*uFv6>m`W zsODP@doi*1=0<$B;o4qIcUXbGx!p!g`OOXDcY3meek!73JM4v6@AJXPyII7zF~D9( zKnErk7R73*|;i z0g1u)E(fDq_3 zAt7|l1>{%tIDRuzNQhoZ9Kz)rCF^MTMzJa!GVBasIA~{3B@g0r7_XQ~!3*_J9p>^C)E%x>?VErw7N_Pgn`LdCY!=B;+NMmiP`GZGgkZan zkPuv3)gsb(#|9T=|LF#X=lIv z3JJtYCLycnay63>IvJ!jnG!%uX-nZT3=^@oK7cfGLv5YVO1~e0?tH66Ypf@y9BXGH z{btx%z{dCZH}1AcVPU9xTXNzPwB)S{ z6y~?5pJ3O`EvU>aI}0_qRff+lf=mi#R5*t=jD{B#-Bes&+gQ`Uv~My6+3(%!4)HtS zR0Ar(#5SEMxoUY<(aN>?*x-;XhJ1UBon@)x zu80-HcYVmee1c~*z>psrTH=$PPWb1G2(|c13UdL&zGvLPF40?c{BnE0opm~^E#)4| zTfIgN;J-sMZMZgmcSsmszdNMVn-dK9&1uZ((o$+?r_m^y58>=Jl=;_eZ{{Yty;*ot z@FWlnB_`>`X-Y^;lFt-WZVs9)6JF!X*smP~;o#$I2hRjnoajN~eAIg_uQ!6ha;!{gE53G+s;z~BMLm9*8;Y{p z!8jZf#c8A7As}>u>6>W!k_r{Bc%Z_mPN?(CW`X>fs~A~jykf9APvmvZA(xUbiB*}6 zi3L>UQ9D(gKjOIh-4bX)C{$-KER45hGzt=`Ep?wh~uzh8pA z{8@3|>o(_SVYB_KQq{QZTx+?M7=lWw23a{}SCJd3w772q;hKY^12`D8mcgH>Q0e+Z{uZ?c_BjGod$ zlewwzD%1Gan=)C9shp8;Myd)2>?KSWft^ijL|~_gerJqy_?QSj=1dM`BvL~txIw!f z7$1}U`AFLxh>wU}bT?p!VP%7x^ON%~hKP9~>b#7rbIvD>bG<2&cka9V;S@Za%9)o6 zDHYv~^9y-TWxb*_DVf-Lv#1JQtB?P7p#B5@>qi0bh41+8F-k+<#-l2nocZ*F^`d~kPg!AooBDKRu09S0P`g5XvEBKIxc9C z>V>;!r8_$((Re}wgNNn=GfA%4 z=FD^%$7Vv}=Fx$euGL~P>b@X&(O3!&%t1NbF5Tjgc7ut8NOMx9Af%rBjB!?C9t%oDeUtwl_qVwM#T<&J2j2=(!ixcDEZv^ z+o|!78qVz!@^Y3ZYa_#DjX|u&iUt8Nec0w?Uh&NVE*cWoh$_q%IPx_d3PqfQ<55H8 z|28NXN4~-V0H%+rrn%r7k)5wd7W)yfj*Q3qJs+eKtS$WJY%jy-X zhG#?7kd+0}*~nTb*ouxU@Dvc9`I%vcgC`Am)_mVVq^kL-AziZaQA2Po2~Qf1wfD^e z9+IPMe2@((SN_jt?S(XJUq@d>bi#4xdRjjvodUz|C^K*&Xt+K`HvIuugbGW&nrR0r>Ap+wDIQHYb+dfCq{6BN>$Z%zdd90 zoYz?6ujBt8u=3u(sw-C6BMo~EHOV&m*lW0zH`iWMLJtw@+`HXgL%iNjoopfPZDnSj zJc5{Wa>sw#5K*k04_2zP{3Ivmf3_i#63EKWF!WxOo%hBlX>>65?Es5*NcMR+OW}y` zEwZ0|Ap!u-9Ow4Awkw30hH;&C0^#ZL|>~J?meDNj4Zr`z(N+@F_eRp zQTs1v7M?Gi8F+>-LuM$qvl((mQMRzYPAKaOeC7roT|{%Ugf2A$kE>g1%oED`0szyO zNtMkseHlo*gWq@!mM7ljR^-XhIE3j#ID$=|=H8P=Vw12U0l@SzhGv0p_kaT#&)}`1 z%C1Pv<&&XP)DyBjom-y%c^dmA$9~mXudFV=>S?I8>M?g!IxibO*1YG-4(pd_Rthw? z4MMYALz4?M8$J_Fa1Dw0T%d{cEhjW2Ls&SvO*&fs&&<1xWYcY;ug%Q7+rrE{s1fAu zjA7;-qzu;s$-LXT&aE1Ra>F1HJf#^>H8MX#P-&4x4c(AU?=MHwwr<0*?p>khcLv_tATDjTXxUY`; z8M%XDf*2iRf~fQhr%!dwp5Q)IRb5^sgX+s04^SrQy+_=o*qD!Hp()~tyS|~cfkj6o zvQ5XgC#sDR392PzLpZs+wU(G@xaOwJa2H`EiIID#8h$)VH&s&ExcT1LCavdnBxw`rW3$T%xJsBw<+yj+jKZ4piJZ20$<07cpEwQZZE{^^!6e;tbtxf3^B_R zH8b(RlU?{>iD4J9H`0Z|ed{<+EP6X#lZOBotPnD?9e>z-3Jy@=f?c(KO@XI1MatP` zyU5}vx?+AA|JHHJXhxETB_yfJgUv^RVYbcSY+v@mOqC;&??uFS=)**Rc&6d+T-V3s|x@uhfieG{PFObkaYN#It|hhOI6GLM)D?_1z- zAr+jHQ{(IW6bX{zd;|ebr@sQ`xPE(G2}aA-6dDh=DQN$K!=o{N{YyEA7XL5hN|^td zFG5l?Y~;;=;J@{>_`98HhCOnP>#IdH`sg`>g+vFgakVTqcw-F6Ut5F~|2!;kZI@c1 z#NJ@3Q@02tsRVtd`rX}Z@Sz1RS>9Eo(qk`LM2fta;u#QHK&rfYU)|nHO8kgrFLH8Y zZx{AyLAf8OtlL{!j;Ccuv`{(+z>8JwXOlz^*+6Z(=PrHO8;FfN)Drv$D_JXiuu_;0 zAFNcZptUJX>*m|__C~eYhb+q5=PQIky^aSz_GH_efT$-6pXK%@!`=iv-;?wA z-1sdNLiB6&95nl{BL%`=JL}1ggS#ikpiRfVLeuvuB1H`!JkmWY7)%)S2!SSGP~pFh zlp%D#j^twW>qrNl6GGRM3;TWp`Fl&r(%;D9XM9CSO$r32uL#iL$i+mVxo34N=$%Ia zKvc~#v(L-f$0hdsIWW*V+~=z)}lb!dKimfmkOQ<*eC zXX&5WRA(Jc^1j6q=2IK6Yf86UCIo9dHT@P%uo~^H1Pj)9&p{h;e_Oc#CJNEoB1G$r zBqmyKBni=aBT31!CR&HMXl*fln?WpO3xS0_hdG8rxIDrzKvc?|{rPVsiAcORM7HF3 z4YoD`?!gvv-wu+q3N%HGub2zkHtc!wZ8m+|u-&!?5zpI+&nKjuZ9Ss|jqq?e(@#hd za>j&bN2F+8?Nx0=*JZLeGA0DzQ<^YzmO0YH{GgCuf@^ac{9jdaR_tbS#=@9Iff!cc z2456l2%_HL>9|SGcAzy)-&V842b=K*Tn(r{DG)bF+IHT~xWI4W_I5P%4T#5$2ph?e zwlldph1*DWOHyzYceKB|=cv6+-Xm=c+fi^Mnz!Trel#LUTseJJaIxFU#IByr(9j|f zJ9bV(B@HP(+nvPJUmrsqU5m@sCkuwJ)by1>ZhWP9X@|W7)!Bje-l=rb*T)E1`T7`P zVSIgzl0%`A(ow^nV#vx)l9gT5ZFg}|seq_75snJHQEJ#FL|oYfDJ$?;iS{QnqMb01 zs{XC%q@7Y!-1IJQ(r3K7D>7RH953Il&;cu*Lzb+1b zAeYuoaM$#egCD3j&L?2?--*Ri(}#@S#COCU_Uy7t!1oere&SOVZuuPqtf!iUqu4H{ zU+JC_a8q;l?6xTkxMvG?!|fos*hyczxNwv+;b>sOfi{3}G?2McMZ$3-{w>ZOj9b4* zgK*r?5=$%Lpd)sC)uwMZ5ea|Pjh74?4Oof>u2#bFi!>n|alc681J*CnlyE?ioMyd@ zhjY!sr2 z_utU3+P+#EvG{=HcHSPPHK8+N`D)}y_}n+?SSVjDNyi!AJ^|Y);1{cv#&}|oCaD2? zP2V1rM7^=4o7I1G97)GME*?2r>5R#8dM7;J#C8}|q zohUuC#ZDBS)e$Ucn@jOvJ=iZ;fqzUN&6OtZMZ1}h6t>ZZq@MZsEU+u7UFO@B^2tJ@*)>+ux6mf%T+fVty8^P(nu&DWP%9mh0FNinsu% zmZQ{YEoC+qBdVBUquUC*3Zz^gbeF#H6EM{%8^4#^_`TA`?{#c^ExqFPI38zPX;-r| zxNBAUr~l65bn#cP9aoCRW_vp8pl+ZZ0d`#?K zw!AeMaHC*cjx`?w2}`?lYlvY(8{8Uf?<4uTH5i|vpzl_ky_fFe*uB^?^N>w5Zw)i- zJ)okVXbLwMJdBo08L?_Z1n8n<&bLNHYSN=cob5XLt|+!_h@ z`4l2F8ps-)Ei(jL`26WoY?+};l^jUhGjnScDm_3|nr-^I1*Hhxcx#khOLc_$yEU3@ zZq$#ejw}{6j2R(zta;s!Gv?nW$(d+=pp*#t)V1+_8@x? z_IilMb)#_?%Bs0hHL96GXAj?s>bLih8r@G{2iQJ_db(`*69l9Y+Fw}i&9Cvpt>SRO zn;I8N!oItm4~vkn+sDGphuCj%8>=gILB&x>C|T5 z9wJ*$9r$?rXun-Y(ZNj*WBJihJO{(|m&rysNCU>5SlV;(FwT591i?eicLv$}4SPQp zH4idE@5I^rtzy;#@ycM<0~_%g?b$k{tdl2(oK8P6cr!dPvQ;x^3ib~5HxmlB^AHC= z#6Dn^df3igg3SpLM|j6mjvhbBk>p{Us%^vXM?eE`CFXRv)hK8YtGy)&@i zW`c8wBE%mK_N)0s795YtVlcj*L~J-rTsW>8G~qpDpTJoB-ZWm5_okguHF28r&T+Q5 zdYISZM4nx5RmtXjZyFl&&IzrX2R3Ff4u@MBjuW6B#$f$fsKwT4Wb?EwB$Ef!J^lCX zK=Pdd8j`F0Y@~F4*cO(6zJp{04OD1>f1wy%1N}0Zvlq@cc-g;$90d*F$9D!gk@G+$ zQF4yN<4FjXd}kn7!hD|JiIql(AX88FtvlL6c|9q^5q7GsSyz-%v>Z0bG-5I7j%vHX zQYZ8=!0f5R0hNxHj1WICiBERFSoh~wvxEuK&;)_|4iw9GV(kXH9Ei^lyMgl|Uaoct zK4|8@w8ki+me?SdyOcHNR{@X1hCN`zZnfm4_@MhTr7;%FhCK|~i;G72DnCjFLPK>& zT;q4*?FQ{=ty%MMGT{dKNPZV_=iH_9r#0`>V#sXqNtBM%c&Q7ICq-w zH~hXqe_+W*+^*r+D0s{B`tRr>FBMhqK;3XjO(`Vg2C< zirzhfU)Pg?a;LE0K1c=$g<`f&XR3KgV)zpTgkQ&o;={1F+c15&zRW(1x34dtkA0Zb zI8Iq0mAuRqk$lXJ$H<0q1e2ASupHYm%(hGzv#n_cWjD&g9bN#-j%Kf~z#RjinbU(eO*=$Ek~ix*9wG&a#T{!etz>njle(Ut)NkM`fiyTYf@nQhmR z!Q>d5OQq9X>N?!p$EXU(({Oz?{hnhVmG^mMA+{~UNqneJxcdbCjIie;RQqMPUSl62 z^?04Ck!c?RzfeznrI8hP94C6ov&BBaZX*n8pG+1q^vPr;+RpU%`m194%TrYV=BxH` zlA03`nv;&ue08Ik?0t2k5Sp)URNyqBxxOAkk6m$=UzZc;gi2FxuY=N}>apw2AJ@dzzN<99_!%(m< zDjDV)sg~=CSqMjQc~xo6F8C6!?=ij{(X{E)FGmnxBL6Sy-UPa;<4PMn8WA8kVis^g z7m%0-F!8ki>$x28y?&1|y~< z-Dxx2OFQijV88?kk@ftXJjeL8Y`XPK|=YOsDdrA1*t5bXL+EeY?Rkce_ zdfx}hfd2ko0sZ~G&xOwC&HK6xn)-Ky6=)sdoI(3f4MM0p_tZfBh0z-Rt$!h!{`bO| zK9L1zCe9;Ze)gY}g+eOhf7t)UFT~(;2mY2Y3A`W9JB=q%w&!(zVQa*{Ir+3Wk@Zc# zK0BYECU~*Y2qz8ZtPSrX&Mp+|Xn+c3}oe0&h$LrVUfNA>mdF7mohe^$_Q6!#!JY>~Xf#hK2 z^Yu{tk)4-#@t_e7*!9Z!M&%R71kjJhnFn=P}L#$#}c!wz%{x94co}`M|bp`*Px#MG9 zMg%F>AgP~!vz#^m8|$j!zp*bf{*)IMbmGcUS}6HZm@$wtIvs;$<7?Qi0p-57L(BxO z?U0!5s)Rq%sj+ShqPCHV@N~vtdK}{F7{VC&qp3#Zi_P*}*n2VP^pBJSr(v(;N=@ZxTU)ZXqI`~RlXR{0kK%}nLy;}}Q9_q7giz_QcJ45Ly#v7iA>L+aM9Znk z3_ANqOjotv7i*6B&i~o}2(o4jMF)ox)+p+#PEW&%$x3oWi1c+_rVXxreS_fI*EdM6 zJ$uF-C5-OpwElp9j3|OIJRi0&oU!ZYjmEH-_OP2_0Hvk15Iy?2s&<~;yhjwwHned>CJwN<&qF{p3#h#SU5AC?n_ z?^+llfq@56&|uzHEg~qokl7!D`qpoFzL+6+`PpYH2!n-SNH#FY@PT6(;?oDK3$aqt z{oi_Ripr#wnJR{%K+qt47H_NOseHsB=3D*W>da6vtOA19Wgm24X!KK7JzyVX7lg8^ zZ*}_gVKp$=${Ga>asU&`s^laBnFH@al&Y+6j8^-Q2ne*_UrA;cujM{QJye%clV2P8ut zN%C`{YXm)(f{j>XIE6ddCG_(Xh&vGOjLgT7HIj!8^NbNM?YEfK@-?cDbrusx8SATK zmSy?@Ui-x~+gW1JN&>Mi3q^c3a2)W%p@gc6KaRcbheLhsW1jgJww=s}EzynnKygqG zTY^B;SoZG+4ZWK!y$bN!9~^c+o_&^rZeZXNI2Hg0?nxF=mT0`-c{sQ!Mg(~6hbvSZ zslb7uOW;@t9Q^WKL=c&xv2xB>;gvtD7OI2SxndPZ8gRfq1dc_(A+MJeC>E(MMH&e4 ziuc2MP3i3~bA4j1bl^a&C2%YT4tW`>Xsq7!`P5a!3H&?^c@{q`>WeKe@Yq#IJ;O)p zOMvB=ztoo~H2U;JcoRX5`r4zBDz^xQEp-=g9QT*Hx;4TFhp*Jt*B^s|hq`uZi>IuLR z{`h718N3*bU5 zDRT<3gGTZ~*hBmmVZO+0T&=R}LNe6zENi7ja!O>$eQA@VW#Kkht`}HjIlIOfi7w0W z@z3<{);1mr5l+hVpIvK=B<)I}UqHd)#e5_sPS5AO%Qr@~hFYEdfkuMQS33KL(}d(phF= zqqV@w3D{^8psugdu{UddRURiCv@s`Z14L}iuNUgqi!{=pia6`e?3R~?@ih2ZW!X1OUf_fU9crXs-;qkh zgaE-y!`Paj&-=g1WGwpvM|m}?foojH7|xqr#+X*V1yXIlOX<%48GlLwu-YT1jJ;H6 z%Z)L_^>X}H(fa3EY+66N(-=)j<}sALjHbvlnq#UnqFec%Lm0!gAtZx31T^@Fjq$C6EX#S;cNlb^ zL*`GSlXsZwiPv}Q*Kr_?ZbE0CnS9HFOsY}aW|jSTeJ!Yx_u zg@W-GYG`Y06#{_);Dp+_`Dg*fIk(XA8oY@bMLt?BSb`S%nYMM<=I1)SfcJ2*;b_bt` zau(zzrKAZ)kVC8oD8 z4$dcM;g5*OCJ?7GVv6CsQCaO=hOG4P`dYEVXQ|uEN^d)(WN$MtC}+{)U|X(r@2S#M zWDo*3#UVRJMkdI(iC@?0h1}w@j0vqe>oR;UxXgUmIW?yG?g`ERrNi)g>T(b}pZ>YIP)M9;yJI+sK>*1w`jiGxOYR!+|00 z^g`N?pA(9I*2l7yc?XS5*XxAm{&_5oOcWJao2WKUX3C-sw03FqdnMvcJ^{rh4K&q^ ziAXv)&P>9G5@TZP2y1PRw<~$o_6MQg4HJs!gT2IlBkbdJ_QuN;Mb$p{txx#ELn6Jk z7oCy5Ec)k|>mtzjxj3Qt^Vk;xsa_dD2fbw=9<+1&EYAk@BQ1UB)R!xy{erI)AWQ1J zUc>Oxw()Zae$MSQCPtk~xn9Q@Jb~hg7>Xx|F%uc^^P>%C>nIEMKTQSG&yNmOfZOrJ z^=AEglM+s(QarJUg%j3f`Z1M2K0ifmZ^sjD1@t$~m_#mdi#1a6CSZ>A&4#!H4t^c_ zbUqd>XgBzIt1QanS&WnTA0L<>&k8&)FU7{H^HbTteBN4-;Mk_w*kG)|T=Zgxs3=t- zH;Bq%6+^@#Vi^s=O~$0w(H7GPCi_x>ioKyG2kSF1*DCvCv;M2&GOiOS$DJq2u)KoV z;7KqFazBqsr{|{`lkkbSHfs$ZmwiP97D|4r!?MQ4<+jZbkY51f8^s?7XKAZ z=A$FV<@56(Ka%)75aQyjYdIee#*L9qj!Q$0K7VYFuK9XqTY+pi9}T1Z8md9)HzI`r zLuoKPQPGa`*d};>fdTVBKetOAmqqTTc@`_%=BtR9xJbreV;=`fJ#mDUHdoh_7mL_L zDk0}V?&DdK32r`ElJ+gqXrtj`QuwWU8)k#&7i-33?E51q7;GQUvUc>_%(VSN%c**X z--&ih*a?{PON_}RRM%xOtv~OQ5yWGZb`^#p!T^OPJAyz9P;!bQh^U1MTTy-{9~{L|Ey)H!dLW$w7r2EI z8xlAh5{$_tU6c39=6c={@y>j@wxC07Y<c+?Hb>fm}p9;qs&jKp@z3HcR0V6 zkxiY5pQ0x$@-y2p4b%tW7 z^GIY(adpH{2z7q5F{O24uvJ)yH{5I!-Y)!ek?^)hW0Cx4e`FwqKa6fVYFF z8%X7yU_lg;n3OIjNR#b3Z_7!33@8#0d}zUgec#=0D_*mPgbVTP(BK6mXr{V4_*M27 z<{ML6C)t#oq~l8$)N!)Kn9MsGs^19KZ-nSkH}DjOzFxrk#)Ub?REp}B@GMcW5E2>@ z9Cby=4(pt42sAHXM3_dyT#0WJbqG-NL_5%A8JfU#U07kwqy(B33gZ+*+<~#Dh#BG% z&h=HswARTyQMBC`tLoHMOJpGQww-!gAz32M5MNkjWr_sy)e3UO6nEfidK4?TfZ^c6 zYGaz9JV^ut$S6YEi0<)!Av9z1^Rq=4l2}lBA=&Z*0=JT&Q0I_;DP6?aK>_F?Fl35M z7crphYr9L&$rshB`J(jya$H){xJL;}#r+fpT{wS%Z3#d`4 zNEQ`Cy{w?LU$Us52%_*!0RM1d4Wc>H*fkI$VtS!WYr(r%%RdNtsBT$J80`Q6yJa?7 z#`(2W%Mhs zTJA*r!&r<_;=+0Z!T*IU9_-p#C>L2~ncjvn+ZQ%y#&jYf??n+pUdWRnB(RA<3{S$# z;z@GRjv~=W4{h5{QDm7F#rd=rtDu2eBY?SplSGV~d{BcQ*J&5G=>lVV>$G&nP0Ifh zJ>+=`l_Fq0evPpw zTm}guq@=|O#sE1)f@91PMdCqvA}PP#O+1n&3G8HH<%OL>d$6)}p^$ZSYtelOr;wt` z3zmUmXFeMNltn7lL-~c3J|!M`mP`7mBk0*It7jZ6`6#7W?{m^zlbhgP{_3Fet8!v3 zxGYx-3OXgYR7^Z!T*?gQR+oWqO1Wdh6Jomyi;QV6Q*h>@;H;Qpvl2GQPkDKh))9-N zcO7vsn;UGw4rj`orz#)r;9A}Y2?q?+@Y<=$r~t27(HaG77aBEV76P6)iq4&|sZlb(^5yKs!w=3?oeI2^9)m`Vw? z*%ZNHB85)*vn1umrb=Yp)cMAi&e0p}eQ&l12N1vB7} zf`xZ~6fA+iBWpyylY@f=I9lP~h7*($ zOPa2|v&ooG^vovZSU^v6pd549`1Vetv9NWXMOupyL8Ot{TN`=aTWBjsjDEvO&F3o0 zakGTcayMOl+nkNujl2!t&n$n&^*9FgkrRyYIyR=7}) zx55KS;E0cU$TmVf0=GHJ)#IoL)ZYrHo*z}!*BuK;L+I-1j)l+=z8H6L zg0ZZ1zD3<@yNI|6ih^7^WGl!#{RZ2Nwn$NsuVb?oNclQ8a`FE^PeCp^gB%W0kc!&! zqPks06*VQLbqys=)pa7X48%^&NC1fmMB-x76&k9KN^NSnQknniT}k|`UMp9e2J@rhmaR%87o>B$Plt~JQ1a4(pAk_sz=;#G0)<14DZil zw46yw4p364M-{j4tK_3c9+&)vMpwg!Efd;(7%}@{N!)uD57R!qdl@+ zV^vYhHfK0J{o7EJaZJ=*i}5R&C=qcyQGpSS z2miXp8|m;7UD0RgA0wT3ndIt7VxsPvXk@f5mMOU3E+j%GDxyYuP*9@ZD9~@@hcYLT z?+5`K@#+K;ZL*~5yEsP)X+(ZEf|K=Kd(iv9#g8*GXlOZ!NSkD^Y6mGK+AJb06-< zoU+x%n${(jS7@hkuWR)S3T6MzDu$)s*skB$!4xCSR*V)SgwcZk3)R4r6ri7OB#ZE) z;vn`T*x^SPmNC|Xw6#n>*zVG<4CxA9!$vlA_O&TOKVGwsy@~;a=|_gDAF@pnC#ruN z(vM88A2Q|t8Xa`)Qd9mvu&PB1SI~txIvYPy5)zSc1%VM|kr1+sY;px%sOHg?4k!>q zhN~lkOCj4>*Xok4;0Gl{i0YbES5OXDP{#D5%rQW)60ff%9m$lGMZd-u{$8sWEU15; z`FE`+^41$UL{b*%$a*$%tZ{X$;nY%sUR6Ito6Za|RQR-ErbGHs%k`ty8Y((7sN=PYar}6w;y*A{bk%A`9)6@0BqE_7 zff3b_0P2i9rXSex*;NB5=;}IG$2u;71|z?9nbeOT4<$mBT|hqJX%qCLk?BXHBaCqp zFYhDm$dPnKe}uUYtm>nDt{orcKUX`NiMVE?fCySo+Od&oN3N?Qm(g}9(%96RAhn~1 zc6(e>&kjHPqFVa4Bl?Y_Og%Q(>hT&53zLBl5$f^U5Gg=k|8YqVazwGQrJ}wLfd#7_ z1!THrY-akgnP|+T@#WG;TR*t}i0qugyV|Mx4;e~a8tJ1SyiMm6OFz^$mVR6s#q{IS zD5)RhKQ4_@^y5dRzWQ+q$6>eNN6MkoLS*SjKtxm}>C$Lp3+czD5%dcv=qh%P=W`j1 zHMX`cm-_LeQX*umLWtr&Ziea+H$zB2ZiYC*ak~LniMOLkK?)>c(XV0$2Bh+;D0%#9 z{PPs#5>@BD_uq9(KW+}zZ$>ix z*lg>^+v^!6Z?6~n@%H-X>BmyxK6X`OhnrLntU~Q%>amk3+``mjrBshMMlaogFk2gkB$JJ%1j-7t$u}U)v@gt=m5efD1 zAx2MGF`W|SeoER(F1 zh&Z08z=)jS;$^2n<*_eMI79y!WhCK3S4Sa}@Z~8+dFv_}VEzjY5SQUwWDZFQFmF2b zn=vfFycy#dD4B@{lS#})lC~e`anty59w+X{cGWw-F!S&c(#6X7E4jxoaG2(jKs?< zg;_4e>*fh6x0RrBKQ}>jndY*WHyKnTx}$=`yN8~3*+v}w7M_B^mFh zUqC@ut1ug@;xayJ)V3yCGX8{aCBJ-BosFq7UaH@8v0`gCU5-IEH_?PDBX(3v>TVBX zoVz{jGH1i>VUi1e9`*zgctSJkh^*Zt=z4nEV+;BYt za@WX`?y9bAhT|4N+Z7a4*a!Ig2!0L8`pRUS{tzzvhdkBv!xfz1s2|#?CYzmCCaWGh z+ON$ISG+1IQ`#U1gsiVj5wiZ_3b6Q!>L@$;IbU4d!gB&wusIoe*&w+o@I+d~`Op?@ z4a!%j%)ymdgUTac!P?uENq~Z`?nP2}FO&6^X+~pfk|pcTW+DV5mFlsRh1TgeQ}vrE zG$?o7OmPe$mn|8JR#QpbcIkL87m+td#7kaPL<}PP2MuQujWdlVjVP-laZ@SKj(S_% z@A4twU90fvyXp|Yz?`yxWr)#PQYJ->gTMO$dq2V6$3&fNU%x=R`C-=Q1hMCe19`_Q z*{Y~TlSsRbB58hjBoQ53$3?wPi258&Td%BBIDGMduUTJNrx^$EBSjtEkGMc)eIjp0B4aULNWJ}Z+4-g?6o@ds%`pxy+tk*jRN@k)(E{VBO z64%A8y34ZauIE|xl>(x#Kr;>zRZS%3!}Nq&?pA8|T})~rgvfU><)w#rYb8hhM*1uJ zf*jG(eM|DBtoLcg5g<512w0KxEBkG;{$(zM{>xlp*1yb^XcQCOugW^wugx!W1DZ81 zIUut>AY}c^Tw<}ZF*WShYnh(fW(`4OF$tAWh076mA}!*4XtT}wAo22`K?}iGP>lUb zGoYZW2VmB`tooHB#-T0N`MC5VfIJ4_RB=8eNqZn^QjCDk&kdo@}!wJl$m49O8P>#GxNvqp&wCTskk3mXrCEc+_%ax*h;AnTw z%_{w7C7WVZIwHuK6I=2Aq`qPRJtpb9SHoC%uZD9l{$7nF&R5`9_6fj5Nl%q!C7-jL_bhpy7h;A*Z(wM08Zu+_M$&m2h4 zp|*6r<2kVL){sB`d784@nnP3c?IYsdnmLHlBqr4k?F?fET_STp)Tdp>lk*(=6P0|xYgs5AY6dB*bRUbD`Fe5&J zNwmdHG@uLWItNJFqW>M+2w-{tu5sG_U3=cl=;{F?@PJ8GR68Tch=)?Hq;sGx=a+a= z?j@0wi+{_|!8!{OXY9uDX9Jsd8r#4nDzHj!wYXj1L7&LJf15%e@v z$(Z~BHUP!>0}O=!|9Kwb+Hx^OTZCEB*tO-FITE0$fJ~>(k|x&@rJ%oqvkrid;z=CA zceY7l?P%;;BIImu`;u*Vlia{gc zZP3wwKot`b@-89dUC;9l*LGRcY4SA|byCv1G;=KAk0tmcnMLohQzy5Z8Is%0!av?_ z4kYP4khGmTk!?6(x0?f{POc#|AxYN=N#CYf>@|cf(vaSdoUAx+`lDu!!;j= z{7oX7?Ilj`HOG;pchfJRpsS;*T%EiE)U|qZd~22^>GvavkoxD$v2Mlcx2CW;)~zX+ zPOA(>t2z?*Xi4CYc>4H9(?sO-BRhTU=X2@Co+EjALz`AuV1#$iC)}J^}`m0R>oy?~y7B45T zjm&qpE69A0l%VBy&5UWKX6%YfG_zW%d+kMK%~l+*m*4}vCo1Avg=Lq90>KU-z>s@q zXEL!9cVN0s^Br1u3e6Z}O*+$I1uwSoNON-YQjlLn=uU8YNXq(kx%0AeaA#9aQnq78 zNmEnB-ufnIQ=JnlH@LB!4kwH4@pYxUvE$RZtE9T7qTJS&Q2fW}AxSTA@H;~ltt8`46Hp5x63#BrgO;r^>e58Bfv2(AYd@im;p)(GwdIq>i zPL3nneyH7(c?MtV?$XE>lcd!YG*xEjAT%-o_m1xuI}!$Oy` ztDx>!MK!oFsb#Kywpa)n=UFRkUBOWoyIe^@W>$V~QeIk40Zu6~|3bZ7j1H}{oG<}q zUm~ImputdpK{gb}+_I9|+PWqu*7X?E>q{C)=ykh%bO4%B-PBl7v&$ySk@jCboF-L^ z)iL@8ulg1&_=o-ecj`DRF-4obEL1V8_b|QSUXd3oq|r%}p}iK8FGQ4w|c-5&%zdfNhN^b=+1#t{caG?rNwb zeOD8IYKr+Z7$1>5q3RKLgD>ND4_M-$)Xc23ydqLQia73uSho|y6LJFXVv;Kf_}OAU zy5P!a(yE&i<*{>FS3}Rw$<1c~dDSXh2D_YwF4Cf<<|HGBsTPiLSQywJRQ38Gfg_1P zUmX76^tOFA-mgq;a@c7gcu}f2a2JBU8)8o4pY9yNr%RBm`ic-EffF!1m_bjmtdvA!q@@*0Xb=#iSbJr=Lf6f;$6&!6>y3v2LdN5jal#% zTV4)ZUN7fJmN73^fcr4pf-o&qQCdqwUxtbfpsW%Chv(9&x@uBUQc#OzCzS;V3i`@~ zwuuRioBG|s_aT$P%z(M^CUV?CGG*s!e!Am~VF*_JPE{Q`?x(c68sc)-CjVqz~ zyO_ZAaN&%r=~Ab*s%Zlp;W@Zp#W_vC56hY|jYdQAhRwCr`Jeojrr z{)!r>Bcr+&8-Z)fDjMfHvvxU!zeKwd=ia*V=9-GxV&E#VpwJC2&eB6Pq?T6Kl2f74 z&EcYdc@rmlr3A5q^o{JR1ZQmHuDXW3B~81gPmbF=H?DE=?8)Q>sCEjv`tqzB?a%%`Yb zg!tqu=0J(cfzjyoXl6DP=ieR2M$5ZH@i?A+E4SuH%fQBpYhI8u&>nuoClRe^1gfO} zLz#pMwA_t(9sSSaa|>vkm|NV9SxSy{(k!cf7q`<%3bVgfSSqG7{?QdD0@Hy&L`;G` zR@fO2KgyreXfiuEdv`Jj$IqYXx4nAh<|z>BH_Cru7Jb*MI>5UUi>_FA(RK0a>}>VQ zF$m^gi@i^Ebv0PkxEre?l+=pcgwn--NzI0&M6q+;zu8LvzR!FQ zAFEF>h>4%m$R4CQq8cj7>Ke-7#fr)+nlP=QyAW&qMJ;k$3Llht^WE9O+8L>6bI_v# zKO*NSL_XrxMg56XUWxejh|7P0NPqf7O5i#4%?S<)dQD~fJkYq&#~ZfXRc}U+_w@PW z3AY3+CKN3%r7~$nd_P+jcfLDMlQAH2XLsjWk$ubEc;MaSEA4nvQ0s_d%ZsyxtwB0I zV<9ru%3EQ{{54FQD^Z%iK;#eZE&%+^blE41K>v>1=>ob`@J>dbIgt)dFQfY#Pbbhn z%W=xKGg{_n&K+kObMClM`E&Lq-KgAn&76`PYOC$a(>dCyLHesTmVnaQsqL7(pyY5J|Xnrl$!xGp3f?iQPI z#f&n?}Q!=x%Q(c{`yfRHOGR&#Qc1!&u7+m(X0=SLW^G9apR=mRk zfy~CJ6q&y`P~B9;1FUHv+7TmzJR~`)C{u&Et{rwwgEicWPp0vXjPWqP``DuRs|tj_ znI;DGsTxTZhhy&^HmA0Xr90a19yO=Zmk0XHsicLD;+C;7+IgBD)=Lpz9>OGid5CZ@ zm+hSdiYC@WOpBn>ck9h*1Zo07`VLBO-K~<49wDTG=pU^Xy7*|dzosx?wl_VLM?SLf1 zy0Fo(_mwJeLP61rQ-Bp}&IG8_E1`v?9%~lJo9*A`XQ4n_hu^EW~;H*cF;$UWs&^>Svi~X3v$+>fXGT~4xMGrGIm&cOi+nT zbvgapyasnG)VS@S!vYcWX}FE!E7QDYu6dd{3rcoxCjFYTD3H82i+?o(kF(8LH}Qsx z_o3z99Q0tP)q@Dm7#e}Cfk+O3dfQ>W?NFX*=W>m(ZkzpP}+#JG?m$J4@Qd(q6K2iqxhJQ zkFJrYSF!Z`y^ZEib;5Ji&?5Ithy1G5h_0BY^LX5IWi~~f-I?!IK@{@h30B_qioQDw5 z(4!6?I5D3Nu(5qrYWnhYrl!aW&L+;GNYuTZ=4|dD?-lVccNF8>V#ZGjEJ`Uob^^_C z8c)PRoJne4`ZxSS6Wn+pLbd4Mz`)^belwFht>4THl{I=4XH!NZ0C?ajGiPIQ9JE*< z{2)aAW@aD=6$AmzE6mwl)TK3jpd231Dcn}BTp^BrG>N<9Nt~odlkC+=KetuO=L*S7HGK291D?x zoP{7K*m57OoC^yTE+|o2s$=NJP3wwM({l6DQj!YNQXMU0*n-*g8S|9lIn!r2Y00Ow zqN3JWR)Y1Za%aWC`kLyp>ZY1Qvz>L#O^wy%6%@Kr7L9hdQ(g^M&tNHTuCt&D`MBoB zl1lalUys8#M>~Q<|^27T~c`hd-58RF&+nu4|Y}t4pC2 zpFO}m77rGg@sJ#Sn$?F#sAp`xtDqBw(GVv-SZ>ZYLzs?bkdDRCSHU!@K3HMSr$z~V zCY7S;jKi12^6h8L1@w6;xHy%=NHG_hVFY93ExJ9Ua~hhZFc_)kLV}U3^_dH)(KG>M zr%APAI;R6h=X4HawYk^~WrS=X7#PeStY+)M4-!o(#PVQOpShSC%@8nlm`if$^GpEY zMSC8sHC<*ngRzMQ?aor_qF%PZsLm7|) zlPZin$m=tyE=cD*>LL+RVy>Xi@#15tNrgE&=R@BgVjX1_eON#rhMKGCc_BRyFcX;@ zK>c*9&XUQuwwYqvU6g6>p|kTd50}8DGyuR7%}jE=+R2O3K6IH$W+b!CefTrcjkx)t z3snI_G(sFRm@ewbh;oBUD@xMTtX z&+{NhoMLhKG5`Md9NH8Nc5!cq;I`p}jMtqypXQsKv zb@FW?4=0;x=0N5&Awjg|BJ|n4{IyXcaTBbYyU-1YUo@VA#{B9bweb{H{`O^rw+^8# zd>QSpxOIfMpf-wC_#n1rbn)Q|*P=W`o->U!Hx7FgCi}IFQ(DSPPk}*Cymbiango|c z?Nm@ZSnjZpeVwYbx2bIffLp94u}Y=-uDA}>x)c!CVuMIxa14H@K}%EV!+7l2O(iW| zN}9P0ieLq7uM{xJy;2}7?iG8ew99Hf%!1^O>+!b`^F74&=5*r18r_3+;?4v+DfCK# zu-{h-xE4ey&=mV!tLdH~4bo3wuI*vAnPKv~ZO}^sLb|T*3VNl0CpEtawd_|x)t_($ zRW_m0ogn_!3D66;Yt0NA#~!XF9Ow%BklAOZ6MGWAq=?a@krn@XDDeu_nj8aHG}rE_ z#oY$DHRQvwW+of)kia7+TQ4Wr|IGJT{quB@JosmO#{ZKE%mB_L0%sYN0bZRK;CWbX zW|_D$Mx>?xc{=sH9I9Z|Tl`fjw{k13@RyOa5|VOsLj*&zh46Fz$)W}oZ1vz_g=S_k zhLqvEU3kV1n6MJ%Av;$|KONt(+sgilixN9_w@QzuaDOX!8KJp4`RwxHw$tWXQ{L!9 zK&ZRe11jfj9XxYb{6&x@=zgf8I_w)P6;#^@RWQJ9HR%qZhe#1U+;+y*$r2AO54W3Z z%qSW?M|uX(FoZbe;dXj&OP{%hB)^J;y}FAA)DIV$R2!v}l?m!u4iBn%hF~rQO$aWG z4Qc6FVXo86b+Cb+RkWps{y4K`?6hEj_e26ZWy^Y2cR7OR&V92or;-<8enqQE@e3j1;VGjQ~ng#m*I$ASxF8a6n z<001S_ZSmpE8eIn)K^;+AH2HFU5UMm}r!g&$Y?^suCaHoyig(7}3^~>LTagQ)I4}o=tE+ z@*|1_}46bwoszA7=-BekdHYdoQk{|o)WlhOt5 z&j90?r9j7J%umVup5uWvs6F(r)u7X>Ee)DzZZPG+G~DgZ)B0F8wMTZ_0kwzz@1sIl zmI`ekX&`0UbKKk@Rmc(nrafX+#a9Hj7M z!I&1}a3(oxn`>&EV(<0=h>ewguD^$^NRU&h$CjMb5lh_^{zumK$XzeS>%`K z2N(UR+mzY0I$zN~sPFPEkKkYzB=ZFN{3Jv$l=?O`Zjm0*9)T=4<11qN_JLNAE%=H8 zhBEAYcRjNfS|S+$NQg@|VE)|lWFQGBIQIf zd^L+|pG5vu)ST4hA83|4R z!LHq@DK*%#E;idc%bOcmPS76Wg+LvtY)QDJ){-!jAcThl2YCn!!J z1^ibSPB`bC!vDC_5CA`{!e-x+|LR;{SWY4rfB*u+nf6!lf40++OiA0ihU!YH80KuK z*j0f|=VcYn12~C*{3}woFg0v^#JW5$V8V*nLLOA0kQs_J+P2ALna zXgXAnn>Q)#i(?r82qUhqXs9`49U=HiZF7euIhsm78AiWcVo`V;38pfU4#bC1G~I3= z03*W(9JSnVk~zpHWQEbpo`oN4c`}~7m^@u2jF^HHD@yw*58zVBXh=xIw7JD+mXNY__vWMpT(U1Z$#W!$%iXMA(A)kgJ=g-&!IkZ1Lnx56Tj>uRz*;D*4Qdls-5oKMDu zJqu4}ns%~Ya$l%Xf9@xe63t@q$dg$D3RXs*%r>zVAYU#Qb9u}m1F#It+9DZjZIKl3 z*smz_APqbXLQck^dnN$-98T`EJ~N+X&(ehAU{9vVQ(YRw!|*|Z@=JsK_2xcNzJ%{g zdEyd!6LDz}DGo|~1aS7A7=OJv!x#Vk7015WtOOak--vw#J7i~4{D4u?uJlRWGN zW4K<+;|9qGJAtJTpC(i%-Hx!SzQU7qMYeXJ;F-d7r+yo~%(F(BBvNDU$V)t!(NLuYtkjf?{<~92^@Z^q#CWH!^)% zgJ%d!BE|`0WM-#g!r+O+L=3~6C(hDnfdw^SrHipA3?3|RKS4HWBa3x%@FACh1wQI< z9`9&spSdxS76?64>Falg(cqbZ6s+#C2EbQNkS=ah2dLBhs4ZWB!nU6$+ca|%0hwiy z#v7?SpZ?)E;}NDZ9vT~VqQz30$`tW)wHOO|nPT}YBqU%50_GugH8phyXbyr> zkaf)sI5LF%;(na2ZK8b#jW}hA*~)>2YC3R>{Rp*nc(J6skpL)%&O-6u>yoB2&}1JE z<20!ooPNc#ayTLFnMpkhq64x|w)UBuPNjHeCbNnfo*7IaQy`GjloQw6buqGhlded5 zQuAj8f>HvTvAdC%*ne`s+-%~Y5Km3><_8oO{caEwjS~S)`;e9d{e|IBPm;~yU&Kv} z(Vmi39hMtNzd4_chu@s9@tvgKoG%aJ{+m;TmA}a0AW75El1uK0xj7zW5#VEb`%s&_ z;~)>GcvSMRaot~nY$h{=i7+WQ+YwVzUte>G1m`pVpfj>`CbZEWU`aN>OQ+=9qf{)t z0~9RJz7Pr;j0w7q)rxkUs$(lAy$Jey2dE6~jR3`YJ{0$=)Anw@VVw$Ewd+8NX?k*s zlVmtLZah&C^1Ik?&S&%SUN#@MBAI(Uo~HSJ6p6n#QZu(`ansNcOmvK=|Ff^nE#wMP z+lToOShXNk)VYpvAUDYP#9`ANcl6p}yVM_{|5RD>V z)jJ%YTRJpD-wpFkwQ32W^m`k$_88G|=sASnDm)F~wBF)$%~ z8>^XH>0`A0kr*@M4Kje!JWty@)7)y}yihU}1Lt>1G2Wv?(Y@-V!**k-?a~NhJ{{_> z=366(6YO3OA6ROfcy8w@rWxFSWA&mJ@%C0~H$LW5oGWwc3@w88(ccJLkLUgOO9jUZo_zNPqzR6aSW>_GQtQz9_&1n)aBOPst7!13q$&qB>Cbe+zmP_m^rA=WH3H`yXmd!>ff#-L;= zB9LVFDj}IbQl}=xd`i}eI!uMsDQsTqT|wrPMV(qLE`04>O`V#IPQ~L-YZT365_&;u zUa^0l`n1nY`VyUTRMa-_Wobj)tWv!ng?39C8|nY_J>CLEa6-kdS5NaxJ$e((ViQNM zEIs6%jNp2hsL1Y*>9Ug`aY!iJJx_X=NFb?`Q(}TY#bMaqB*3R$uEuXNb!9E}GEGP> zjXD{tUuAlTOFa7YC}Cf|dWfsNg?WXF(dkQ*zC$0wVOJRTJ~vV9-7LjAP^s&5HkVnt zS!!+(y@}59h-z z(7QG!ie2y8yO#Phm1|-Sx|1GA6TdXeqhx<%cgp>`Q%_pKT!y{UQ>x=WaVKD2#W%%`K!A8e*5r(R-5PHzr5kM-2c z4MJ!e(8<>chph0yoEQ-7E_nO|@|K6eZjya$8t$5bA>RI1vQVmoMmJ~eu zobUOiIAMBdJpENOaSC3#S3f1+<TFtb)eotjWg0dFQQ|d(r8W1v7 zG@u1KilSJ%aJYcqQ_`Dn?lQOe#xrX9^j~ifaX1o_Jd2AMm!~}6rB?Y%=1Y^lB9}TgfMB>pJ~yi%i7L&+VbVOV z+*`BFDpMY(ExVDP1y`n~kK zy_ipQ7a%anhhl8t^z1Y%O@1osd4kS-)|W_nt*p0n^=VwSMy6g-!jMeKPcp3BUg>>0VE-g0w0d!CQy3Ue!_ zn3R=S6t|dcQ$$3WJiOWkc8OVH=tG`=|5CmcWd&i%TVemSg>xSf=s3s7S+qw!WqnS1 zRz^{3GOx;_w>$2OOwdBq21E2IAUf@^4u>Qp-k7R_;oqejUOEv_F-=nq}@rV6a<~z7~|11x-K}Vh*++ z#s`6BtrLd!lr}0pMQUg_6>-%(bJ^tO-A%N};+aRsU*Fx#p5qyR=yJu*EJ{(tdX|v7 zBf5C@|5Oqq)bsbgNu!(eSIykx>da!E=IMNMk6C05K@p-o1{ldmTYEhVSr#BSufQ>U ze|2MZX-$Qly$O(&5f~N#L$NFtA}}maFeqsms~@caUQ;p!o+X&-cowoC8Xa2T)IE#2 zo-7X6J&Uk8bq#*k@(rr+K7bZaj>X~;PfFt32$__$w~jtt0(LKDhZ#LCw(a!KL9ox| zD-c|`$;z`7l({r>ud8z{XZ2EZuUW!a4S-Hy7ryT4QiU1#+H!Ut7k2(ELf1$wmf&}p zNi`>*E-~r44)#p~Io+qY&i!cugqlbn=Jc6+U{RfH7wg(SWVV*@#MUCFHI;pdq)v;) z2dGfCtCL5pPxH-MvmA9zpqUA}XSuDI`CK8FvqlZ1ck7ZuTCNW>=~j-;9D0s1>tCi1 z>sb;rTj=9`T8#NX&6J8GtBHIPjB)4#Bun=}i9Ob>2)s@13kp--;&mk{iJ6TSu%>-- zVJd>Zzd?DukYbREk4texepxsAjjEf?gO8)L-EhLSEx>T zXD;hTp6JGH8frhl@i%D|{kwr8jSbX|e4jQup3{vyz{9*1cX)``BRCFqIPVn;*jVq( z*FCw^i}kV>g}Mj9(+4|Ey3XT+ZGC3L%b-4wx{x3G1w`!hY+(N5dNIk1F1OP?TLrR~ z7P{{9gC?I2onm@t%LRK~8>!JYi$c)~dt47Y)W)qlFLYyhhWV)KqxKSlK_UsE<#QLC0%`Ez};{${-&BTpri-n?< z8n)Q{@Kga{HJV^OorgXj>^7T?Dysvc@op96v(I^PA6l)i);$%vXP54&q=fqim3?M2 zsIMAMeW>Qbtt8>zNGIVIPK}-Uo~?-b!VU80dIpaN4622 z=^__Wj}}-j-%r8~g>Vx+HIOa|w~mCn#}aNB{vL++Xu9mFlm4JT!w%9`&VYiGFXPi0FXYL12>R%zw>|?^+$b`FJ z+TbbL?fXHh(luXp&>uDP0Arzb_ecwGq!yW0gu5Rx!3Sw*X-^ATl^6=G1DQs=vz8d~~#A{*LCk5l_Efz@Fr~-u%_vaHTBGz*x6y1q8 z50U-G<99xd+V|)7nFnd0-b}pMg2`X!7EaW1^RQ8CvH#U1;>B`B-tR84ox^e6b3*rO zx;H2o{Mah_@oJKw@zo@;ed5(5e|FqV1mG2D8w`H%TN&@KG>;f{3^mgAQ3QpsuT-$V z%F9FBC$A)`$X8Khoq|vwRGd~qwUSWT^b&IO2r&W)PW+Lca1i(~v1BW;WLrMARBz)f zNjHxg^%hGmrxQ`CKK_l^#)XwVZ>a7K)4kzf$9DT9>E(36kIU&|8`9-;e|`{+8K7|h zoh04P@n)LGjC~eA2nb=$d_m#IWs!@NCrK4lVjp&3RGAo}y#qM0gxNgCSdc*oh!<(d zY#$|NY$s;yfUWG{H07GdjRt8er>lu1wJ1o-*F@X^W(?H5gY*dRU~prnRF2ctma&|! z<`O$y9ne@dfE$rej-4EFo_WG(l*V$pnsb8^Yu2LgX>qNtWJWx_#gxKE70_7n2o(|Q z9Zbfu!8}1cK!kHYkFjNapLv{^v6GllNXEi%nY_Qltr<<0X1q0?n4wk*`Qrj(Iv1EIno2Jp7MZ}U~GL~Xa z*FJZ!anNGP_cstx`xK(i@j7_4O6nb{dq;s6CH9%k?{5&y`2Ge?VAS_F1hSL{5ICC7 zY?g4OjqVWRki`rFLTDQmwBO%wnKOfz7lD6KPzj?9jH;1PL5qM2+w%Q$L9I*HhMNkN{8dtq3?gx@aB2h)YIfC=t2h}OY zHu6r@go+cZ;bWCMjCg_I?0)r0dfL^83pBxtGU7!!SW(VtI+E=UHx668__&ZLI--c? zXL4VJ(>p=;PSm|I;6;V46dxA~W_(;Il;Y#UKuU2G1UgA6Dmda}?g7RTix~ujFdtJe ze_SY(LNY@^C6ppCs$+yok7C3E=u!6o;=oaY&-CJOpF8{}F{6T*u?x)D#b|mkz#U;6 zwRjQnW(7!kpl(R`y;#1-I5JuHPSL%wP`xj1g5g6vx?I-%st3BZt>)H8L?!TLKI3VCJWouz0-B?3~-~`9$asi z3GKLDCPa4IzA)L&iaZz&3TM*bTFtSJa1S<4SlU5A2=54WaJ^k7&|3aeK_v#)z^F!` zOoMkOC8_hF90lj|iGM_%^M)4lPs;riFna17PB@Xpn}^AHtx;*i*f>oYNn@Xi-;Jcmc4 zwzY6@ewl!0)vW0*XX9pfIoGi6@)xAk?K-U==4y_CC1qt5^*A~Vr-;w)A(+>ExFH!S zZ0~%kGax#Y2eP8&`W+`gT@<^r$m)=HerPDbfN39Q_qm5qGQrDIj<>YFli*SfZ3ycb z=N?Kd+Y4-u){BLkN9(b0<6WwIU3|OSqg=OslJB&8l;_snI<=@pO1I_FHfz)6vo9ur zw~y3Q-;abp0<7f-l2)X)jG`pGccq--vL@;{j{=CnlK$no7cu3d9hy6eWd)5x>3s3< z(N3ao6(BJh3fVpxyzCg#Sh24Nd(G$$;D#p53GrP-Lt{Prh=blVJAG8-jsirm<7BkB_nxu)vgG~K&K z_onOK3;@s6y;(E~#9GpaI92;$p?f&fopkHQxm$DkXqxTKV3WXGb19ka&Ey~Eu@70q zhgBt9vvuz}-J7F(*TcMXb?*k=z6yT;om_W9%DPmD@ zi{dEr?A?k#TXxP_MO#0t8HTrt53b1LcneAYn*b_tx&)LAI8%f8(%0i;**B|ekt(m0 zuJMgc)VE~V);3#osem`lPjsmYJ+B) zzGMNy4C%2!Iq6N7g8c7oKUo+Gmpuxm`WT+?@mlw&eAgO_x$6K2HQoeo*64fva4 z?_Nh3`wPCS#&_;9+`}Cv_B$E40HQqjEJ=U7$dd#oAJ^>YklrblWn+{_+!lUKy7&1hruR2 zt5fu>#W+;T9aN}rJ$@i_6*8WE!9!RwraF!LUZF;RjA4+~fziGK##xM=fW26G(>hVL=y4^Xv-6TN%bhk+EB>}THU7 z-ioeeu)J0GWW0et6yq;p>@RqE3chor8R`5`V*3Hd#gsv zTZv1B$y;?#x4qT3vHcky<=eA_xBB+10G_9Nx{tS#ZMe7k_N+kOs(Xf|3*VjvpVB=; z5ov#KUJHx6$%e-E;8QIe60@&F~x{b?BbQgh7&{ZA{*ymbYT{;TYSA zHR@u9>aC9I-e!m!dO|NrP&)Hghq$-uUc#IKE!wy`_cL$R?aFpXoAZ6yub#G4oy#HV zt>9Ui;w)tV_p1Px5x@n$0GBE9^aUuq)qVjlfx&AcZzbqkPT&^$f>W1IRBzR!dk+B+ zyp?FY5^WdxwpABDRBv?%%p-MQ&Ain?=B)&jL?U6aFBmn5RlU_A=B=o1v>3l>Oeg76JZVZg`$*mjw|{kK^7qE~xueNj z9pm0g-V5%DX8s2}g)bV*y;U>36}#evk_?`4>;)1cK8$A{4zOnjJv+Sz*@p?_tqzd4 zx*Z}+>irPXNDAVtc)s76i0%T-J(k*piZ&6vk$SUczrw-Y8_@_!se^C2IuAbkayF1` zb&oTb1nIZKT0zonwjB^D=l4TcmZ@9GHiZ6K#7&q|+$Lsi)7;|#hHLwFfN`ZWQ7X-q zogkqtqE-k-=i4|^I~yn=MsftA0egrh%=UND|Mvp13HP_#*#OA?0rJA2@c_WgyAX6J zfUto{g0ukPI|=9oo{+Kt6>&f`Iuucd7Fj(iMvs>I^P^bxa=)~ev>-S}4Lg$kXL^$< ziTEeJ^yCCqDzhcYFQ)GMk!&lxT56VZ;yf8H_}< zEDd6uECqnSlp1%Vs}+M3lyrsoy8PLNU%ajo@*@rN>WWF-j()&$Wm=2K>ILO0sEu^ zL{ZdJ0hGXk!4IjSHy}cY=`Zx7RZ3b>(cKyaT*ZtbH3}7fsVjcwUZXj}ijuOki)=&R z3nfYL_gp?@;*=|ryeIsL_fykYNxWj?dugplc*;7UTkeleokjl170j%M7U=_Ed#^r_ z$-PkWB5TC{0kiA+c~<5R0?0{_89}}XGfKLqyBl-%?t1q)Fq@*LkqCAA_c|~gkUIB@ z79kBn>e40~(f<{HolX8~34=l=*+?eI#&8U*-A&9SiPC-eZFZ02WLIhUv|s)WOTgU+ z_+K{T@n9G44la+kssyE&%5&;mYCg#m*1bItN8mb-x;l@tVZSHHJ<(jnlsWu&u_rBX?@

    2PkCt^4;l7k~ICl&2l4n z-F};)k9l(Pv=57@!9=3T_Vy4P76{lB){D==XTS*M^uYK>9

    kOabm-4pr0h={b?1Bh1&a0xrykK7kaNBWYh01ts?_JnBeiO{T`&~Wa* z`UCwdc;M^LdO|IKc9Qh?*r~Z-iGF)9ZmRA)#zhn!>5eg1GZ~?Ruf_BzoOfc?RKpc1 z*?tZqHG!e7Q`uju6*UsN(!ckw`u5&-e?8$ES#A&Z3HA(d$Iz3TF4<;ZM6f?$uP*b zoa`q-)`du^aDL1l%$%x1K)eFXf_3IOs;2nap24isgFt$u+lhY%xt;PGT2Jg5Ncu9w zJ<(0~fp;Sh*E1B~bf0ifus-XF^n__jk4{bblY6{;qzBAcd!pQ95%X&l+_NCBXE;96 zAF*UPmKJvpvduAv=#lLyJ3>!vL)9_%m*ZcaO1b_2()K3MRbE$`=zleU5P~a43%H<* zm`4eLkPwqbkU&U667!%L5Q7Ag5MU()@2f*fovzARKO@DB%VNQx(+y22hJ;M<9O9%_r=9dxB zE&l6HowQvQW+H>i17UAP5QHNnN-h!vA@-LMh8Jf*z_$5aUT;?{4qFc?8spY(7S&$s z2j@LxZK<#vUHviwiuQq-l7aAvc%N+AIK2GKH$U1aJ9Od|KoTHu3ICGXri5VTB4+jn zE>RZ-C0+n>!JbJ<@BvadWByUh3fh5Mh~*>g4{(l(K&|2GPZ)~nizyl&};wsIR-}~*NME@$KBDI z31k0xPW%v*ZYau!MQQ9Hj|+j)6@e3NYoSK!!=V&4^fePDVAV=9EqA?)RcfcjR`2fk zU)TxPreFX?3)Jq8ABJ_Gjpz6oxjRvbONe3O zFm-a36S-Z$<-OWcC{|=@tuq%*ToOYX6v+!wI`gf^u}bGMx+a!tnZ|*XX?nU&AY`tt z_~er^Rjz9y#k}rfDeT=TtQd3^K4>S$b;hkSSDVzyl`s1D4Y=68{vg5})wf5@p zEt%Hih$EL-onhqjK1YoPA&VdHjN*vT2WAFjXvX{pCoFrm4g)0pcqa`3xnj{=e!SB) z6uL%Kh%8)Hi>Rd0?65zcp$P`5A2gy4vTB$w_@K$MZ1)USNngy5cdF`4`h<-o`kF2J zvS$&LhCzE4I<(F(1lc;nk;>H>&TZ?%3-+9A$fO5eAfPu62JAy~E~)Q~wAnE3)4g3& zyz#7kyE;wI)ic!mO! z6_+UM@$EIkH<2stNps>=DuaZ4X}=sc1VPq-*IFt}D8yvT&wa#n#o_v%h1HG;`^4XEzkayjjZJ^>*9 zV`D#W-&eGk;C~v0&KYi)Esktm2TrfEabznEJm1c=`N&qR7=JqpFW7TMaO`TX5^9b% ze46!Ae+TC#`8WbR%?n~`4tVPBa-o=N zcFitR!BYt!KH=j?cQyA{##M58n5$?YZ|4#(b2V2t39jbg=4R%qdxTG%W}~ntm-f4T zmYa_Gw%(Ueux^W?I7)%}kWlO{g+(iGZ-M08I&>A!l$1r1D#Rv5vV0-Ri$uD&iv;fJ zgZ5lHDXlYt<~_Rn*sTQfT<@e=_B=HEcUC2<*dW^Z%gC4FKZ2x}(_A-F9;uD$-oZg& zU4Gqf#0@FrI@Cdh+S0WJ8(QW&+8BqNFDYVcj1)}EJG2c?sISyY-7f})@1%n!U57^N z=ZctTRk6l1FI<_Km(!>g(}3yONI8DcaHULMPSbEDxp~B-HFOA!w2DK4D$xUTt1BKl zhxhGy_B?c~IP8mRLa+LAno59vIZe^v^48U{caZGWH4~wg&JiTx1U9h;UT2DhWc`v?tc@|fZl;sdMAqr zT4&Fvp(WqQp&u))L3M3?GfESDsZ_cIF_%gO!Iw%kbFUr#uId&( zSPgXNSgD=ZcM_5A57yX=u1(Yl-vk9h{J<3AB{5s!9&mK2RPolWD#xfxSA{G*R0VLW zZ91;_gVhB2`>CBHMb&HVh1Vw02}fPCF^>In%rJhimi(>{(Ciixol)dEj3PRtjZFVy zN$BHZL1*k^#F%89$`#AIGf??_M1MP@xwd!X?Ij3lkyb%H9s>yIeAisk`ndS#QXH%V z@-1%EkBj}HuHmbSr>YQ0d3T1r1o*#;AlAF_8L6G4M9qGC@zokW!nA814cJHHv7g?X zK4>qdk$z`15jvU%BJWPNmy*GWVS}?w?4$P%BAN)1k?FzkH8C5Sn$gAi&hK2rH8#fU zN|JA0q&cG{@wDS!1zv%p^3Fv&Q97)pw9Q`E5;U76G_X?IXYXB)ok*xaO7Di*n6FQK z4VUjA?(uH8oyh%lnVrbt+pF6!m_<=<*D^*90WVJ z>D^Hb8E>_AEsf{h`raLl-TJzg@NRvCC)Gb%KZLD&D~`Jdv0-hP{|~lav?J_CgW~ImxhlZkOA&BG`?ggAI6#?h*Moj-sS2wQ~%K zk35zg1$NTaTII(uRK9J%bq_W0cdhVA5Wal5!@Y6*fH)1cp?>Ib1$0i4c)wg9Ii>dQ z_;)y}==xdNchjk;@6sgvyGb^}A~dP~ZnDjH69?@i+E}40g=PEZ*}>!RdAA8Rr%Ma{ zPpgT^>4rCMoDJ2U?0OyWYuwW#m_-GJsSdB`C3fzzmt8%en4CvY`R=exZci3GE+~xu zI7-y;m2N%#pCcu6mJxG^BkA@s$(z-d&39J~+H@wYvz_8`?VJjJ52~3A&){Bc4BAS2 z3bL))!@M`nPDb`qGFaKZ4hFA%g$&%?!xnJ&CdfjQD6yq|B`@@D&sM!^*HN(d-eiLf zx5Uw)*arazOV*-91-$?u42PI@o@&o-OQ)^Lc8JD%POLAuHx=QvEA5+s9G>kY%y}ma zbW;AVqq3K}H_fQ+e^jVWs(mxOtb5ZedxZdbme4I|OBbiAXH(A$FanDH8PnZp-j8}` zoHW(nYEk{fz3EUseAz3&?0Y_ZX4ormMP1;%srbZO_Hw?6!v*n?5_Rq+Q1u{^jM41& z45^KRUFLZs?}*ckFsav`f)|zA4t>$hU1`s5MFq$_OC}T&@R@BVQ$4zxC{cW_!Kk(? zat{#jG{xHvrMZW(L^9dadvoxaXD18gSOCQR?HNMOIYD@v8++awEe66`&KUrLWGo@( zwtGvyGbcW%@Dc+VBQkl|W)@VsrXLz($TEJ~3ij%5g(Z{`1 zJ2{OxwmL{bj3l#&KUwqwqx%O|>y21@)4oF3r9W=~gf*@7C6u5&6WMLfiUEuSI`?>WsB5$;9J|N}-eiCtR)jZ(3D*oe9@gGMMig|b- z%+&?C#OfYzLb(CWLRgO6ARd_;M*gGTnY?{N24EEcmZ$?VUgaBEjDyQ-)BSVTdE0}5 z6q>SDO_7>bt}nbWG1KQ^)G%HZ)?qVX7wL9g%A3Q<^HqiJ7+Wqva6)d}0@8djWsQ~f zO|*S!Lwyq=M`y?Z9+K3Ok!Q}mk=j&80NeEoS8Fvi)|Nx@*Lj=Hdxy|Tv?~s^=LegO zI=6VF(K&2DrO42h%)ZEC&6i@`6x^8|F(9 zMLC=8F7_f;Da~f%XJC&IDrrcR#vVRw+dX9QGxkWCxObAVC*&LGQ43#+$GdTTN~!^2 z5%wK@wtztXqFb{PoF&@tTCfU<--vHf^{r4&QiA)4UUJ1~u=gVvGI2I5NS^o2{mEte zEhg65Gtpn57xPxMBQAD73Xp_pk0jP)wWYJwy+4|+Nae6o<#rzK+>f!7ugy??93y@| z=CX^qk=x&%rLu3^)2R1=jlVyJ022Bxz_9=r9}IBpGXaY1+xArg9U z|70?h#JC9PdfRg%ctsZWIk+Du^+^S#HIIh&x^itD#oO*rA%6t0=%>)m`rzHSZ^oW~e1yp^M5i)kA$5V?kMh<%_D~-i)%7?_(C2UD*4xNHtd@ zHGGAVGyKeJvx4P3+o=BUS7b@eL^;p`KqHYf-HzDF{kh!M@1FG!+Q|qiIJ_t3PHeB& zzKQ3jX=H&TjKe4c+ETs~ZiLef*=@)RVLtwa7lpKg@pvm@umWS9U2RjOXYvqR=Anmw zSR@oI>0yy};zG_*roQf9qU{tKp^rr)>>SH>;={f6%4>R1{n8qYay|^&i|&)(NrwuP zW$E6oG~~y&eONA*n|@e6+;Y>G5VitNFRc+cy|l)S*BOrGhvjmusYSu|9lP3QyfMS# zQz=VQzpap~1af*XDv+x*^sP%w6~=x#0*DQrZt{(x9XzpKxvHV5uKA3|?;A;5!WIQq%$qe|>)B|Cmmr+GGrtn&+38BJAg$<4{%wk0AA)mDSjk1ABH zD^#_$s8;WjCTgt>qBKEh+!v)ZimJ`a-XPusk6_pyB-$s5} zQn}6zI7T#rDm_@v*+S5SxFH(xJ*}mtMpPgkDz&l>s9|fgASsw0&)>>}I7SXDc-c5) zwK1+Hn$?OX><6ZGmdD>Vsv1C*-px<&+f01@+x(vkAv0BzYU?Ypb82}Lj(RUA zj2atH9&ZjN?WHx+;0<4W0~7X-)2zrSq72bSUwBnrGfeG=SlIvJ2_}uMcHbDpZ&(H| z41<>qLvO5|dhHm+g9{gHuBXTR)PdL3J1(_M6&{bL4erwlJRMKl z683rr?Nq8rq)(}EK~(=47@l6gq49sck9mQ9&*QyTP7f_R4JLASmvWp$88gNZJYRnu zg%CWTLS32C*%D>Qma8H6+atK1*Dx;Vf@$l;K^bZEcP>(|qId;B?_7%JX2UAQ3eL>4 z)2Rs$sj#VZv2pyso83dJAn&eQZ zrZ*91Rdub$;C;oMc#+!Mn@FVPT=PVP+$r-QXNq{n6~(HW%)o=O`Xd8%<#<$jZ>zve zmZhR$=m|8&Mt-xCQMu65qaU74h)w7#Zy z&1H!Bq*8n#9qt$U&&Z^Z{xiB?KyIyO&YCc2_6E{y1Z{fP8W^t`tDBu_b?aT7p$wZa z(ZEA%l>~-RwNq0S(h}CwQk$-IuLg>wLH6YSWQiu2q48BP7 zF$_kI^*!COY(TZxi%uSJCFmy`U~WUA)5>8#&1!=<_zbaz5Wc}Q;<|hYd3~u30yh-^U!7n->?6S^tz13?Jm{n z-Gq*70}ar75lQRa%x#;l5Zvl5u+wo(R~ReyZqd(H;Hr?G(W3?U6j2Ygz+T1g(hVGZ zLSdA(G^GVA1S7OP%Icgz)AAE3k#QsUX{DCEhB#9;LapBEEz_%a`p;l(j9BZ^e@4a! z3=))mx=fmmQt-wn(&C-oZI|se*Uq?%Fra+4mD`5JJ7V=t?>5RO&(rEEK(La(zc;44 zNtpw>|NSAWcL;L9<|t|{|tbzbS@8Ta<{_`%qJgfa%$&f;ZW_2 zvDaM_`yFB}iU8N$9K{PmdXY@3okY2OhcexHPC&k0!K*36ije*@VgUsD=#T{v`FizE zutnMlgfqvL-haBIH#Bxm> zt=CY%1-kubLf31Az)m9O?{Y7TUq5j$_A1iKS!SMChwuH z%@{OwJ||?f&t88`Yz>KZC;ewy6^nS~OYc5INXjr;pN7F5*yQcnOlWc`Q7EbVq+I%< zg!OFdjnyi>e0ojqUXZ@ure?d>UeC>v?vzu5-9zjtx7QI7ae|1h0?;tEGfoguWoKLy zdr4|^{3MF*)6~AI3?j6dWfH8ef)E<046AvJOM}!myiDQtF_U z7iX=}+A{^ru)$SKeI*fHZIgOb+8M-#N_=YgSq1&F*V*gnHnw@|t)-W@+iTVJ0e-Cn zHxE#*Q7`|pSL>hr7}E&72W|LsJcL`%1`cx@Y4ldypq+M|l6J1z^w zO{TBsII|~?*6^&S)jJuqddJyK-VT}5jW{i)eJkeR_aM+M_Hyoh-p;yqo?Uk&AH7;B z^1^$cH-scdcyfRjs|R>u{s*%FWggmR+t~|UXfN}&Z-d!!_946|Hc0NhVCP&D+i1dy zy;|9QJeC$_kt!G|*zSe_I7yR$&`9p}c9BSMEdv8KF+A2|lw-;n@$;m9)h;Th)P25@!yv0VGfS*B#iwgLe!5=sE zHK)DUX9A1=c&c0FSC#+zrv-2}X*``nYSV|oSf9tvp;JHm!fZ<1>x1_7h2t@R@Px_r(3s?T0pr~e#u>x4i2p8j0%r3<1-4_c z%wZ800c$PQD78Lfc*X9O)}Gkzrflgx{FB&rJOglI$cE5gNc77U-d-$6?0u6hSU55RdG-dtOr7e8?dJR9>d+!GLMl4u<{^m)iP#8168N9%-g&?A|)m@GHj2Pe^$*oySiugLi zMLqIAoRGExNW)gNAvub3PC>$(8c;mo2)he7ewU4AuChE%f^ymj&ev93eg()ChaZ4O z4n@dsbS=P$bzA{bNw7fZdm5pcfD@$$=`KPugD6vQG8m)QEt)biBGcc%SAx9(eY%wN zYycglkTa;9JuJ}nVw}TT0;~wwEPUpm_=XC)LcU<%TtFuA%ny;y8*Y6IP#}7k`W6`V z8Rt^87f(ZtyFAc)u5&)1FC_d|C;}D(;AjPqm?B1ReMU??vOigHg}Mf4y3i+NhcL)R z0J((7AS&ij3tg(NkQLgONOvaK6ol@hYd(^t7pv+r;axg==xZ;1?c>u4_tVdEn(W=0 z%#J4Q*FtTyl>E%SZ@>ywraIyzguYBW&yG=kX6$`3Vw1}B33%<n9@yIZmAY?h>oa<`AWyU0d%eAaT+Q|> zfqKDsH8;?=fjrGB~T9t(Nb=RH1A-yhp{GpZ3fm{Hi?7|2m%gWUpm!B89*iEPWg8O=O7M`trm* zBw+RBo<|Pn z-D{o~g6CePD;aJD#B=m*IvC>mK{-QsKI7kk=aZ!$w28fYWa;%mJDBAKg5{fVYYVd+ zGk1h-iFP%K3N^UuGl&S`Fbw$q-v|yDNu@Rz(AI}63r)VuV5@zIRu7}pQ$<@OytabF zv5Lcj!Ffunw;ENr93H~pc){SWG|m3MPE{NxrN*hgeMOSN#Zu7=aSzDjO8bU{eyecY z*t7_*nP&Qo%ZOn-%~G-j$^e{gu-BEu4(iahQzwvR=d0@-oX5@kMEC8mY&wRi4}qb+ zo$xd_!T{H}XI`LI;cB9-gExpz2G^*4+ud+AtI2xTwvw+|Bi$$}FMZAXl`=>}5E#_g zs;&WP@UwcTvq>?jzCD&*AezKBoQq4E4QEDxq`t<8o@rk*mVLq+;cV_v&gQ-h>1>wS zNWU#eb;Q9fedTt6J^pJ$A(y+_teP6O?uD3Y$l2WI@xVWlASwW2!cYhm&vI95$k*KO z@x12xnn6sGK~sZC%%jViNrtmoDV)tp;!;~*RTxbcGLp*Ol$R<N^TnMS&R7>@QcquTdVW1#kZP%!n7@YQQPilr_K z>taw-oLLh`-uui%pZ~r41(%1=9EhI%%|oc+u&Ck4vxRgN6--eT7(&9*2W`(k`9H_C zdnl!p!Q2&!fC^pK90RF;(g~Wo@DR4ASO^uU_Z!`Lu3sML*yV}?o?0T z1)hcG%oB`1!m+ zSR{xXcRJyLWxc8E4MV;0+#pbItQ5)v@L4xkJwf8_a;sG2;(Uc zRF!1tkCLi8F%|^Em>EKOyO0$3LU`MQaJvv1IW3ANW4Fq#mZ0(s{sW2z|2>Uz|9(N_ zHeu4NQG*`QBMD)VB6J>ytE>-3 zS($)1%En|4eWRa!5G_+DjKZyiqH*2bM4n{Ojc4UxJ&0E7i}|+cLVZWuTWztjK!b=S zHlT$+7;Pw%nli)@heDh9b&G^1xTcH8(Y1?GhIl~}Y^d{SO01B0i~$~4FF@P&X-Eo> zF$NySmZt_D0*yb0HDg%6b=6h~QFKWu8~TO!_g?RA8jh$jmfzkAO@A;FpRr`3#V@;9 z|0FSwwTn_Wbx!*crt=}oZYQyd?RM`NGG?s>2&lrh<% z20SVQFvbHW)!K*DuLl!sY!|{!@ErP)2NP`?shpE{aAOir|4l`&(K(I2eDpQ_59mK= zuf@)I-G98lQ`wOBd05P@)({H49&Jb14uuE(lh2-&0 z?AiuD{m-!~>j7<-_y8NWmk^!tw4Z@L2@9jiK4a+duX*y1x^y%1ZwMy{q1UeM>=c7Y zyKHDlDVu+F2;4b{WhiBoZ#|Q@tK1{e$Hw?TzneNG zl?VOu>MVvK60VWj(2XuMy?dy&}mD@1WzJ~&!oe6DI zd{LAD-Pq934CR_+Z@*6WXE8n%68a~9SPp!;uy>Ef=ax9NS)(Z<{F>{}lPXMJkDLY=;9*+Fw7bbbaP!H}nxV0nPgI(5fi*bGmiFB=v zLFEP3&QI+fU{qHsee50A!K(@m79S8^>D38Yw5+<0PKt2EVWhXl+B>hD)6}-n{=&D$ z8r*s}orOnX&;!2Fg+*ogTZ*=o6>TqxPZ(%)V{#Grk9GyQHO}5iM*P+oe8%Iqy%UpX z?RDOEjH_;8Cj?w7wQFl;jc=1@$F()PYS-pA-&+$gvN?#tnBUq-kVg;NI}r!3ixR7z z4$-VmR}n0dbFeh^nj;Q6y}8fcb)|(Cg|#2?wjcGjA47b?>YN?kc5@%?wRp2!rLo;C zhr@HZ{TLz#s%%983X3Cj(IVeS0Z(pL7(8*KXgTdid?U7O$t=sxiyt@<%nr-m^(`I@ zT*a|A@tp8~vxef_$8ONt4OLk!>N>6UMpR~wtli3}uIw$vg&T6_h5IIEHyk_OP>*%f z{$rKR)racp5BleUQs0t!{!^7r{`!Vy|AB^+^)=XH#NT|V)_=6FsoCFfz)wv^??2LH zG0w5PJc?z=`-9v(WbXp2fiAx4>|G$GZ3>25$Ef|^JR%8k08gCay>NmGqHgdamr*_WfrggLAvc3DtNs>xemA9+f+f|EKNiH}J;bsZt>)b3H zw0H9^d;kTxwop$qM<&%=^%5f=c=1|`$UTI3etVd;=(mT--{5QQptH!Lt{sk6HjIja z_22HCBk(z8@3|t5jOKzQ=Tk%FoB`$UI_MjjyQM6{`5&9iiXW7i?tvjBg**O1(F z6Fa8UV;Ydo)egmP56ey-ls}VXrkFD9Ze9Sm!*W=9HI~|5I5ADai23bdF)I1(Vac}H zi2WU=B7%EwWHKnUv6~k;@oX-$?v`cmy&@7EB{(u1<}mI_zKpLx*mT&K+H^@*{kiB< zV)QMG&}YrKh%1l17ZsC%xrvd{tuPzi$;}J)UUehogoWbZmC z+N(;KQIoa45s+nBQE{r!5{%}rj)$I{)kczl@?8V0YbK#762QDLUmU4Lb8S;|6%Ir_ z1g#J%lOC-WpbbT^vs@Tl^bCj0*6GVgY&hPW*mS18;ds-Tro@)&rX|NtCl)P9PFj|> zG-=h6(&FUA+UDv+H1H+MmL?}wVeF zf)nk1mc5TOX3m@yGrlf7Fp1?H7l007Q`db=))O`Ky-0W4kFI)b-Xa$n$`{SOWX$+a zJ%o}0j?u)zt$ASB1saGP_jc6-HzE+*i0#W@n~388A(+V|nE7Dxd}eaD*WQ1n)MfHz z8jN;(UGyWb%RU_2Z8iBumXqZwFKxvmV{!utK`AmX0>AZPXrs0Y!TM3`JU=pNKWMw9jz&1m%F^ z1)^?(L7nM=Un@tHp&M?-eofmX(6)^Z!|rN~*hGEJO1v>iKc0fe+r{Q>beNsfgr~HN zd-s?@d;f8AC>M}^sAID~`D6q&fMuq)dTGB>%C1x1t`=@F$%P^S2+qhN$p*@X_%pg% zd@~;kHsvDU!b-VYsdl+MP5ag=yWB1({w#zh zE)>lj8^Tsx6&Y_J{QD%TTUiF(e|u1I>U!5%Z`bp>U=9@wQ$iL6g%)w?$Y0D81!-gG zGrH6U6bcuzJ43P~7jr}EpDS!O;&B8o73HTG#opS8-3cYGd3YMBpLQZ!<5nJ8gnXKh zrz7a;OI=jBGYOCL_0vsdcsx=Uc8=lcCah7Fg*W4Alz!TYB^b9h(;C%V8H08OSmw}H z72@FgTf2T`S6&^(vs7T&m0u+g&vqF+)2SNLZT=MuBHsK}f|TK}60`_qiy<}GWevYP zG^+gsr*+h2^JHKXIdr$+V^m?2!S*KMrQ#Z1>IsWB8EkJN_xjczy9!C?PMr|*Uc2h5 z$hAS=|EmO!Ox)V*;vBSAwEYBtaN0+sbcZKlq3C>B)jq1KF$2p)<(V+I#2rs$26!av z+mHH2Z&1NoRUx)t)?+%?NzQ3+2+r0RXmZ_hSX%sxAa~-{zTe=h(yjvS!b_hl<}pE1 ztg6DCa?@)nr3*#xuq0xBl^~jAkR-&^3W=iHg6G^wvy;auy-3nj1Daw&Nvbh>Ete*P zr0uySS@8qSLrG$qs!`oRCW$D-(D~LOyXrdqw5!yex(xbNI%x9@2pvZ39Hxzu+E2-j z?Wadb1zUb$us2i(e~KM`po2d>B4?g|dSo~qY_WV}I0At&V=e}bNGf`s+EI&TSCdUW zMT1cs+sEey+&XPnGa4=6*7K~E&+~iKPd|r6NAxNsBpt!$XtqUD*%$b=3zl6=+IvB& z)CH(iMEmosy%$(}FW9v_KXU6L?Z<&qAlGU??+aV)4{y7Tsr6d?BNm*a&85zH+s_jY zNEE(+)^Qu@-?hXtIGne`>{|Ne-U!c2b&hbWxE*fSTpgpe^ruI-S==^#PgkNj?I(TF zTecM-4nxD~_<@tIL?iGY)rZW}+c@9Fu7=Va7FCS2tFIz?N%$!&r`zTkwC|?7soE!f zqXcXOd*TOLg25vhQ9>LAh~BXH0r2*_J9hcPcjcCr=!c{6FqV$(p5K1b+kV=E=4Lqu zfYxaG6z}qd6&AT~$KdU8^fq3eh_|sXoOnA1Z^xA10DsSD&|~oO_~Ae!Zg4w#gk4P> zzzLJLy>_*@Xt*(GSHnkjj?mXpnyFiao@bHZ%oO{;Rm}BEkLy7dRQR@$R2mr?Lwiug z8vLM2Ivx+ILN%C1AGfDizU7Jg_b!@Gfi!TkJioBCth@-Vup~bx_Z;=xwEn)X9({KM zQs)}$YHAm(P4sp_@i1sxjO4lEt_$8S8aj0@7N}0M4_=+f4$1lWR^BZ2_B4wY)zf>P z=jKckTfO2YEk353#mxE6r9fyJE&sbc6(66Cpvdj1_Ca-HdaLHf^a8MBlIm0hH_XU! zC^zCXJA@^BP?ZzP4&ui2P;Qj%D$X6sjpj4QYlq{;k_@l|1O+VO#uCAec>B=R$zhTm zf*aWLnF$YA1~=j*H*#>-6HQ%mA~2%Ej&5T+-rIf~o&W6__8}V1@SU0TV=_X92WVg; z_TgPZp7T-)5C+uYp7&W*%q-EDSIb#6-XH=4%-c9%w~os2Y7E%DC{f7V%<;!^Z%7Xy zqXf2bti$5lrGm!AcHLEx_khBptdT{E-ckfek)#_4r=Bt}Li9*+1u8-E_EH$Nzz9z` z@YWz^)W{Mvj zXlV57RL~%uUQ3v5T&&+bb`rs)rsK8MbqCJ)*EH6iI0^5ywx*m!zi#mw)fChs&fgt-gV2%G zLJ^+C;5$F_`0=A>h%i;ZUm@l{(AaQ{!(xcp9IHf>>}Xv*w)%TE^%Chk+Ea*-*4U*0 zyQzBgC8TTa8~LZFh3gst{h8+VLx}JP@QuceZ*I=>2JJcoYXcEjM;3_W=ysx?wKSUg zuiNV^`!MO>XleO>e1OcGv3NgnsLrmJWn$EDRTRoF_-5!V8T1U4fX*fgoz1cjUlj=? zV7e%4c=ACyi=!8yvtxwLPAPzQCw;lwIi$5?JmG%j@2NXsH=xI|rd-s7+!ATJMOC>5 znuZ=n{TuW+$QnV9NBOe2OX3zVhtD;HTip zR2Bcdy%`L-OdO#>;_U*2{GbJ6kiYAp%`F4bSSH(uY9e9Glw!dYOrPG~Y9FTm$pO8+8J`m7kyeB>>2|1EWGHLBv>cdmC^RX(YN?Y%@^&Y>>4GW6Sl zSZ`n)_1nt?j`bLnl&qU?L;3f>+6>--yQMi!DK@g?9_T=HS z$vz6D@5!@|symyJEhIUy8Jt)a%!$qBz$Da>(VUP2lUHU8$BE6MevITqX;}%TMMIg< z)QoWdLBS7R6VaJ0`7s{+nAn*t7_!Aab~QPOA6q0p{DJYVBh#~m7()CQ@A14MoMht1 z7V`Of3h*hk5l-kSu#c%5MV6}?MPSJCV1}5j{okk>MV4<>kZR=QZpg&w1TOE^ZMlWn zuBTg5d!X{<(PntP=Cx7&y@!zn9aH_85&NJCs@M zUrKE#=!kJS3+zq;_f%MR0~OMv2vMriXx{IMlmOlE`j>LU>t8BI5&cU;)s1$d?y0nV z6L}!KC39!_)@}J^<=I8s3Naq;!17i+wHsjWd#W%TwmQN*JRI(+wi}oPG^q|gjlBnh z)P^|Gq0Gc^7;n;WxSle*8?xaZbc~|jqX+BQPhdD4+c8RvhjGIL5HuXFz$@rMdg|;G z)c^Dx#&DQQ(RjEcN(_g4ut6^lBRfI2Mq)VJF%n2c5fXTn;A5-a6U6#De2&>C=$Ct= zem0^+^+NRZ_VKG?L+x9P{D~hp8$4hoH>n3B&z=+T z3>#9NW1@;iyW#3eCFDFIFEee_?J-b6v;@ur;&TGN>&_{V%>bFIJ0StG*;N5|MU%IK zef6jgI#{M7LY&3kbCUG913n({_atz22h|eiQ>Y*Bg-hYX81?cF0xlhJEf4MKTy`j0?8DaS*b92ktwxw7PdT7T1?;C;V zV4GI3_S_k1H(p(>h?BLO;gq(^4Z?L-LHI9L{YdN&9f(7>nZhyFz!YNr9V9L_p;-o| zh*pVfxCC(=W5pX_+np%8iCX2IQNrzu!@Ir_<>gBbV6Fzs!0trbbZB1_v@I|h4Kpwq z-3Y(r@lypjU8MOlh~!?ErdJwH{Rp_loiaXv~@51v(27 z{Kx8=nt1qKS9`R^PlHi*uG8Io0)JhTKLL$&9L28_LYz}E_NcRI_s!cBojYtdgFQ0X z?$ab5WfE_A!Vp-OoI3^*6ZFta&}gnWaED&Qk~s2todH5o*3UNJ@fq$L#v!4Lws4^yHnwBD@SSg; zC8r2nK=;o>{JOF|h~e;~i9sUj(SfL+>1t??hQ&$2?mP4$l>UkmXMAgzfUw(}$GlhjraUhWy$%GOG~JxaaZ zif5zHitt`f6h6^*vukmBMw7*vt!yZ3QjeMa?V8Yx;WP=cscbz|l{P`g;65iX<&Mzc zKE{NY0U5(;9A@j}$s#*1)@TXeePbi~MmXwxG%);_ zZ_%dcJvb6vo1h_mW6xB}ZlN-9(n}I$%mbXvz7c*x&oo&=CD=xZB0i%UH}cm(C449W z)oBz?b-dkjb-q#^QP$X+!i@ZNU;#CH(`mx?&L~h_O^=@%CidH)RgDtrOh8?rph}cx z8YmfE&7@&_(}hEN)6lV~(XUSS&38MU<8+J*_p21>Nkr(}R*h81IIw1x z-2y50_$%-=+s4dgiy$^$(@J|dUk0(%tx8(w6@b`@O^~R~%q};~Eg3xaSG}*<8#vDpw1iyfgQ!qVD#8A zM{dn`Cxsec0tIzC<}lclB>M~xA6HUP2c9lW3 z$8=v51$9Ku%K6|%Vo`O;_^bg3WLRr1HBE4-bO+Lx_{@jGqQYEu3n6EyDQhXpV5;gA z{lRMy&v+$MypoAm)Y%*g&>QkN5J1QhZ)M@FaGc&T%p2L_jcmMO9Jrxgyd_Wh04VGM zNI2!-#S!9Ej$vNP6))xDC4G*DdQF|(0U%gHM6fpCwFo+DW0+U42!~$Ts36hl80s~3 zAP1i3iP!Q7(lcJk7q8^wl~FWHoRgciZKDG9;%?1!Y|=qBZo+$!&w6LGcxQ8YD>VUb z2s4Eveg|=H+)4rjOkknOwB0GB_A--Cf@%`#Rb-#Kx=xuK(RPc>lqkbCPWO$(M6Xb- z3$Aj|T-+}hKIIL~;gBk-5SX~9vY>XXO*jRoN7h_|n%4){Tw-(q-&C6guvU-639?kP zFD3uz(b|KRNB#N$1~GJB>_5pPdS#)p?1DHe)FNa_05=50xbKv*F`#CFS%5ob%5(t!m!F}h8JLGiRi#v2Y_Am0-JwRZyd%1_jvvdcY7ZcRq-LpGFck%>1l#@o(%M@8q2}h}>4}M_ zPMum>TT{8Tq48j1Q%g-%VoP1^sinsc9baEpvv&FNWy?7Y=U4;Kuc>XWtUKDYG`dQs z%xjJdZzXnkj;HZL+ivvgXl-rXYYq&R*k_hsVRWz>h#HwM-~7{eMT99=DS8u;&%MA1Jis#aAZF+1fhuUpJxkX(e4w0c)xoL z+*h!Y>XO*54{SlV+MNd%x;sz&_6^$4(~;@{B${Kb&Rm3jI#WfLXB~t)5}4(^acu`C zp;Fo92`snIUfH6DgP;7LX!N{+>GS}HjBv4F=eejwGrXoxQm z!0_T+8WVZt;v7~H6xLt`aOPw$EQFRu0P*QM%aR-w$VTVX-FvfQQo0Lh%b@;=VDeRj%vm9tEOksQfiC zGZ*!jBG-u)Xa%+^M^sd0?m778VY4b~6mY%I6PJ+_7AUdL<4PYpsktK+dMI~j`{Y0= zfhiSGw&3R$K!J$(toXnJ#k4;!BBq(^M1H!?#pRRVrP5Q&zHlhDGhMK)+`e!{lOl?j z=NN4Jt4WgQ-oOH)VDD@6WnZAmS0f-HdH4nnS_Pxtm@NIGHzsSvaE)}J+QTNI+OjXM zr;S`tBI=FFK=~F0;^p=UQQwc`DlxIbZ?rY@1ktS+l)`%di@fK-8j6Jk5?N&qNQ2lx zNuq%RfTSWeuZnGdu74Va)3+m!H#VF;Gf1yk4y~pLBysVBviKEIo@P8%`7U`hA{8H5 zSyOo&YxxMkK>)}j2x^g>D0;{x0{N*f-+9hAa7fmg9E5J2QRZf$XhN9t4PfnApbjNc zJJ$$79WHRJD!Y2l380M=E$HPZ3W%@+DN z9x|Ql=xaSjnt_x&V)05IZ)Qkann;HZ1=eCi3PNrz{VWzx;8*@pVZ^hFuX9WFnMyMO}S(=%+IltA3q`On-<3y4qv*;_E z#F;_t%zT~MnIpxS1ZlPg@}xNP7`Y_Ni6UukG^9zumqloC@+IBEt5hFWcD$ABL_vw8 zNSqsSxk5Za(DbcEP84d!`Lp=W6sU^r)gvv_1N)=+w>)li*23I7(Qe3TS6;BWW zyqo02pym>)zEo&XzSN-8*v`B)u$|2Twu{2M*_MN)1#Ne8=hFG2vbXq?MI=~rm4Hqa z^9Hv0#^bmyneSn?b;(=RXm z!r4yv8B0I6(64dytI%~U;{M9ZUcg^@g*Wh5UU$!&e-f+w!Lx@*DC0ktGaf+36ObYU zNP83mX^#>>+M{05?#W+^{hmX7<48O#>HrO#oX{7&T}T( z?zH{;=YY>cb3*m9s0%ZGfy3Uw!Pz9GIw^7S_IyL)FRu=By%9=4dx+*YQ9HozpJVR4 ze@?of@1N7$`I+#V$>V*fC$mKbMmR9i-M%VN_XfQR@S2uIphZuw=ZV6L4D5`Z0|UyJ zlW+YZ=r!RN>Re=vw)8oNqD@#Y!s=&c&!HgpAdgu&oRNRMPxxJ8Y=Efk!==EV$3E9~ zpb-WYOfm`@P4JLRcospI{GvkP51}C*c~=h_JZ({iB&71O$P|zEcyT*59<%| zxc=cR8WC<~Gj&ut{l6BY!C#M(dh=^{G$@f>PuON#{N+r-q*vR+95T1{v?5tTiPK;N zcE29=3yqyYa}JLk9_CoiBq5ny0Q4Flc{C&qNGilodCp<@ALG#{JH1%r!Gq6q@`CNoBWOLba= z(cR`uwsjIq-g|XKoVnJ?jmdS)^SM;Se=O%}uc&okgwhc9fOAGz*)qNJ&1GVEf||Nn|Xea7$G(kpxs^(T)W zo$d+dnqpeT7L z4qEt)+5S_c&`GS{yDjHAx>{yl<#g~s8DX{e>e%gkxW}-(0~c9<7%BTZaHQ+QJrcxn zGPY(JQ#aj09rKMcD|msx6;4@wxR)kvlf{B`aj`rj=t}0c$`=7YAxyMXo)(g^&8ej8}?22OBSbV5bGev(eD)m#wr*B6wE z1dz-7@U-Q`RZv}+(tmiy;lAj33G;ct%nLNRK!uAZV4gKq=sPPl&?dk+3!nt^d3??R zKDl8hWJ~%9l0?u9f08bGhS;B^|E5rG^d!Bi43we`H}K`e(f^DTwjl=)-W4zDS-^gh z?sge2utyev;me7`KN^K#t&F9$>46M^i0l3Z(XEn)gru)77P{L63aS z6nnAqv45Befj#n>%)Mj@=0+04K#MnU${RQh!C?O*31J2xEYXQ;0)!d5X!{TI1&9#@ zqE*8Pobd)AE86Dj5ytk9;+-kDB3_h9X4D**vqH}q-p^+i+|QSyyPvOF@bd{=0eX%{ zg_gVH+5LQ?rqE~)Z;cU{fIa827U}1FB+erp-WCPS@V47?24v=t+NVz)Q^1?+C1zog zIFY;1L~LtNT)w3!CpWdTj95&)Vzo2XUaDC{)$m;dGdH_0aQ@jK=SBFYlws-^QPbm< z2PzeS?7lU=xHMpq9oW@L%t0yv4|Lq4)>3Voiz!w(5p+(o^Q0m>IBKkN}c?sg9 zQgIJa`bTBB=Sq2!Zom2~MJkJ1kHC0J>2 z^pc!^xPHmf9CaOPyzlLfNa4Bs49+7 z({yJ#x(sUHwWJxN@Qk;8dW;3?JWzS;9ZHon>jqVF&~e2Nq`XAda8yYZ8Cr}=#d^H0 z3a?ma`Y=>|X7TYjEkP9@&tyT=j}hX2JV8rpl4Fh)G_!}ob={Z8lPv6Mx`Wm3@nmPZ zjn(@jyxk+cH>fgjD;k3EjS!ZHoLWTjA5VS*2E{J4P&pd^|(%<*VtqA=;6_-cNof7Gq`r#tf^1)i|EK zOld}B(U?n?n75>&thlo;FG5+=CcTZ<{M-`}oOqHhmR$#fP!RkYL*L=Q-24sT`n|Pi>}-jlvk7s-^-KURpF%SjhSh zW!F$)eWymFwwPr_6(IW|xa6?1`c4fhA1bOPBr1vwd57V%9O@g|Vjz$txr}*;>GPq< zg3Zgo=H)6Pq`5rQ7)U^QBhe}x2 z{Z4f-`4g$`WQ)bSTu65b31>y9cvHl~#dx+7&seI5#Jz>Mm&)%8VIQG+w{8-)xIX+3 z%0#XnO2|<5esh$T?`jgv&%dx>jFM}qB=A}*1tSd8ICSi^YL0L-{ zYDrZnXK9qiEbRG+d8Yw#S$N5rZZI3q_0&9C*Xu9Vi)tETD zQv#34J%^Es3T}cfJKgTt9YLWGwHP6oB2by;nNFrX7{+ii`PnSj%pumMdKVfLVRqzk z5fYi&9v1_nP=r1Y7>3{qp{+n@)eD~K=xJ1E!+i|`nml2HNvFqKBcNQO32eq?i8CEj zaXVYdjEtQ#4gDRFf&j@SoXjI7ue(s)4u6)*TH*(QJyr#OJ2OPPIZ7mq^;mpl)?=J0 zz*oZSs8mC!VEDS7Yh{p9qHx~hN)nS`%I6i6a%v@wtOQ$j3OQ9>c4pY=uAqX!XzFzB zhPbONZ?P2BSS2cKj@tIPI_Aq_b%U^~cCo4fQmaW;89~AtYYM9dv5*-K9W?V8vks4I z9bQ1tJ$8}heXX0^A7p@l?`@9{8=OrtM5o%BtII|MI2^>;&n{;0%J^J2y2uYif);5{6Aa@RHjLDgn_&up)gu~DUT~(<9QMvXSk#cC zd93r;;(^a-c62;6)Y-+&@JTB5bmK$-se7C>T_4Wm*8bs4p)w!NbXCTc{gX5+ybWJY zJpN$|rd`~?o~&}>ZN2W|!4xaol8u2ERKf5RSqQwNt?%a~1d6B-TBA#gW zC&+n5Roo~&a4|tCY4>CwcBiOpZmvCsY_=N87_C8s$FE}~2=0jJr-ZY`fP-D!MXN*H z9e9jKcn1frP;}>L!zusswT%s!Y{h>}V_~b~C+X+oQ)CFQ;RVvq$=>egq$^fmWlFPT zIB5!|UN@QQ&XheVA!)WgOPaC+cy`&#-IH0Gr7GhbuPQYX72}56TnuTJ3Tc)xb#TI@ zG`B&TSs~Ii=aFpR*M&GR3jKo8G>gj++jSDcmzC!BU}=hO{)|_mX*Nd$K<$P+$NW z1~oTU@MJ&53ZwwbA;4^o6-+{`fK=7n9fwM=UliKP@me~;hk^x9$`LH^>tdBCmZRhz zg_@}uR~j6DU7iL2mvWM50^aKknDDMio)bR|Lx<5ogZvs&eXRB)0JZ>+PQUkK~2z1~CVOr9Teb zlAetmsB{~q0rVhp*uJf#c?j5vE?n@1q;klR$`8eXKh~#ks+Cw+DjuLVm9j0LVB_g0 zb*!X9X=^HQ$hSq`l!3y{0P-CD z1rYcIq)V!(`|CC1t_JeLOs0mv0N^CWBdMcB#M?zD1e+Iz10;t&7IpM3K!+?9BHzyh zGGaI&-)hp%>623cG6_Hs1D~1Nxrf}t{%~iey-~Y|5-p*e0EZtzJ)IE<><@>FIE~!G zCr1G%5-Lf**VD)GlZ&`VxQKDWwaR2ai+5Xlk|jdpQ`zUrro5KZWH$PzpgZnJZA&L7 ztRq#n-s2zw>3e4^_#ZvGl+5LD*FAF zI#5Yq?>8EYJDJz9Qdu|+Rfz271h;=OSmY;5@x~l9(m9IU!F@ z6NngpN4ku?eoHK_f*I~#XnAjRtR#gH+*$-0-Ge@b-kJ%VdI+rC7hR_uNezSTb*cz) zj*fIx!$xs&4XPDJ1`4S&eVV4vZX~3dLrwj=BrWtnWS@Ipe z4l%Z&I?@nyQPN#S(k=dS(p~jMr28E)^YT5aaFwV~Xl*(w+!}^9PEJ*SdMJb3mg%-} z35!6bW3yqF9d%Z#rR*A=1Z1wAd0}aVbWLtfkUpM*X6mUM`R`u`ty>L_Pj$`++9|u?_5KX13md@6nle#SsRzC#&D!*5iqW;K zRQBGb?Uyk8jVNM@-1M|VG{;F-SE zL`{$3OBD^WnnnGYIAEPBDk1`lxN~QoPsHU!CxH?P&(O)9AwBm?&&4aVXOv!J?{Pe@9#zXd%DhaEk79)NwQcJ;LL^eo2BJ1f{sJ*{=-+f|+!n?*$Ml?dXJvC(kk_v=|jtlvjsyoCgZS>EnB-fo0d z=OI`-AFQrKUT^mT8jU{Xr5$KVuSBr5dwSq9q^VZg{)3otqJ_GEg7N56EYe+Yg-S1! zqgY+eDE8kfMg0`PLMO|G;6fpuv9K+j7PVFq9W)nz&RJlK^*xLN&t&j@!*V&3g~>%2 zdm+;@+v`{KK$n1tG<(CL(k8q*#zf3Sp9clReoQhvJ>V>0G%gsoGCcK2t81w($B+jJ zX{0p=)vWZ>gE|cQ3bYcs@=AoX`meY;V>D3|@OICxQq4{m60Ocpg&54`MJR+frC;;+ zi^5bRe|iXQ>FFT{e&~Ec8#7W*%boe8*Okoy^K|S_=L3gF;y- zL2Ni(x!%2)lm*GXi%3^g`giPb<)pHZgpy?$^0%QZ%V~4O&T6SEw&ggB>{=!S$|68P zS8TyER+z}Y5W70?oX2t|W(m>5jf%#EjEf?{P?luU3mfT(w7T)>Y5F*eSb^*? z3ksKi;y<{cE$73g+&|C*1gDaom09rr^W>r_41{T^Bp^VS$q(OY8-x`p4F0WdX0 zc#Y*G;vdnCO_ktIb7J8~2VBAhFc%gEm{MWfKsDY67Oj%-gHEDBv??#%AOjhrd>sz3 z>_8X%QJs_MBof(`^l=i2Y@&~@29qG~gDmeRlJ{DyZ=trhR?>Z?p6R|)FZp|=UZZNr zyP3#F2oh!~iO6noTzSLlg~F0(8?a<|Oe}1%yb(Y{$omw7OmayN!RJbSl*Y$^ahfOq ztkX^s(cMVsH9AQwZ%{~AB=57O(9v^l`}%c?Y+t`l5#;_l#Rczjc3?F4S3qZ~oQDPN z*y2sN&!>y$iR4lh(uE?zvopnxmd)|kpXBluk$>fO}tKD@@Y=|$Fp#WwPwqx z;yA827t_*Hyd7|C2Bt6^5Q|IfeAkiVOaC`-I~PruAvVfrNoeCr8%{P>*ZTcs4b9kj z(eLM%kjPChS*p(GvUw`j?^l1tv@pMmNU90&k3;h z{MqE~z;y5cVsXoI+9pvBUB?De5s-mZOapTz-e$H4hYE;e z3I>vBozx}YPk&k6^-4PYatfh2J+2|rW5PNb%=rfouSoEVj7 z#Ks3!f)tKA3EI;#oMonn<3FBF99)gJs2W#k#A33^;G#I>bA!F#m_JSXT^JI$}sI4W}*YzdX+ljBt4^Wfd)7 zDH_#R{U23rIV-Un!Ah*)_!C$QoE+D&RT`?e?}~$czPnFg@!fsHjd%xedLlBdVA}x= z>3jp5om5&5QkOw1lDl&Nu{+b-o#pM$R&VXVTRSW#Esc7?0ksJPj#j2CqlNy@6u|`? z+t;1xF3>YKuk+I8b(n-m%*ih;F38-4bQM0zoBY)YPMTe(Tz!JhH_h?0yI8h6(-#T~ zxVv?JRSSErtUybFzJhvEdj5!?+i|(wNsE0UyE})qr#p+ZXJ9)|k7aY)+&-8G&K_=4 zhX~xwAeL1cPWw;Rvi8s}0Ybr|hP)Hi)+$EC8Na8(&MK1D5k3QvynfVKO^6(WQ!b9V8aR>Ttg#P!;;pYehvz3~ELZI9E^@csd=Hr-=;zL7xMml+b{oQr1S#K_sNhnTFx3B1k>fD8)ejE_!CWEq#pBqss~fi zU#K3})f#C2BK4T>Q$5XJupT$*V&LSn>xs~OGvB9rFqgfC6rh1V&KiDy?5_gwQ8+Zt5&UAwd#J2W;_ek z^eh3XMdVT~o5A0YH&(xN)auq<+aRI$)!irpGXt0<4j2%oV8lNx7Vq&T{d-Y9Svhg1$ zH;1`WrXwbHpEGp&Yz2^JMfKhpQf#t%hwO9a6F@MXb^WzWT?tjLa?Ms@UTkKihQ*>3SP!dt_CO ztHebKWL(a%2;F0g{5!kgon)ZQG=rPg(GymVBtVh(#^c7GSPgHon< zYhP?@j>glhI&c7X0a71rO@Hfz<;00MGG3~Yi&}&U4NSm1qkW8n6j>eA(6N?tCA{_9vi&cq7-|2p=m z{2yG3o{ujh8)wk+;~55*8QCv2giM7IQwG?`Kyk0)MG7H9{0RNVRm40iuya^tzmByh z#DBM$$tBFl!4;Hku#wczVXlTMKue*ALZOE4RXD?@&vp?qFt8~TT0tyN~*J+QT&Uuj7&66_aduHTjmHPvW|@LVJ3rAEsQ$pMq#%~hErVnz@$ za<9zku%I9;*hQPS{iI9@6(N1%93i$`qn*yw@U2)lgDj?W#CkQPxOX!xymXq`D!UQdL=ll-}j8Qc5{q!HWs-d|Nlmmi$!- z{EHOv#Eicj$l>5B`WQJBh&;H)$RTYu2sJgB0qKDqE2Jk2(sR-p34R?5$sf#8iTiVT zlKs6(h!;4o-#bJCKL`i%;6fvt#JrK#nMkhb5gC*%5D*$<2NyB(61iq^y_iPClT20$ zXj*r#nlBAii+nR62vla=yrz7Vp@vIKH=)H~0}X`IxbmY?af@ zrf48jdazE6GM`ZU zHH7oAte!W8jxKxajAcnHhRxtrS025SLfgl5#k*G9c&W9{NX#*ym8;Dz9?+YW4?OG<@$~Lyp zbqHN=Gz#cCG=pl`N|!h*v^|W~@L+*a_|iFPFZC@(6%Q6DUFvrkvIZP)-#1b6tB;Fb z-(tb9zQvO0eG4toe~=oYztV?b+6QpLQ5w)b>o_xBlbTgeq)zVU>G<}e47_rM7-b(U z!eU)_Up#3}A!o93A-YQCUk{O$FACB7;;B5~*wP})a-Q`qPLYT!Wfxmi=ZK=Q(wP0n zNZ~^P_{jjj7~rXK{mpxhOD-!u$?a@hRiG$vwy6uS~*s9HhIpNi3+AYj;} z#4Tj}&NsGe#`g5~2(Gmb<{R5zl0)PlX>Z~KHU0ftJO?B6VoaY4l@prV>0@k%?baSi zvM~Z#7N9p%^uA>LazrzBa)i^Y#m)!Sa@Fr6j_^l94nCTe@r7K(2G{Vu6oGoGu@kWE zfF1!W+6E?I#RLi!iNsORJcWjy2S@$j#0MZ1M6?v%9g}d50S?U%A5_uD*y+yhOQD== z?GBZ!Ug0r5U?8NfwHbg9oQ2MdE*pFn^5nzn#J*=eJXW)GoRPwF0Y|ee(*_ z+a1I};^;HRu9uqOi9~!NyZsMBW@W*~c`r(A7h3^u59|nuVc53(c1j=v1+DTjfG#2> z`sOLvE&{d}L=p_Da*;&$-vK!#gEe&#p$lw@11E_#CyiZT!92!-DCkqFUROJ6F*wX{dEuJUk1zOmcTtkLW(TC;mNLK$Y{Ow!W- z!zz1giJdgr9$6la!0CURx8&~Jyd7@3`1*#Dx`q_)up&1V=6{E3>sGkL;KpmkxFW|; zYeNG0y80G4pW!D?mAEJ=rK_Q?sfhBtD~z-I}qR({d@%5;^Zg3x_qZAUHK~T-jmr5tLUQI#fYCbvY*=vd#go z1=p);;8P6>80o+z8GsMBLmpfH^kH;(N(a%0xEDsAj6;5chcR4eqH#Ia*o`4qNX;^E zpSqH5IS#AkEhVr*kpN`1>PuyP7)zHVgz1Yd_KpL4L;cx{4Og;VA1qPGYzsvkN`?8C zic?DU@=OBQ(TAQy;vYdDV>cN^-P8cOr2%iGJx}{S7N8(L-#;Ym=kFhq1F$Na32e&q z5G((Ctf;YgS(ID1-#=u}@Z21I=+B3VB-)9_p7i#STn)MMjXg%V)r#-anJo`pN-J(g zTN38zqs8loQ|V*upnJzc>BI0VVG&p5> z-iDZ`l*fUy)Qp)Z3eRbKG;GPy+Ym$Cw@7$Sdshq3>BHo3r7iDsi}0~QzR`!UE*>T; zm*iD>I13*Nw|qE*KE~dU$SjGbuhHWPY-#B1ISm!)k`he;0`ATK~vYivo z3Q+ohj};#*5ytcf_E_;om}Lq+oDZiN{H9z#u95?dC>;HP7-^KkeG5ZnX#`-b5Tspc z9MFsdu%REO+Q%hI90Y$9Fz+TaC`8v(WE`6IdG&rctwS#uEidLYUQ+0wM|9yC`9(ifCc8j9S!)Lw)g z9Uzv^dy~FEH!xaHZ`V1Wmly|)5%PGJn-s+G5UiBSVK|>};_M`J;hWLIYjHDLwof4J zppJ<1lfi1LDh9@#AkJT7Jp^U{I$o6hYujORaTOypg^mo#?)um9z-CAlarK;|7x%Z& zubNQ=|3VQ}H5TGKmNjb#=0~wH+Tv_)4gpflkN?4sQHG`dO5bX|ZxtkI$l)f{ix@Xa z=U&JH5bn(pLfxAq8!M+bLs22HT@f)i6r~xqyG<75a!?So=qP^#NA)U%mxml!%E!XR zQa&~=f_Ox`Q5yR)id&3gFntI%$xx(GOw@_xy)L(u=0#Zo>T5`IjTXMPPpG8V_62F- zIJV;-S-0hUa41e1q7s6jkpjWC!|^_*CfW9EM3xew5LjxB3#g9|!B~<_vyh?jnt`yx zk{}=iK>|S#gb5g)vS-S)nenn>-r(2vh06vj=uUtg&H^=tCivAESls4k2nYjWLwfpl_Ytm#6ow*ZVf;eH-<- zzI?dW+hbAAMMdH;9E`X3gxxI^lj*yKf`xYrgS99LE!v{llkmHR)->KKc(*VpDU#3@ zgr}kUB+V$NKSnt<1kR43nG`40KAuMT3FOVu`U=>a2O$R1WenWGOLs*r> zo`|8@1|2prgnb)B^T;hVgaL9W*{GlvPyj)DJo86wx55ltpFc@TL)}pjaJt* zN6{2#1;UF^&)lPKH8-0O$Bs zA>jbCQHp^72u43@2A#!_)K@MF@iJ?aG71V6c_@MqiE6pp#b3`*UbjP=eF{~vc>V~hi$^i@n92pS&^T(0wFHw_s2&#oBYql@_BaYv=zW!X z-(kJ4O7AwT4TiG+EG<`8EI{4^URK+|5Bcl{N7UelO+y7BctU!60w=@)OkRo{ms4xy{}R4 zJE`}b3a8U%+cATAbb$4TMB<#+B4q1xXNYxbI=w*F;fRD9Dx?Y4i9$z%^Uezu`v~sA z8t^WWqkPP$F~$w6@|YqmA`C`D2!R(3IHUJ9>3wJQzH@rtd3a5m^}Y+lxl;NVHMH2R z^_^vewdh*T2zHKBuY%p2XVg11gz-)9Yv#XHvR^K+Uk;OkaxrR*vJ})iM~E8AX^?)0 zXfo}9mCIU6G~yj8sKLuLE6uUH=9^yZ9(dG@BI)fePKyghtq~{B9_EEFD21gD3^nN9 zFkSP8>)r_68>xFo=w1*giW1sA!W#0U2BVf};f?Sk#Yxs;ZzON=DQdBI1Z(kWcJ1Il zoS_?+H;VnzM6{U3Xfa+i>y7gu#bedg5ir<1)-~fefsYeq9K2AB(j1!>-tmAJgAy2Q zrZHMP9%~#o##?g1%OWqmREvMPhL7ST7IC_FwC)|Fdv)C#1H8xT-dNo`4y1StbM|qh zaa?1x(Cs6q@z}vy9K%}tIErpG?^tT_pJj?P^OT zTBwn9{u&!wH6G8=j1xqQ1ivzBjtW7F3^+%;*emuJiJniSw@>G!m}i_YCdjoQ2|6$fp2DM@;`z4uZF@uSPm6+zgY#>ssA_KFKvUtUQ!|}J_ ze2jfWgj%H;byUR+K`8z@w!z7^256wi&x$fuft0%-dAy81MjczmPNLHz9f(CQTEBVi7Fs*@;$*2-EBFs@ zuGI`2#)3b4oTKQyU73ZO*Kb}YU$vsF@D9!}q*vGB)hK!uXUJ}0$H+36)F9zZ2O&Nu3*T{&;kmr+lK z$xO_RXL1S^8aSCns-?lfiNt1QfDLcm!gvV7&pR9E!|L9-;Y5cN1{-Uj4Rkk$i4B&= z8er+qqSH6Mb9L`LcKYUMYAnuE&CK48v*`+3oy+ikYeHK(t&?JqLVdf8M*0>s6fytu z&C@iD@)vir7kBS*jv%1|-=Nbj9`6?*DM(Yk_R(nh z8vIq%;sXL?;U;l-rhNCUF*bD$vUd*_VMkjxBP*vr)lbBhNsW}COe?wM?6GfZS+mlJ;$QQcKIB85mf?~coLwVbo zQ(4iFiY1n!vf7$MRh30^T$u&yU561fSXxn0<0`K>URP08g6yFSTs!J{kfC_9yc7}2 z^$iszFYZ!1`sTG3FJ zig3mG&n#G&T3S+HK{rK}M{7$;$A7c~VL7>TA$Y4l~BlrAERf}DWi z!6(Z>i$Xyx5;pW8ZtyWI zl9PfDt&cB&3zOy0BTB0#$!Cqc>%$Ov21748b*k>Aji8TVg*efj5mxd94(BqR<4TkZQv$M-h0!P6i!u&gNL@ElY_~OlHypT@ zoPHac>&ZI$AWKgx@=s2M>6fj0*>5nWp5!5MIQ=os5G42vp5z*50LctN`*Yg1C*DU` z$`eE$HPJ%^GU;u*67M6b?a5*6m82@?won9%mo^f+TX5FfIl2Q_ahKjcmy%PsTPDXD z=Zr)kww`6)^XBnA!S0qR*!}9w-Ih%gSnoQN#mm@oeGcjQ@DiP`NcSOnxHp%an}8ic zPmFWO5J97B0qqm^=Hdt4yOxeJp@&C z`1W~>8TmpUdT_F|yXCm*OnCPI>&IL*716KQgbQna9(7B1%L(JWG0j?r}(^Mf5X@7+wt&9~2YFL+b;Zq~gx9X`E%K8IazG#k@HriM+?-()}O-i^8!QGDPh zYOcq=jP?a&)^5?gTbWtQOv_Bz`?<_CN5U(-hk^V8G5RDKYy4f@HAWloW4K!y@Hx$2 zXt1B0fqmv~sl(@t(M(rHv-RLCg^hbR;o({1JUy&-(U)ngH4M9eAx&{!8i40w&w>1-l2$yl{vSzWc6<9M>yjf#kCZ^=>){XQ56tsOY z_2eS4%GP?ZmNh&Y7Z}Ju0$j*;v_5}=>otN`MDU61Jn7}#_vQ4;Kj}d@lni)v|mJ)yp0pNK!i2>mG4AG$H5ltxU{h#k=0I2*KDt|^Z zN3iK|I#X;_h~{v+V>Q!3itPwjNbYf@v<`n4zl_5#>Fw!E$+_c9hcP2WFZWh+-L#!W zLCq3{#-q?TyoW=uD+L9 zki-9lJKxEDQe_47eyLhXINgaoW8uPqz8OWt zNWf>B8AVN*{yc;&S%}(nE#%(~7-z;Md5=QIk*b37YyhMdW4 z4`96T^p1)lGDm1(v=^JVmeM!ki3YPL@s|F3ridG>88~sq7h5SrDt%Ni5f^+dYSRST$hI zY2mV`<61WzG!!kP0ilWpgd+~7^_DYraY8Tv2~u@0QpLO7%QbT(bFq1|xKSKu zMjI*kqK(HnhPhAqnL+_+SkW zu5EBEhxasam6A|yw>TJ-yr4~HP(ngdG;=huvQ)!Yg*jT>mf-G?IU3(3{C9d#2|H1! ziAwM^+pEY%Qea)LBYW+y39OixwCU8u8`x>SIp9?o*j5$&P{(dcB zJ*Q4YF3N$eA2!B?9FZ}q-&zUfB_fp~;on_g>CAp8x#uEL9eOn?2kD+0fjcof+Y4>n zPg}aTrGKM+4e|ZCC}1O99KT=7471;_75eJ;YlAW9xu{URydSYKr22M~$<&V^J7>t; z0!(Q*)KP#s&x*DaP@{jpmUkNk>W2xj408-B&y51Ps1rXo5}#-@254XAb?mXn(&t8l zz5SXN5>ETAteWTBmvga)15FRXgqB9l=f=QL1A1TsyWxZhNz^{V4CFZ-z5V1(Vr3sYIZacB33I@o4i?*;IW9+I0X1M3#yn~|lXkXo(vkFXu%49I@X z9Lttsh*by7v8eyzI{KJn0psF2u~Z--1OR|y9E-jM@)3k04gpSqi+Sc)`o=m(SpJq> zta>U;Aq#Bnk6tfUYcJtt*HgXgS>g3^DaF4$UpyoLr7D0j4ggHOxPg`5fK`#mO}RPi zcWjdNBksYjzg#U|fre1?F*Ullk(J-L$2pRWir%fX$qNn^FDR9mt`;gdX&# zJRy?)uuB!@9pk09p!612{T48fEj~RRLXBE|EeB9Q94ufE3idc7a&ohFtdsS7_R`uB z#hnoUj;qB_@ZwuhdMhiv6~tqnj6e_)LY)esUa+tbAPO0X!Xo4y=#SjS)*q?H23`p~ z1{b%nH`a!t5PC``IRj$pDhqDDET)QISz?Ye7FZLjpU@=j6*c7el@)vxfK#V`jNTuu z_m5_C(QJYhN3e3}YCK)#YIHe)F4xl4M7mnHZTHZdLuNc(=RrB<@oM*)lMI{$AIoj7IEGAGmZ2C8uiU2UW* z=SOszpWdF&>ia>0In_wBn(26P3#$7;f>PZpEBNq%W~S)h;s}zu)YjwK1yp#>dH~uB+Z-- zyrvV_LS#A>WV_^KP%k+-)Jx8%LUp2zSDfYy0#>;0?r{%3Ot zqaBdl9UMZbIm=ii*IRyt6(0AMQbm?-3&#e=zg#`9Ka&RIY+-2ew+|_nON8NME#O{P zSZY_GZ)Z`NoP@9Q?!gOHDpj<;$Yb7d`n&|~2z>3|_|h?4Ed3eIsH*y+k}^s=-b%;L zxUW>A9t6yaRvkvGhMLS%KuZWA>kyT1Hg?uvO6iAX8&3S45~dD9`c<2wbW90 zEPl0vc`U9}0fv?Q`d8~&Q-P1xpF_@b6eiA9naKdRi#%HW>!>`!4X8ZjjCEf@FOd*_ zpU2&E@Okv-pg8@knJKVat{hv-Qh(tBslfX400|=kNg;q0b7)MCezk*(QNgcv$nK^{ zQ4Ny~h5!yc;~3N^7z}g6X3B3dV>OIQrdJYEA6u0S5RSH<1Ou9UIBqSL$)9 z>OVl~U#Ta|)&n!FQ83gZtaje37q|(tGdOMyA#?Lm0H?u@KI~Xe^b&Al?$zC^Cz3TN z2>-lL;)|*?G(xyRGiNh|8%-pt2V(e~G-oFQ<2{7&84F`kB*?94-j!jkW}g;7E7#s_r!kyv4LL5O1J;RRh{_^mjPk0@%Ge7vX)c z5tv^k*R3VwFO0M>2MQX){3`6=xrF)E5OXdeexVrGq2^p*j#C8PS0lK9T#W$Yj{bZb zbB9IlZeH2FlV1k+NP&CgaNH%h3=14#PoeD=_W#TB%>#AdL3F>0$<#cC{Rnd&(fukc z_Nxwa9di#E+F0V#MoAZq&Y~3izYR$d+3?kjVllM*& z0@%kS5%|S2Mm{ZSC=7y)5*qKj7Q!h3MI1gp{$@O)t|02rEM5nzY&Tiooyo#ySJo<^+ib)+4f%CW?3xOtaz*PMz zJo>CFp1?JH_)L#f;Tj34nS!ME=zc;AUC}skz??Co3F!Q0J!U= z0^Ie|r-3^_?LMHHiwQtEwR;I&RlxMCU>)r3dFE0h-D>wA!_e-x)zARd^sCVO%k=(o z0&qw%{aXJ59S7*(FCQCm@iaT_?bHybgT#W8W1*^4%}fVUl%2S}(zg3PKExn?e24@5 z_|Q|~A$hj@?SViN2Y|bc729&xG(~KXj>?%Vlv{ zI*TJPU?=?l@Q^Hxa4>d_(q~aR0}WYDcvPjgS25yV8)2?6mP=#Li#;UnYwE6^0gejU z)wNLFHOxWLU*jCh3}htNtf(ogD5}Dt+bBp$N6au}7Yq99s8>u%*4u7pBK~iAR2>C_ zTk2V4B90+zk@GCz9f!$>P9!46bnw+Ia}^~nYqxi)bgg>|R2!%x;GJPmb4*Gg+kP}n zE)IYDAY<3J4{~~c`=IR~wb^wIn+W^S*RGA%%+&y(Eb+#F04p5){$MW$@WEc&$X5U? zgS1>*X0D;yk=6K{?JTXa`&yQnVXUw^!Pm%cyq2YO{I21&ov52?%GgW!5MoOB6#e-mYm5K{a#xKz=ouhj`L z{92t9;@??kS-G#}V3nQXzx$hL1Y_@019EzmYG3D>d}Y1^30Y{(r$J`;=K;x&@DUAl zU&}=^S6Sq8aC+scwH32|!5i7%w2-%OV_>_4?H5@4n;B>U;$KwaZa}TihFH@Yi?|z< zdS4dZiP$tVO;F{FAW^7>tH%TdT${5a%ok5UwgOs;W7J#dMzP znAw_{E%B?)2Z9;?b*tN=S}*c_4v@s8 zVJP~)=8_nO=1J&B0X$RoX5RdxO3S`2rqQ9)eBq1=eCq?TK|KV-rPz{dmGnV7K>8D6 zUIdQ4Ud$L5{dzIiV6PWT#@#L8a!ulcW1)R8ps$_M%$)RgmbKV@?X;O=WJyF{FD5>n zRuFxKpYw*9voD;XKuYwa$y^h4QgmKN@;D$8{ko9F*Ow|7a25iC>oj4%9%AMa{`FFD zuObs43SUhVUHWR8EG$$Ng$-OE1r)G6aUIK(l$W!;LDt^R+uvR+B){Emzpd-8kEhDV zcbn^|aHFjKWoT>E{&JR(`j@k0?e=(meHtpqn)h`$)bg0*)0!YD=uJ{Hy`0GbWr}9J zoH-m&w+j#!Xnf>{-&7Dw7PK%3C`jc}3H?-aJQ@RA~>6v1OvQRN%G*VAIX@rk!u}Pq$Gc?3NRem-7bB#pPVk z7}A2YvaIdd<_2QE89@ue*YhRop{E${@mJ6gSCabzQC9|)VPS20+x}!Lo)K>n71S^_ zJQ_v@Jh3Vega}dr!QX_nzy;I@1hQ=;Bsc$kFf4_nsX*@Xbe;rcGmxY$kAYZjQFtYN ze1cW+0Z8J3Eq)68Ef$g(gWxRP$T2N=eWtbl4h4xO>IP#gX_p2~)JDo* zIEQeA@hNSCf3!BsLs=j=>pB+j@`(pUZWo)h6X_ZEqsSp6;8X`zGVzNzL4x0}m$?)6<9p5;*@OdAqKw z>b+f9PAMOdmSd|=JfU^HetEmlw)o-va!62^F7?xo8XV} zIROlj*{BkqvnCcG@C=vd&CTX!jedXw;(D{WnW<7dqepNFUavPdv+4F()~ZC^hw!c& zBQu_b4jpu~;Qk`TP)Xr*L(F(0OApi2~lNVFu2 zzpmyNQ|Ok6dd8q0>M=f7a+shVFQe|KRglwsiRh*BZ%jughaZhj zVDrteP8q$I#eC;CW+;^L-}-t9bFBYJ~Lb7 zxF_+Hh)R`dD~lVmh(xnm%mTB3+)C%kJ<^QXy6&5e=ziZ!3e`S`SLPn4FU>^OUiXc8 zGp&;@UPvGrnHR1!sP*{>F2!Mhq5G0`Uy82z_&!SajrnFFfN+I>V}V(iL72~`k6Gx> z_RS6_3*0BRgJR8xwq&|*%%MHCz7*EIaRVGL2m_%20cE;x5yG+wv1ha9h9YIUZ?5i3 z)qV4H-+aO!;omo&rG#Pb8>>y|A>@~J-$1<8jWz6!N!DT^S1-|0>5UAfJ%7U`7nA5v z{msG87a_FdMh1YSx3f&n?i-orHX}!xnH&I&6{)wn#3?|q#TOG&ns2`DgLDGJG~M@% z?pyeC2`d}0axJUb!C_@9us)HKRBGd5>ists(#PEPgmktql_ga0&7*V%?i)*NN3oTH zfoEU{wc{CN0ldGoHOK9jv%%UHt0|GQ_V##^oh6JlX`D6b?B*G=7H%w~>lWWa{>yUq z%Odv63NWxkOYhK_X1lR9-`sAjwHiH$Oynr74l^(9<^mN3(k)ds3hTaf-M38lE!TZ3 zbeC_X?pvk%o~8XxzU6A32=@(^4Z^pAqr$R5_*ODhSi<`j-zxqKOL*^lmij=$ zJ3=^;Mfv6qW1WTMA5RdDMG9k79M>p2H+5fz?#tADS-LM9IOgcST-~>p;h5!*;~qw# zY>vrZc9X*}*~bYjhE)~E5hwT&jz`!p zl+nn2qndCGOYdMw$=x@#d~=tv-olYan47f7VmWhFGHwNmmi+0yLfyAb_ifjGJAmR& z-M35k?Pe%$XA@G1ViT)-2S?;AyV=PQIY-x;Zx{dNJo{xg`=yysM5=5kz5S&0_I_7r zy_=ZN-Hg!8-RT|SjKnu1&E3WZ+1EcwBm8NZ#lrs;o*x~vTHiiE`z@5x%@H%LBnA#% zK=m_zI9U$)bT-mqS(5`bZM5jb%Q(!<)x%pW9f%UPn92mgCI-f%Z{o|`gHDm;EAfM`?!bW6 z&pBavo78Y?VEq zOU^W<-XHMuk#H^y2pnZmwoZ5WO2`w1^R?VJr!$arH`Ya8<{tF(Qr6ESX~}9Y1&wu# zpv!%9MOns05B&w|g5&2G(}als!cGMLGWU=d1LTk3^*}>@1n=$sz@kEem7=XL#WAs< zqFA4W!|F$&L5fEILgb%Uing!lVMQ}gCXCmL;N>8-irKqT^uO~^UaB+#!ARe#)FO)A z@no6)2%|#~5P^t)HkDNlo%C)U^Ypxn_`iUX!SL?N<#?m^ThVe|>sEA-;=h;{i2HwX zSOmKj%LsN0GatL+c*!S@^Dm}dl$!Lta#u$RMf$XVzbu)R8I+8J4V92-obJjyKgNu4;qD*F8O31hNoLg z)k%)M=p{Sd*ZVQt9G-gq6`Wi6Yq<4&BrcU>> zW|4t&7=-tHpcXXfR?`aEDU^J5{JcnuuXWDJh)KgSibu;`$4lzzltY&Moju{p2Qp7L zkm<%$tb4VI4Vgtu%f2>-HVXRc37KzN*kl5mW{V*lnM_5Q31l*`Q7{mjDM7K}1AzZ( z24Rx{nssL}bZ92gD27H}r)q(zJ`Jpxcf;-~!k%-uQyN=Wh^3p?bZPu?0BcnUtuOIR zPBfACuV<|)Z0c+E6c7w8(!H+5EFyFfD%YJCfUSeqhBYfW&L}<=>Z)o`=H zEF$;EYcVFmH%K9OZ{)u&M;tEY{q2ax8TYymJ8Z(-odsqwJsM4xUXt&eAka_BAR%|u zIgx(^B;sA}fX5|dW?%3tqx$BCC#!PbL8LsD8L&2q@OsKr0^OL&x)qV}0%|Fv5@6kV zYekY5LF9rYh7xG$JC__T(76=&qIARRz9yJ+;zdW`i;DZnch(tMbR5}rDl}(IdBvfU z#-j~V>G2@VI|v3Xfw4cD^|d>P%@Q-wl5P(B=|P&l^9a)H7(+pt18f|-Q>~e$Y>nFG zYsMmV3Z4_9a3B4ojCq{yR1>+ZU;{f`6QC$u6JQ`xcdE@&0M-d^XhIeMAX<_DuwcnM zw7zCR_5~DrRLykG zoa_IcnVO))S)wMX%!?>@6U*2168UlzPr*IN(Lh6STj;KLY$(52`7Ri<)GrPZYYhLB~Dhp zLZ_o3FLPV&Hk@nRAq6N20yO<80+ghHe|4`aKoSx}#%XC4tJBgEJyM?p5f&9|e_@%D z?3#&_Uh8o>PXnD6D@=7)!e%VQ>g3Dyx@mY+stF*Hudmi zjNCKiEStcxrwU-dkwN9!%+0mPyvMAAFB%Sn0Un64KjT7@xz=A3@zwK(+`6RqOQ=gD zc)mBzEMZ-GLJNNe|6cDz6HE6cOz}>dMO_86e~z^3PYNJ&3)|GEs$5AUVIC1d=j4OV zNveg!o(3gz64a}Nfpe3mY@;Kr=qzN5+ES{MpNi-I9%tzRUvrXkbn@^X6|`}F9C0}< zmarCnr8;(-%8*ILp#CH>dBuG4CuM^8dM;bu!zp*9qRi zmB#JS-l?GWOqJU1-l+<$UoRHUInve`&Fq2+DCpN4+`Z~{JSFbV*Nn-Xs4fwukT^q? z8P!AfA$LJiK3S^nEbrR$arBqm-aV|zG1O$?wtk6wxT&qvG>kM3?VDJ{$2F|WX2><8 zgi!HTLR>Dl)0HM)UlPg%f~*dE(g?Ygx;<*!_ClDDQ0|stPck|WZA($x#vc0Xbq<-? z1vU^!?%YD=FsW)Pp%b}Xhf0v%h8@55rzBa${C|&|&f#Nr)ci$~tQLYKi}s?_SuV*| zUed2rlJ_%7h6%@X%XBbiy3j32{L!=wJe$dM%TBFhEKdFGh{X)$;y@&2qv~Y~(QG#H z>3+7`s8>CW;IFux;sCAI6+`s4W**|*L=-8e%}%m7`U|dMND!>GLVmiN82JbdxgBO@!m90Z%zn1zS7;B#t-2U*u;yyaW*#JQ_zuek_JU} zmJ%fNCgX!M&v2p9m04juT!@Q12ZziOL|t@@p)Z}jVjj`=So(@Z4m#htuZQFN0=@<` z=5`;4iLkqO1wXYD7xIjtZ$Vr^pKocxiTEvTIA$LZp;o|Rj^fj!m6kE|EsdmOr9u{a zlpb*Kxuj*uBA|dp5ZEJZdIvjRhtyiCS!vFd>Yu=}rwU-(7#eWcAfW0dZ>&0sTya6i zXkh^bqA3SyG4M$mh%;}A28=4bQD6U;O=!62(n|kXsRdVwj=V7y;Fpq|SxD=xJ(}dduBqXb8 ze&35A_}(>UB^Q>=*TwM6Ys^$?6vComCCQSO;j?IIj#TrbI5-Yjja`nJK~rwdT*|I*yK|~up^wMTcj&G-Y_hz9|#}DNQ*|v!r+LrNSr4Vk2332lGU}r)po@v2CS)`!dzUP&KJk4T+?{ zjVOcA1vwW7=;@*#fTT!B3qRy2tClpS8a}MNk#|X|OVJvt zTs0So9Hmu(A`wtUPOvI+s0twu)k4&Q!_^03G>eD1W;L+`hU9x|O?c7ST{iC4;SPVY z?I(E_5A#eMNacQS)sT6FSV-rZb;PHCqk|n#=I)tk9yM{E5U3ZY4~!?`C57QB?da&3 zl-|M41#|bzH;B>r-kxQGh|6lI8kv`Om@7Nm~@|2whx#F=Q#aja8`flmP_R2H5L2Uwhe zlH-v@QXqu9sDZ^fJ_SLF^U{13W;{n_>=5ukW2e$oC81kt&`;Ba2L0695dEpWA^JBZ zOj!}Q1n_=3Q=oSeowTYWq?*b@2i#F-#y{WJBb1y_(@G^7e7vVhgbnnY5xe@xe5RWSdSG{m z9=|gKcleWFKfys?Oi$iPGEYz$=a6}V`d9+>u|(wwR3+b~rGP zB>Ua8p^S+ZM9ByZMAn@3(3(kSP_~REarvvwqlYV!BGxF0E+~6;9k8m+KbXB1pD`Pm!yZpunWGFHBv^J+!3dB!MXW+{MMu%WeH ztx%x<G0q z@$e8HR^nl(NoN;yBG*ahDI(1Y^Q?7$rkD^sGjkSNhS9c|jsKlz6p35+{Lf0?WWmKG6I!RtI1k{qfRw;c-Lrp3&sNaZ;&lQ);Q7Wbm& zG%l7HDl8DK@hNhsP&x>TVD<>a&jE2J3eh+(frwp=SY@R1WsJFCo;NC41H%DJ-f7U)PH!m_F+gcfW|%1^QhH- zOAsZ%!3U$P-q)oup_>ur#ubST{F+@MCU(CTgZsCfs} z@%=>yKj<@w!nEJzr zyj@b+w)MMKS%ZAns#b*McRky}E5u}~Yqi;o>Pf~jdQ@RW((}MN-;`JcQCnRZ8marP zOu20mxG`*_aUrEph(uCv6s9H;TYEh2%7jFGZ9Af^F&-1VEH+JPvq+%!9SIa}DOQs| zP=y3pT925gbY+Ex@L~HX2`E(Jir7n|H! zoV-AnyDEM+)Gkzts5jdthwutu(xbseybw5|h~Z>MiCN3$&d zT?fJb6O8?BHLXq}24`{+CkArNbrmyWkfwnt-&JBZr!h{~@$O$Ld4atCsGc(6*}Nzd zgmib6BQcF2W4WL{X7GeCBYuUfWFIOygi1gsE>b6@NGZ)1Q}G}@Kyv}W5r|ali#WlP z$rMrl1gi#qyJmK(ml$o~AZu2cK}LIcTcg5^qY!a+ZbaJ&GMA589wP-8D}@7%TcS7G zR$13EoI}NX7Y+BMN0A1s3mt|i3MX9`dL1KZgW23dnAT$7{t`Stu7#3qkFzV7Rfl`C z*=`B!_+}ET4AGMMVJ)d=L~c=9QqRQ7-PKS!bJonXr!|Im)fknqN@E&$W7=wBt;RF} zL*PtaHX(gL{UmQ4VmiA{F~)N7{tr^{bN~fU1Mq2H2XYj2oe>mlG@B30VXz63U1u#B zp*ds`G=($Mlzm0mPVqJxwng+>oii0-zOMB(NMBS_a@18(SBLnxsv6g+imJ-PIQG<4 zQde5lP{+e8%4*9i>_I*p|J=mZBlw&ZqE8y@)WTpgEVZIT%8jBGn<2vQ6>_V~&14k%{k-frUV{|(l7@uqxMPMlU`xL=Uh469>FE~wgam9 zX>$C9o$|J0xJcc0=xue|(&q@hczYzIrylj5On=cFO#|iaQFzh-4RBJ3c{laNy8l@9 z(E-&XlJRyl8V>2X?Z9WWrTtFd6?V+OSMl5)6Hv(*)<&yAIvUidG>GOmx8c&c9m6Dr z<1zyGV@3BuH-m?RPhHMb=P$b<6WG`1}5iN4~s9}tT9FjRm z%$iC#V7Dhz$tQ&)_VzULC^ZWI62EJp#kw}A$r=QpdU0JXbEai23 z26%H)Mn`b%bz4mZ@)YHjIQ@RQzdj>4TB_FfY{=RKO{q0KlmFVUu%rDCP#Mgen_ z_dxTi>(R`XrKBwbumpNSG*1XR#lW&e=JV_cl|IiK1(v`6hFD#@Q4nm+6GenjQek}{ zT`m>fDBvrgJuoN(Fdzidfouwp2n7lZQ z^h90+rF+26o)I3T;t(%J8F+In6J~}FC$_pl;8mX3@6uxgjx2UYVtdby&H0FvA88o` zE*AK!lpd)N%G&bIVndcV7bIKB5F2YM>q<&psV*)t^IWBk4cK#2UX$E_v(!&kxJZtm zWi0;yEQfFqn4|?E>pi10=<3XnM}uFfVDQiBxT8atn8trC9!4WK-+R`` zS&#(J?S=vcylpe$efUG0XfoTAp_m<_aP&`e_*8}pGi=2aze$+V-_Ej}emyuGt?ew% z+0)xj2Wg{w7@Xdyl;4!-Z5AARcHNW7CPN6)=*eV|v6Ek~r!TBHMPFIh;6<#QQ7ldcMj9r5Db#1tkb5sp_6=`gouZLgDQn!~1;>kREUC z=a+I3D0Yfm+qYikk>clYE1!UXEmac!q*kflzYOVwrKv(^*JCSh7z6KVRMJdVja zT(0+o@|!id*#NWzmaB2Q(GyCuyCxyI`NJg_!pB9|Pjcm~xhJ1G!n63~vz`GlW?f^8 zU)Pu-dq$yzA1_H@!MEMCiry=PKsRwgLcWq=Lby%gI6_@4zo}&h4yz$XX@XM2HmbpL zS8*X723NRaA=|QN1ym8pN2QAGntrjZiC9KGYCG%bJ7x`Q%nqe7=uvj1Eq0G$C3jl; zK{&EIm2%25E$>h*)_xE_A1?0 zw@36S(V_P7KD3tve!tbDkbkk~07HwAgg>q4peM9j?NLQi;-xqWXK!$EETY(h9=ITT zil99#L`#9_Gy6f7I9V;-t4rTKZ!k|DGThT^RB zj#=EIIP*PEnCT=G23F_;EAcw5Zuguipx2UN-z2m5?u2;4&8h6QXZ3FktQPOxfyE(S z2+hYE36`*ZeKLmWI~bUxY4rnsz&ZvK$G~dfaz`8TuxRZR7BaHxO%EM4-7ywRJi`-cl20+vj8r4TmdS|X27soyR;Cu7Z9fE4al;0r0F93(-da6W6_mlnPFr-|O5 zOK!$kazjp|TNqDnZRawy4VODTk&^TKhY^`hW3e(r1aRIV^nvn{!g`^Ij+LCYk^@O0 zST{@v5bZZzsv{|||H<*Gl!9HB6zmp4VAZX1$(DjZA$XH-Z5gIO6kW6rLa@tUZIf&u z;g1{(Y+dyKg%Et@n@=vrT2eqlz&>0Ec71~Ty^0hR2_jz-3??ExRSE*%v4o%-3LsDl zST;RU1$o1y0Dy#>4_8tOluT9oEFsu$MGlC%vC1ZyB!qx%S>YqnpHu~lZB=j(Qm{Kf z6{xAIU)}3wQW;zoggKWf0?(TIrM1GEr)h-+t{rg3C9C64(b1~Xx{|sxa9|uo8YZ?e z#+hLG>s;bj*P+H593NPVg^{X;Gk-4SGJ%Vg7)-F}cxykGD=ZD(DiUJlzEwPoX~ls; zMQ7yr8#&5LmQ>k7bsU3Ac~MhEU2Tz6E$j8;134_3=2nUScu^7_C?&M7DNsmw`k1Gy ziV_IP9!p4OFc~COQKG>A6RKj?aMWF=O6uWmZD4+&Li(g{1nnQeKs>Qc+=a13Of ztNu()+2J}IQz|wN*VLYJHP%WcrG*kKl|MzjaJq}~9Rs6~rDt=Tc{*6_9tiVR{whMY2cA#4YkD=f`|AK1f+<)Sy=XK*; z&bDUfSY@@a2q!@`R&Za$tz&ZLbY%>)ps$P(Gp8$Kq^`2PC3xQv9*%g<7`aK{${5S{ zdu0qC?5>Ql{d-E$8cn~fAp(zO5pmzD^+Xx_*Rlq{t9fM%^NHT7RoZT+dz{zSoOpkJ z;{65A5bAt2o+vip*Wmg%qND^VPkMbI*ON(KFI03bBIZL3%o*>J~z7CGIxqL8=M& zQxoqaT{e-v!84LZ*amz~YPK+*0x639NpVU6_sdL%N;gTdMfy{L%@343rTM2c2i06X zy$UNsz33(;2st0W;`g&KDva*DRJbv`TV8isZ7 z#&U5d7^?{e{pHA|4gm}ZyC4nGLZba2^0k-`H*>0exY-WrP|}57;Pd_qo-s7K#?lH^ z_~nSi`_BM)l;+W)G{;G$`RQ@7sV(|b+F$~ve0p5+;x{E(lI0_zG)K_hg*d>w>`1(i zQwuuLwZ{^Pk;b<(TB8e342?zX!Dv=-Wa9lrcmVQK#rA$=RE*()gdj-8JrQ=rV^Ccb zRXl+z9+`N5F~I4XXB^c7cVK4>RXoNM%POV^V-a1cU8emGV?8>(6*=UgRK8`cbFwFn9bPn%OYLh8nJT&;esUn%Z=I*yzhm&xF5&3?A@(-B_&c9_1Ndta--EJ#?~RobxgMcrn%!TEyAz zXwP^86Y&YYMtjBs3}1tcl1GVL;wWMRoz#h~U*nCFf#tGBp|{i;?X|#eU3*idL<}f# zRvu5ttBFyn@uLLot|El3<L#cwq(vsaN%QC?1v4FYDc1 zNHPkA|JtH_PrSLnGIj_!d#aGqo+afClm<9=Bb*ZoF@>o|WFKV@k(1kGeW1)4o9to- z7+O#3__{wR5ZDSkeN6_c8Jq0y5nkZ>Gfj+*@nV7$UkvH$g;m(Q&x5^OLr-V$k3 ziYlXDm|@i^tGsmbMN4*302P;Lp7`{Rg%n=bTV4+4rdsP=!w`Svg&7JI38Wmh0n0Di zTMP&lo_N3`%Iq1!CFF4tc0F}0Vk)lpm}ioiCajR&W0FK4tYl67V5J!6KUgU%5=~7Z z5*p>mqw%(&do=F(kIu_GJU;%CaS~~& z1!>MAQYd`j2t^|gRI|38^*D5^bU42WGnD-FXUHB2=0Dr``f}5;4wFoeE(e~0Xh zpCRow)X!&!#E|{jp&%lF_x0Y(F^Z{fl@b$);H7rLqKiSjJ3sME)jU(FpS>@A|C}4a zUH^g15U&3SjQ*R~rrSKo1P|88X`97^%%|~ymq(~soaK~bnNy4CToG3?uyxK%W-E*2 zccVcIvFB`vYB-D)NI6c4wZX66A7@(bea1Rs-)@l3-rVv2J`ek4>kuA8!Y5IV_scSE zYUg5-aCIZR|M7M7qvlD-qeA0Fui$0WrxsLNz~5=@*${B^!GPQcky1-#OcVgozUYF6W)fg3m>2p$nMpE!XH2Jav7 zB#6O32eCsb`sH!h44$kPUmnMm?)%uxB4!1hR%^I!E$Cb~&@qPxT1U&1^`^P{B z``BboWYx`Shs<1#Mc8ES*22x}5=Y)&6HfmK#iRHf_ap#eOs3K`#uE>izq}e6FJ5ioZNwzdX@Tv>FsX{@Xfk z#Ud2rax7ka({B7JG(O8u2;A?hZZ%~VfX1)Q-j4Ps-p^22Z&BeS5N={runqG73dD4B zA4`y&tM8vKu@S}IC?@QID3r8`$3O@c{9CZ8MEc?#&}$24h7-F4b%pG zm7;y%G`Jh1d0dd5yJHn>#{N688LRP(Srw-bmo(NlV9`VdX4n|T0;60DBbHk&$mEKbm@zUtWVqc(;Gl4j$9;aV2BBuF;w z&5;&%m~Xq#fA6CA-JRfZ;f}l=cgGBQT#wL`2ac2Zb}Na@zU4T~$uo_e>$HL{rxX8I z+9&f|g!Tu!FIEWgxmY3D-O5k5DRzaqe+q*TAb(n|d1lCyd1O&x4Jt)HImApbDtS~M zSM$@OK}ttotl-6!(!bgoDvO^A32^|*DHH|vGYI=tHum3YX4pr6tC<7&Rls}7A`mdL} zKdJu?oYM!+%7C0-@{~c*zZ5xxercx+`XExa>u2L|@YIV(d6+UlkJCIehlBW~E_kAV zxKhR1^ARZfvpD7!_*oo^rdwE#2iEe)$V(h;)sgXIzSuxmBTAQ~=4Y_Deiq}I$pq)D zGg_q){X#;tnmfgQmgt$qj*3|$JrIZ!WgNg?AqBXSJotdKfRLT5(U&Kg$ee*KO75R! zNsrnepco;8e>g8}%0HZ!5H8Dk*w34jyGH{SmFSk%$ODG>_w;yyN(9=Ov;}G5U*_)almi)?tML(Mli+k^Q*h zBYYk9%!M_;v*mq!#532FE5(;MDAnHlhB&m>a$ZPw2$54-DcC{WIHKu!m#4@|s!_>4 z>4N2zs97eSQb}-97D-Z8BctDCnvZ^r{`%*SR^5deba(Hm`LB(6JuM9&HPN_`Qs-Oi_D*yj5Gf{ zHg;^s&)AdkU<@8dTWq+oWSpD1|IOe#2y@?4+gH5^D^HxU>F%#vRp*>Kb?VfqQ>RXe z)%-u76+HN7TY1+08Bd}FCZLAcxp}Ud=V$MOivVQh7#p3!_Ado*GIW?QZXh=!Ed_22 zmK&;66Ow&nu%=6&=9inn8f=MX_E|6DNz&q6C6wxH-?(i@s;}YiQqn^ ziAHLxHf}t8EuCAB7tjy*#c3pN{EF9aB2ts+Cycys4HMH*4?;bh%@R76aFYB_v8ig{ z3^zdBa5NpmFCF^6h20;6{7nCR7Wwd4l)#NRjR9Zsn=ScX2P<}~B2I)P*n`F%qZ$k7 zGS?e$l+Iv7XXI0)AO!@DN56qX3?7Po6Qf7QzNr%zgW086>6>P*%UrRRMP(x+ak?Bp zN6i_HJTgS!V7GXY=}Y%`$w}jBalI%TL7@PV@;fCPG1Xeob;zhXnqOH;_gUd^U6rSx zsHltuKjGwQ65Ux&3z{C;WcwMljvonwz}kYUUY3&Q(Df#pZ@4<0F^Vyt11l-iW&5JIKQ1k$Ny=9U<@ zFKLG7kI^hLBAq)7zeVNZ70P7>>4cH=GT9>%XWT#x!F2lBMKbJRx`MvqIQETkw)OVU zXK4=5ESIQ&;R0hY?w`47Zx0o_d$gf4fzV7arx6ZpJKu;lrzMj=H;vxXX+w70zBz4^kve$T#*RP=nZ53uG)+f9|{I^{j>3Q~QdoI@dqgz03ly2vD`YOIDz z)3bBMEIChxOTIUs_%lx*e|(N)!+elEX9(9byW1={cyaD4E9DqJVa{w+(O3kW$ULEe z|Bu7pLCn(mtc}QdhI@6A=TLsx5e&u?-_8(W zdE&pt5yCI@!C}mqB$$XSxiQb2sZ4Cq&Y1Uv6DL3@xCWqUcOjpBapadlL7>Bp6murN z^#;&C#n!2*Yq7)Cf_?5AI4&Gg_dR(fuSSF})Jq&LgH>K4$UykUjirnXY8pH^H!Yn2w}^x z;{(3x?h6DTQp|@$@FBm&SVcCV2!kJk2Z^As2A}sbw9JQ;4e~?7oJBZR)4H-{Bo5fV z)iRu%JuC1xglc?;(lBFu7-P->W$}zMqs7fhi(#aVVWbTt@5EX)9zIQGO#d`l zDE3d270ZQ3s>TDbW6_4O^l6xL!S+VvM3?T=Cn2nueGu;<7DadphCv{v5R;}{H|J5e zt+SW;HxNmI`ZsopjjkK^Wzii~tRsk{E7-{XaD`#chueXENvkpEQ>%%Sspfowx*pFr zpP&oxS|%br2A^8as6;7oZ_Hq*-k8DV>x~)kyRcuRi`u?j{^oGHw;mm{o^{O45vI3M zc^clBfsVO3LX#OE*BrE3N_6){t_7Hl?ok_HXL;<~zAHVIMa9_gD%%^BT?x&&Is7W# z;!Lk$dc6|qNFasRK&0j4Sasv4w)SA4YETUnBj}A8Aq^bIDFw2T5Z6RP{RCd4OfOyj zEm}Joi1$e`h2%&JVJDw)b_mGG-c#B6~}{6%D-ZZPx7?q4nlm;yP}298))E5b_)ya7VZTF z$ZOljHLQ0n+)GU{XnaTHE9yzXTgx(=JX}qQ8 zH&sK7+3;kY!tHoKjrg7=)WQ=qZcm%A-$@~$&HOKVIuOJrZwKxEvlAT#Uk1g9nlXCVl4ux%zp z>>T)hScHw;MB+U`SeydOcQk*z+g5Plpq_&spA#*ZhBF*DtIZ{}ip(Yzz9oa1KPken z{hSU_e54yQdf%LsH6Km{~@uCk9deDdhDaYsNsEO3zP1ThD1#YE2Nx=W|F>NFUI8~+$YxpM?w6ImAq^RxdvCPR z+Ts?>*;}!u&oF%o?cjB$&oqMY>V5d#O`I!{QtCpQi645l%x(>aEH81jEOoUkqmYbS zVCSuYrtfPqzn76Iev8?-Id*6Qj`+|_Yl;mw(+TKej5PB787RwJDUc}))mtfsxtvt; zHf7_+eIM660>JmD@oxD3G~2VH0ib=cTPw^|s=S@F`>pln3by;VL#dJ9t!I#ax1K|a z{oQ&+O6{NVOD&0(?Vtq{=B@39nMUAtCM#mz=Ml{BivZ^L57>>-ArulZg=RX{-lfpT z{ethc{z7cE{=#Lqq>cBB2lWmEeI|Vx=1KyvTVek`-*}Aq{u#jvd*iWf6CWo8;3Q!` zX|AHmd%UmgVX>RH`xKf;aU^#eU*lHWYr<;V_}V0!73cYGxUWy$?LI80Np2H{N8N3w zmTK!=&WLvph#BWyJCxgQ%5A4OQ-FNlJQU=1e-#R%AYA2*(IC`n6!bUb`4Lq?mbXdP zQ1&+_VHD<45a#V@bG6w=>Q_}=EVjbnb5fI|p}Dq_0Noy7u7-%;?vGcDxjNY}SMzx{ zM(K#h!4v{@6%uuOpg|duU&&><;r1Yq6{$jj-leP6ZV%Elm*%_PA~d5jw3N&fN5;Yy z_w>z0RTbrBID^fOC3?zn5V!bX`LStO_J}{w@!i78@`{S0Lhg`Lm#$GOF_3S&%++Wl zed~9X-tZM7l;?dVj}snet}&x%0Wqm%o2z9z*+;mP;_D-fU;a{De=dpKK83 z;3pe;RGzmtLwWl6j`r;=bFE>nRSSbpHV}KVb;A=OLt-8hO6(6ol}!$e+u7z?Y9?a8 zZf^oUEUMjX-AJ;lJptyN;uW4)^~R3X%mva-$o?jdKTMuyGo36UPa#vemXuv%-F7` z@ix(qaik;h-xw)%Bh2+?v}6teC#f5uAN;iW(=c-t)X`}oW#qQ!@u_d#fxLyS( z>Fp{L4kV&3jz^wOa2VGSdfGHWOdQo};<$>y4AvzEH#d z8D~k|?IU2w0G%QDX@b^6w(bQxWDM&4RW=t$TFCOb4U_x!adSO&I>d6Kj75!8`U|?IE7?G>t~?{<3@xZl z7ER+(^1RKFQ|rKzm_U}C(r_q4HH|nT15+~sIYJCMc@=MG8AlYm&jGfb8<*2{P6PgT z+}A=;rHKwl1Vxo5_Nn_Ayda1;D{{NcT#sOqqznovnMAgFV?2$_2~E=lTYgKCM<45b z7&tQh^jE{&KtppzK%GR55HT{ZQ;^Yg)JQ}PGI~CqC`U8LGf}H!$AL6W;p~vtRQH(C zsWD!T%mj0TIYb5L5O5NH{dPrdWKIb)GS}KY@NJIFG%+$apaVXhh>`j6L~{clnI0*Z zA19GqP7CCWu2eL+oZ)Jk+H*l&E~g-@2q98`!Fg2o@g#6)sFX|2eHg7u_PJcL>2iuK zm+Ne<&_E@v{xMYT$5YG==uxkPJr%Hr1#rLaho5i_{7D3F`6m(f zVoflesHW9kfvaUNJsRdl_-glZZtpNRnsHM8K8YY^?+BnSRdG8X^@);gHS}h(43C8r zzPyQuDzXit)>8n}QVK9~C$9GCvkZ(8(e2>7kRfH9zZl4h_|bwZ zP}3d@Rur-gBqffP3yV@B8OY+gFyhdl@&`8&!3<6_hg<=oym>l|L+o61607;`DEdhKA$W+o9D5c z$cTJtALFp|BM&zveXLoomMTiFL4;(O+ibpWyH5Rol9pBsw*o87Ee-5P^6JL_9Hm2M zFtL){762CCF}4m!QCNpRk7A-AuyA2mr<>S!xe-SjuE$(0)nJyZ>PJR;*Y#_>&vOP=1}aIh)@Wi7jH^#!6S%p)c_@BlJv5B`V?4lolBM+f~)Lpt^5w zuw6p$uVXz-b#ZM-3v#%(ROxk9RWYY%X#bpNLpG>xwZqkF&?o8=0}j#4+|EW1CE=#8 zv_);SoUM)jY#KuRu{DSv%h+s(6#!QytVoS zMXf%?h+pxo4a#ux*=om(!Clj9FF$`6d9Xi&pzH*!odl~wG3IZ$f9Y=y2vC1x`1C7IZi_dl#<}Lzo*xuUy>H*)|#^;-Skm54A(X&p#ST|qD{@VLhS>7m ziU~BpB0(Asb^aU@RJeM2M^^|mmXq& zVSN}w9sXGnyEnR0ITnA2*eYnVAD-aNO#b1Co)}d@)ho|?fdvfa&f`yl04uGypnH=n; zOxxwBasQlAL$yuj?L7jZZh)O7K>UKsqwA)C)Ug-H+bvF8PDFD`1ybtgOM)&j*FDcQLfON7C(hEm96d>hWL-U zobHU5>$cw=Mi<}FQe8#1@l!ir_X%8Hs7P5CMapKc!)x{W^bP5VFghyqYg39@V8*YP z>Wn}`(>JBqb8X~b@-q!z_j3n>K>%ISiu^K4L0nq4x3Y+G471e2Qk)PnDq>?LuYLzj ziJto1Va}`H9d77Bg6@nr+`~KtClH-lR#buwYg}Bx0#Nk~7wLasWI5=XJ(DJJdSC+2 z!pcwawTxjFV0hdaPcO4zIb*~kvw(cOcP5wxWUJnRNq;BN#MzhvHlH*t!*H%?9Yf=p z_fk?|FKMfto_eWfy}=W@#>!qwU7nV;V)?pst(%sjn-bJQM0V3st(%@t;KRJ1I|Pn9 zU$mJuIVFWUYtm$SUkE$co$ska5HJP$LJJ`0CgOr|16lRHd~_{Nd>=wgA|i$Hy^Mtd z?>$n95SeOLnpNb2$3t?zrCvG5dxduj)@FB-_~XRuc%IDqz)l*a>tl2*jnQLbjIN-&M_b1R zjnO4?mGU}8y53o$^-s_krO-?`=P*RYx$xk@<$dLt9HYz4y{1R0cmhphbh!q+S7WrW zs8?h3bsk3ix(p+J-3}ubvmsv&Qv3e<#%Qg~Ktl^CAf`8SFCV0-=3X{PmzwO4NOyQ= znYkAmF?;zST}gB9I2xlJe2^{|>lG*OCY_VvyNSjq&w~*%xU_ysM?Z~~s+7T|eoEK+ z=?@sB)LVa&LCS)Hzoju+JCY4jthX8GmxJ>VR_Y-iUMozT8r+N2Zqh%XM2l2s|^w#_=N7PVd6vI7SRjepns2Z zyG_~??N~s;o@h6?M70}lh12`n<&g(fTuc+~hAOU^YTFH{{)xc3-7F-WCzPchcNh7y zf$#1fj_>Xs+t$-0c%Or8OIPb;SL+l>>0@eYsP~a#+h>?Xg!g3Dwr+p7SxnETlo#jM z)tFj7FMza4-_XyNu&JL?P7B{qNEzode1*wfLrv?=k_>`+T0#9c@;HTqY6EqKlnH{G zY3?JSXAo2b2F@kd(B}n0)&BWX31509UL4I0mI!!;-erEFrcH)f%13t=MTED`ff#vT zIV0!6&1R`NLCu2%k@V|kd)!8;qpCpfYMq0V3Z?K1wa#S)v&~Wn)8_?X@#h6*DO>*# z2Kq`<`&oO1`bIA6<~MT1vhs~wB`3ly#2pz_)xncAkj-0CRE7j^dvh!DPaG`IFJ#Ef z{aCf`C%&9h>!|;LSqLfmk0b&9KazsM*D>BO0F3sn<^h0cMux~{eiwMt&Iq`LS{Ey~ zP>@%sX@@D?LKoJv2twAklJ~+Zl&9Q6REW`ZK?J>cE+FWI{bIX?7)?;@V4*ev?3+uU)^}>3&&Gphg%`K!sX3d3%^^kdpyz-wH zaIpBn+w72%unT`qT*;IyLqjbjS4G>rz+iA~4IOS9ZmYGRX?Y}shq+LI$ zttD1yO?S1XDV6f#XvXE37e{lI^5SSE3%`zkQ!xgaDo9X~siG{n>Wia=Hq)xU%da-^ zpvpmnJ3fCHx6{{Ulf&k7I7`ET;K*Y&5I^UM2MEL=jvQPFsTq#$`v>^wpsHmQY+3Jv zyJ#E3j?8r-FkT!j#({?Y@A#@S4{oK;%Q^b;uvJ3|f44v58evDq5Q9F#)C@=X+od+) zxcr)`Vz<7GdLGW?&r8iRrZi~pi@rhx8WU*KIDw163A5asBt_s#DT%;|^O|;gN**3| zHO+A~&B6w}sCW_;CkIwMsaJemY=8DcEqA~C!a@Y=REde@3U&#gORkg($HkRWO@5U4 zoHQK1+W3FjW%#3N_uZWk8L4n%U$@+x5{O*i*S(p|fPCH8wTzJOEkA@aYK1)M2Bqa@1CLJt;27Uoyy3&e3sSY(4Is21D9z0)wWQwrQlnMxrpPhON(fj1NF!q5=rXS4zbc zWJ9o}rGcl+fDac{*oE961-hZrVOC&8Ue_7H+^LKb1tyfewrc3a1uU4Vnr5@LNe}pK z@8mn&iA(tV7>Q%_h6{Azr0CuLb+S8Ajny?Pyp0~FE;=I{%nFkyl@)XoNA!&iA?w>P z_?l)V+~44ir2cRqFLfrxmUKpZfVaLHNGBl9WYP(Ue&Q&BbZP>s51)kl8x_Rp`QGF<~B8!;fj;%nN}LIl9BC&}JU)OK{-8HJavAj&9_Nay5CG;6zCXx2hjQOe$jt z0YO}J(|q=6R+0G4!;;UjlPom`$wS24A_KoukAwfJyNI(CQ77IH+>YHOOGsZ)#inw9eV6NYz`?6@b; zadUz@PS3y;*y{uWk)oZ$X)*WtF?yNhFq;~&6NvY*^fr#(#(xbnDiU*Ob0lv_yjg9| zmBMw97!j|}gtZs8O5ti<<7&;Aq$(z$;yiJ#pfiE2xYe#L zn=uIOm`v(v+&5v+ROvXvFs?TkggKT>%3=IBVGxB`S;8>BHyFem!MHTxn=oh-3Z+Wu zMBvspbLl>hpkWvizX^jV>;dpZ!hk@pZ^j^I3Dyr2zX^jjrA#CY6MKU}*axf)N#BIQ zHYPfg2t!hDFo-FVVVD#i!v!@SwE1)rVL+0xYBeIKyoDh-Uz}j-oTAJwF}SXZ(WOSB zrfa7}VB%)>HSNlP|3kiV;)Q6G)5y}O*M~@mc-6O=d>Dn za?{JKhE{BjqLsx2W}hq&{uAeuV~GO&h;8k8o`((>+%zq5HKn+k7Hy;=hI!Q6IDzwE zzIoJKAhjZ{CUH$_T8yGJ^(=q|XaCO<)j&bUJvo*s$|uKiPCq%;_NZyvZ-Mlvsp7)e zdUCAlntM(?=ArV|q5}+x+g&_#U`bI+#P`oA-fvTYpXnG?>A_#49q-sJyd4(Xf zhRq{Q_brkbREtA-6j1!S!Vp?RH9;G4i-Qov*qx?Y@NWMICZ`@q#$qEFfmTByxGzpr zQ;j7-7$kyNtrs*+xx~l|#-PCjXtpxg^O_ zdLP3#tt)*&NHs1fzWsck%{LzzeTjHYG**!5=VObYRKq+@1BmcXa$Rro(NYK&<&$G6 z2a*^>s>fC1H9Arawixos#ZW3&kphOyswFx2>V|lG_BwZqNPlqfrh+*hVa3Y^zX-vD}}VVI*wX+mmC%waFfL8g1D`8(0I{vOpUT7;V}+73^A2JDmBi5vy_%|ELdfTC{U3iG8Md zLVn+NU3J_(R}JgA$$G~f5v)~nX*kwyH&8W=dTt8!RJyAPTR)u$wmZ$$bV(ig*IBT8 z=T5EfZXLOWWPD686nrJqS~GVVuJcXlwmk%fdeDKWO*WD4^bcTts_LaMB{kM#kG?iV zN(P4;OjWp6?>3O}9(&TAyHrDwAq|{21nY}Tsmuv|jJPxAo`qf!0)^GGSvMUd1qh!B% z##|^}1Q<&Mm;J8EyLMJ(p<94(HSxn^;^Kmhe^g9Y&<-36@2W57yV2$u;&m~-Ou9R& zvzYiI_w+eiO60DYdwDU)BzN!b+07YRhR2_7%SmxJI-isXyUmV*HdS7|7lLioaO zyZsZerGl{qd!&pn2x9LnG0)I@O)fK#;6fyFgNK5Wyf?Y8Is#RS*fqtS`&iOrQIDib zk3-c@D1v(*#A8p02SL@Qi3m6$5!e_}+$#h37TMf$N~tQ-`sJ&yPH}~cBe8+(BP2X1 z?N7kJ!@5}Qqt-I^6~%Cd7T}lt2q!;EQit?%opa2i({-|21tEyb>be)@|s%l2iQG*>$?K~!ys+>YSVU6DP+KE7n zJ{9~paN}}H;<`M0dG7Mfn;`+L?W8{rqxDPl*0Ue=`Boi0Y|3y4!b!NFWgql%fBQ0U z-jHMHEcG%Rot?+bvxa$=y5Qvdp?x6iAFU5Glk}t^R|43s6PiCRqz~*>fMy1t@{oGMYB*(W1t(^emIxolA^(ZXA#Z$byQDAVDwK~an5FgL*_auakp3@hq7 z?RjpZ{^H%v=hUuqq1wRK$f(HoIXBV5zRO`n0q|VB<`Jv1n7N9*p6ej+_^lJSFw~in z4+Uv!>aGYhAGs{>Y4y`M#-+L|0vy{M$T25LbrxqBhC)9Q#V$~NA4aA1Bspn>$A+S-?RI3F)BHFb+E=V!j^Uc}lNPAu*p zu*IS;alWr%^|u9Gh(%vCGCQ!55OnZm3v1X-eOX0iDfg0YZsGb_- zY})GZC|J~}6q5Ctrfp2v2N+fi$@(@W>tA)_kU|gUht{|OLfE%4VIN?{0P-Td7Bk>G zq_h)>OTX$~_Ek4Ot`km$+p}U<3|&D%)W#UrAe(LA8gyP)4A{0kkZmOIM$-Pbuc}MhwVx2q6?z&X2sg=6ygz!cJ2&pCq$m`@ODC`Vu=uVIJ#mX9_*$d z5|06v%S4dfI5}N?)y>lnT-LYy0~+aU+R4R(!D15^j#qS6n`g;bzN?2 zu(^tL5_tD11!Hx&HL3p5c)o1L3F6jFSL+6BH}wPWFSKq-Fs>trscVcuLA=SB*b^L{ z57-aZ5N~roDFg$pA!de}CO&1M7R0oH8j@CidJr2lts7jen;gCg@E)~pl-7j7hcAUc zZ(_P+kYNqs+sV)|pQ@0WPepvvr=_+J)izYRsQyM)--Y_rlVj+0ou5>>Jd~sfJc#?0 zM~d0d-nuwN>}!9IpOq)&8*eZHLMmTTPgN6B%cpjLnY{ti74J9{SU$$aqtMiT$0%TI zud5+xjfcM?u#&73`)R4F^jbRaDPF82`>x9I%W(k2!5CZEV zfxUn-35GS)+w7DAJG{XfYOapx~LF3IL@mY8iujhN@dz%Y;Q=@P>nUnY8nd(z(4d{f8}GPVa~nd zQuy^@uHjBZPh?}pZH}g1-zo@6620zpB#eWc)O95p))U^j&C#;kM_EspYvg2wUaw+q zXzKV;p&c*!eXGL>J>+WLB0FAasw8yWR@QN&fkoWf4V#a^#tAR{H?)F4WCJpT;sz8F zX`Jo@-FQp1hSB##yrzn4CoX%6d+b!DBQ*UrwMYFW)<&A_Xb~Y@Q-c+l-H;0k4-}ZD zzov%KUrIn#9Zi3s0w2opkOKQuw32SF2R<#mpk&Y9Tm^wle@(4TOO^`kMr4oz`xDWO zgVQ81P$+}L>aWK)TIw&7e(GI>JakQEqhJ6@@-`vKGj09FC3&V{4TmHTkdi#!8g8!D z^cP>3T^_G5o&#sxZ4Qr<+sLJd5}?3q6Q`&_OXQ9JqBmv-)Qn)(BYrt=nm!3d@D> z>qv5Rm8LadHe2BW3K<@=tq~qT9!N5=Q^>>|Te~?t*i-77V_0$C=7CZqlB_s$-BX%& zJ1YFcm$ie}Q9>x>thUp^gkpyj3NfqAao{BPPS&fFfF(e?0jyweXoqO*1qh>cyQ_5v zgEARVNH*a6?V3Y8L<{LVE*I(YrlP5XsHwX}Q@ytM^Xc1bSR=j7gJe^uHdrG~eo&vW zSS+zG>*=V18oHZL-@Aeu>ZR#>HzRQxaOmRC^E-T6njkfiz3ZqgH2|P>7pp%V^{Gi- zda;RoG@eFVgJF_4_hScTob0_n{SZy&d-DMHLkv9*WIqfdfA=!xL+;9+8X>&Mwe>5= zX3y3psg4~yCWDf%?ZNS!x~?tOs1FQll(%siv8k?Wt2N5pz{CMV!YM#()j-@5w`pSa zljmy6#qA@c*eDDt0bgrVCIn*FlkWe&6WBN<FagIW?|MAg7Lc~s$YBRbKEV~QbSMFEB8em70ZG~gvlNfQ+pn?OW30=mXKVjMprAUe%>bfIlUpIpI58{f^8uP z^sAKZeon^F34=iNx5j9t4DE?fvtM=ubT`hhsd1bJVzx_S`0PMxI!%+h0A<)KVuVo& z@|0eb#E^LrNDb-5>olf!&`ay}b*$I@G}{I0#hn^)qStHKY$x>MTAA7g1dv|T%j>B%tc70a&)#NM4yjKt5KG>mp?49)6k80mpY;fB%Xpkbt!*BPo$5F5Y%el0L89K`^f z)YkN*KG6gpK}@*6LyVzKp<^hM#?bB%_Ug$t1IJJ>^j-}h&63>J;{e(SO4QYk_5j+b zH8fxVeKRFtx>r&{-5W>>jUHyt?xH`j&W3m1#}7f~vsKR?!x~L{9r@H~nB?dTaY_MC zVUP(0%@&Y*_|{%NTlnm;Mstg0$4VthG%`6%p3|`l2?!2c#2n&9((WE4D>BYX`0Ub=g?ZGR52*hYP7o!3uM)`*2 zCNW~JOMzb;Y=j)C`A5_Ed=W%4i!AyS`dz@pDBp5(QOIuy7Nb~_O*(7YMQKB15(r(4 z=nyT5QJyVE?7rl{8UbQ7s&ToLqg|HUEYSHaT+6ybYxn#wu9wOFO}LMLd67I`^>VHd zBMstiK-?P!A}+8}^!sxIdN5z_LC(SO15qs4Sr5`x0R;hKG}ms{9uQF&hKM~AG%9p0 zlyJWwl!%zH-T2NB5}{MM!{>)=woL{m$Ua&@*S*T zk-=C7P}ReR6%Xd5@iDfajj?LON}w^eU$E~0ak4# zBm;6fdHIec&f}LM!~6ZZVPAnB4xY5ps2Wb-OlFMfMLo^2gnBr0&d3)P<>6-=q3Uey z$PW;DQapX4YtO+e?rJ)aFyub5^r&HZ==;Hh`$hPQ;2sbEp*Sf5``^W`rV<0cpTO_L zd~D%%oum!`2jutD;|M@;5=L{eyT4}=&%dyQ!~=%O>jufjX>FK1Rm9a68I(nC$7F(k z8eK&x(-D%}b=HcnA=n4$WyMoJ(`DCj*JD{5ryJwn};O1)XI6 zV%T+SEal9>hPW_Gego|X;(k*gcBg(*5U#Qt-Ytx~FEHxHQL519!ALnJ4;WpU!9ZLQ zx0A+R!R4J|57k~%f01Y)wDIZT2yjKpl{&ymw8j%8>>m$dqwb3tY1Ra@nC{4FE!N5+ z305<<&cB%PCKVs5A%12pL;TEILHjdn!y)zpaoa#6Dw5}V`NC@@5};w;i_OD0VxKk9 zEMa)}^P*HzqV=7p(%9G$4EyR458lA1;5VKWUfU=0#VT?sB4h9us|+iNU<_A1_SIML zUV!WP6r|%R9E?orv`lN#b*euC($!ii$hbL-LAW_g0Jv#~K-wn37YKH#!<#jk>c`o; zEBCQZ0si|t`AEON(_YGHwR6$yM*tw#u%-}zk*Wc44fzZ}Lp}%4kRMJ}d_g1TixO)p zRUV}{_*_@ zr!>i)wr?e{b<{nIxx$+@lMR}9MMV25MnwB6&VktWRf-6$_8^C=EeZe-0+CEKB-lCB z{}x^#bl~4E;sE}3k==o|YP~m{&=0rh!s%uxH$i>eKfphZ%KtExSN?~ocIRo8u_N7v z=%{T z2>6Lir}+~*ou)YaSwjG368SSNy12P{ysBK!LpJJVa-Vv8SI6ENyyvC5-s=|K+T4r~ z6VdjIJlF2U!(!N6v~!|rm6w6?VW_{%u;$kgfJA7WiBpk)?_S1W0qISiU-`{UApvh@ zsxH(ZWf)GHZSQ4RUV<~R78leYHYKtxj`R!mbnhYd@ZKhC0sS?}$f9E@uNLvlP@9oS zG9q&={=UmvNbd&zsPab9U!zxVSdqDYj$ zJSul3$1XP@b<5_gY*i|smCC15NLa3*4Nl#jot~S%VR`C0dxw6}yDq;fj zRFUYmr;5TcduKOVx3jOc5Uq*bvSICp%$yCmXwt^a4OzsXVrwC$(|b5sbZ@)0&`&I% zL>J<;#mgyr1g7YF$FwQ>Uw9&Uy4$7=5k&Wn85Z)R)!jRe!&^8TZ~@cwz2oYtlGjHt zGG8CT2iEH&G8CD%wTbe;NVZ4YXu7@i9!v^4eBL&i89^8q3XITiua5`@LkZmPogz5w z(@G%-A=QOshE}KAr?D^B7SCG+qa%elgFAEY9ko*GRrMyG0 z;=DbGe0APc-pW_!1ycv`D1V*atwjW83RY5eU%G6bed$s>dkv?F0PeLC4(+v)a6ChK zSKD}_b|f+E%UEl%VJ)_=SWzGZzh1+wR>A-gY&VD`dyYkD? zp}=@cFQtZU>1b@jl~*!`jS*sbDHUS*cfYXPZ(_?Qo&q@S6rgK}d!GPo`+68FUu7Z3?~tBmxkY+Z*!?oLmTnrRk~Re2ONn z%yvv2>S~)LS6{5MJf*HzwhaVL>}P|VDFg%rP{$?XHHR9RZ29E(xwK^cayq@NWwgCb z@vhA?XaO@5d5UI!_5We#|H~9B)jS|MdWol#y|hP+=1Y4NFGQzA66q~`cfH75$4)9+toIU@)tVVWf!u6C>?3o=rYp>G+8~s zGcj29xl9unbrqu=o3}F%?sJ=^B~q*XO?ZI)oj};SKC@L zGZ&x?MYBI}8uVtZqq{cRRy!mK{pos>wl(T|G>w52NPbPXwH_>KTt!w=Q>EL1gJcij z&c&s6bq~fAz@<7jF8?K1`6v`$2Mhn*Tl)!{OGo$4?s zmk=Q@rea098HoJ0jyAZ_`x{%jjHJ6$ZBGAH5(0ZYEgZuj%^5$ z;kY@z8%`wT3V$%(ur{JQn<|swnyh4Z>qItHIgH8BH?i)BX&&k%z^)&b0aiRWn+-8A@M6rmVp zZ6J?X2EDEB#(jg8Oc^h-(^~(CJ5pkPG>l8@k8DTEjbn9ERJs>Cu~cO?wud~i7v$w*jHs_E4LQp~{z9Q)@!RQMD5f;g zZCfEtI9IZibPkNj7mBQGvs_NO3?%!Njav35(~#5kbZt2R0DyEoT^oxTeWApn>**fA zkN+UwV%O6#6!evp(K79Nx>xyqNw13gl3tzF)Aex=@*Vspr^=#|T-@FQU)%%iEa6Hz zi>{<=_SwV!Wquy~WpS|b<#Rp5Wak!MD7ENHy5{9D#!~r?%?oA7J>Hh9a*qdJN%z7b zVmNJP&EwmbOjIPtgRi8kohOaLd40KD)?%5(6r!Db+}0oY=+@mL_xKA3EV`{t@RD6g z*H%cQl;Kuj#g%j#SS|Os4w?1uLBrY>02be2aIyUo>gjT8n_0p7UtnQtixDrNvQV7E9qW1EOU>e!yqpOaF|eVBVnqrwgESex7v-QE9shP z*P}TNNi);fJlI`mZ8s~WL^@wShGEoQsL7*9K2GAe&(&69UrF~e*8f;fynKxF-T(42 zWp};LgAjcHRU{S#-9?zj$a2JkMVG{N@1>W;E{SU^VMbo}UeMOKh6Z0=)3Kz!?x+K$ zWra%;SlmT-xtcd$%HbWH!!d7sDMw*`MnxT?ygS-cga9B8gy)y;uILHMOF5Gils5+} zeO4i%l;s~PT0+x=n7joMF)s-!G$KAI8po9tT6h?jmAfO$jvPEF^vFv _GC)L!I& zo-5#LMA`*G?TZZntwByEc9t8~4oorKRj@H83LB%lD!7&5$b8>(Q2|2*B?Fv7{e-@@ ziauH!|NA_B6>abfsGOUNO4gSb79FJCYdY?ZNP-R2jm@(in61~^%ea?v#K?LnM;MD* z>omDJ0w^bF{&F@Q$Hr3A@r3(yzeP8;pLXKoh()PqyWw^3K88}$P=E~G*H*G0;5ckL z?r^vrXV_P32bIO7-+kQLLGjxJ4N2L%Pl7ya2mNr2tbk+ge*B)WC9dSA11;*Sn z!QK8+jxe1y>V?#h(bathn<*d61)omerE`0Lk2V}jh`7I>z@7zI{NsN>K zMHul4hv)TvtlRuPKspy*y!5lvS9pGy|$dEzWjE4^1 z!I-zY4_Q0Ngjq{UEpiFX6dww;>;Y0nzrIzKQ4I5m4wOfg~ z$~5BKoW5%2hAr#XL8qt7IsJ&W%dC>4hruQNi_;)#g!}6F0C&3D_PZ4f_n5+Rq`aV`SLMmCEIGn%!Na9#q%Uj=+$;XTyhfPF*;RFNLT9OrYIC4u9gZ5q+J)ip5IYVJ z2U@%Ejn6E{$H}?mA)Jn`wu4~W0(Rxq!&qqoah}C$Ez`2I1o2FzHHyyJoVj6DZua(# z>HEAk*N%Z})gfFvrcZ=VtIS4Vn+C=`X=7#i(b7U(IY*2me&HIy@?uZ*iHah2iJ)xw zx6Z>IjE7hbGah2Q^KC`I>-eC zd_M%fzoizqD9q+Md!(no4${I0v-~$N{|7wU;%A14VWSB5MAJR@Y-mG1{ z#P$JCB<@44CwhnNgCdTNRUvFo^d6f%lRq5ZJ8aKH+ijyAy@$hlkIkNW9**c8w)Z`D z+eQ#J9B1th*?FU&lwm8Cu)33e7rfbiUy+em8HZSSs(T5{@kMG~tG7qZeaoW$sD>+C7(;ppCBd%d5HZ8Twnr>3{q?CI^HyLZ@Lt+YAmCT#BB zWBbuL#mOS7&i8Xn(@8E_)^9@fz=3Wd=FT|=&;(0 z+}QS^T0-woqKe(h1j2{C_uls44Zddgpq8h1=x!XddyrgM58=WJi!BUKf5>kpmOND{ z{2#BSf4Do4r(+2#j=+Y+8iuEL=P-oSMwQb4@fh#UiLk2>wsF8lW&$D`9!6t2gFUs9 zobS6opvP6-=2dL@`1Lqzk9ky1*K{dr za|S`ByPBI<*U%%209m7=7&@o0`LA<|*re^8qU81ZQ3l!vNW}!M__~!(aMr5%?5~lF z220exjuJfj>!@&*_rpDm5SFj^8&-jj0IVZA=*Gb2^~%5W^Lc35BTpKd)}z}>l(CCA zvEG05=+QafLw0ogg;Q1`L4^lSSX8f#Wl-%JQ9oNUj#aUpVIgH~sBEEs#$(Zqf6bY+ z@@nr-POC>A0ss9%312!;elw24*L+qm(TkZ4_@d(}xEI=;=pAo!rZ8_`G}c+g<}umD z1QF)#i`<@I9W7l}=;PS-{-_UlFwNWhvx2adiXljkJoK`Pss9-U`bz!3(RMM$y@H&N z=&x7yapm;Nz8KYC;_5uc8>;GX52fpx$k3ykr1A^%D{${H{l&0K(0wJul1)mC;yydY zK!0|MgZ}JP&!Cy->cvQlE+lN;49^u-4%FoSG4Ncq4_2Nlerw)>^CP-N0Vi=Cex2VR zWnuJ>Jm(pIIFo`sS5%0R^!uZAoTlF&4e?xYQGkS_S*~`M@Lavv*V=EhbU!$n*FIc$ zt~9XRHD9BF)jU@^WY)TVhP6KcEWUe3HD^gNh_?2d$C(tU77|ks;H8#1ccZxyL9~DS zP*-~_nB{68!q3URIFLjuwl;-(0bh)fs|~a)!qq+ue~aLrs}~1Y7>VqkY9u+XvPfFC zUX`V;ptpnysDVDz(M}i)3oWDh^9sqrmt9_)8N#4kw#AX~p-%9&8?J~;cy@dpG znm*)Pmdn8ziLQpIiZWn=&Gwtr{gv+oF5(No~ z$;clh<5b?`8=UVgyvpU|y@gLE2y?#nJN#B28eG${xHE910uf<&=788H2FQ$4FeHe# z6pWv95F}(X4EAOn#Jc&Bed|JK?MPMIHq##=&L_V1e7+G?j~o+NAaCXUG|M_@o|65< zc>vF}5*h^7GM++vw8z_FrRZl-e-7%O4y->%UnTygK(DVtXTN71R3PR7#2K{!<1`>T zN6~=DR2zn?-D9u66yL-Q^4>y~&Djn>e1w}qSmeVa9K?5>Z%0BJJfQ~+;VV>7#3ZIc zu>%PoEpWI#V09OuuA)5!w4asDcXHZOG}`a*jSY3|P~(@Ob=cZDXz$?$VR~<&oiyK$hkvvLm5DP;P!~!yhXvWUk0nb0 z_oZ8h5M9tgxeuHZ=3 zY|Qd09z3w!sql_6kpX<=AK@tzHUxK;ewWD`zPB4&0$Igq8?}K_GGg z;H#0s(en%WPGv8p`$G-FZ&cP+fT- z5`^@{UetxE-L3*HlJCm>(!28%1f@c?84V}B+HsSHA=Q?u7h_k{G26Pg2z|r@<)C|2 zwY&2aSHq#h*)5}e5-7&zU^zYtt#XnW#DzX8xgOd>LUU?8r0MYz-u4pS_8s=t6NnLU zO7j!|MT|Bj?nB!rqwR76A*RWSifO9x8s<{$aAF2&pG*^ooV3E$4u`gs(4xVmhE;)& zeO3hpc0AgL*8#*xj4_`Z0s-&WW}0jl;0fu^$`m z@&8vp{zS5K0Q@8T8|Y<~(IkSK4Dr5$EajcFL_TcL3TYQTR?^dMda9zQJuu()koK>C zD%+~I)ZXGJ8GYy{eO>h3jmq?z<7%I6Ff)C&dw^Ws?JcN66=wYBF7H!+A;vmF81m>D zj=Q7uC7-^`u#VAl0TFSWc`5dKoA)vzo*rqPu=>dP$NBG@LB-P}wL$j@cYTo&waV4L zGL;dr(*1-a0yps%=9ZToJdw-Dc{+}GP^gyiZ=E85ZhfWjvn8xq_A*|S0aCeAxW$uG z3g^I!CDuuzzeqv7gosQ)wQGcN4uq6(DyVFZE%jKZ2x>8N4rIbP0Ej{`exEDh%k{{= zZyqN)Lk6_G2r=LnvAlTbDO$m8fL8Z57t5Lb;5zHH6)8DO5NT$|EqzLLUs5rivF(|t z4|rs!WU_)#{-?JQN%XQ#Gqw{3`bsP=(VPSIJf5Xq#Iw}T>R|)GWB5) z>>i*(Y=wD$QXQx1J~mm|FLq!H7cn2fg7VlR-2;_=Xb${FFFzC++yg8vFch)|R=5W= zuwmQ-1ex_zmEp(#8d!XTdtkp5grk1sDra&auy9E@s!4+G9@y?`-|A}5akXy~?tv2| zTw9fUfXUDP%kN+s?hxX54sjKi?njn!IS?=07)r#XYr5lkk%CM(ET+EBG>`ZtRhsW=FQ~x)DXW`- zCs+Gk1LT%*ax)tIeXM9HFgJ$sA(0VEu;*){7(x}MjI*|m-(ci>IGQkIwC@cdJOfFy z>z`gl%vYvV0hBL2>=qRnSZ8?|JrojHLe|nog@&ldN?I1Qrrp_D8 zVbD1MNkA<>o5Aoq9LT(1|MXV8cK9PnGhA_?-E(EbcLLTxDIOdYrTUSeF3uAZOdoFk zhLn&}NJ3bQ#!j$XyAyGgg1x(dWl$g%eYGHEkYXs?i(T#eAcGZkGp^#v)m}Kaymyf|lteY;As+ov85lrCFxZ+g!;hF8BAd2CLP>I|(G1<)Bw; z9Lz8uqT@L5L|6TE_V@-{%tH zxmP0nPNKV7!TmuVgZfwtNtCfME2um=_ex)Xe}a01*)qr27_Ah;=FMRWzGTHa3B2o6 zaPAeS;g4o>^_6G}&TT(Rw#;8NA0gI~B=`46TSKH+5k&T*#$92{Fu6L0`hW*p#%Mpr zN)GTxQ+HO<%OA}+PZ;Pcsg|Q!Y;MzjHYqjj=MzlR{vP6JQPuI7&Z{*11GatbA3$6= zX3r^q&!cqzUPS5s{kfi@v&sF+Kz|H@IF500g8S#M457V_bIO>p<6Ewyh?9=7m43#DCW~l&0QSjkF|y?HTT*9qJ>h9 z%XuWomX@pNSm`6JLLmziINgbaeFp)PDn$bWrLJoK*hca4gvYbdTk972RbwuN) zjpj2jW;&u>9Ro0rjA}l^=^f)AY{f}|dF=q3M=p*DAUKt4BVo*hDHAY{_!)`-!pB6C z#c~l={l$3yV0!W+B{u&df&FO&zK!t5lKh+@`8i9*%!CdXY08U9qGuaOQ(mML$C8=4 zG1D<9qnrM0_>phqEN|Qt{}5~BL}?)K`dBWh`nECC;hNxZXvPdLi!2JN?5l->59ksX zH4mq8dB04hG*a~!rzvBGSDr>=PEsmNAy^_EE^f?RoPO0mL??Czh#jRYFAigd2EsOG zI$YeCxi}SQXZnXQ%@B`v&y@{N2CUIiJUFOi4OFl(6H-DmW;zC;u@mgpCS%?a?41QH z?m#U1{+N_Onshn_xjJx3XY)BSW;$YB9fQf3Imf$cj(?~%Mj0~=!?+O62_QJYm}wZs zRrf`$On?wF46KuwF+-zg4oTc>yyp6c(lDOwA1Z&DN5;&>iS+UhAsIXeW2VDJ#>_Q? z8#BY`+2OtB;1uukKVc=PQT`4tWUarb-}-3Bj&zK0bqtTBDYaubn^G^9K)|m>kk7ro zae~~k_*d-M;wzdgW}+aUdyPE(t+p&w*t+*x1QA6ue%3nwaBI5k5rWBn)u7tD6{@~_ zu{5y?^7kGnEHAH2Nnu{2;v;2yCr$PoDyrUBUg+7E&x2J9ii*lSX6j+Hs! z#TLe@KVl0*;%0jobqByII79u&G7qlM%Rl`3a@Lw`zert=&EbFL`^*0-H>Cbm+h10J z*Y%Bw@O+bl&>&wSfF%mRTR{_a0;;JLS6U*c{r{Vy&&OEQ#P^ zL+x^1<|nKb`PYNmW(2lPHSK**0fRzfP|{615cmWYd59gTe(ywpWhm9}k zs%L@u^$n$3KgLo&P)8!|j}(O<^(VC-b{ww;o!ap>%6P9UtNo*`BuD&!V7Ad%UOh|$ zZtpuPEtqisfEIH9?P;=PrpY3}P#V;w^zx79nrsdK4Tpp38xHtKqX>k3BaF1Bv#!e# z;v$$U>zLEKuN3*%Ta}c8u)g7t-JU3GHWvgahEt=dx^X!IOHFpjSk6Ib!)A~5ek4w= zZ-B$8;RqSI{K=1-BkCKr;DsBK>l@a0`{QWY)VQAB*h%J0dfNC1^Ab-udu4;1hR&#I z=nmX$nEzt#Y(*d9;m%g&d;Q#=%7Yiu-W194wGo2fMtqp!Bh#PCs z!Hom`@dTBpgq_AfDJp|QBE~Abqv=C~TMS}>vec{iSNchrk@eYqDwqs+j%r*RDg-2vQ z7WEyJ9l$&Hn!Fh8$~^yAYn+q?0!T>p(6URXQ@J>xL#CiU;2}K|o>@t+e=K#^3d0%BuP)!~i}Hbx1e;U5QQ5z9+?4Sp+{ zkpQsvJ8*3CPXQUfsO}F2&HY6S{gjgO+5n_9y%xi#xTWwHb|Msj zUSZii;X#e9eHxl8nDN05BUXr!6@y1X=+hqIGl~q_0fWG!b*_$N=`&j7Ph@PZVSG)b zzV`~BkqsG75qu8}Ps$q=srik#crxIuw-y`zL;;xt#`k^RYao#?9G3Z!tCI->8VaCn zc|`)TOw;X}-)OF@V-}d^dbeW^XJRTuEU#l$EmEgZwdKlMods*v*)b30h2O~MPlVG5 zCOB^>k#ijy?^+Bkiw4ly^XEH@RM*zl@&qdmRGuwG_`-J|n#^9M1ERfg|jsN@Ch`}yApRTR-24na`2`6)l>wIQTTCUmSIZDRHn@c&PN zgfErJ`!X<-_RubS}Ko9P`Z zP#*@-6jCNDSi#-?DUf=zFTMOzYM3@56!e|MVyG<^pJU07G5`6TH~HB5e2x-}z!7iu zb+`vgWl~&Pbg+=18UCp?=sC()dTp4}B&`Tl0MJ&q0NU#A5hzz9x!3)avGm##P$R=R zUkdA@Yg`>`mESp7jqF`X{KoM53Ewefaw0h%tVNQk5TofQLwP%YGBj3=bjDWaM>16b zHOtkpTB?wI!#~63<_uCH?22)Ln(L;Y4CM;sCqo6)*q8Q&(I0@!I;a3J1=OpolV;!t z@3rAle-!y=STmIehqsU<07)ICMIWW9kM%B3#~Lup)v=BYar2j*>>-qji>rmLt3mqN4hG~pwV)Dp`_X4uDunf* z)qRBr`%~2__zk*78&P@nG2}RS22m~{OprW?)j<3uw=#(b)oii%HoUe2U z`w;}`fq}>rfh*DKswe{Qj)D~f=@V6(;PHCqxa;x& zA>sAUoOlTWKF2YpkLz-z>vA-_RK~T*D0Bk1y+UhwjmSQW>@8Avg5SQ0qXeH(L0Hd0Xe+dC2^4lzsbEU$Qb4ONIkZ)9u+j<+5LY@!_7|zA z!{F3>9VYvc`b=o)a7rsF%I#XdcW+Tum8YWoVCmiyo+OMv_rN4iae1YO=^;-NI!^W5 zC5Jm9NoHCoEGjN7D=M7f$ttR@E-fqZRF``;=fFLMlo{Wy8cfsF;3ZIb|q-;;B;gRD%vR^XN8j>y4hPL{h*&a4&m#-6hAluTcf>1%SfI|_e5 z1$?YPohbayz9rMQ$Q=3-nM2Qgax$q}X4Yn!E@H?IyyOB>e8lAD;U_2kGC*)($kYfF z@(vRN@X}C-6&-7O5j%~ShSJJExT&Gs5lIw=`KU@aX;SF|dCai-ZT}%`BvYj)904-- z1mur?1<^DOmiPe^_F^NlYbW&)cK2tCG4o$SjGq+usJ|P{;Mw_ZK3mMJwa*rF8}PHm z8EP`KRl}w6hTAbC5U4gZqqOTuF4G3~rSX1B7k_P}9d^-xa68z)4e1b0aYtAQHB@;4RbJqyH1XH$8wQ@kekhv! zT(WQ+J(sL1>vF%0Dle?GH4g?Uq`MvfGttxY- znd6%j`k=~#Aj)KEJUa-MM#pXp0zCP>+^RgLW`bw!eA@zcj5%HcSFp9202P88!i!h* z+z^+T37(aCbxevJeKuATw?AMexaOAT>rubgS}11%f=M&M(YNfO5q2i%6EYJ7Hz+TJ z0YS`-HQW=`Qy z2Q&d1J&yG+AXbj-228Lr-gZdD-GlUlhxZ~mZTBKC1+xSItYj(P_aZT5EQ-dEYtajQ z8lhV!I|}G;hJWEQX&ox)g6Mm84{2_&@8XD}@Mtsm-=#Ea(((;)gM}2K*a>dj!w(kd zGzoHoeHUTi3*kUnNL)w|0(ItnG4L)7VXU|?L>LnnhJ<0ovy0jzTg`Nc6^_&@_kRWv?tV9c31& zGC8u$^p_k6?GSKgpv+<_bBO_3Aq&mW%FN70p(P4XmMk+9WwIrpWRzJd%Jj+EtNa19XV(aZgmT6dhD7HZ|BO*shyHDrE zaumuGu*jsA2$$=;NJXKIb|J;ERGk+qP-qhsVq+p_mV~rIBPop-vDt>C3Z*$5LW!nh zz>*eC>h`BVe>84^7Ij@7A(TfWPTW9`#xx$~gTE0;MZ{iX=X^awb^WDmvdXdz{~}re zZ6(Lr@c3N5u5YARtV>%pizMn9gUt|hpv`r$gu~au-A9v$N zeC%Y)o6Y=>e5KQ?6;4FXEVgDDL3IEJK_ZLrfje>V1FdQJ@<*(D_=*Sbs?G`&M(_pI zDc)|N4lRb*T1hUM_*x0?s!kRP@8@+&fhH%@UO&;(tj%P#ULN6gZpd7|Vg;`MLHR={ zPkYjru={$`VJIHCGW`FEdlTrYj%!W$Tn!*V=89RsMY;?EF^f?Q0yF_a%o6jY86?nz zCJ>{9NKAqi&hc*x1Q_F9ICk65TnR+KSO&u5dFA(OzsH~T2CtW1zuia|cZL^tI_Z^! z?xi^W?V3*AK>|Cx)eG*`Ip?0*Rkf$uwQJWd6P8Eo2r7(y_Q>X1iS(2u<#g3YBSmsn zR(7Oo+!XhblA2<7ZTX?{iX-K2VLMlq94M~o1Bjq@f+BYSwS&z>(Nj>{<(TsCVU$b9 z4e{>lWM()x8=t0`R~Td9UFK4$MPBd6f6eYOm!3&$9TmbtJzGcO6r-jK>9i){CMEZ{ z7|QeUe&^!@V0tJ%h{P)T7-o|4q-R@`;{mPMmW5t!q+Z%b$KApD zCpvkjpO_)s>8I5A+kCGwPJU~8eI1P5L-f}$mys=LA078^%NT%vTP7^te_Q4cfXZj1 zS+8g3n#-y1L}7>?Qq$oJrE2aF{q%ps0gEQ#FCSTOF-gJv?*o{eCZi{%=y@gP59k(2 z^FeckVXm+bq0-=prr}92IAzEQ@VF8H%ghx(+XeLV!XW^|kjL^gwT@#^QA^xLn8QdG z!{4KN*2qWo0&kD%6=>nj2y=%vT^w&vSQNW3lWl*3D$RTNsNQxczC4O`kbR-tM9BJB z`fHfU$_prpM?R{T;RQV*7MHK(VNhr%EYu6$W7naaE#=j2|iY>kNy3NHn zz6N%2vJ8HHRF5(H;$%&E_L8q9h)Ql|xt2h#YyH-l$rpA=l}s?%Qw^$6$+||4o$$vp zTkE@C%*6hCC1PTq4C-H;Y|xjP%+|oJ^*56nT??J9W1Ov+o?M)2Ces}KVjMox%w&bv zL2^3BaWlj2MR?00Mh`VQDAETqju;>-iC<<2tj`p~0S7!&GnC{&*xNYh+`4W3>{aX1 zXJh4Kc53!c`0^F<2Lksy{c%5VxZUh98~OflV*iK(&ScK&7e#_fMgoKxFdEDR0%r0{ zxY8~rFihui)eEa8IQvFvBG}H8K@uY8$=sWLAYQy~u=k1n^Ux6bLw4ZyAT|`Fg{#__lx?RxHAD@dT;ondRs+lG)6)*CZ6zD5tK#f zJ`J})TGJ;A7Yn*A=3o{-U|@o^MmS7@bKDXEW(mMFpgBu+^5v2s%@G4ra5zih+G5?p z#D}`Il;H5G#5Y57l0Y)T$_P#pkW3zcAnlO@!8tXaXjoq6h+F7iai1MnW=s#oRsa%( zWxVQTj51hO3gnYMDYM)Wx5&Thz8PydY)-0pIj?v*@AH;kD}GcTw9hLXaZCIw?z7LB za~Z5+7$b!26~seWGE*InR(ePx`{Q89P7Z?XKDjQLI&7du8kOh|t2hwT&r&-nK~UW% zZBmT5g`jimmsjI271!w?aAeFZub*FFy*~st1 zaMD$8+Bd~PnnZpYuR4uY{S9R`f%=jjgzl12GJ-KmItdx4d^)c@ohm1n)i>_<%5U25 zl@jxU_HY?dCsu;?XhQJ*_sPYp0=gfZUxoV{b*&PDj>#ESy$TwJ+#pKc{KT!7(GzFG zGpWTSilD4!%)2oVgOBR_Y<>nbtwG~i28WYDLdX`zeU-?wI<-a&Xlpo_HPk0^RS781 zsY5am_TyV`u;$w#Mi(<>1%1kijr&Z2`%K=;$8=*H)=Qf}^nxnXT%w+gD#)XA_O^(2^u&_g$%ppB=!>@;u zD_|1baFVS)!3+R1?@z?Zd!G!q*D(F2rQ6^SK)SSx+YA$D-HP(6xIpG7_Q`z@d2BbW z@L$}HvNiOVm32O@@-HrMq7~QrxP$t*14Rw$Fbpnuk8z>u{D<`_90p~akB`&#)Qg86m>9y+RG%db{1u8%hauXXjDlqjd192zr$oCzLDckX7n6o>e{7T3JrWEr0&nG+C_Zvo_m? z(`u`r+7RxVMkG3nb&m&+kGsM$QnJ#Y6Tg~ju42)j7ZG|&^kKYT++(IO-WNb2-I>Jl zQ-~!l4fu)TiAw_%Pdx2GvIugn^^6nr#FfI-KdhIuK@sQUQ)g80j#f?(MK5O)<$x%bSF7%@I zy5Osip)7*DFA%Q1FFe+;5b*i~_HC{vkMndTaBq)bjeC29DE;;b)wut9lySd1wCO4w z3SEQfuVH4eM4?@S?2zfurdMZB&u1vruW6af22*@t~l4W;px_m@BTiZ(EY`u&VF#XrRgxjw{?zIl$Z1v2P>^pUx znR(%mbodcWVoAysAY*rJf1kbl73x~Dro6BO2cXsFmAX??($=PL+?eGXZbb*8<<;et zl;-7^7WoDBp6znd@(H4`FCTGDnKH|r!a}rYt*fA-tg^JIrpS$q+kAbkq#Vm^d4;pY zA`yiozaZyg^AZf6P@jgG35mOmasBcU69>Q0D0=xAe^`gh<7Osec`~{D&s0%YZAdZ- zu5K$8{MlBjh${l(AA{j8pX3hP%cq3HHiA=53nuTwVf)j@)99|ke4BU27GMP>KcM{^)#Sf z=`Z&Haq#_Z@cx9Adw}{B$=M)mnc4#+35z4vWut=#?dsiHWmuoOO%659M< zQxut8Q81N&mJ-nw-wotX5mkR>5Mw*+C7g8*i^W|-3BjSHAwHx1##i)QW{qctbCU{d z_Faf@zk*=kwNz-BD#UXmU2)o!5C3#JD}=l%T|*I=eg)C=Yl#J;B542@RjG@9_OnO* zLIG>O}GhK<|0enqYhM1TDuZ8ej!PcECLy_wi&GoQ%|f_5xhKQP?O=3c9Iyo?MUO&i$)ckLKEgIV<3H_ zsN1fgaWS#RAEwYH*)*dZ4vHNyx<*o9;gxY{5p@bnomXPab?8+B^(qD#(z`}DX!F69 z35K~I&*vapN7pE4SFFg^acvGxq!`N|IlG(&LG2oj-%(_;VQy#~iHj-b27-;_ey_7}L={D$0_F zpeU8(={l}xsyv7B5HV=ocbPdPJ$q}56^8_7P zzJ#tZ0hOWc8p1d)i?$}nwqkj0Jsq;#H7=mIo*1F|MDXHRD_c(^$(4EdEEH{Ry0RF@ zTgNz)36XIN;$q^)IlIPynH+{YZZhEsH!l7#y({JcH|DP-Q9qlmtYBp~v5xY7t`Pln zOIV{Btm|=82-fI0bSB!_H8zSM(oZ1*V5+&E4LYlEScUw}VNlZPrYox@ENWK+n#o{| zAy@$6N|#NRV!wv=#<$*Szn`CWMLRZD1g27J6kZJ{!&T zobD@evx#o-JN-z4wAoz8u8CW-SO>Pq;4VHQZLw)BN7CmB?y_y91sF;zq>Us;QjG=V zNE)Gs5@2WAk}Wt2xu}K?d~p|66!C;<)wPwC6;*gx59_q39A+)-)fw)*@5Ee3c;CRjVtLmCcnG9M6k+?$tpWTJAre%;#{ct@USQwIkwG1-MjrQF4EFuq4F7oUyKFvD2 zOC3q^_ijGT!no0b9cZxjom~^?ngI~gUQzz+E@7c)<<)VkO)W1uoVi`l_AM_3Ez7Hp z(#ooXVVhb8V|1E~q8R1TZaLdl^~z>Ps&@Mv+u79OM0pHzEg10a@~XI)fM`{V^Ea=% zQ6>sy%_Q(8 z>}SLy(`HcmbrE$7KjOE8?6-pei66Jnk4sRL|8|JC?hyTk_f9!`r(CV(vgV-$25Vjg`?X?c6fO4hF57)W4V4_Pm1;e22*K_pN|$aWU6 zj<8l80d-ZtIdzb+?@{*K(HysdaM-SC&aPP^8us;l^a?RYeFA%DpSg)f_Sa9yk^NoD zlkqxsd`c@$j_fOpKk>M2<+uu#B6Xf{T)lC6ow?~kwjA3DDlFYMXuFhjTm?&!I?X4M z%#tNLDyxbLOA2as#7|w~UQ>>6?s7P^imIwAs$TqF@^dT1EOHan;p->p11aI*gy1sn zcY6J#$$sA5V{U@BoE^ck*Uo1XkU6B^TIvmRvx<0gCUngaD*AKGB`^qnKAo%R&!-1l z@oYIkAWi@T{n?o-!q}X>L5cc&x^imS&is~>1mz@$a`FKrBpv5Q?h>AXGGf1K$ zun+c1l7@>xR5k2*lHZGi)L^a)jiN!{5*$eoVAvBroEU6HB5uU*W3U)v1-(Y1&e2e~3)`yFj0Z^lyq!idrE`Qbitgb~76wCz( zN)+)7i)Q-9tT%+f4B=pgFffw!{3W4J#v4jtFh!u&45jgi@4WNx)H85Qncy!D<4}e{ zL5W}^yHkpT`qWRu3CwT~W_Ux6WMozNq3nv%{JuN*TaMGDqz^3}RCkP^TCs`>6to-< zF*nn`0w^GnaMu@`!H9cq1z*H)1I#EkZ6Sc8%*{0Gs_OycVM4S3W*GSbqxP#g-P1ou zGK&F!dB37?r6!>E#P`xP%+tQO4D)VZnts+czQP;N?dG0p z791g8lhq0RfuahL_DaB|>1>4-o=+G()O-KZ*LiDuY3vN?bf(_$mg%0-m(|&(U6|=! zf|oR#oKTmh;WN`Pv&1U@uwYoSn;i^Gvw@wC6L!ou05eOuzWA+&e9LY z32(ljF_8@yq0+uWn$i?QNX4Zw>(qzwIK#3)hY(N?9!B?B(H&nRg!2)tiVsC59UGv1! z@})U0*A(|G_tt8*hmsbNXblNFBK7s;7n&{V`!&MC&mxwZLmxBC-rR*AOZqAnwolL1 z1a3Z(!r6Y0OYmnifC;&PxWI&k638$d;3ia|c++-2T}qT#7nDrYwhQ^~t40;rbCJbs zoJ}<@GPCf2tB)}%m_v3Z5*MmBs_q|Eaf{fE@=Lg2aJdL*d+_o5%$-73!I(tM1T&C*+C$6#q%CMgIK#o&Olsv{Z_lVHX#groctwo3?fj0~nGY}r*% zezul1^D%X2IgUo+^0h+vJEeSSNqT#cFFL9F9EAiREem6G51i+hRwR0qjEz_aA=~4F zF_JL^w@94XId}l%H4O@a1C~S3YO3aeZ}3L0&0b*m*o+psc8@ zq6!Y}s){n7O~$|~+Rpp(zwqhWmovSR&vY!E&lqRZ+EYOf|)LHQ_5 z(NptzUP=|GlJG-GE~SDw_*DK=Pt9f(ABd*%!R|@_eKekq5-aYv`lNNZ-ts;>5lyk@!OC&0xg7huU)iXhyLt8NI7j6vWQcL@mppV(0;UMQm8c za>S)<)^@%KdTA?vKBb|@%%X*^g|s4($W|nl#VwSfub(2Y1OB>Couax=ovM9yba9J| zj&hN6fm|VJs;C(CKSgR~A)0oGW{Zo>1(4GgSLPNNq%ARjuZC|0Lg*E(c8zi^G2kj% z(0M@GO^w~pSvVGCr}JWRxoT{QMKeT8kQf?%<|M@4QFM9BMD{}HC@szUR7>OHww|(Bmy#8u$YBAb1VL1l`^ny4kk)t89P|M z1=+YT{U&b^VJI%P)`-)O##mPF~>Xh}4;65#3hET)R4LBJlKHo~QREn(qz$xOiHu^;F! zowwA2tR$L%G2G%{LnUC?kG!2vbsRtO6)_yT#BimUTlHJR)e0_R;SD4sx`@frfy&;m zG)Wj2F>vTo@Ml9;3azp+G2=w2{;Z}~ao1>&V&scuxt0`k9NTYqkm^W7xA;HAm#5Iu z4UVd(D_S_gm4QpPP{5z^lxgA>?goY2fu-1D6t*)mpqc(avu&W+3MkyM3Mj5^pty-* zKqY^Od@6!b?GAGW*`!IgHsEb!4AOu;ZWPVh9OBom?HhRm$m^+ExKXx{+=l#jwdTpk zr)?%SmhGT_8H2nZ`O^49V|CXJGxf4pH zE0ybzXOz}-Nb*lb=|oQw*CD&iofo!A9immQC*#s|NGjB}4=dIBv$c{vO@|;Y7wHhl zSOXI>p+tCRd*wxqvv*4!LV5=X5@k3!O-=7;{JYyQsk7!zLitX7c1QUt5y2$TAqfQ4 z2j-{Fc-0Y&MfNHkve(>BaFg)KAsw<5I^^*RqmnBT;Vlgl6O>P#M9~6$s^*`*McE%p zKdAa`uR}`#pZT;D%Jpy#xlo&-h>6d0MY<(Q*IKB#<-&G3^C6ft=?~SQ3dfpn8nbZM zge_I|JzolU*X5PgB2;a^jA-*gf!0u;Ao0a(TtksMthfYwJ=A>QD#On z{v<7`XoWsG1lY@>8u|(i*Dw}QmtRD!=6;BxLMYuAJoWh=1s36SURZC$C580q(_mDC zfwZ2P$#lTSH_(EVfDBv#z!fu-mw@LJ`<0joo@>d=fuK?5!j|~RKC4r_C4}@rGRl;} zDYT6K2DNPi`O;o*ZYdW4^d(}xs(Tt}5J*yyVKp>yTBgkOufWh4g1-tCgXmYG3JrF1 zu4X8nQtxw~`YP1sDZA@eGk|VvL>PA_cdY@PJ z2QB3|>Xh7)<3IAttChgb#oL$ms z68M(P1BfjJW>*He2s6lPhKum(HjY#Ita&>VcPx5YkI_pKaeYdwJdeHFWH z9XKPCEQhNVa67THNlA^jq=}hKOBzRGOImMRv9DIZF=(iwcrl=;O>QxZb5nhW!$7aX zTH|B?ScQ9pf$hV8>S)Xq97+=7atw40FQ~1mLR>CI=8bZ8X^HK)xI9uh#gXL6nTN ziz*?}Hlw2$LqqG-*pNSBzy8%)!_2{dA2guDu2KG&I8s$oQ&U9yrLWeZ03m|PAAvVy zFWu}xxbI#_d-D#G_U4@iQVqnhAf{yI^t*aW?qK}U7-I9@_rWztr(du@uw*&%typK~ zUZ|F$LojLR(|pfi!2-czk-l?%MPX5?808A`%4z=_qN)o@D{!*Ni;w=g!1t~hW-eg~ zTi33hGIJ4$PtmMbPxGfId+-Q~A%$Y*-Uye7`r$qx>Wy$)ANZ{fC`1L@SC%3Xm9oyv zyHFz$MKB3bDH_xui*K$e{)nomtY-n}^@xuzp}m@AkduEGgk=ctV;&IpMmT-Uyh=h( zDr2NK1`EsN;}wLS!C_iYf;*1`(S!X;sS-bFX8wg*i5`MU=t(=PK@EnVX#w~ttIR_* zKXFqq6urppkQX*{3>juVp=dCD%zVE1@J1AW_)?FV&ybeJcxsfu*>|QB&PIJpoTW*e ztuzZR)JdEXOv2g9XW^`O;j6^S^@5jPD57>TL^T*@0U>G>ear%4rF4ds7`cPp^sTecv~**)XWZu(Hu#mx?1fH*pUcoh0 zEKbL~w#6*9u~`bUrK^JaNk$~qEx_`_F#%qI{TH`bDEY$}hQnlrFGeA_luH|Yz~Vuo zXPsn#9j}y?9f<19FE!WH5}um&aM(5%h`1(nzPkz;;IsHIOeroEJ4Zt zkEkzn4KAcI{3Z^^ytdOUMcw$X`pBkE>xfY{*o+eW*CMbplatbZ^x z-%yT$&-RiSY}W)>oGB7zpRF74PQ;ISm=cZ@@nbdDP+!)|DaeN@_y#;e;e;dR$6QfFyg3Suc?%ISYL;Uk=Gj&2?5YW2GE(DTD{7$pBF(!* zco+oc?kt9eyR*bx?d~jvhQ{v?VR*;|q2Iy*JFVEi^A_R_D>zAV;qGgl=@923y)|6u zi@UR^ZNr~EJkol!Z;A$F)7>RGW}jJc;iMb~2qv-1zTtM4?N|&u$3yHNYczMp%5Qm^ zr>zj!ah^?wnWBe3qXI1T7N&%64L2+J-h&*o0`k)UG=N#&LLef0Wf-nUnwZlIOcg$) z6Y6Fakf_Rk7N%-BrudNfqEJ;U z#zcmOXrLilVyXbxpcxXdfX8D6reIFIJ2V6S^6GG5lR);3>YGDA zupoGtl+|Vea#Rqau?gu803#9?0!Y(Hz0JHO1Y`yQ!P;yU;bsq^M()b_yWI@N-|ZIE z{JY%>f}m!D8)Xv-0OIbd2>^4m%#*G7&K};A@9YuogvRgeQ7|-SN+J*lO{*bvH1880 z>|#Wqa-nMc4sEM_E9GO2NPo?hqaqUC5tZ!{?m|3KmFZ|pLAV7!PV_CddO^766{LPk zt+`KX&HZMrX-KWffRftW|4g-6_u|#&*;uJIYl-_YD}O81tmSI+fLYr(n)XlOVS2L3 zUP!_9N)x^m{N#)F)jHa{=5ZdDV)*=MUk%n`yt0~CnA~I5(lFVYNPdKCiDV#T6=x@U zve=k=Bj2nuof4N{q=Nx&*JIWZv*)nGIa-rKFo4}CG0Y=`k!7(@pTcW!;~?(YVE^W9JNCWtTry|$bHehB z!V^{h8?~&d1WmXqb78_Y-OzoNcwd;AxDvvx!w^9yXBZ?Z>*J^MDJ|t%Yk?g`f`VA* zq7E%bhmd9F20WHW=|4SNL@vuka9KKAm$+hWUtQ7BqJmm>GA?;8-DO2J#TA865I5kx zJW8m8^YTU&Cd2|Y33HYA5>4|(4IbEj|4+s%)R6xY?#n#FOwB8r|B^b#{g=3UC{(A` zIp27k8_a|GKzVX~N=q%ImDW&0PE0qUVFgfw1GsNM@~}O!9*+!P)VPD8hFqCN8gAgv ztS8*Sh`mAn%p-KOzsEd6j?7%<&deisW>OgQXX5x%61p3w%wu3e&lU*(+acz0gKoBR zFU;Hh%oB9GjeZ+wo}}yT*z(!*_Dkj|y4gX~?9+6$6Ja4cnb2OJU>O&VOVPV<5JG!> zf+k6?Zc62n6yoe2>g*mu@^5qx32u#FA5SV_7pk!wsHQnTJMnUJKC9>E5-Y?UD(gA# zKs`5?X!Qtl9MKWZ)|JlIR4zmdze^@_8nVfd>*QvVbe-_>T5PtTlQLYoP6AElMBX51 z`8VgINyC1qw~0NqTNTt|e)=>*ci1lfmNqly(9Br=-ZX9jS|MP7o0z)XOtM1wM%_0T zSRur4I57>6ml^yO9H4b`IbN|s?6tG=obS&&Bcez}Oz599vL6|myc=r77D>roVq zw96{Z)OaR?YZNM+jKc78+)NHq_`E}CmGcf&_&@h&^(Lc8ii7+kH&dh) LJJpU~v z|NGmiIN+Qw*pP$7;poYyw}aNh`xm9vV{(m6O-x1fBK-+cGFbP1Tk=qm{QD=y6uzqhE6GmMFiVI6~D)VZJt9$06!d0k{#3_R&S!u%b>x&Q0Z?O3w14Dst zkzmxHtUTiAYYF0w++;ZpqL z2|CIDA`QMBz#Rw(k=!X_hyRi#I6!TG$${FcqWGz9+O~kh&?xCnMJ@7Dy2(dhj`g0> zih@HdIVJ51$}2A@a!(KzOhC^l-eCeKpu^cc(lvYn1xY|>@HCY*^_6`U{_Uy|t!&5y zpLCXgvBFv}%v39sCptG3a-Yh^-Tf3>UT>5{JWNWwoxK+tSA<9xC3Q-no-HggjH;X{y5t7Cn%|$kW z!~atmD{r4A7f{!^VC^h2KoqjlZtjPht$Q>ulK7ge@&KwF=@{1!uiz)Hp7?hdg0_q{ zEP3BNaF!uQo-(Xz6;iKZ=l8o*4@(?aHxKI`Np|Ue04YHY^ar4b5_@~BP|A&KbVrk^ z@WcL;r-ro+HxV>4@1fQAZZy~x+SESLu)?^n@XZ6TrzXc5|J{klafS>sxUi3r?7}`_ za9-G_Q1M^ZuttSJeNh1i$*Zez$zwK5x64sF;sUKDw)cKUmt1qT~xz$BAITic&;}0a~a4qs)RYMxfE6Qh<*CMkO9aVUw zxJcV`F$MVT9xKq>KG_vZxhSzjd!U+PmazY*2syO!%8^QTGItUaFT>MFlr&)e9wJQ1wYDJCXjPEVUxB z2Tgz}TOj(gY`+-%p8jv{_s3t}9}`zfKz>`o1cZk7YGJ(ANU^|sG>+A14D9E{?8|yB zkYcga>SvCcEXl@zl3Xm+zy^^E*VHt=)R$LSD8doI+B7m@$Wr4=8AU7T?#{oIxxbe$ zn7bd4db5T;RzK$2coUfJ$3~A@i~WcR(ak!mAD;3#Kr*spI$JZq6{K&E$~Xmq#iLqx zeX*zl6@o-`G%GBwSO+vl2Vn78AsypW5SLO`$tJzPpF-S*t?Sltw7$?e!hRilM+ps9 zKN#WygQ-?Oh+nIW)e@LF9%iFPX4<4PTe17;=5dszBAI+#!jqGDf(Ya0!ZWjo!LjB9 zFv916D={wNO0jrjL!KI$P8rS}t!qU-(>K$QW|OyugW?i#aIQym(GBO=T ze0E}U!Gkco+aDY^MtbAW45k+Gw~t;&px2)MXc+T^XCi|sOYG^1P=mFE6NwAeL7i4S zZ3DqUA?PLiVe;nb_W(Bon7}o<5(2f`^+0g;oXayvicSP7hFbIJnG{u~SjKgpK~}#@ z94VN21XdJ;$FzzD%UK7GW>qLtO|$1$_I%efm}_2-(^vC)v?RxJ1|cU9&!u@+fq-L` z-+=X-G%roRWInJUnipQ90WVZ3oYa(p2d10H2_kZxx|)*~K{}qHnkrrQU7GQM8A+9T zMova9*#t2I5!BOjF54-B{bnP@oo@a&}DQK zfj0;~6b)Y)@UT?FFfc?-(VF`6r?S0D=(k9S^;9)7WP-{r*?tC;h@APXm4T;E2l9cF zMb7-C^cuyJ8J$1E}A?CLA7j zg>OCXzm)bHK>Kk4wBPV-+T(x@wK?D~ru|?a+Pi^%x1{|>PWxD*{Uk+u91iS>lXo{O zdaQoAPK}7)nax>le-+4!;@*aXwNaM0pvQ) zVdO zgHO-x0Th_z`-vmlv(yBmV=SLwbd2R)>KLn<@*lTOkj&Gbg$YLEXtkK!F_z}2*&1X1 z&+Yl_WwlT#086;04`2m1TU$$x2!yJ|%eQU-+1j(MK?#O6i2AVAzYiT_g)yL2B_=p# zG8G8c2a1)G;ZNTQW9>@ltq&bzGZb>PnbS6{54;Q8G*Qww;qX3evj(9HlhpbnFSA2y zNIt_Dww~3U#f8=f zHTK-v2khd@dWm2lLRA8I1&3vzn#Nc93tq*Q0$@9m+B1ndnGM!pDqqkzS~y0^mE!yx zoUH&re=}IX`J2HCj3zqe#u>mVx10o~knw75eyqU`iE6J^CpR@?!UkZ?OL?cv=B2@a z)dCaqL@M?6IxEVsq7W!oq>T0ErE*7t1k}9Lo*QeeLe|yp`3B1FCs)t{+@O(14Qprw z-7h3P3X{2cDec!K!N39Yim85_93lh*CFwU5OJ79}u!Ts6z2=yo#@q*>+m|3V zvzUzc_5+iw;pSYRYB8STe!n%GH39y(_5)N^F?l~q$dlc4YXD(m8L1m=stxN-BKM!C z6h924k2S)uM$l`6ej?c7)*!_e@A5UxcdLYIe77nHTi|`bN49=^15PA^h+ZB%Gb@+4 z9`mYqt3)r~t>V3m3J&QdKw3e3aR_|i?oP%XAPzRHQN$N1f-eXf-?e^AGop3cC8LxR zrP3cT#z^7Q_FFhR1AfUA!Uh^kFAcLs;Waur!3R3yx?9>n3*a`_rJ&$&6a#^84Z&xG zHHtCDLGkP*JR1qlxb>1XlHQ^FQI8odWH5TfyTsSGG()r(>yQHY1G2xANbgIjHNu=H zErbk$N!DShEiE$LzfZRRey)L8(udESjY-1Ucxx0n8sw>Q(}*5x1PRDN`a1MynqIRu!ehv?sF#9Fgu{wg=$sYuMc=|H zwnBM(_-;Ip+>Ph4cjMWjiuWIirQ%z05?!89B6pld7+*J$^g)B%0U?8)Qc3P|E83jz zhFJ57>1F4^T)^p@TuL2!3oc|DPw(Xl(dcQWE9LB<#4 zf^Hrr$QVszguD4xoE0s$4c&^j*waaPIu%bXv35}T6Gg5Wf#ed-gW%+vVI(^c)Zr;h z^pp{zn{LgrMw<&BhQt~d5jlHn7T1Q*VxCZ;#XO-x9|ngw3p}-QpaWw8{sO{mYc%zQ zfF;0P6OGEsAE+S!P`Q?U2Gn_gx{7pTMKUSF)wlz|`Gz%?ZZm{#^n^xVk1_YYR##d-Ju4Ojo=%QJC_tO>9aM+X3F^EBSm} zL%FSl<*il>b$xA*6+=Nb)FO0>?MkjrP*8t2fI<6eMG3JPpF0Rxz2)S3CdYJi0FAnHb07i(&*sBQeb!Ikay7$=!v}!Uqg1o|JGQjU)N^%f}HOO<<2BSZBY5@QZi~1-W&I#*soA zN2asHFEk>QTJapxr0yaylw6oWLy2ZO+KD((9INR0RInVyIKpa#348(B+Mu-~FU;T+ zxG+OYcgeR}fD>+2@j=ivTSpo! zRzYEp6_5Cf{b6J=TqouWZF+|?KsF;Rzqy#%Xt&2%Q_aO8VB}4$o1LxM&ekpDhByHM z80T=1%8ywWHw7MuCQ<`2I4ZDp3$w@$;Q|%_jo-1>)W*?Ek`1W-rrYDKsn%4IpRqmG zRJI==o7KCfhsO95OpeN&-H?sjShtu)VpKw6R16!n#N{M=48aEo#;Go{O|siGtRu8# z8a1dN^A=$9BAiI9<9OEJnkIl9l2g0eC#l6Sh?L?|DQ!_DDhx}Y-x*M1*CRrbZl7e5 zbo-<*U2dP$B#G6xh;-^8E=ji|1uOCDv^|6nB(C_LDM^U6IUyyf&YET}k^>^aq^7|c zFFOH2;_0ZLDMq#KFIVs#9 zbEjiE#hk?N6e@4v`(<4BzhB0?^M08!6MnyIsMHN8;Owqs_6PCQoCg--zorX25krT7 z@_w0^L;FBcKq$5m+UcDlltr80DWDG^p^c~PU9io?tVPYmLWY`)Rf|NAZSa)9chNM zxVsKBhto_OcBES~&E-t|VEr@~^VpOQovR;-T}oln`qAnN?5(Vewk~$019x?C3*%-x zyQ_(tSQX5^@~Poh(>QW)mMrnBcuOEO5FxS(RYSVW(W~kZ-B_vdpbEYSQpYp8fr(6a z-BgDoIW;T{64H@o%>*ZOq~WuYjI@sBJ=P4eoK92RUpE~@5Zd%Ek2kGl*A#BrT#{h( z%gZj-y_dxV+nuLYc^r1A3hO`me5JFK+EQX?-Vw^^ow8nO zIRx@skD7)UmL1M5ibvYzgVGZF=ev)gEYvf~I=hcDTEhFEu*GO|oSC&kh)%*gWdap8 zKzkualbt&w0p&O&X7^DLlhZPaiW4!l6@l9#ThZ53 zBI@sYh(PE+%@O>ouSZ+sIYO1fd#*|t{~{Gf_bF_DqxtKd?bbZ%C-R!y*E|gg;Du?#yK( zFuliGNSN$TnDmA#L{cW8wg`sE{tS^z3~LdrthNCPlfSGG0`kiW!Lz@t(EF&mxYTf- z^J2n8Bc->$=qQyYJZk$i!_V($WpZuRs@1`HE~=M0a3qd*kkdQB`M`_y^E*k_V$2oq zEQ^~zJp>Fg5cLWrvD{jWHH^iC1#FhQv%*?TSN4NshL%M=7Uc|W8^9TCfWk`-sY~xb zrY>^{M+1SAK?)}ck3c?V%sh(V4Sp06oV(y{^+p-liEV>8w`aU!Ej3q3wLxGAJDP_T zTsF}kJpr{vJ&FiQf()t+mZx{HG*g1r5Xff{(oC_t6V`hL&IqrQ5R0{}J8LXL?`mr) z!zVqVqu1L8kx}UOIu$}o35k*97x0duouD0A#2}cE{HzWL=*ZHnDF3t9JF*Nb$v%7i zXP76G5A0`k9P>Y`Q>k#Zv)4PaDV;9RF$~bN82~XY6y<+bC%9QFZ|9Hh*n+dyy%5i=qr*{;JZq#W#f2u&s@Be4 z@7RvAqfvG{$|kl&GN$X;VI`R>*xBpep}@b>JHqK5PMQ|+6CFEpiPeW_XRoWO0(&Ww z4ZBegHnIQN>v(1*fi*fd_gG11(!68%+3Vin(uMQhI3`#le9m5fFNQrFsh>gi9;Z^q!lYz3z=>rp$Ze+1sN@Hs6~-Z1s|IpS2v~ywP%qH@)SM;^H5s@F@aD)Xoto z+Bw2nhWTvUU`5>5d6MwgYXyd1uhj}Ok)&L*oi1QFmi`*nasuF_C6f<}R62v`E}jXd zOC*Bnnr$EBWHZ=@MZlgs<4`pru*M+eYlXQ*g=-K@ z_Edu!ELV59@x}7|Fgb~VKGxSAFq&@Oz9Djy$0tPMv!~J-8 zq;VmYy=yM1YF{umlnCWEx6k403<2Nz7SQTd1)2^#WcAX(8N%06IzxT*d?%v%q@L(S z&tq$NA9{W)cp2xBh_F1#%h(5?pa$89g~Scos-&*QJ3|xb$cLaykG}o25%jLYv#k$1 zLxCV4;n90)FRu8*4AeZfwgSvaKHQ+;t~ZDpRkT)eTL@wTkl+5)zrT1C<4Gdno26l_ z6ShoeXrM#|>j5N+cfpM^{e2F-=59)H%qX)q~aW_JSUEFC4^8Htv2TzrVdlFpad9j$kf_zdRSA-@MtVRl9-qxY*) zZuEXt%7^E#N|oLE;5f$;m7hp8Otg|wxcLxG#9DJm>wHvWSSj#~m)b6|FZzqg?HB!p zF8jjXBq7}n$ta3}ur(J!k2*nMVL*4AoN`pcEQ9TY~Vwnh0k=t&O zHmZhS6DOT%%#XuSa_vBpq=mb@QzsIdKi0Mbih-*$-X&?jL!v6W_6g{f5QpNpUBbQGimc+T^ zuxzr|nGSs5Vh@9L~+?uJO)=ZpSm`(30_R@&Ro_UUVP?jdRWCs`?G zfwFH1Ce^3;pltg_%Y*y$>97~MIk%-!*b6B@%iR$Apu=o)?)7C%=E{{r2@J}Qlj?=y zsgmM%!$FLElDP^JxdJ6}Q8?wQhH%%<#vNpi^A>kNbFx=Qi__d}-U{mLxl8L_`Ap<+ zX|06FMYR@inY)Xf?x>t(4mCpIu7t?ljTk(gjz5!9M}6W=iqM*LtwyK;P3q{q=TQT9 zBS;P8bE%U;S3p7+0fbN_baZQ>E_LBd83B3(J0k7wK&>IZYoqE-8MJI;C+LFR-GPK1 zl01YZ;Ko`-&Oj3?ki2evQ@jwz1|js?o6m)YK@1Jf)7O-NcfnZK-^=4qdgL6eB1#Zl3;XChP zx~wAUcG8DmV)f$gC~FmrYxc_k+(zSOCA}7o&uHk%yWv*4ydO&gJUtt0k)rshs>e!! z3$?kFzRKt@t7Zy$Z>Es%dx5~AM&E0g$=FRQ9{Q>dZ*b3mOW;o)p1bF03vQI zfV%H^E;m`gCbqUAQl`*AGg-QBG6*I~lQzu^8q^u;itFPbKQ*~$4zhURAnQ0t{xN=J zUkN+cJlLUnu`k9!c7Z#{AfKxtR2>KDW35(3ydXj3jRlehihK2`MMtU6)S{yl;3!W~ zM;QlOLM&I#sEqZYp=vn4u|QbRS_Mj?V8HPqFd2m_F&Up}U;&PaF@ic&(Ps$qVdnp1 zIzQbj4|S%(#1wi!tgq>Z8WOZBWbbYH(`?+1!_>GaeLxirq&l!|O4?}1_wbM#8ftA{ zt3%8oZYOWtb@rxsoOO20KaB`>B&xtHQKy zERZW%Hf3$;()(6T^*X&%A!4*(Ha*VOS49Cx3|3K4L!pCJi1gCY*l;*v74uP8$M z4j!Im=s3QtHGvfh&8$~wt9H-w-Yc`IYmXeB@f??va^zwEYk5s! zMMYKo)O_K?^b^_oP_JSc>*Kz4N8sy_^j8_oQlUXonno z=b$S1);y^wMLPmKbi%QBe&Z4ZjtpaL?Mb)Rm@!i0a$tJs;rWBy*hivs290kdh@x!^ z2#fP-F<1)mV*YkHS^3?BqmHI;o>f$e{-Q}>IS=;vcvf3$_|4KDbdUuFpvz#9e73kY zm#?%Hu$y^gFuYettTLSKtn(%kMi)B0i9C{9!>B|kHeqwBzBidCn!phs2IqY)ZD&-2y8^J4Mv{GsTdy7)lo&-{CUQfMg89>ZFzcv%#Ww9BjF zU(VzD(Ty^DQ5KucJlL+Yme8|LCNf8Fq63;>>y)l|sWUzMY}exXLxj1Uk2P-mDbMz- z(taqILJ^<07ezIgIpRnfPp+T|hn%Z<5v0-nb*ODf!(s}yX{2q0urJ=sveufhlG_O; zSw>lB?e+$e>iSFlo!{TUJp!OS@nbH1thGE{S2ll^gJ*fx+T0+FCHX2U zI05s)SBS;%(#(!ge}6FX{zwsSzU}Wd@Batmm|T4@PH@Wy zz#cIjSra0g6?55_Sw z#iMV4`t3qKl!qYhB88+KyPwuG)A`LU*sScu$>k5kKqVkNPdh8oED|!TH?B8W_P)c` zdcu7LK2>;TttW+$BFBE>q3y&+`M*I#&v5lWG(q5M}t(SnC->Z{?3*W_oHd*;}Wa zv(XBle02O^oIPM^_^czqb=Eq9Tij!GF^JD551qC4kdt?)`4GyRW-j!@l*fvNm zsqqeJuBP5~_H})a{% z)iqV5d7((S#e`ijL?6=6o1G!OFWeO%^%vE6SRsE4DR->Ay|gBkreS-3a)FDc@TL$ z+PZ%D{mm12J&44`p4c`d@z|JnxotEvP20v;8_fw4JG}51o9f|zvzV2o13J8Go!$() z;8+x#=v$ChU}f)`_|XomU?$2E#YXm^3}z~s$R6!o%cd*nip1J#!rB;ywQPa4uP9Qm zZL$UyNXz%d+E+X{@T)p5tx;du8SONzO$NEL2$o@O+JWJrZED;Cr+2eDxr)~`l}sPm zgD*rVHwFPj7Me7kdABfJPxEQsG!0$%xh^rk7P!Xd#Z8HAV>qg(ud_CplO)P`jnlOX z1Po0y>W?@MvGTbMK1yT$3<;Ph^EdN)x+*gCXh8djOF<8Zl)>3`&-BW$@OMQ z8gC^Uj}=D99vV1k=Lt(9*0xBE98dqu^ZwwkF!gceKX$m2Q7felC%SAGsKI;0F^jb( zd%^_6$O)weU9e0L=mdN*dVnu$GsC`L70BNvRb#jqLDcvpYly@JuR^auOMBk7l&V0& z^3B#}LSiC5N!DiE+sXA%l)AKBBe(zP(RY2{I~BsXgSvzi7+&nMYFp0hTi9c5e!z4W z-vIPJ3w(A7`s@XLa=mn}F&;FvZMW(3G>Q#Zkx!pSiT*u}(&&?_>f0{pvrE$FX%uy4 z$HyA>{6}{HWzg|g7^Jw;pex`z2zfgUD~r-2d;O}=hOPkmL~B*3_S;69HpuUyDt4g? zD~sr}4WHdsRstSy<0qF#Htt0A4`B&YaAVtEK0xo2Vu;G9&p1{=GE6TcbkLv|mmHyU ztSmELjnE7z4bl3!D8Zs$LS2iqWbU!T3LJ$%sm6-)FgL>KFgT;6rr3?tK`iYUW<%~g z-ys~tmjP8TW(YsOP7L7;pIF;(+h=7l`!ctI<9IKgYOlZcXVIYn2?j@bohV_JOB+vEkrK&OU01P&FX`>TF z?7@Kx6-^O#BY`$vC!@WsXp@zV(Eo7{FrR7LZ)KZPF>vmYa}5QG;&&w|O?mG|oc&&6 zv?6>CSlM)C(Xj~ZK?$W6Y0K)dvXW`CFpdK5nRr6cR+3_kLCrBw|2zY4t+KY5lm9as zmq((z8n)4KQd`xY$%^{ajF2h2^ z{FY7WjU!M!>v$$=M;Z=qp7S-YbT06U*J>62e_52R;aE#8d?g~&{C~b*OxpS=$HLP=f1SVT*TCYjVskcZ^?WTUs8-h zTMZg7lcfXh(`DtwMu*p#qUERYp)eN_PDNOe`u7n$0Q2}z6KeLZIPwRd$DxX2VM)m4GD)tn{` zi41~C+KR+iU@%xb24eIEC~Zalg#xsaBCXqwx#qaPvHEfo3rPL-LIOh17Yp^p&`V)4 zZO5#wObSkLlp{v8?Ic4v^osi&8R!RuBKZlS5-^AbMr<>)^(A3Rp~Rb2Ia_JPFHI$vaw_lOP>F9 z64w-Aq$yZEa_L33^~?VDFltZ5+r!Y-Vl>z3E&4}QUq940gK-Ezp_3drdMr!h*+Tyc zvO-7Fi+C14@i14eu=>1>cS%5Vl8oN)5pHWcAWk5FY-JXa2?Rmr0c@w#Boc_G+z+5asven1cA)&kGqYG5 z4bdjtHN3XMw`tU|_I}8Gh|f93w;&*Ps&62Yyfugm7t4KS3lQ zhLPgd!<{(a3$Pd?MTk8utR8gMNy$o!6WiiA4@OzL&6$iRSY-y$g)l%}Akl$ksJQXV zDo*dO!<^pIu^g9SVK%IxfHkWRSVMha30f1ZDWL)y*j4r0rzxVNEOhX*YT0k`is*Rj z;W2%6d}xmXO`SAkFEt!S+Mwx`Crk#!| zXdh(lq}S;_n*9<%SNKF&+i6*}o$e`ZXWMDIpjX-|q1(1n2FNxyeT}ED3G_9Qz9_+3 zTilLnOGJSe@DvpV-WESaxo*_Lw_qhg|Cc zXKOhT1Kb+jn92S&X7c;S%snbf;0B*$3(oD_WI%Jk;sD@H2t}(hAkVGk&ejTw)T@R( zw^rgTjB-S;!SUP)dv+g&{MLii&Q9!3+=sVnxU@oO8Z#R>Em$;dVk}fa9CH_wAl5|QLLMzLK^qsswBRcNWlD%^q+GnoVaEfr9K>NK(;-p$Gl6gT$J9l8$dlX`Z7dl%H39@mZ5u9vVQogWXrZaML^FSdkmtsd%jl6cz zFoig#epL0odbe{YrO9h81w#@)Vh?9dBlfR$?&4ji?(ep8@DyBHYY;P6Q}_ExcI4rk zXuvZ`m#$i%A%JrAcOqARu8ji*I+?Q=3nA^y)tJ`@3wTu#fucwS9wH$!>gKbm>k1L1 zELAH69=OItD_Fk3)-q>n6}2hX%1zG@J^l7|2+e5_t@A0;X9n;*eHf64iz=o8F!4m^ zXG1$wG2K*XCD}fhwJ) zJWwUK6)R(%h@JwO0Tjmn^>{4mwH{<)FMycKS>8w8Mg<31P7#dEtI}EFB7sp2f=$p_ zp{pXl&~c&U=%mTU(_c=qV?%M|Pqox@ldXN`Zl>lK+)c!UT+5N?xsT}z&UPBi*NR_^ zbL92CVs^2Q$W6mWg|&}Ik52&>5lo?WU*orGi@jEk%NlDRmOJ;6o367~AqZ{@X4Q6L zm$T}Ih9Idp`6wV3htx2j&@&C_+2e>w^~F08RP%fX83SS`JbmhXk?OfX$4)JYL9Im3 zbdHP7c!s?pO`V%9a-($8{V{Mc$pl7sHMj>duoe{BdCW0+IRx_%3+`mGoj!O!1~bCW z%&cI_D(vVj9p-Ur)p2VNwQ5}tOb-|@%~kYOOlbJ<)`_wus z&rD+6i5`438XSL{4$zPjjQ>|8CaPxmFhGs(sK!CJg!qv@I? zOI2CUrC;XQo;9uP1TbmG1gnVfMzAJYMY#Z@I3S4{ z9f#Uf97P|6MVlFwrck4&@J7e>SVe^4>7)reW=&?f2%Z?uCs2PNAUz9l&~79uGuuM; zgZ(~4Z9KP;;TV6Via5717?zPiXwnl0-~vtp;1I@U_TU1Q&qxVv`e221fUalK^``4q zG2P5cY@5ZT<%4Zji8;hEF5H>?WRUYor1Qy3&L@MNPn^_BcyB-0_K@D6jk$~9wvS_3 zyFQM+&I$N&tZG$bBVUt4Q$E-$^~AZ4V=8Pt8}!G}$UO z53!2iHF|$Na~j>##_8~X-on)gf+?S{EpolQhh*%jth?Be!)u{|lfBGS^!Pyi+~xZ& zi>Ey${rbV$(UPW@hbC8qgSXAi3Zna_gzE zDEiT`4x?L_4KM^UsoV?2JuwaNd#^vS&s`w(UWCD{>vXGvo*c!K0YufKR=K<%$mq}7 zgOf~}oh!DWwyLVAyao}bMMsMYYS}T-%=uAPR8w3*o1*RwLrKC5k#__<4G?g$ zq;YVB(Dc!0hU}K=mF-k-e7T%43E>7uUJ-6i5pG8P#1A*v^~`aTtDvaf`Qc_1;U?Dy zH>xx*9MubMMv)_fa1#dHAP3bw#1=uD)Z!6C4G<)7;|_+KBUL2`<+YJB8bt{?#Oq@r zJ!QDLd$7kUBkTAGeI2E*dipx{HGLnauM=#?+(~j2HlHGMbuODL-kT*44SywrM2!Mr zxXM<3>?tgjIvT*|k(qRF zfke~Oo`r-bY%2UVc$z2il)272VwOofF`$GfI93(dZ-J2qqzAlLqyhGf!=~j+GbV!Me0W2-Pvd$~yX3 z#|SHl$=R4T9H+}giEWFhUrqOiS|`lIs#iDCfvNlYs@!?LYYhv0Pi8sYPo_Jc%y2%L zN%3k=rn{o##H6IWu%xP}0O?ciJ9`>P|1VC#^+@X^)wTpNF-v%LQ`cFi%qoe*-;*ol z{!|-<;lD`Y>N^Z|Ep$Ga?|iZVy-~B@-;ZYMAoBO4`Jnjw(P}{b>uKDWOa{bhm=+=@ z@qL7Tp7JmI`_aO>(#qcB#ts#o?r{F}31R^6BZ2=Z)$Cblb+v5uIo@nNsPofO-fZDm z33wsmlYQ)kNy+3`3C~)&dWpLne=8SVu7A$q2FuTAy4O8m=JnOW4vXG47`*HNKPQeUlTl!~O34F4EM!oy- z#1l!`*p8RPYhL`SVb)5F-k^Y}`-`<|e^e(XhM1EkIiD=G3ob#yI^Tjzw1N?Kxg{t^ zZCXSvUG%B}R%|}K#rOeM3CYkyhh;k1NRdv~Hh=HsJY*dwmLTIQ0@n5qaAXUK{Fb;F zmc)S^=zt(jG87=9bQlUm3V~=`vWSgp_t}OVlty8mndW|~-2;7*P#$Ow?=ZQ~&yxR~ zMnqngIhOUJ;evB0@!aKpi9c`qFD)xXJ&7jJG-c+U^cYI z%sI2>&aNstK-XBDJdh(b$gJwRV#j`_!9t8zLy3McknX3x8p@sU*{_DuD%t(?2L2=|-rC0RZ{_k8##5{u z8n?Hl`_$bvgq%fx11~@Y=_YVsbtkZNq6IIsxGY%eW9X!uN7aQQ~x!gan z+6bX-ZG38d%ru-4mm~OATd~vj@_-OdSZofyzm_C-#j7F21$4je0Tc`57hevXEB%8I zjPu0CJL1$Cy}zb2zV2_<8u+qs8-y&7g7`=L~g zRE}`7%|?YC?nf*Ov-(`Gz)8FZLA=N6^P(3f-*wzVc{Pj(i!CAdvtA8*z~y`Ut6^%y zR=5`4fnU#1i=EoK&i!nWEyAGuApn+byc$N~fcLlX3a|oof9tDZcnTdJO5uQ)orC}k z0Keu32;ARkBPm?)3-Y9kQG#9)EF-4k8qqcFuoE1Es&*Q$hC^sW`8c=h)o}ATqls4C zF3pk-zp+55BPKlJEm5Bk9<4r&$#xmeA*AAce`wh63sY%^@JV69n-VFN62b(2UHvq7}E@@{uF=#SrYZ0vhkLQZ&q zCcuR^p3kM4cRLjE6%=DZIYEtxDCu8NNeY;`JLi-1_p+3vY-58jo>YR8%nOpJ82c6c zL?s|}(Mt|KW?ruFDByrKd0r;Y&n&5NtAWjpJ<}!F6J3VW*R&tvf5qV0I82S6gNYrG z_xJK{Hdsj4cE;~j-o?#IHkgd_B?ABZrAi3@?r1_k&Y4j|*fXNvubhefWx)Oth5el@ z{NgE%eJV%Dr*#tL8q%96WTOJXc5uHeW~RhFc9bWl{O>{fNQLxpLOM1nU*6Bc1=3$& z{2oR5T;9vVNd&rA2npE7P2_wo4Ln!B95w3HQLt~GBx=+dxRF!WY@c)hD!f8sOqMhJ z046zBV$mpDk)xOmx%i?Y2bCjg7=o`vAwd|1kTkzWdBJvTa^Sqd|6Sx5$H`%_Aw&%d zE4p0Ah6r+$upz>ga`}*Wd;m`mupt(o)UFnUIlu{1zzK7Z)YMW6V(fliZQ*#A98#Cz z{8l_0_FcJOU6~p0ihbel9+YOryUxCF)66(3292W@8|oYvr_0KL&nRo?f8@gKrm^kV z88(!qKV`^Uf6V&K#l6d+lFJOev0Ti@d9-sbAwt_SZ|@GcDxugJU}uQ>THFa-1A z!3~Jj(9K)vuf>L=6IYlzt zg2ez-_z%H)1ag9*uIS0)KLk@34(Fi{YA*1LrMw{si3k-Ik$lH_CH#U&6pHoOu)`(Q z4HcoGpAZy_jYxlQnZVQ$Hlig|Nzi!#!py;{4kQ}t+ip3$2W~f#uO@WAAm!N~=x>Gy zvjO;fAv?(8=ChUQ4ndq2MSl@48{VWZHi8JdJpH}pytP+Dn5!jBZ({2!1X8Y=8IAv9 ztN^Umz5C$e0+#yarj zrt~Wq;{o`U-4YG2?ACyPBSJt3Zlo1V-z<`Pk*^~Y{$&j>{4Z-{tNvw;E_{B8AS&4F zDy99q8qG#h;gvkxvwM$<(o?d0feenTOAOXFaJxI6l4Q|yHVRujNH^BeQZVmJw(iS? zT;7}uxw1JIa&>cLU#=67Y{k0zeJSC_`?C4edK~+@o-Em`OW7z8^eTbKVWTWI3JQFs zAZIQc)iOvipXdtOqNVhWJscTl$bq-d)xF2nUFGWDn})p!M4=B=zcZ^;&csuq-zt{7<`YlfXi#A1un50wKiTAa&J^PdpooUo`C-A7|Z#m}lDb05r8V!Qn<&za; zyYWG6qEq7&rYl(5k2kDSb0lQBHWV6zTmfO+6@Y}>cb5oe$FpIi=vwt*QP(0!jjm49W|jxog;aSG zg-Cl61C0>Zwt?>fY@5Z#(O_HP1kQc&UkKg-fCyX&5V+91)f6Wp-Z+22x{Y%nv5 zMyG*Z-_g&a_*g=oE_7;qe;PWmR=6v_6NI4C?&E4X;CiLB@&0)eb*0kawI`f%uI73% zqc?6^lUlTVWx=x4+~q4$*RIPcSdEpEwS*_|?RP8|-7-R9ioo-mMg`wKCHjp6&jZ22 zUf|`*L0?%_U6GktR$Eh9wY#CN0u#HklIrS;a-Qq0wxQl#f=mV!dgCswt}WZ=rc4G^ zHOS^xQ&!=Qe^M9CbJO#?kHtjCyK5`8djyV@YUG(acHn6c3S>*DLVZgU2k|5u1O8=N0Vs&zl6d z{qrVAY#J|&)ah!q2YnL)mme_NMFAAi7J> z+XPcpJ2Vet1-$&1A+GLYuJG=YHOqCS`xK<`W)7Pm+qcY#_0n)u`y3n5Ak)od zyn#Rl;4EhoE&>q8jZc%!rJt8Vd5fK-W`0!#xQUZH1{B*&wSqW>Jp@&2D9C4T;Mq{ffYuj_|^7<{o90#M{c ztABSdFaF)V0xjR&>$LUTj;^HL_t%fJNmQ7IX3{Uglz7w=9z%KZoVofJ|Al$C7zFYJ zNZipaGW72aEbZroG~I}ntbo_Rb~mu_{|pNF21GEH1oOrO77M)Hpv#FYHVwB$>q121 zf~-FyGxnQc{FTP8*+8eyH)6pF7YWnh-YrCUxSn;%d^!&44d}Y}fiQX_4j9E3 zixoABL)2%srq+bVvPc46j{#8Ripq^3Bb+mdjAjq&{~mW&pX zU7kem9~<~6uz^Ma&v41@tbjOiu2J=`=lF00L#P#K%L)WPumXqEjfxSA;)x(o7K;NZ zHxMbCZp>$KEu&ONMO_pOsk-^IN-_Fj4})j8%QKAHoqDF9#gR|I6CoRZ-69iqqf|(P zDLbYo5@_clpQS}Uszdl_J7n(84kJ6JA@Ercc!C}&F>tybr|FcQ(iqy2v-5DNX)TGY0RN)a?5BXXw*Scm_tpd zdKJ#uvI~XzHBy-o#l2Di4U9r<;0hJdLL8;Y4|ih|o6L=X&EnQZH|}lWXeP4q9ZAqn zf~vIWtF+6n=ah;uLTOP=R>3rp23ZZ>$3nbkG^)VdcQWF{-rG!xZam|N?{Dm|SUmFF z&*>j-D009X~!`D5-(s7r|qCODUjyIs(!|^cx)znp9>+xGnN$Q7Oo$6bNekC zNTugwZJzT)5i`Dk!Z+w^a=5hgm|)_~o21XS5L4$(6S&2@Pu zlv7iW7)@3FQm3isba$0rrv4r=+j{hMG&ah&t>#*u+vcPeZf-Fje z-gE;8Jh?n^U|wV#+RjzeX*RVb8XcI3`xXFDfac90HkFu|=F7osD(<3LJ8;@1@4lOx zVmHHJ2D~X?;Tg2t%|R@wWkRs)qGuw2fvWCw`5-(LPbN|&lp2aZ0>P=mV3vf6Zc^zX z3@eN`@us>ll*<;}D>rFFU)$+x$Nz96 zhO{BHSrEv1FtlY`Td9Gvz{!E-fuB zqqM=VH{pgCvt93o851^T3pu8i2CaJR$gK%Fky@6Bmhs`@W|GX z6$>z2yth-07NZJSa!ZUFE(j(K7o!ZQf$W>$m`UEF1)X_*5rK%`$`dxNV%zOKy00+<#NzSCJrZNPh!b@P4H$4lds@wI^JQ)+PSF7Q>^HtIkHLYp5x4ef-@oaQ|K>>Um~-T;#d5yT7g{n{+oP$iT9z;W&wP{-0d?B-a8>=M$(7LX}Zyo%%YJE1^>R{agk6+wD3ais@XCMA-~NSt)(k47ug?W*OsmOZssO7%SznU!@TCmq&=>s{S~Hl`4cq z7qFQvI6VUv53~@$B!rRISOt{^;<#r)nBT6~fk7CYi+oLKCLyefK5Qo6&{-xJ>Y(gO zTLhu!pgA%3*}W*^q5U^6V!B_Z@H3IkYSAZf5KKbP#B&CIoP}*Yd5W3#3=HMJ|8-yx zM2;gYx>;-%VTdfXgKU-vT)bH)ZXW1ovpCMmIZGXrD0^ucq3qZniL!EqvPmqpMIXyS zFbQRoz6xc}z*6R(Pa@vHtWutf;s`rqu~b4Bk|5ta#!^Y(DhN9tT52cCx5<6^p$M|# z^!L$FPu&h%p|BIj(pskIWk!NY=rI;S0-aX#EDRNBPwc>O6ir%a88;31`4Fo7LtGMm zDsdW}^7#B5OQldCKd%;p@z1M`Vf|Hc?xhjbjUq-k@_%~23>P0moRxpiCqexB10%GA>CW>^TAi|JJ>_h?8^eNkqLQRd%8ddd)4}x7XZ%KTk#>e({A!o0JB!w@+1pak6LE+#=%anmb#CxrO?b6a_y)@Zca4 zo~HIBHn&9|@RBcDY)p5;Y!SfvB{t+u6v?0VSM1-lzhplmjAC&Nd#n|9p7cg2{`1B4 zHMgX@7p^tSOe0iJ0|tncm@BkT=V(X7+hDybHtm{QdR`p5IoA09E6zF0M%h^))+BDp zx2G=05%kGKgAh*wl_$!j8dP|xlD9z~y^fax)#6BqQA;Y-LUiJgl}E>ETAoJuU+mER zOtliZy5nVpqqIHEXwEm}QRVvNT%*sL2Q;(F)|{bEBkh>c9E~WAb={iVVjH(8lMU(* z7Sx~3=Cw@Kt}unG*+%0W`sevGK%8IzZ~A{FJ`IhtfLLGwn@1c#@{rEvVUXoNk*cIU zgU!SDEayfh`GH%byd@>DNDFTx^*EC%$>hT6UGSPakS@@4TLdYcfTD{~l>9R7G5u^V z1+ERI1wK+P&^ghhbHbh20#+u}3@a0=mX&GB{q@e5Ic)v~aCv|qPk${oU#nYLo|Q== z8s(kSaNCy!xzbvvpzJb~6$%926j$ryAWJN2xAUFAP+Y8xxZel`!2l~gZQg6z0UQ|f zn#0uy8_(vqBq@uQVA23IGn{oOI+anAs!O-(o#8=&gWEGLH%X(`39TsOkwjyuABCJHlJv?ycl0A*?f(+WH>lm-I6h) zH2Q@uWn~y8I6-?HU22H-YK86ssqzK7vF5Bz{XYubQ-N+A?jt4;3f)FlJD~f5AG)ED z-A1_CfS^|Tcz`WJ9uj!87bw&wY1FO-I_dFheAaQ)l6)j2rU9{uF^LmXz?j)?+Pjb< z@$5*6!m~tkq=9EO+PMMtc`KU+{^z-<09@ki#I(eTs07awjfx?rYlhf-Mm$D7>h>+1A-GYtt=8b^ruJ=5K+0{z zzRP)}&PK1NfhJOB0V(=S86_pNOr#i@=rsgjCB89MRT48GVzR*tE8EcRZEQXanIVoL z!^-6N?2HTn4H@iFZcP-1OvwcVv_p8@(v~3*q|HklvWH3Iq1Z8I{3?nx#t_4nDWQrY z6O$lBKJZHo_%Bt8fixg|IeZ3&UrO-La0fzr`Es8s%AG2FW_(Zcs5cK$<5Ak{Y(Wc7 zrx8Y;Knx8@hFzuhisqR^5{N%#phe;ZIsEXfGwtOdP&r#5hM(DNfgFBzvjwCgOKJGo z!xmU*jljpLYl%)ESg5t@^b$K&i}BGjL}#QPx~Spx!i~HxxnFp3@I)M<(JBe(Ggw zJXixp;vBxKVG6(ID|LGegAtK)=s*NZkyWJV8hj1LQL@_T&HHHMJ zQ85eXqib}et0UB}LOG8#$SGe>qk2NA9wa4^oRKj-IL@M}PsboD1iS%U^S)f;%DiK+ za@4RCj7A-U9VOzU%GD?i)8!gfS$LI*HyVkT^? zDJi858MW@ZlA7|`{Z+>++y`o_tICcIuzr78EGH0pAmSZ^>BG{6eou!02nF8}E*=lU z;~`uu5F8)o^5jYef0}F3ZaLY{(s^VlWEMJQE$I{w z+2{DdGpH1MR`4G@eM%K9F2Z9bN%^YAOj?+w-wRoW#WE28u-7q7?oN}Y$z8b{>F%^Z zrb)+WRJfW<69TjdS*TT(7(W1!cb)xA249XL0BBAjcJ}Y(K|~#6*}|4ou5RHsxI0aa zY)(r8Dcp{+2)m zyVT_=)T44U&V$CN-n>YTqs@zShZ`N&eaB4Yx+k7N@HO@?e^8)lG>YdTgvUq$H&n@!N zss3URXTNqy|3vWMPpTwAe&TT8J&`SiT0pMCj!d=$Z1`Ty8OlVGO_#Nfxz;L{5n7dN zyVY4n_}3IQ;(uHcgaE9~Ax$5bSZt|g3SCyV!6zAgJ}!kY1Cbw>nnk00%C@>g zH7hu#)yFv5%7Y9hAD5YBbx}!4qgv$%xdLo{T#mw+x_(?9u&|_@QP_x|`nUpRx1($Y z$~xN)bN850n?WutYmK(?32yg%7Y?BKQGa_*KC-uPW8` zs>3%1-s$wAj~lMAJSu!p7k)jGSNOU*xcqhJ^l628Hwx0uwU3XpWuH*#y7c$zXlQ9_ z4`a()64bzQ{{94-`Ww55!tTmQZ8cfBKY`bFe}dGq_b2Fn8l-9uvt0R}5~}KujxoAQ z3;XRuuREhw*iWkD&>}U-)d&v#YJ_Bjs}TXAQ*2}V&?{^ORZy=naeX&0eSNnqecj2g z0!~nJ)9#|uE|wpO(#5A%3Kekk{c{V?xOoo>9gfHwru?mt_s@czR*VCH8uoU2Gxd2mXk zb8?E`JK^Woi7x$jOgQaXK8%4pCPH0_qf>si*kbFp^uO89)}f8fkyw<8gut;8>z?brqo#IIbnoOvXV{ z1CX>dD7HwtBqWv^45)#TG(#Xsq}=}cSUMohHjbn^U;wxgNJ6G4!V)0>x8e2RuOOV| z(|V31JyJz61l2;}GMQ(AB|5`R<7+E9bGuQj0ZUj|qWiRg16Ff+RaWX-mZ(MSd@V0( z5si+(w4^_>6?^;91PJR1XRQ5kGH=9>lO>=ZCu=}Tp_6rjmQ$-`rZjHj<-VD^DQ~SQk;IA3~9V&NK;tj zYbQjXzQkWP$)bZiVyV^j3I4bok>^HsB1kV2HrS5ZMAuc5e-3&$H*#6*h(y z03EqX69{w+b2vQgQ+Y(U8kC~^nw;lHL~1ild=F`K`ImCk^yA?iUsPx~j~uzBwu6e+ z&@P;Rgd+-HVPE`zBAa^Tm%)vhK|%dgMs)4d7yH@sG@Z7H+)(jH_vBS@f^6Mk~ z`WU}H%CC>p#=+*1G>z;WOzVajw5TN#!E_FhED{cm0OdM|I9PeIUnJ}kZ=IXoJW|dp z-x|k?S_&1SlQ~c*-M7XWU<0d@w1L#QuMFne1m2G#uw>^D`miFdPTm^Nis1bq%ybSF zkKwcE9EQ8Bi0j+8CcaFg(n81+$Jq!K_9andWU2B+kvay!e_6~xQ8ZBW{9h^@X%v}L z`oJif%~2$y;lH-VeI-I_T#ljR=5uw2 zziOKN+6gTyj+W>gWeIGKR*npbO`JgJJA6HhC&S^mNkC_jA2uf$U?nzvmsI8qD94HP zrQjiQ>~z9$(>YpkT&%|C7=g`Ecky_v!EsX(`A#E@T}lp(K;^LSFXy_0;;$C^pgjUu z6j~J*8toWot|@iXIbI@ON2*E08=-2xY%JF?1KJH*(kBD&>$Tm$ff8d(_D8(wLV|TQ zcR&q?S5%@>&c;zdY?Q)#Jg6brc%nc&wpDe;aJ<8dGKwd%UM$@Dqz2*3LpS(pWv3B_ zi8CxYG7E_S=|P;;#H%7K%In>~QWFr+>IzwPopBZu&X%_lp;oNcMSNRm>mpa{VlGK= z39Z+!q(qRZx7gLXNJvY(+MK8x7H{D94~fzkr8IOBTi>$5=mhnb2$%HLcJaIcl^D0O zi`SFH#M6hZ7y2ie!9T-QbRtkgk0;|Zl_QFNVePFm>59-qGZUvGW+S^bGjTQzZ9rXi zz8usfrz2~XQZZrobu8nR)`bGc(_>SG?JHlO?z|4c5cBjW;x|R;cR2=52g=Yef!`TgFmRPk7@daCOfDH@YCq?Vz*KXJ&BUVAmtj7t z2-uTGE3Q5mD_77y5Gj0M_jFPiB8UVsoso1(@i9Kka7R;+vd>UncmPl%^lVB<(fXVk zq35U>k)+@pFA56f=@&QVx47dFFck#cCp^zRd922~A0XBz;NW9K;Zw^TJ!l)w?Dj-FizU{kQ zX2=9u*|OPBCMXGtn!6(EC17+|x)JvB^^G1n3<Hi=#GEjaj|n6|3-DRY@$YyN4aDm~+L`-&PaJwpqOk-c3!G=2aHbdO>4YjB zc#QvG>{&g;XOm@s;@N?U155zR?6x>gi7Ht=B^uq_Hm?i8#a)eat30t80 zoH?7p<4Cj8nvTJRhGrZxvpepWOkuPds?*tqmTh{bKrm^bHf1sJ zL?MH#p#hsH6iPL;f%qJeG@V6kgPbU2unl4%rE@*wkDtfm4S38ph+NNaJx7`vI~@dq zHY@92AZW8gQe}falku-HlnD%NSA3!nlxaYHg6+R>Ku6k*3PIfV#|ld4X0}0$w2L%? zwg?1mD#qij97Do>$(G~jJ94$)ok}4v?>MUke|u1z96~(1U7GVdq=FWR++jF(6ehpY zWBw@k#az!oxViMaoYT(0P95qN~B^ngmNO4qQ9kzNV%Fw6>P&9QWquo zRB|F=#x({>HCpq$CR~y4REh}~R;;vkmlH0+{F0!c3xq^WxB|T?twf6xiR<(#i*1yu zN1jJ4i*gg_ol5CRG$5Q5&mCQN&A-+L-rL{YYv~C)N8e}7DL|M)+{yV z9hQ*7g^n>&_9!w2(A0h&R;>gIpaga)C6G)oiHPRrjlf31;sK>0j>Lu?4F@Y%0ysFO z^RQ9^Yas5Dh)2ZZ20T7$40%PBdTydMs*nk&18rj(M%!^rOoRb(!rOqLWISpDVa7o{ zeO@x(8TSf-&0>X3h0H<&>XW7=SpzyPIi^H{qZ1j4J5MNdKF>B_TEfZS)D?{8mYB`O zEvKa$fhuQT1IEc7QJsSkX6vq`Opg zkSmNXgfJ)`_n-IpRTOB_(-i@Q$@!tc>Sr5hsxwA}dgt)D&LRqgJE_ASnm{nPqMHWG z&NdC!jh75U3z%Iasr4h-CJF=?D_xwMq@IoXT`h<4yIO&O-_>?&7|xt(Wm5SU9N$i5_xobSz zEQYy_Y%|X})D^|}!*O^RjeBe}7U{YsI_l8}jgh~r)o66oW7kBeM>rsP|C_pE(ED=L zdx6jxr|V%4H`#4VKc8POuLjd5sW z#Z>P`nlAVI6GR)8JUz7%u`o`R#F5F+1@CZ|n`6^adOn@}U2Q<67thzAyRdMuS?fGq zQc8nA2`K8lYl1lKn<$FHNxoU5HVLS$ z)PXpH{z(S?duK@UgPx(3&$0^%2{uy@!ztb8yYMkU1KdrQeZHHnX{zQqqylc$BZdL_ z>2AUH*+LLr6l&l*$CMiASk3`;EEgE)SnjA?8C8Kf?XA%&&ig3aYO$@l8M1VQQ(QOW ze629TsQ6eBfPk}EwxT7;S8F{dhJYdScp5}Oh2{HPPX}<(8+hP{Vy~uPX=HaTk`i7Ef z=WI+mB?9r*>ORF?^CX@7Ys)LDg(@4M=$w~hgnh!c5rQFKw_@2guFAf1(qh{vru|l& zc!;pKTW*F#uG_>U$l^IIRzmm*sqrdjkwB{VVwT`b;i%Ue>H=iRYSu_ST>z{uQ))yZ z^|Zt70h2uW#js1ft=(8qps`t5RZ(5ekvLF(w3o$#7cfIejHeIV2A8csKtRr=)!akuXQC)wy+wN z0M-n^ZN%VuYbM)4NKe6M7M%av=|?g?scgGNm(HEEG{Lu_goD*VRx$>yakR(o)@<tZB`M*8yC^TbqG;nwBKHS*Qi!|evu!feBRp}cuL6~`=fJgO zs9?4z-72a5>(1#88u56yGNi^`=&f-VI<5O-H11o2K(Bo5J(tSitw9FV02&wZ2{Q*) z>eEftiB+0g3qdLV+O8CHY7IL8&XehY!QG7Y1qy>T?N~dprtTP@DVi9ukxk18HyK3nB%|rB6UD1 zo^J~CDhm`?r6}$a!c4kzohJASdZkeR#JP!-85sjKzM`-Zt2vr)8(XUa7%o!~<}K3~ zu1<&|s;#4E7TcLmBTAtpp(tWFhr@mmE-Wc>OH&bSeBUVswNbPfS4jIRqg}0Q`K)*( z+o>Oqf#=c-YK=p+u*>pzW~A6B5DtXV90G6CT78(4XcZjZdVrCKIqA%@QI|zj!ezNY zeEEbkH&BxdV(|k=b~_8LB}^VbQ%R1HYkDU?u<0}~(WAzjU%~K$0{qo!xjOSJ=ZriP z;8B8vVuA)F)#Fno6rgdpuViTXQn_Qv0h z6vh8;q|+l~wmt);yJoOrnxjmB@X~a;wo>N-{(6Bi;ltm^N$QVZ#JCC*o0qp#7r;ZR&h5_L>@XZ-*$d-40bE|EK|EyZuZ9 z;+sArVtVsLMYRT2+ES}SmIx-1?W=cjtPoTipn2k}!BRh#f-bjVG~W(orGjeM#ZfBA zc6$)xkEz>(8C*)Z?~RNhhT6C+f-m)9Iug;38sxy}g=mgvnr7S{0u6~gKeva7x`O*r z5eOGU$K?=au<=M5wG7r2D94san}#hhD5nV5brggXrc9SglFF8lygfsbRR4hurc8{Y z+(A~>vR}y?!KCIL9OIzi38u{Q0hPBSoN!oqFC&RMMjuutC|AeIXoBB$;*5BB6xSyi zHfxs2UNm*RSb$E{n4Q2qrw3v09u^~#(z8(-=|WoAlDt4je-T97LhU&M!7C&BO_Jn0 zt;u&5s)+>e?0y02?0y51BY4qT@Fpl;JG-Aa5Ze#c5T*2`|8kta;7wBGJH^Ud4r;+8 zm_)x*2Gl@?$roR&99Y2Ns?YA1qUVKbNA%)AHN;^<1K(%W3!@LdRG|$78Ib<$$Im!6Z6j6Rzft zz;w(XSUL`u3bcag=%Np+5Ol<`CKZ~FBN=}IyEbl*Vio*}H#!Xc5%y7$xAaL=|9Y;Z zqZg_jIwJ2y>kFu0jHqjPKdTUQe1X$3gXkD1=~$-e_)nN~5FP(1U(oTN@--cAzYxr! zLqB(|uu_7oY(7=!%2!i`f66C~YD`*uDEZW>LY$&xNdc>DsZz>_U=k%uzJijND&!2P zMpXw*RFVSACo3YKRSHs;vPx;etYZ8zavXK7W|fFc!UH)jNkl32;#jRe(%B}CAqDMEUOnv7l0&c4X5B1|SjgTl$%)K$cGlfHGU@p88& z@Mq9%0QT7_IbMEtN)y;Ho3Os6#>*|zB>U_XQFqHTSvo$34#rEjB5wuT)3R4lmtYcg zE53T_pF5z`-NfY-@eg~5y!^xV2!<%Ze z2~GD(gMxUHJC1F_o%x=QkC!x-WQq9fl#n|wvN&?L6Er4BXGF_3^`lv!^JFQ<`{rmm zH#Ul-u=pz=;=-|zjaBSY#7qf6E>nVxSE;=KptYy>*5s#3@?=HossdKkvQN>P10`Y` z)8l})5kxs}K#5%oj{sDHrTQw8=8z3mTd4NvfAK}Gbm>RnQh>vShW3p~Zz<()8|TIL471YP zLdk!9JCW^csS9=$_Y74|dk2;Vb?dD>XNyWb)&wa&Q+D-PQ3 zqDxvP7hRfVg|n5_wCq-BO(vM6Z?+n0 zEU*!nJ&;&EFSh+)Y^foAgMB|;b6AZ~H``c^+`Qa1PdvuHpRW11%W7zBkqSrRG2)_2 z$ew}6@2(VvA#sqsQIe>H)mCdX2S7)P%O@uE1FgOamU!@XPMYOSRLqER@AxHg+7 zkFO1|84vH>_E%fQY2see-6+uOHCJhXK?!WO8sBh>*#+Y8$t;T zlAxd>K>HpNnB6J`uysBVA`}QO2+jg243Hoo_tp#j>;TPBdPc;A<}WSELt9Vue}cvi zLSx0r5qEa7gDoeOgoppxIxyNDgC)!(zIsNvdPe@MF8KmVo>C?AMadT&77hD!gP_VF zl!_TrRZ~@8RZ?GDCvh&{zit%xTy5)?Li=ayIKKXDofN-6Tc_p4a6aF8LFTo@v9bw0 zk(6^0r%>E^Aru(6v!kCKyhzeGl`ktaU^R0+ZexO2hv%37cWb=(; zXT)PY`lR&cBu@T2)vvQdEiZD!VAy`6n1}PT}x^vKC4OGVo)UgS_kzuBR zkO^+SLn*TE9ANe8_hE8J-@&=Eci_(?JWM+~tC;8~dR70#UO8sOog=90B(-}wY7K2Y zg=#TDxPujmBLw^iK9pPQ&JlK4{XWU7#qnQtGsO;`8N~0tWaP#*wvHd>X{nK<#4Jvdj)|>B74-o9U#>)f>K^cl2ey^%T(-vsCk*gI6gjzr|sMmeIZLM1-%K< z(Ov|T-!-5HFeGr&a`+mNGcpO%-7`IhqJUF!G(wTjpx%Rwvz({gD62<3lo9fC@FX34SUsjYrZXT83+&W}<*3ifYnqby+&sR|{j3Q;fq5zl1z+ZK;E z?@1MY=%3g0bJjxApNA7I_&hwAPyW1?v_mB9$fkSSxOC1FQG@rkE8%QjLuz5WAx1ui zp$f&+QG>3cWh+uCuy0r4(xPQ+H>9`me03y^GwdK1tYwry^ScIc=fFc>Ffs9EwKbJh zyBq2%GUd^>?vlFQ4f`u<>fKd1@V24aD+2RtOXo5von+|TgLnPjc00(jgB%%b20uUz zHiK8hUq=T2L+75lw-dxc>a%-0QC8@|)Jh!JptAY+07+|Jqjl*6k!Day#V(5|sS8H= zlx$w(xEKSKT*nvp6S-0;-v)xS%kPyWTVGbu$L)IAubH8 z6^rr`f1=%PFSPxoNG3|X-xt&v`^A6FvBJ(YZXvCBZ=VUu3({GI0s{lz-^&}rHE}gS zU3FVwjoUe(YJWhS82+5~fk@htM?I+#V^0l@J+cR+gN-ngurA3mp^cd^TS9xZ0M&rw zTs?E?^uv2KsGS(R9G}`fQVloVI|zvlH6<3e%t_MwB1Ty+|7&@WlO%(M3^jKUW{XTQ z`u1!jN9>`rABBeQPeZj~L6b07o9i0WGhakdi*KICzHJGbc}U99mVO8kZ0xp?lplh_ z3TgUb%#XRHw#SpJ4^>-f11w_Z#34DF4koX|Vie zp5~%EB0VKpTBogG95w;t6p1KA$iaOzbN|ge;Qqe#$1S0>sT5Fe&$UC?Acb^-Ndw>9 z1V;-5@{B-DrX8xN-&I>#i9g6{ez2hmN2K8d_nO+8nKa=SAK6n;ZjaFCy#0Ng=MD4A=FZg)tFMKa*3?{5A;Y{V@7)3OC&!4Dwh+9}X{^ z!$@xx2yVJR6zJ7kAO*>WI=Bsc?>HCHGhc?HQmV2i)77&mn_6_D-wrvO+w)u~XEdH~ zu0mu5Ad zucRyOZF-1YB7pdCvuM%7&H26%3Csx)dR6+Ew()$@`S_$A&I*F!tY&DX$0z+^$<{sG z><`aoYO{r!ktdRZ99+4Zxw%{Wy3&^L519RuXNu!6!!K zXLc*`s@n!NF^$(jmb^&3sV;qkEM+UCvJBXYc#5x7&PD+-a3flAfKJo>_%rqpuam@W}P36mCRs3>< z;Fyb-9h21nmJNo|?Ew0957#ld$E3)H`R_fvO3c*ej^fx@PuSQ=QOc8oVUg^h zvuWC<;7vmM278ockD{h;tW|8WQL{xJw-vi3~B%~U(VuxbXR=p{t`7f_BUjd|$( zUG^BxAq&JUcrx!7(>t5((VRSVqlD9>L{0Wk3o&?!!-G$n?FCo_ZEaJLAa7^bqu3B- z#&Doy$7C2_e=MxwWUuMoAa5_*4rQN|kbOdPcR1NFyRR>)s=@BcBUSZ#s$kK;v`Lcw z7ytH$eQT{FpgUuNlBQ-6y6KEP{Db8*!kt`(6LJ8rvaWW&8x+N}lzwB`@avj8=U4N2 zBwQ||h%_biu}2Ai@cnXev#8%5b%jJ_GJVAhmkc!$=Il0-o+%&{;c!u6EQVOJUhnVM z*6TUUy&x9n4hX3@cOcndWX^xY$9P|6Kf2H747>!9+n6zc+!9@4v!`0m3qbC9ZBuBd zrbcDjW7$we?sFn*>Dy+muOIIfJHfrE9qLW(GDYp07`LXj+Bqx9?JlV~Mg$i`EC+tV z15>dF&jyx=TR0J6ppGRXBJBNsjXhQp@u0Z5p9H+l9*cJbv5;X+4wMa=R#AS$8WV_E zC=j$B<`Bnm)BVH1@GvaoeFN^!`-ing)Uc#EbnbvJEHZ~sz}170C2BYlzT7{8CZdhd zXbD;&o;x7*;kg4(gk=$|Tw-eqb$ zbNA4Qe^M6PBXua13Kzvr8O8p3zADzU8>KLrgRMz?x0urF_v~rRM02L1{>Yvxnktmx z9v@cRc$>_#)0U0IQ%&90AbP)wZ;|P#!i^w13Ydr@#Jq@~!T2$rejLY-5Ym;$?Q!b& zV9ZhlHM$3b8WEBj-GenX{7L(cFFAlg6yVh0I(TotJ(eUsfxZ&yYpRfa;%&(O4wC&O zDf($^wR=zfAwA9NCJg4_UHY3xd&hgK36sLL)xFG)zKDkeXTQ|Ozuy7v z(!Ii~v+N0Ym1+tG{x0FgV1d7qva*Upz+WkqbE6#gP01Fn4Zo&>n` z2XAd&S45+FcbxLge^f+tFyhD6psvE{m2cjEJ4AZ(Or_*=?FnqScFGe>62V-Dx&d7i zVKKutuQR<4mx5MFMc*A4GcgI?ibM5vRb_NM9Xt<3>)bv=x?kflqAPWf4O9muN#6wR zPL27xWA&>;xS9ttNj$sb=)(~kx65*6T;eff-SLvYMbqL93_M}<41m{p4q}%9u_M&z zsqktpbAJ0&fYCF|YxLyINehzQ@i8$;ScyCYJRUBot}1s6HiS>7ykdqs39ROzY+&3u zugc>J>#kk(aC^#3~X!y$<98dFSTrhb+#x)vmnxX^GxMP%k!l{6e$L?ty z{nK{cB_+raJ5w@lxIpYoFU}Q0h(;8tV@EWP`ny)z5n7}H;dYOGsV%(Wu z!MJXda|cG)$17nc#+`{Z;O?0mXG#2f>X}^@=BQkwlL}A5^Kls*k+Z!tz-%u(2DG1_ zt^rm9ks}o%6&_a`P``buNRY=o@-r;PwSG@Y9TaCkCY>D1MX2G2H(-JWgsL~<}Cr>UTRxwigSh))NIzmkVG{#BJTPkNwNxjeNnm4d?Y z1xU4a3?Mb9>Q;QTo`Z<^XuW90N9%Pf9%Km8W2nb@c=TmYqGqJ%!i^WiG6Vif^9EPC zyt!8Ip?Gu89y^u*OoMqlU0dqkj8kr{Z%Qx7H{WuOeZHz_kP>W zMvsDxUXQ!<)OHgYs_7A5LYYpc<_uId^NOzPlQD8u@W~kIsQkp4tr%4`pehRZYMYf! z(}3gn1-M5ndrErSEbh?lK59>4V_xP=4%?gnb3?!TsKI@RaOZ69Mz|vZIZ7==2Fvba zz(#k29Z$ch@yB_KXOg`9%p3l*a4grQDg$l3oxsK`EkZEKK3i`% zD+5KICxyjKc8-PolJVc6JztcBl~SWX`-O@w%No4bJnM?YMaBk%@blLv9UqW-n~JtUM!A+vr#l8 z7^I$y2*utIS8piA-gpKz>wOJBoy*tUJw_fHO<|-lo+wJWda;KKNmMup02pk;f=R|j zn4L_m#bT6a2p?`eL)6}apVB@^&k%>xedw$A-1rkXUG#`Z`FsI7HBJ$jVDh^LROwXn z8E#{rKwq9m3l?E`Z?Hdgdug^q2BilU@xYqchm@s~MEDv)A3I4BcbH8#yHDTkw<(sg zZ7wOLc|0V=lc=nhv$RvggHr+3u;qhJ<+KW9y|m3!;}fRL?~TBGwRZ^qiutNo zK6d8&SkCC)h<70--J5B?-y1>m{pmcCA{aNf*wgTsAPrZmRG%NFspd8ZiD7EW|0U{* zKy{&HW^LnO3E%7F(EK@)FJox_{IDDtKR^7vS~>4;+RX=r3>wpRi2ZEcJ0&lO`iwAf zutGosEP!OqYn!ilVXZxljZ(ZoFsW&4onAn5t_oy;>7dXjHAgEDc<2jzhfvrO{MZdO z@L?W+;ie2S?$7KU(U|EC$I#uzthYDfncfR$jkDGCaL-rk2hFzjeJ zUT;q)8A2`7$>_8$4WZk=45q_HE)As$ei=fdvw(}vI9D&cH=encJ%fwRC=#7W+>CLN z9zYUE_%RMuINmbPe7rs%uhSrt&ZTD*Ne{0(!=3?O*$nE+7)i@vq|ywRxt5zVBsil` z8H_kjCI?8&xn+(NoMBvUh6!tQ*hjunLq_a#LTEgTm6YIj1qE$n-`&U1G*HW~zO-zI`$UX4JF1H13=h zm;ut(NkU#gGvYMtAo47>XGj*v7B{g=$FtO)aYb^&Xm6rQVHu{0`i~+ODI2A7iHWgD zO%{8)MK;Z7SMPXhiM0<`h==YSpO_{ui*Y@hZzY2zG)!9b!$ffY835-T^u>RlXgt4L zs@z85YPH;`W4V>>>ZOd*xUvx??pbEfr2Z_!C;y@jlHm9^5(I?(UO4+L*z&!jhQbD67tJM=k#33nKt5mZeu(cqkN{nTm*r9Fvej^UmN416cRPF zmvZb^E=+DF%EkzAX?S|`Y$ZP>_AD0vmuk?Va@5fhqeg9iNZ99}2%N*lZk1xbY&k&s z{*4!q@d$P78El1}-Akd455`+Iru0PZ z2T>t5hm0A~gJ^pe9za(x{DFHvh|<9;PwjQA?iwT7q}PcxDeIrJdLDn^@P&>7wzB58$hha!HtC_tmM z^WXsza>xGpc%Td&qKV>zxN!(tj3r;xaK5g!i0(CJP7kIK3VAP^?g=J#EB~qB7q`Ju zQqlYRPXky-tq!M_gHxv{Oesb!H=s&Q?;E?FvbNS;T2i*JycV(9(hXg5xTLDOq_n!i zU5T5f;|lJ3KH+%aCP&~b_r^n2HM?n1zNVf7sH#B<<&tt0iZ~!1z~-UKpyr%N?Bup* z`7@C;O8ps-G~@#$7>~WUThNPsg7PFWSUh-;;14Yj^*%(gg7 zTEYED^>+blp%12_E>T-7ekB=P*WjEos5$qka+D&uj>{2N&V2Q)f8qaoHE#|zdU_D8 zeGQjo{FQEkmc9=LJB46r(Kbn19D9IMFdt0UGPCEIw1ClonTXgE2P8h2<)w;eIrxJL zKPzO~-^)$MX3KKn0`W#crNe~^pXW%%Zb(LguVm~tYW(&oHE(!QqYT*jmxd!CB@0qh zUsqCdsH6;=RNaoiV0rAoo@0lqC|u>3k|!xaJa)y5gz@i#cI`fqNTGpJU@xH22yWUms&Nv?8=3gBk^fcGp^J4FNVtD}MU7l2>AhD?$} zWFAya4zvbI=~hYxFv71{W?K=8C-)3`oNLb#*;Z-{X3*HulC6nj^1^b#3)*JJyi4h} z6`~3<3iCNHh)nQr*HMn?C+ZJfi!no5X{BiPS@~r4N!Dcz_E~9VU-7L>n+Mt|Hl|lA zW#Hihl>}Q5f0aR|C;06G5Z@So4}$nfzRJqj{0`z@5DLXuIK@1vbRda8Pfhdrc(w|p zS*7WG%{XVm3V}@|`XOn(7+LuLwg(vH$S-RE4?T zPrp80e%D)QBmBlRsi7vP;Io1M!k9~=(I$J=8G3+KnOU@Cnc*0apCjEUd3ImCCYtp5 zi<(1T5$RGmg+iJhVk35OZwy^ncB<}?E-!mzl)sk8i$Z@sTmz5CB>KyXx*~fgl7}wZ z)jKi9MSF&{?$`e9hloCTxDK!Lq5GX++SlAWQJ!Y^P@fn4`HN7n5C6FHg4;6WWdEHY zJC)7QYKmY&O}&F2JxxtvZO$B3d!tq4)WagmIzYo*Wo-jSJ>^E0fz=9%nO(qAbRnhg-l66X-9>QVUk~+o^m_1oRDQ`c*#P1dyynr~!*vYF5 zGoRI6s5*al>q5mrp>`UZ85GMz1OdS$4hsFlILIyM*bgfbp3XtOO*S~Fcd~n+IcS}< zpwfs|6`;w(3LCK-M5~9pZGN{LmwW6qARDwv=v5(j0=I^Fs{Q8VHlOUe((5K3+KY0| zT10_RNCk4p`nj2J)77SY)iUf(Q)=5dd!d)I4nB%NAp$W7pW*ggE~JCS zEogy9BVYo|(VQ5i@hOP+tF@tl@EJ*fBkef^H>ls9L*Db}hjh@Lor4|Q4eIeBJyL=|o7b<~=b2Rmt zs@Qubqv8?B%jz3V*|u0I_;L0;<{Se>FsTnlxKY6HosgL5jWzpfYLC>o^YaSaOG^<= z4aZScZ4DwjzyvgsZ;rf(1@db;RaQ(riGwm=&{ z0$VuFLzCjW*@ECIxtt_Lgkn~M;IFs|BjKt$-N--Sw6`<)|?1{1hY@!X39%(CZ`f}2mtJNhEM zDvC5X`m*5Yi#&A)y@_(^ZCOP5roK@H_I#GECNZLwqm05=7s@ueF80oM_0G`+M^nKJ z?}DQZv^MQh1tWXsp^-!##8}S9vfI4;P<+o1}T_;X|hq%FIP%y5PEuX zkd;s4L~jN-b`xfq<(urB*?lrly4#Go2`kfYuf`wicg`wibd;H;?{8aa(L zGAy=q{L{0Ad;hoAvw z@QyC!9bHTv%~KuClO6pQrL5}9dRj-nB@DZ7NxSY_YaD%GbTo@Pnq}D;_$Q2#2~+vn zTyHL_C9{X7~2@g1=6-u4I9yR23w?*0KueDBiexa z?Neuk9xz-WSY}9HBl6jKdrXm_3`w)<_qessl$Id82NfW4Km!6>rt?7smQe-~l4!cr z&LB&oFIU_m^yS$Z7x4yh>M}0^E;m@oUpM(tFraQ)4ydHU;c3f&)tY1Fl3HKEZHj!$ zUWl{cdRHhj@LM7`Qy&F8C>}P%dYOg8AE_`bv%Y+LA>a|TbG`Z0Y^>i>c^*sLM_VNn z1gSvIkOok{t2O&^i3fYt5BN~iVA5_y~j>#M-0isKh7 zwu~5-yVfxQihON4pF{Ogu2;oiN;br>jko9}PRR&|l*YgB&qF#tS!+qrVRlPL?a_t!|kcC_z588_XaRtG9o_G#XTWgAbgOqQYDE9)EISM3^C{kH9lVT1w0U49L0ix5k_Lh~ShT9M&fR&av%Zr~ z5$iL~`!Y=wO61npVA876_9g)#bKQ80g zCW0Z4ZSMvigWh)(p@)Qh36)A%K2Yi2AVh;nsPyyAkB)H8_~yYMm%&omAghp(GjH*V zP|s1yp^)CTN^rz6dnsEQ6dMc-7`0Jl;hhu~+;_}i4#SLD4^JPcT@)Jnj)rvtuyURT z%Q&7p%!HK`BB8-LDDdexHRXzdPL2%kj>~@U3EXkj?-TtRvL#r@3#Dn|o`Ni2(I_42 zg;TjC;|6|C^2xf!o#jXW&bo-sLkcWal1=mvM5)^^h%KW87W?IwlDt!V0Wu!p$2uCOR=B4ldR^L*@D`$fSE0LM|pKc&6Mgz)%-KLM^8;-b;WXR z#lK=&8|~XlHRCURw%R#_L}UZWp>1b#Xew5CX)I~3N7!Yao8Bz;w>*lmbJ?sQ3Uwao zO1m_k*bzgr2eWGaNB#VfUcGxq%ugf-KZ>zxhx7#a{BA>3*O-bxoefbJDl zO6fVfage&xc_^Z@9-Y)TxOxttKOfaMisaEOIM@fz@H7%s( zZCEu%^aZ93jd}jwre?h$HPl|o()j3&Zdqmpq^rqV8frSU8k>#@sWK-K!7-y%c;Bu% zgyzW%I+rFPzCtzRYwvlvIFcJeXMq%m#v}N@A0^oBV&7a=mW7DNdeE7Cb=#l zVD`~8du8Kz{GD#EB%SyO>!y!p;M!gZ=2}R+#q;|PA{a1TH+WTId;)(G6L%h^ju`WO)e-c=0`H@d<6M_w309iDDleZ94k$i6 zb4IqO29@NV8XvsAgg^b!kG7Me+B9pr^vrN?EGYGueOuZw&&cq6FVy(uAxi zfJ}lI8H^>4OJh==064-U&qOxVYP&m_iz@+Mj9}4AQRhXt*rTi%VrJdENMdH9zu^Wk z^$CSZIf3qe%ahG&H^@69>=kUbFxWJ30h_uo!q8Sv2+62$d>&t4XC!l-0gu88B0bXF zJj$|H@OYeeT=ojAEb@?aOT?rQISY}tkMihA zter&Hx&t*ng!j`82iQ;5$WT^$zS0R?OeT3qz88Cmo`Xk z1P(o-j5v?-?c8i?*0_E<7iJcQc6`mFule+~fWFe{i<|__3;(D8Z0@D8JQHaF%njLz zs7qi7bmmf)=+vdGKh;)KW210E!YH|WOii*cWr2(Cl7+9#p(ddYUDlBP5U?Bv=JQT? zN1Wu-|Be|fH&*%_OmPxNwXlmZ4IA;cuv{{Y~ob4 z?DNfSsL(?hs8pjqt>kin2cT#Z75NZ`Rd1g}nH5afdNmvuJ!35!E%q%d8XFn7>^PvVXPmtbsOuR+4IXbJRA-%k z3#32;2Su4_qcki$oYlfqn`NSAZ%*K2uCE?W*1s^p%-DlCsKQTZ-<&dIH|oPn)BTN zGX+hq!asg#7ZS=Q_X8SgFItM!#gpvk>3V%~dfR#~CHLa(qL$M%d+0sj>OJU6?yYn6 z9->wuc;{X`y}V(4`n_l`fQx2y&c`lE*dJj7#SD@rF z?~+E^ov;s9#*2c3Q7UFo&8||MoI-NeKyrpy)W<7=+>Nnkp&#=b_jnRQL(^58@&S3d zUL_HYB7dw}CHX)uvvA0vhpLWO0PZ;f7!%CL`3kUrOA7OGUcbE_eQ)OR2$vENCWsvt zk0)C828{5JV_#Rv{2s^ZaLLBA2qcGQ!(S;1xYE++q?kn!&>G6?lAG))cg%3NJ6Y`l zP8kZmc^rp&_^d|05ybCK#$9^@jKxKIf`%GY0uWqE#c_7Nvoc{+8;=?jX(B^$7?-Bv z2WrBEX_Li+FaCex-UPU+yiK98PXuIV0`^#HLs>h%7T+E=CmeBouQ*VDIP^9t2$+J zPGXf94aQV!M3V4^8jui1&6xXJaaIYi9diR_-NRbe4Qpitqy5WFA#=h@2SJEAww(}j zfBkqeXMAd`-%pNAS6EGfBpk;*f**HLPDn$nZPBk814{DIIDBTY%(%b~eLuT^WE9Zw z16){$5zBaqs6ilUxotig>3QeS4S^}T*=KW{xEL^M83l3f5q&HOM(d<;g%&|63z>Lk zfP_a_KAX!4J9#t+3iHj#(s<p80b$wLXA;qW>w{@u9tIFqM~YyWr$tv>~JTww3R zHRgaZ!W2&}sNQKjiCc@0ZA7NI)2ZY1mE7ca0{b%5Fs8!A+sSUUqIfIlJ;~r>4@!rKHkMt3A=fccM~hr>4?`z`loT z4V4I!RH9$0`lR@8PNmYa6Fp0%Y)z$oq!NzQd$u#Mk0jY8CFh{tOjLtu3;cS}LjN0V z9eun@Q)Oac|HF0K0}wz-6>CqU4r=n-No!IkyjFNh&y+FkSq}~vAFdUIaRU2EmxHuW z2Lt=%ezIqW=L3A>jVyxDrfi!93)Zp;xxs;A#vK{K3*2^ z;eK8n=G6h@?mED>-u!Gz;K;*`x}_f;2k}0eQtPQ+f_K7VQ?jqg=P=Ht$(P!ztn~DW zLS$O|Y%;C?L4qzM=tDRt@+%kuN*6F&f}IgKN~lLTzHb`MmDJDHYV+LwCDYdm zytQ{<^Br}fD>4Pfhh_`R;6xmg4%Tc;mo+iA9|S(4g=tpc*uzbl!~!IRX_if8_llqf zywax80D#$}W60;$G+Kb46F3I;eue?^XKMq;$bcuJ4&Iaah2Gpr&E4J7>A1VQKzSW^ zcN?eUUu9YWQ56fvcoQDjzso01;P~#)lThF|Nm676&VN2sK>hQf3h18??G~nVPUi)1 z);&NJV{Q4UB+a55eSvrSjXu8GVGh4j#P{%!9HqXyP{+9ZVuT1F2&4KR(iMF;l85{F zixGMp@{19&oxd0X-%()s?r|Z$iT{_`bBK2Nn#OO#3L6QZ6*xr?N73^X**?X9RSQtR z7{LV( z{w7-o2M%Y0@xQ^Q8cy2dqmYz)*<94C&%}7Neg?u2K*PcJ%w zlB|0!%cqJtT&}%_fs_#a;UEpfo5##xv-3I;Wjs;+ zJT(f>mXJgwOGx4%bSB|1GbGWtQiN7vW+eo(c^2wiKXY^f9#E$-9RDP*K(3mp_PrjN z|81MpLU1<}hoP0i!bT#_HrzG0k~Hs##eB{lm58$9D{vO=S&b#-daV6qv2hIlc~ZXs z*}&#=(IpG7^>PB`@asZ}X^uROD3mDk`eQ*^SIo6C(SRf^l9rBqG<%R{H;Ve|5l47$ zEs3~L9PY(cRvXS!$R12vbVxY{QeQ#>T78{B1%ZhKae(zno?71~PEV`QL57eB%$Tvi z%#htHXIK_WzVrhGHqh6L`+2}yCXVODA|~SIx|_yRqgfzfE0#%3h7KB4_RLEt8B|;V z!3O+f)N?%R-ddq~p@D&lz(`?pqa!`gLi!&D%XS0l_Kq!p=Ara;Ne4qE<(Q%~NFs$n zbO>4ZD-2G9lYrDH4=E{`evgt2qSvm*Po?D(IBkV#V60`xhtCj6&Y>PTcWH9s7}7t1 zoZ83|^;2o$AUTEe@OyjOisB5WNcY*N?1zU5}pZL1so`YT`O|e30mj`_gWlCU&Vw zJeT0u2_zgkC(jnLYRQ&@(xo8@ACA&iD6xKS>Vym)R3na4EI)jv*soFq`jMIc{{v{D zqd+D?AyS?q9uDTjvrF;O)O0khNoqpIB7eql@GLA1M$VsjN;w*H2n`h{mEV&oz99qD z5^To~jh2_#iyQJokOLL;`a+5Lj8)nV`x@35)sC)Ch%z^fnF@{f^aHcm<$-d@p%*?G z2Jb0OlIh0Kwv0St3~f3{gFK0{4_yWmiIWnBn#N!a>XrhKMB+ZO+7yazkcf(<%s;BJokrBKL=pcH21FYtaD z?lDpfVXFOtP|ztN5WvWFwG0J$dbK^o%y%JsnEmxRUZGIW&cmnVlL3tpyvE&WcP-J)*KIR3dOe zXeQ2$2u%rGpps%SD8!5vmAt{4M`&gMsn|p5-UG2WI+TEi-0Blb2%O`qyr1PrzgD<@ z%b%8KvU^dD)voOW+qX52sLF6Dw0strgxsxw7B~yYoye>nnhlJ~cyk3eSQf!Wpj6+N z(YedB-1GL(l1S}0Lx`F?>gYxqlrj)`IZJP(mz9khJVdB@l{5&el8jgVNgjZ@V1Q7rx|gvjbdK;hfihj3V+pZ6Bx5e%6KWdiaqo>iVL-j8$)s~m7+win3ix9&MyR$13E_0WfHZ;* zH}*{Gjt*BGx$90y9N;8_MT!oL|IqH-|LmpdP@l~M!6%uqMfYVq+JSUK>T@*huEgLl zcwc=k$Tktp%#l<}=7mSLt0Wr^>%HN<5$y$(1%)so6r5On%9kzS;UR{0;^CU~)N};F zuJ+ZhLQ~Z`XE@7W*<&-+RFQX7v=z$o3fglCQn*>_2qLuvfC5RZ_Rw-A)vt5*U&W%) zYjszdL;n|j78Ec(cM`MT3t5x5c@xfhpfU6InZ^m(^+BF5@iOtTfgvCuhhP9>g2XL zapI&^3F?5zMQMoX1z;1trc=lr6ycyP$aV)~JWkQWgcr$_Wx^QxNnTtq+BlF0T%A9Fumt*%^wp?=kipTHG%H?PR_K2G4WxQWG0-vE*ZyowE6=C6E^M5DRI z64xh|+<=q92*0lX1O#9Gda)ViRsW>)dH6S%g1VAZ6IuZv|BjFnK(wC8NA&H&AA-{> zqWE90`a4hs$bSUrFvtZq{t`0$Rr{d@Iaa%N1RaKUL>Ui5@STPa7;3buqXU;NDLdL% z1BiDQwEuL97;hJli8p|UksFW|jvn6fQIdtXMB6VL;}OLJ?vt+cYsmd1oU)E4iA|Ac zp7bl9adgUU9*bEZ%ZbOP6e4I4CookqB}Q6kzyD=E*`-hFMvA`<;zXxnAE{oYn> z!*T=H0^?*PZFn8J3fi#TcfX+#Q;zeiK~Zd2ge-M!N5Y&#=H%cDG61lay)*l$iR@yRkj4CLQT=SE6@6FRrlQrLM5= zH&i(eMG=5_9loNVNVxTB59NL>YK(;Ek%wLfz_54dy7b5`P_Ps*6B?FwwLG^x%+<2| z@>iqi2?8oY30Al5@Bk z18-d1V3F)q8mJp83-Exb5bww1d{^oP&swjaDWY*Q>u1sqiw;8l(YIcNQ_YRV0s+=P zUM!nE!X9ugMwn1jwJu`K)S4m0A5B z1aKdxefq4*1W^Ux52F1^0UADB1RR6<|1;@^Xm~aYAYYSdt|}97R6t``8B^qvNEGzh zCA~-+aA5jAyW|qL88_zPRudHp41m-ZRv3c4ke(^dT#0W&iX11kAJQEhK7YD`Gg-c- znMVvY07Fm?eISEgwQ>=7GolufNE<$O_#$}PAMng-P}Ak?%_5uk`ZXgcp%tnJ(7)@z#t*DF9N6Z zwDll(DXMT7LiPbGO^Z^;%Hv`WpJs?=mH$X_aS3~Lc^)tH0TbX~3lbvmR0f0|96G$A z&f|6Cz{ltP_;Hb5E(I6;<+HxH9DrwToaE-(P3dX$&rHjcJ)$N2p-jjZvhO4}aYskf zLik0GF9JleWaB^`)+O0!El+7SMh-}$WM}#AW5dTaVB_{kHd-<8nvD~}RwlyvXg9_} z$Xah`T9lYNp6d-&A}J^WEDZzovV&lxZZTImo)1hNm*HRJuQ*cam*q@KJJ{nC4yR>BNd)jqlCM9(WYh*vPC@~>A3M~ zO2!guEhAB{&=16jHBJMeyqUxr_05J+6q>YV)a3czYh(pbv!wG6aNZ9k#ZlQ2E z8i~ivW2P^8P9|e3+w;-gKuCJZv`q>^ylIM;l>Ye`K){~4=VJr};enc_K&_HIt7sWT zi9j}rrJ*?3fEm>1X@Ee*9f6OZ&fD|EkQ)c*v}@`wRjR~<)a*%@uCcen`;i?ogtCOefKt_9T`w{!c3qvMq` zh8l_Efc@98)Y4X{hDCu?O-RU)6_%@}C+2wG+$raH95(@MZWSLlfr{O_S3UxpTlcvM zWq3nc>OIC#OMDO1S_uDQiMG^DIh1J5Skq9q9*`M>S`R9!CCb84B9)^su}k(v!^GWS zVzFl8B+W$x@@Y$#UHVK-;m}p^>uqVfB$=9~hY%Z;I>%p&YrF*d3eRIF$rsUi~ z;^8KUZnyQYe0BiOj^LS_ko8@u3O8GeK*OV&hLSBs9sv{X#|uI*kf13C9EFuGftAi} zT0=A4dW;l=ow=PRtaR%!tEgqA6Je%Xk2!8HV`EuBl{B`E&pg}and#Q!kfoJ?a6Br6 z6qb|Lkyk0kIw~_Q8i3L#0EIZ82#12iFQy3^O7hb(1I1emYCQ=Es{rAo4WYnlipImw zX9j|2rCUz{!fHS`1qcOdqCk;PSwx@!%b47aMLdo2U;`!`T2BK6t#d29;8q5^weEC6 zZUXIeiL}$X(oX-Ow=m7CXr}*=D6Uu2O#h(|v+dp6uI%(Nj>0$V19{r^E8TcD0`|5I zn;MNyeS?g5_rz{Qn%1Lk?}ul!m=UIUXhHq2S7j(xn5xF5j$ctxQGBLMY)8UbI`EAA zn|9>oalzM*d+j0BZ&JF~1|{fmJ9%rb(5!gJ?F~A&?dQksO*`3k!0pYkZQDM44!XVZ z2G>wTmMXAO60gAOtP-pZc-ZjLR)7-HvYvc{83VScOyq4vVlSV8Vd-RKf2V_Qx^{S3 z9{o>%{w3d!WB`s-Z;21|hLf=xqDMxd>=-c4<5oJqzQ^nef_)#1` zDTSaAk4_1OGx^O9u0U^9#_-vQn%m6C*H+?@H{sJ&a&V5myq=85q(h=){28X8uivT} zU#7#k4H(!b!=;El8L#8L*$Z~sO?+4?W9MLN6j%L;F=drV24cFgt;|gn zDtk^oD#fF6H}P$}fqk`eseIspbZ-BM>eKRt7-r zGUbLDVubW;>xTOlQkwfN%;XNi90|q-Sc|#WU=WP~j#`{iSKZIINA?`Fg(ps?>hIPzH+Y zMWqZ^r7|E4wC$RksMQ4duE^KIk(Tl zv!2`&AKJfjLoC2iq6)Bxc-Gpm*b_sp+cAO_v5wmZcIgG_66f}jS#HYZvsn0PFM7Lu z)GL_!e3AIE>dJ4v=!=g0obJbEU;3i2*NlXpC^rgARh!XE`s(xFHD!}fww#AP=JN;q zoDYqA4BPl3iAHjFLCEdRMT#9_ck)h-;!BlxcpavxX?@M2GRY#HY5eadvjs~n2PeWX zb(uI#+PZ4ZA-Zsfwr$yp5qYT|kzaNDKD?+KM!4kA)mpD<+A_f~g#k&Ovg!Qk(+JQ# zS_}hGh?%gm;=&V0u?trmS9;`pxxc*l?0NoluBW-VSSwavauYK+FP6G}B{#3Rec9c- zSmyS9!XGg~^kTW&*Kzv_oq7}tivG4~gX;EQsVxRB@6T zn-8tXU6H@tqv)d;P}Jo5S*u9;2o_1JVZ4n_wt zXqD?XFzrq2rL6=}dT!6Gr{T8m_3U=LMj4EvtrnR@tWqP1&_*C-V5dSgR0PR2_2r@(2Km4hC_P zsHJ66OCL?5E7`P3$yDcLy$I0$kM{D8ua+NH$Zt z0w|R95mvK|MA@SV1t4`2&+?MaIvIw!mRsFa;k+Iy2Gx3qoa}ALz$< zgtL&Xd%KiuO`~(VL-zok3zWS=ZWfBxf^X0TB%%utqJ=F-I_bcpsc!$kAZ`8yP>R;n z==R@0`2SC~<_pW8?YR9(zXklb{cA={WtPEg^x&NfN(Zf!=xST5a0xYue*%%NqIkyeu%n z9r*CPM(4lx6WF{MVPW$x)71P>>?m2<*R;z4A}><#8R=rt^+k$1P(K(Yei|HdlZoDW z;ScOejo-F;^>+9K`C?*MofZ7<1sd+%dSds*cxAYMGKd)SARRpg)XmT;%Dm#-dnN}; zr90?hr4~rSq}z^Ez6NSH6T4Honb=jMeEOL7{O&Gg8D5P477WAfvBEIGQQ%n|FUIrZ z4iesbx;y9zpN+$3qB{t02+@A`vQgudpCEV?>im;IQjdQ!$Wsnak6%op9-}M0`C_ux z3dw=jIAyP!-7^fR0s}6XnO)5RYnQw=ZZeskFtU|np`LV{rMsnw2yg4fWDraahAt^` zPL~vh+x23Gpe9a+REDYx8NHw=i;S6=(IG!FQH|%asj^8P4~%_!yF$(v&<~#_()I54 z)$id=GzdQ#q=Hp{GU%T+s_7Z!@iAES#cTm#mxM4|HPs(NQ(zz}87X?J=>RPgvyRd| z>!{$zaR*C_m@?5Eo%M$V8gENe5`HyRnr>LP1M$CA;?i+J4-91ioE%_OtJ_Y#>nSUh zG(+^LhP#6U{j|PLB24krf*P%lj|=bPyP$#|UQNrO?FUlRGa@H@8J;A^lX@dI7&%2qVNHn!i3@@HviFb!R+!Z4;O+CM2 zFbYG+1lsUvv|JOORM+fsiQyupo@q zl2!gN+IY!no?kbl`81{5g3&O!E-=9ee7{cT^4iu!BSWhb^VMYV0+rM*8wg0NBP#cr zY+9Vcc53Ow*=Nzjp%vS+=?jPB8(A_+n_FWdaLeUxvnb<9iqyY)#YK_H) zVdLHWw0DknZ$$o{{m3^XWvVp}#rN37<%w;r)@oeB-63A-t#-RJEw$3^hE|$(d#rAa z3u|^a1*0`PPBfc`FFi+=fmW!1QHa)FKz{EVHufTWSgW;LStBo#84?;Y0m=ml>@YkP0e_H4Ah6}cN)6Ww9pyyjdVV81_B zS%cO-(XGMLGRxc<++d!}c&W8dbaN0^(n4;lwok2n1a@T1H3!)BC3d_+GLzPR?l4|? z50V`IbP+!#xkE9oQ=P)rspvHhGPq_x`;Q`a5n7JRDaXk+GrXi`_50BU@n^>!p5@-# zFAQYsV0ZY#158~|k3*Xd$n<1@ChU($INoX}kg}7L?VseUKf>7uq+Vzp9K)2}2X4$j zPjJLnUySLfdk2Z$QKSku!n6U!cx$-hj=1}xD0I*Q>3DUS;0qScR@V3iI@ zMviqyK0GKiGaAxkEu>WrEJUs*OVj2hFZ%kVGu<_5H%=l2Z>n`1k3-kRnynrzt#eOC9AU&cJH57T3N}Y)FBc5P5f#JL=&PfxD={ z(kWKJaRt&j>i$yaBnwY?6p@rnj{#bzcTIQ{tq!!$t3eMllIid6|C-4sG<8TE`-HG) zfWM3p1_X#WR0EHiUt2e)(}b8E+b87Mr3WVx-&AKyyQ3%^Sek5|?urTTR%AMA&2(wH z_)7{tOj;z`qfD!8jaLtpa6*#`&X_fbqw(nJusT4RH**YIt$)bUF6jN=` zBAb&3+S4NobfVYw&u7nuEw#Si)t|y-F?TgAaNN;C8y6~VwB<+U2y9(w^~n(K)GRz?Uno zu}`>l35Ff1`~e$|TbH`2yz(9_qkGp1pRaWp%MEi=^!;+^t~KDvWLn;??X?_C<6kZZ zH;w?W1jgiaw zu>hD0a1vdGq5=|bV+<5)*T^c7?Y9-nqC~HCB|fX&p&m+~rhL^DhJ_Nsf$F)92jM^- zI$zx=3!Qah+j{Fdh0Yo>WxYF8(vCiEUNxy$6mo|!7H}`WFlB=8{roz>uY>$L#IFL8 z&)_gGkNlD0B86&-X8#d0L(+OtdcRmCdmq^b#2=VA{C)8LC9_atZ~VImc`i|V#;Ry- z@NVM!m*`BurkT$8>#u*@E7aZnK6lK+W5U1y<|M+TQQ2ofjXrg#_atOo%-fXR6%={vqjOFW08m0-un%Wb=sc&8oYL0c#F_ct})~ehwoHcB{ z>W&e5d=b~zaP5vk|4_sM#97h(LMh(ABveb#K(hD!OYzaz+ZIFiBH%Q}anlIm9Onkl zi)q8Q-nAYZy$A!qS2B6v z=VspU{Y%OW@IsBhco{{3+xj|U-mutA8}daxR_8TZtFX9b2GX8G(AE#lJujvW+mdd0 zGh!ALUaEl`FC&3eXQSOriFF=y%eq%AMnY}<<6Zy5<7$-O*58cs!{8e8#Ha5@0w&!4 zV8D6UPCr=QhRynI16)6^yazsVz72c!+k7rI2dBxjE$x|@*&JJ3(r@G82s|{HrvmengAD32F(GCMJj_Q~ zzDl;geQY``_Ro!p0z;ke^@f1RU3T8&9~KtHri#96}cfB#ap9>-9^x(}!@i%VU7 z(5!pMbq{eU$sPajl;|P^a0z=Y6Y10F9P)^yM}uMRXGHc}ZQ0ufk%?WA3 zjo>0#vuT_*;`S`HWyCWbUjzC9%H6D9=_VuL#c)LcH~=qDw5ya#Qmt>jL7Ytg%t2h+ zERn~rDhv*i4cqDkyBBtA!SRR>I3n4g#z-^JhLrSFp(HU%ep=~)GAa7nX3LC-;V4-c z;rt96j-R*D;SeP#xJK#yOLDAbqx7_rCc|*#X=P$GP;*57ZH>`4ZJJ58-8RRmh8UcL zJ!y!6ec>L!d5)X@w|o$b2UxOfn~w*A&sk%_wN#$E-j-z4*hB%ATZ*Nc*BQ;J0Ok85phc!g^IE68cRWbt}bbNos_BX^2Uk=-C%z zPn4%C(g#XQl<}1mO4uVM)H5tv8F(xQ>`DsnweHy~W0vk^QTJpr?S|MMpKiJ}PYrI< zX+5&|anps%gX~*viye1@xXHxL5|_p$)1AOK`Cu8X$|?A;4RJa_;6*$h!UTbd^>Co& zWj%sk+J=t97e=fT)r^&qc|EseQ=%IylpTYbr1P8&NNNCWAgM_z01UNZ>L#iMLP1{OAFr->+TLq(>#>c(!S?#7_c_)n~ z6q$$1yb?49eTqEA-X^ z*akPvMo9sSlutti3#E;qB~8i3aCD+I3VbyXyg_-}Ch5FwQt0FX*k<8tlXh(BmTX+L zR)*X$9BLQwt5^)mkMi;uzmAKc_z7N~6ql#I#CZHP+T?49SA*#9Z33@45^PVlJyfh` zxEipO*n#vQ8DLRI@1rIq;rHZ$M&H{6J;m@Y`Foqpu+H0|($O0ct~h(m-vC#!BhiK5 zmQgbzBQC6Fd#B=e;*Y3`DSd$Z^{VNM9kMgfB2c9RyXi7OmyWm)szU_kg_;q}5UAkQ z+!!L@;_n->23#4;RZ!^!^uaHV0Ciu}A%!a50+n7^hByI_B9O%s4O~$r3UCR#n!wyi zMDU`?pNM<_Z1bn9)NX=LR~gJan!ebMR=(K&#GTB-Ri^OIvPI#aW&35_Kg%|StytN8 zEWFR1(wl`ZF*vp9n6Ie_50L_8Di1cBOjjCvs zoCN7`T%jWQYs#370xwPxuT$<+Ai-lVnu-|1nC0rRkE`x4?}`x-8UT^RDhmF(GeG$Eej#^;kP$S}qI))FWm`pug*l0ft3yg6`mOBcug5^vugV=Qel`o6En;+4H1P$uK(PW|9gHTWOJDE6H#jL5q!QO%e`s=0_{&nt=Hq zFZXfuj2mOwW`%H_nAFard?g2Jg0Y)Vw1=_I~+|F}r+NZm71p0h{ogA~o z&BTy93+_*&L(d49<11FC!m=6_ck@3Ef~<{k)ud~@Y2JHlHy(*<=MwOf$2>On0$H1RgF2Qv?tk@!kPP#5}vxq+LSHO3l#~W;5oJU&53$il9SYt0qR=ZK4>q*kJH_4i4 znpacp*UJL9lnum%*FuZ5IBm8$fi3LA7WTpoYKM=%7%l80Te#j`{P3D?A>hED7J+^3 zR`7R1&271V5}`kYBAW;ozkLInxYk|7R`GtL;!pxO)EpPip}yfwz}h54KY9}^CT&6! zdb0`r*n~vfpqkB&yA)06C!3J(E`4}CtO*EcpnO5%!H^Y#*95aEvI5YvHwMCZ~xNKijJ zf$k{Ah#F&qo_nzx!x|ky~p^3JUm|$I!XznDL3PIZa&6RKt(N zM;oAp9abOIO#}-!#LA?keMK^x&4)Iw$j@pVLZV=W;88z!b)dJP3M|GXLKROv{#l#3 zEC|?0Z)XC>1Rjca!HM~0$4TzTpe?BbGISDXk(NcZC2Fx)Q*89Nu!;80bTN&Fh1=EO zY{yJ~+|{_N8Noy)9htb{59qNwW{DptlYX&!EE@V%3@pe-$=PI}`0K7F8|TOsT@OIg zqYbi?d9>kwnW1)Yx@Uhbn$A6dbHp5e$6W2wNlJm(x`XV7>6p@eJ90;v$MOMSd7??3 zpjmDW8=@{1VgFuNrp>hjk*&#@=FzEptqpwT#l>g1LmKH3Pn4XfIC1#&iA&g9R9JfM zTybH=>8feSnOIzS3VC?2(};Q0uo2DMjU@J-G3^TTg%=l1n%v{Fs&{6qSydqoI252` zE|@OF0bIxJd?_bWL+)yBUoU7*<4W!4W2oyxp_tT}KAx%%GOC_Z^9By82gmVO`4ev( zpJ3G8>ZUqywoLQ*KNng)*w;KkTny3MuTh-(j%l3kSl?y;wWY0DjYGA*C%S6_z03}5 z0hY)oT7bQn5(`9>bkeuFB4rXvBOtVSd^h@@_!Z^Gc?zG{W5-b58KhXehI&1OA9sy| z|4QSBy5a}S19uD)Kh%uS+75ID3zKkRcxbaw+A3;1MvTql`By?47;@K8UYzC$LSDvE zUWU<+8E(9Z+6~H$Nvc)Gpw{jVJlHz{dotaL6nYa=aD$3=IqrH?@T7TCdL~D87@-iL za!_hFsB_*4QnW#w^FE|`iZV9dyl}^EhYRjvnc4uM$nCc0U#-0c%~Qmge~FX3RvWD) zm_->U>>AZ+x08(p3H))_OWhfmKGD}a$=95LMFM=|TBsDZMPSl9i6wH$8+Wi(H#P0x%Vev|?#00jYI>K2G53CFppv@PxA~*hR@4g4IM{4^OjJ%!MGMPvY(*Nqo`9-U??cy z2ssR+z!1E^|0DrsYCDdT1V!#feUEA75$TzbTd{Hfq`Q_s3YIX#AV~ z#vpkUoG-B%r@;q40|bJKHltAu1Jax)(506n8NDO^x|?N;)T8kTYiZ~o|(%8+0{O5INN_m|&);U;24fq|ZVngR`?mRb(voqvY?}Q#0nj?{N~G8wgk1ihk!_ z83slT1APrc9JD|piW`7&h$;#Xkq}r5rmD6OS(xgVvt175UuJqw#FW-cc+M~9xcT^= ziMsy!pk3ElRo75wTTSXHU?*BN-`#3x`Mln04vMEORO3}+AkNl$UppY zp1V~)SSWsim-cd@sClk~sy`_}vPs>_bFyZqVVK>_VPFReEpc3Cj(Um2SudBm+t{qd z-V+f4{t{z=m&*k3MS%U;O1oL*s#(Kr*uzOc6v7Jib^>QBa@_5_@*aq#=9f6O<$l2R+jCURw-Kmv3m7MS6OwpOS( zs-AP)?ygjh8*~4?T*Z$oE^{fD>jWWZ$R`u=U;{J^N^`3r8Ns*>oW6LB$cC32`B7S& z&upd*$;erw4C1G=@#|KHBXyocjmsF{1ZoKqS>Zj4+4@nV0rycq&OTW)U4U&8$AKg{eaCE<5f{VNO!DtwGOV0Lqw~msdS0Nbn%q z0OJKPoSC-M-3j2i4f^mSh!VqgI_(|T-jXP>0z3FSioy_8N-fH67!4ob-Uaq6 z6G&OimEpqOoyaDPBBGcHVkJ-I-jvR;{msAGFFW-FFqS4|Wcn;^-9}GF<*C_-<8~T+ zzJ?Ki+Z%8#-ggdkVp(WRTB4KyJOBnGfCTIyZrt6#@ggzCvoxvq4h2Az!Xwl*f<>@7 zvJrt{tL3%ZDd}As6ihE_8_q%sie4i8F^Bo&}6XNkbNaRzgQUlsKo2JH+?(j3-M?C4x&b5|wMCJxfd0%>c@1K|A z|NePT{Jj`~P8{pafo zn-=L^V68=`oa~WZ32&`Y)@rZd^Ex)w-7CF|+3sFB*z8>I?j_gP7T{}xyVr5|k|rC| z=ORkIVf+&{W7xUTOd}ZLDtGVw^Tyq?HqN4euVLH`Z{%uM2D-xQ+YYh_K25`I&96S_WCl^+!Ei45Lwg?OqnYMv2q|=&^yO+c0hVe8$ zpKrn_`raiaq*)C{NIxj0knZ)EuD4ZWnhdrd;P3#5^9QA}2_KYtOR$!Z?o~p%PrJYp zvc2!78y7gLkY3V4I?vq~7^;PoFe#+-Jbd+3Yow4?_Oy`hQ9`;;2q_W}bnbKaNg@;O=wWeK3NT&Ai12rF!1Nqo$FEmXSwMWMz+Y@s470}FH=G@O{ zlR>cNjebHD@mI=XGOi5$ymMbazl0&}EK16|*^YaF3-3>wX9+#HM2KTdsKCGF3V{EX zt8B!-VOyNv|(bLIr5?SVOR9 zyJUlR=?3qL*5C<}U^(t#(retG!&1MRV`4gL*9aK3YxJzkn(w5k*`S{f)bm}h=D;id zc&>vj9anxo0aP1LAoGqeWJXV2tx?3QU8At6^&ovLgC3zwujU~-1oO;KoPXXT?m&?h zT|vApVRQ$gnqBa#`TEF~TGS1aznbq+TzA6i;0m)B#mZENwAd?GY}=mII9{8#b$8vu zzoH5tqK)@4z56%g($-c5c>UUQ8jtG zcy?FG)UuEmO)?jw?f+1Pp)&*%XQ(tzAe5!yf++)OlC)%oNtLmcua-*5l!Cd`fneqs z!PG$`9*7|Z8B4gAIy@WT<|~f|GKl6``%9E;7Ey8#0RL*4 zTjaP!ep@zkFl~)RZ2U-@gMOz7zvec3d=X(RuU2^EOZdExo>g_4$wN$ph#D}<@+v1& zPOon2Dx7Ks+>$9}HXT0-u7q?x#sao7o9P6+>TklOQ_c9VQGJdD$&##95YB3@&k3`+ ziqg5#NT*&jh+@OTK*S2+T&?r}L5#Rb^p%wbD9NCzzrE{ZO97xyFDWTGA zZuF>&xlwsmQG|3(`5I<(^}1DgxscDvUoD?FhURpUC7fN_XJnH}T8(h_oZZZovgx?R z6i%c#f3@1hia@a^oBF&tO?-PudUur;!RD2@MuHJK^$Ovk0){8Rauew*t7}Sl>xx$# zWf026GP%vmJ&P>(Z3l0)Ownbkn^&R`R;xrs+3Bgi=H=0m_+`; zOKcwt&rRdgFMG&l@L*?{toGu81kBX=&{padEXZeH`~FP->XMNyEuvlINBP zkl`%X6}-@wANA676pF_^&I{q5=PQ)9+M53E(ZDW-%{0K1o)Is?dkDHeeEX}M;Fy6q z*jb#CxyG7i14sgX_BrnH-S|5IpMef9+++HFP_=Vl;*QaDd;V!Os-nlkwK@ctAw# zr>|kAuVEg+$BJ2_`M|z6euuAmn$S}48Ab3Z?kRnrD(Z<1xngh1ReI{z#9YaBT;6wh z3DEe8#ad0{L@_q1tx3;z&%hnLA1BssmXIF{h|c4yakbTl`ElHm<5~CP1l6kx-I73_ z&~W(K|1ur~uP(Ix+xCtsDMx^_)Yq^uo77n(pn6kzipkYSQ5s8D7Wk6@zcC#ANgMpH zw@c`~06MjooUx^*@#(cRr*$VFp5*k^qQ8yL!SyMeFZg!DlA1-P0jE*JrkF7g-Ndf%ddDd>EQ$Ybpn*ZK z1PE@XWW3$5z}K)WjA}UTpticC8e)L}TKwp1$Z@jn$4l0f-E~U?`P%ya%XqrNwbckL z)Q&-dYTKvdzs+j!<{$VKBs{BUh`m3ua@Jw|s3;0UZUo?&Ew13s;JgTqq zVlU1ID51F%@zeLQa)|3*;=8wl8R8|JA#TiA0V6usEf-S=lgymP?|>f+-0!ZcA?)w2 zngR9ySSzrFJNd;PxDFfm*KsQdY_hl8q2^7QatD7U2wZqGbQX+54gef-&$Ij#*kJl{ zs5#{?i^bo+ESC3wS?ot>ac25DG$)2g0=BW?C-+ zLVTfISxjo&*6n$`0)*XPx|Kv{>b9)!Hck~>yjA612*}K=K<>wLz`|R$eEvRLqQrTP z?Y`!%hLAs=Bbt%)<2kY&KlYCF`r)PZqEI$qfk?UhLuoY?a8c+ca-QQW;rDXk>C4!f zMX7y`7o9#-cDUkr`K=HOIqrqX`hKj>5VPw0dAY<9MK0rHxaMuV5M_0EltNbHnrs#R zvocXQ24${->sv7Sge#PWsNK4VDCG;B&uZR^&M2<-#sD7o-Mp=4Ov@;2JxC&N@T>qd zod!2ZvAE{eNYPmQm9$C5m0?j$ot$(5b#BL_#$EjDxEIl@-A+hCx7NH1z1nvhrwRVt z?&V$#?AIM9;DAB5?WDUuk}{KNw)AzW9S^+yEOza^(3G9|s5(nm)*F=_pb2Q+>2sUK zIXoXsMTNc18-4Qdip&=Zb}D@OSp5965<$-|6C}gec6}wW=FL-5K3=8vRilGNi>6>L zw0S2Si2FlOVIN;&^G-yO*WSif)Qf@xpbCgRCiH|H*e%(S#_7fBa+>}7VzfjJdyJj% z`1|;xr2gZJzca+YRjRptze>!Y*WTBnnfMrMgShpKvmPo%9E}=n@w#z3xrHIo_gA=8 zt~?tWC(%*C0Tr_auuwO~f(!s*-cXU{CID4YqxPM z3MagmA?kp~&|KiFZrxx-K8XFBbgTn^=HsQKcV`-*QxltyFB+ka|HHT*!BdLDv$9G zcFJObQzbm@8To#5fsk)BlxDn)A2eG8PSxHzC!d}P;Sub|4ASDk5_66quFZO4O`f#m zdKXQNw~NN&Dt3}J7yev;S*qjUYw|$S2TMJvj3lWFg^3ymKSNuSP3~oPoQZ=cOj;Af z$!gcXyEu3T!iXciA?FH}c(0T`$c9rSg{dQ~IckxdgePirIYqu_7;`^CFa{moWpL)f zQhr=?)|ZV^q!^k@^IenYIY^dPEF6Wbi@l?Sab3%9jUPyz54ex-RQthukc! znrGc>fkWD05+*hCtTouv>$-O8t<=d@uY~hvg-BRklf3_SI;c0*`mf_&qZcrcANQJE z|2^kk<2=djLGHCD{1Njjw+FlEA+E_e+)5P~Pm+0 z-og(kL!ii~Bm;)(g>}h+p&q+510rWoyK(?toZ-NEa6sk-7idThSi@Y+fC)ASxP@t( zCcIYXE(n<^Ppb2&38_;uL=4>F)8~p07gb?LOL2+Wse&ynBHxhY-PZ$oGV<`yo-qY) z9#A$~a2TORwYP^V&JLGcrze;q80&S~DP1^O8;z4(13oo%ug@QCqvD<`mM0{@JY~5L{a<99iwUHrA_EmN;=zqPcCS7``nyAhHeAjoU61(f1R(OEv z;s*oV>(cdI?Ovy4dEj%e^SAzxhX={-b;rFfEX()TD~Whu?Vd6;mzL$jL7qfdE&_K> zl?co7V6g58B-yR)Y1*-X3491I7pfdevyzr_KLy7f83YC@rl=diWd&R41m*WR`e3RCj4e~RbOrHx@A%f2Pg zp;WS1ayWyYLx$q)Wch3&9!(L9^(;b47fyPfgT={dFbQXk=U~bxE&j;M3uJi?(_)Fk zG{_M}&L2X}(BHYLcaGEg`|Bd=FT$}j>%#Q6T#Cp_-(JNkTUsM2S_>5bH-jf%sY zgW?%hFmC4y23z{vg`=K6TO8d2eXcP2Y|1Eo{&kV@kS%@Q5}Sq!w?LkRc&!ehYAEzP zrO3_b`R9#m{C zP)t97XNQBaxbu`(@$tjaD!T4Nn~#Ex1vJM;pu881@|r@D_sLcvOL>pPvLI>`y1Ofc znlT~X2fAB(y9y(g_=fcuu{6%-tq{LU!8j)vXD0h<-aH^>3>UH@To@_4RVruuFpj=` zg?no82c@w_ZY8*S^MKxX5EaJX$4eM&;WdQOY+yVP6I?aq;RX+{JHdpkx%WkatJ*r; z1da=h$C^9|`g{C+ogQXjNM`FxV$>GDe@IAz<@dJ^WzdiN_kx#D;t#hUK2RK}6IS zOw{*7upf@*cbJJ9O+mqMOz|MzP}Ev}ts8=XPaw+EoEx_2*bkz^b;vqv!ZG0=W!N8< z)na_@9ow*+Q8&~H_JuNn*54Le3qQtXh#Gpil{MJ9YzMYp4-WGoe-J{f9as^eQs#*@7YyKWU=0DO4roQ6_j&S@g1m5R;j{UOr4{mm6C1TQGiNE z=}JlBWaK?)*b+{n5wkgu&93)D}?z_hu-w0*D+uZ)$F zIrK<+nm$lSv?*LTlkv<5rfAVG;J~M;; z@f*!<-DG@_Q4<%zBz-?yX0Q1E5XvwL3&*5xPAX+snRL*UjhN6BL)TNwfJu^eEyM1NgcuOw0(G$K+z&0^G!*%qa9n2Yg#KN0+z#LNET zl8FTG`@|)~mG2Ma*C2ik{v$m2`DSO;$I6lXSUHj(dk)C0(i_5pv2Q;Mv~Hgb4xkG$ zgf2wgN^k$lcfc(u!FS?ipY)yhTSk{`Lys*2NaU`D^@-o%T6UePmQlDCm2_Ay5`0 zKrX3De=4WOeyWou|J0itvD$y<0s!GFm`nf*saVzAE7*@&qpXd{CtE#+*89l z^N}UC`8u}bH7-Po%-TCy!9f9J-)+9;Yram$^A6m(I}3uC3cfQxI7mNOB*N4eGD--U z6k>fX33}xAlilFL9h(bxQ)Rcs4yX<;>z!ODIQR!yjf>j<2o=AxCO9~N=(3jHN|#3? zQmZAdW)bKyp(WO&<(F`+*>{gqcs-k`_FFJnL={a1eEbK1mR^b@vh)Va2qe_pQI1_4 zut(X{J|<)eSZx3R&~ve~lC4Xmzjr6k2@Xl0ggfn1{A9O?7`~GSnbTo+|F;UwM2mVk zG-@aedB2kv90GVtq;XEmQ~ObB?`*<;l$JiqVEtsbN)wCc7R+WDK#5SnmR^7tUo%{^ zb4(D<%1}^piQwGk;LrdP{I>K~!2Co{__KF5Tfo|19g_|i>-8nJ(1*Q)X@EQV*%D2C zzcsgJM4HoCNr?X=2wqLj#ns--X536m zytrOLDOpMtzjNScQ+aS%c1u5BOOmg}=WFTjYZ<^n0}94(s9n@95UuIqd1Zw(pU%!QNpv8@Fy>J1Zw|1J;q3>f!&`;IP0| zJ^Uw3iqcpMsygE)o69{K0Jbkq+*o=JNeho3F7cO^6wB}qgql?#t6`DIXIOIhOtF6) z8k`zCZU#0rnVbVXGfb?TtPKA!c9VAw^Ap4&_O*8k@F^6e#P(-WjzWACl;w|mL&0I( zAKTJjFln=3*Z^^zAEG^3260_(%*ppADs%F^iE3c^y@|%0s9}QR2V5lcZW#i*`V zTZZBW4<|dp5n1aSvxS^a362O{#BYP~GlgO*vw643oUdX>3*sxX#mMK*G`w1+JKOI~ zG|FMSFyEUf#Tjah+6KqRqb@dZ5aq;*eA9-duX!dDJxqb>*_Iy2=T`(SdWQY&4$Y{(O*o zq4Af$Ni`ZFh@9$Wt7UJo%2bScnicgYE+ zWi_srqt(kv!L&fRW*PyD;QTr}+b(J`OaqM3v_W+UhOD~+hSgOCd4#8YaMcNlT{?9Y zp- zyotV+bl`#M%5hnZ>tyq`CI!a@N_6uGSWX#i^(+O`daL$HAQRY{e0-2_3Kzk#qIrC< zLvm-h;LiAP?yR@bm$M1c(6_jQERoeM8AN{r(MMMW<6XDg2~Nmr+#u24lN6i~D7Da6 zQwi4WX##37+yRUUf;)(&u0uMA+Pa;=@dAB1RQsKvU)*d81^r~nT7Ipgm)N+TUmGNg ztd_;q);eTSo?y{N)5dqSn!Qu0SoDskX5M_souO=_$Q`(eZA7m>9V&(XA|tDDlhC~3 z6M`9mO8hnrKZEjjhT@Y#OE5GzQQwc03zOd;#dO~-Q$5Oqc$>Yv2Ea;E{+&vtkQP=R z$n4V*$naDsT73wBi(lk zU`!Kz^C&yixHs!*SFrZBA0dUg_uC^`4dfvLE33pkf~L-ng9{&%zBJV%B6j(nxHV z#O)WH9yqUQOTc1}XYC#2*)YUy*lVO3Fy_V!@3s#>B@z8TK^!xKKltxl3}(iVe@vec}sBv z%_??+nP}cFskKLgnSnChJOUP5$7}2C)RsDfIlV*xSrPwT z`NbN;9l%&DxKno$pw1BelfhX;pZ6u;4%NEuNGLdiEZNDgT|)AA^Ky@5k!sm*MH2bi z+R0?mUV5Q-6wSS*bvgPk~G>68vV8<7k7Zz+X>DiK7AxUn6U5@py*HTa_fhl zH}tk~`C4)TDu+1bqGaFTJiwp@zKgB2cl&`ihoHsPceeDS%6sw(M6XHafQb zX<}Cq-GgN5YG2DLz#ZTOv$7fw3Xa}QP6}oP%-IkGEI4}C4!-@~L@DDmW~+QHYdjkp z3($wK@vUWzuVpO^aqZo~10)S#BP1}MGmFRefX$-u1jL;46oOa|`^o9|L1KC(wRD2qe zzL#|2h+#r-j_U|nhkOyHc<3<`apmKrq+79iSjI}(QF8dmX=Xp?29?7VdL)s7R;DH) z5sHc&7qMY2D^zIM-QnNP+Ed*jHK>?FY7OTnI7g1o?vAJqil;H5;2i4PK7Q>N`geer z2l;gfNBT7uU{;|(2+V^CdBKHlnx@MS#)7*KCU{gy_`U4;V7!uB*85uWAR&h#A!RLj zzLt#?kp~%4LNJH?gRvqXCLUP;!lZ+Rd``;-G4A0F%1;U|a_}El6N5Nc1%L3FLMcB& zQN&xDcvA>w7D}9_1(&#fZMJ?eR(C27?9s>`2%y1fFwR@81{iqu#1Uo6xJ z6;Y~-+0P&K{HGu4PT+?+A@dKtPQV*n+#LtYy`3U<>x-l`=Ye&@GMG2{+x^x02#uNR(wyyoaR^1Qa5Q_{sx74HCz3MJ0Wx?4(pb|g9 zWpIf&Pjq)~P&`Fco;YxbNi-UdiGJWXFL}Ug<4J1FDQe7V&u#kUQsp-Na;bFulYhC? zxW<;oltTnXp8qot!M9ru;tthTIKkCfjc23?UI?ysafFT190Hb_bHSrEhvQNP*|`7+ zbJvv!vwX=%+pD8LJ|AZzd+R~Lgg zPXd4N;h90Tcgw{UFM_M|{Z;B#snN?{E=^Hq4QQhLa;dlQXZey>L6pNRTSmP+f*ZiS z<^#o<_|srzU6 zRq~48%uL)^#;>#dI>)c_KXRJ6g0|tjXB&PnQ`v?e%v84F2Q!Ur@aBQ;?uHtiq;w*E z1BO(maEJQ$I6)-quf4lh3KoY{1SoC7UdtwUBIRp21yCp0o1Q|+eZkFuAq0dC+D`%5 z9b`n!U49NyiZ2+g1#sD${$QpWQd!tqN{i#*s#{JI-HX)PGrpG70Cva;ZpmuAC@tCH zq~I1;o>2z030U@~hb^q%snjD90PwYxc)clyR8>^25?@QHFlj~JkV*oRooSIJ%;CK$ zOM(#KD0G%Lz_2*D)xm#U6$X)mAAj&UDyVZ%T;c0rkYPM`d4F6Esg5i4`N2#M;*NU_ z_(nsj3avgNCZH+Rcz^M3>%F1!zKlPIT3udmoiUx<3)Z|u`j(ueep8!X10H+Q?KGmY78zC zjmmfvcz2gV&dVu-S15xO7+kSmTIK{X3tfA6xltLE*DEb|mwRNkq=luOmUGmZ3KY!_ z?f?+B3WJinD=02YgWH9a@WDz{dDZimiLq1~T&WpHTzMFqZKHQr0^{?<_?nnjt;8MR zVf}blR^v6PHmifX+&D9>Dqu^!u^08#)L%8HBF_6-E_ixFb!Kw1mJ7a?i$ZVKsZI$~ z5ek}A9DC^*)GQX+|>zLs-RaR>)Et`B0`ofKJ%3-K4%8+fsj7bMZjAd|Yw2OEVK zY69a?F*WCFM8-#%jQDP&PCEVlNx^Myyw)56l&XU**JkLa25HF=d)UTzQJpTE6`(}t zx+>9Gtwb9=CF;RFYj6`@4T?bdjW zU)P~TA2?8=54;0|pUl(8i9S(V+Mmp`d@r+!;Vm5T)p7;$_yG?LZn=sZ^nwWC+jl(c z194(-?OUUQ``muo_j)oqM#r?j!#5DLCSZD%&);VnY`@WllJ?sRaJX$9^I2Wzogr< zsa?C)s#U92RjsO8wTi|sv7UX?zFA-mVUR(BM3clt=<)@G#r9!;h6b>ku0Hs%#vlCR zh($NCqJt0x`ru_8P?m?FtDPa~0X@Vt*x~+{417n!gMhQ2ZfFaHpcGAma z&%#0BE9+)bUuExfMhqh~fjCNOq&7L%EtW45c#Mc)Vmt2tHHO2D4Z}L~eTAuz60S_4 z-3o=&HsIKL!bOG#@L}B$tVdWICgn4^uS)BKW`1*dUllPJ)@wIYnDtn8LI{tgeHHYD z-dFOM#T>$8aoVR5#CRebt_i5Aq$) zft$^J)xC4oH5osMrkcTymT3y~o#Q+Qx($0>>9lEFnuzC)aGK2D%jgdvH;fy>9%Q&=00KL7mDrhncH{ zPykUj2rJi{R9EDk6oI}vG;Hg#=sSgKf3r|_WHtZQTK$oTU zoj`XpLv&=>eJ6Siu5sw-gqUX*H?sq_j_mCUIrU{6GjSOh)ya1Ja)`d|eaF>%ZW}T) z%pMo`gkE%~P);Ungj!Rdo4J@w43mw`Aep!i&ozc&V{;R052rkX!f^R!US!{?-Y6TP zVlr_v&qn97DOo0=zyU&uu3Y?f5uLexCz)`H*n_-gS{fV(6{iO76sjF#s}`+U&&@qx z=scox7O8K;^YI2`n5v)l4Dwyhftv+=r+a61*a*dX0<|NX>Z9KgUP9g293UK$P#ovD zxt9pt)Atk+PVYs~!Tu0A6Uv*LA?VRpM;J0feRb6Qr_*Bw=?$nHJfb*A2Csv&;0Shb zHo9c$FIV3YqXoqI%hy5lt*JKde(el1S0kbq7{d$0&{Q45J~6GYzEbO}AJw$JjC03~ zN3@FDU%qZ@7OGX;ng)h0jKB|>P3x-%(YL0A`sy|E<2Jm|8~Ydm={>KV?zkVE1F>tr z-gkzM%?{2bt!JJoZr&StvSA=3TLwaAzV@b33jFa@lk=$}m*55bf`;3KrZHbMjYEA+ zy|dI1&$va~IAmMr&_A)<;_l~ zP2kEwW&)A9fCVlQZ@_m{>udH5E)ZlM>uc_vZK@)So97ERZRgi?L^GXHFxMR>JByp; z_`&fc0)6D-liw?uVoce{X(kwp$9xu*XbLVMQCXN&;u%~haz5GD(mTfy18rfMF`Q&1 z4KFu_SD=$0q}F>$2qD{P_Or0J}d$;4oHHJXM zgh4xqy?sJcen4-R^tFO(xA%nb6hrHDqRCU|0UTtyaVr`>a$tQc zy6?Ptr~A_ebVxP_O%vWX5iK`t?x33jW@flv}ZTU`gnGuDCn~rEq%t? zLAZreGQ(s#G`NKNEsxPO4BMewI3{xyf_W=hPDq~JsHSPRl5NHN_G&3+fMhR!fm`rI za25ZkV9@Aqk$vf{<$YJw`zp#R!6Xw@it328$TX$qo0bjDtyLg%1HmQ|nH%u}ys26r z{3Qi$rK#gAgicgKntc)P*^MTd*v2Gd^INO?upw}rx~E&%8@@$HKyIz-BPYN7zUDOw zEe-WuCjQ6MV+q$s0tFMP<60`mxDwpi#P`%-aEkr~Zi&I)U&v;ETiIVC2e*yI=at#@bxnNw4j#LmJ37w;jMI3Z!n3{v4ehEvHit**(PpZ zwbjNi)+=Ef-WrZpb^EFogja&V?Q4W519v=NIcgZjN4KvB9r#2;;#OI~Hk5XEmR}ZQ zGqiYw@C++*jby z$L?n?hWf~{{NOTrB$3n)Z=veyEFpnkZt2L;ue+JiugkvXb$8@9U1IYl;?v^zB=Z7< z)q{3oLcJEmAz)cCCb%5cUw50}Z?9pRK-LzV4=Oy3tl+-`w!GoY1<0%_K}T+SlDyqqUfJyHR@4YEl;d0`&x5G(XtF-!#qn z_~3Ht&`4h^3WoJ2s7Wv3?X;dG-*8BJuA$*n!--YyESa=L7(G-8-}q-vH8(dlBZ0f+WaGK|S{xmy zCx>!yn%{~)$LUBx%c(Qx>Z@8$H8vods^->~RhAi&>yLAG;3LwTXON5qZ#PjJXbMUn z0>vT{y8yS(2E**)HC{X?><5}5UQj=8Hv^W?pAH4X=)^(WF!{)Z*jLb*gW+rrS5gyL zAv>M@KA0vB=enHR^Yg7%arY!Qin}Lei@ST$6v^rJtOEuEZRqR6j^D5EQdyP=b~E+4DTQXZXG_t zkz)5uB;>RtSfxwN%LxSyvu!lol7|+Q^Kx0X$h#*+%NSKQFE@GfN z8s#%ryadmLqjatny=z@Hi;(H<+mtq`NR6ryQ`$)1%O&aVo)QW=c^U?>WykQHJON84 zH6~$rkL)D99kC>i@itL-GktW%NWyX+iGau(0h^@z%*G`yRzX~A&Mpm00iosb_4 z?_H*PIhh}=vnuibpJG*tEbWH(7{j}W_}EY|oSJ6k@NPx@d1{a;vO$)S;O_<7-K>@E zr4hYANj?m0x>ssJoe-tm#$#1b$E&Ho57khSX~|NNAf?}Otv*!4MINdV1sU7#4(!078jyEU|lwXl7egGy4oJymoIzDWp~In1xrfnVH9kO;3!TLDPx(;r!sF z-Xv8!gp-)sM zF>Me$_!G3j+rry0I7k&i%2W5&;3V!kGejJg{kyj@kGTQtlMO(yal1_z#>)R^)(P?d zjNFR(jJ50V>C0AENQ-sF$YVQbfCjlLn_1d39YbnVqx$NhW1ty=} zMOrX|exAWpJ~i9DFBs9g0;vwA3lT1d01qEDhD*~442pKQkl+2%O947{Z=jYri@$2M zCs1p4ERP>&iH;=`u=~MWA_mzpBMu<9EdIt~WO z$47Swex<<(#0v5&a3EHdK()X_!XriZVbymA$je*zVcXniOdC`q-S{Sm{kc>%)Ez@% zQdAQJ)uIg^v!k_6@0*PnCq4hGjgVORr)Y!ms3C^~su{ENF!4rm`G|-*M0HutY(^7F zqe&Js6HNl;mTjWKCd;GRqDZBd2qzR8**U*P`BYFn^WWeU>Vn}PgQg?El7`GIG(ub` ze^Xp+Yc$=}m{DL+F^yLtx@&?{dRKB?DQZXrFj}ZySO=o7hygTQW;ZG~wO(iwPJ3=O zAo>Uf!ct?nObbo{msV%D5ueJrBdODZQ>h~bk_&T`$IRZPP)$ory&+} zt-<;h6@T~`-OwE9ZbBz?SKz`o>HyCMBYRWBjN54UBB4iqXbH!cG|FTdEZ-RiI7k_W z1tTdVOdGmegOL=nBF^!!U5ChX=>Ld2l$74jlV^c$m=JZJ=QIVOU~piyU1E)SD{LkhLgE*xm_PfqC0h$ zV`cY`d<6YAUE-FBZ*M zxdvACgukj0kFF=B2ltSdD?EbJfi=*BebAm6GDo={Vng+n@m1~@*Ag>2z(zSRL#?8K zEY745utB!SNnxP-db({ztRJh=5T*WNfwjLl+7zVGSi7&oJ&0zmu1L5@3($l^@8nY@ z1C-6dNmqX{b$<2*tg$>Z>5)_9{$e{`6YbMl8zBnL(1J6}1uYX+vd9KIx-30d8VmGj zZNVATX0L^S2HaUs-07LmGxrlHD^>Ri(qrnR9@7*38WKcnK?DCRe|29F8(&|zE-U#9 z*2b5qk^m0woQF?fmH0S?fq-gyUMOhLtY!FwoV0Z1Q6J!8N*42Eom>`Eil;zH`0y!3 zN<5%3YeEf2k&g>+7oklseIb5hljtG7u}ReHH#V7U1WmIP9{~Z(1R0DXdfaWHU=(*y zlr6fX{Y1_N>;P@x(X3}xesE@Qx>7wx9MqH8j4pKBRgh7`4aV>pbkdebm$VUw97RVQ zg02yVHHKQN`#otOtC3cdH_=Ge1aU@h+_PE>lB=lUvxvfLI$k}4n*?ENB7?Jg*Dzri z<9gQE+UI}l5(R%B8(3%LcxX#$Q=e?+F`V65KFryJHQOFKZqt(%q~4z2d2N2P zxnK@_V!?dGAyw27hsk=|eq;~4)(kgO5w}p0TJQpd9xXVRUR#ZC4#TpsX9M0ngIh!q zHwNeSu2m&;Q%1a$-m`I35xHtb3FVQ3iBnp(S6Jp~MV53@y9avr@dbAKpsu*_QA@MHEU6rHqhCZ7FR<97c8*!nuf;*7amk5w`@%jYto@XAzl} zs}SJ3DB6!IqOF9V+%J?IH^Ujj7YUZ9ZkOVE|oAV7_CteDIyP4Znw4r zk+X2qHsX-omaT}E7yO<)RN@sXVU|XMc@=L!wo3~xqStGP!Rv0kVx1~W6md^*QLo2V ziC6O2Yg>=)x^i0)Z6%D7{@q5UR7Io`Uc(BB%j|>iy50$}84sNGl7Ht}3bI)6@mhFE_^R=FGOQw{nGdfDEsb^wG&o)&kFxCjnw>@|%|r|N3| z=vq*B0(yN|`vhIY)ABdy5p>bmm?M>SB9!)OavQ z@#U#tOz$Se7sg49dCG=$f)kjo_z&aD8B!?!1e{4>&S0h*L#(N#Uob{o8rD-MB5U3V z1!IU=J6MS76|cTKg?MH26rhA?{AtzkyxO67RUeG)-E8uTa1yWVSSRAuhsaP9wsrm# zoLZ|m6-%7LA(0-CPHf3#wwQNaeV+`DdN5e3iSQV?oiO}%N~iM`)ddDL}NUl7IuGDLYzEcEA!~aXmWCbUTQE;3E1)c;Nsp5 zij#zsIBE0G5k7&3Q`d(GSWP{=iHWB8$K_*;pI#srhV?0cA2--`$)(e!3AXF=~YzbQiVlk@CbGlbrEYle`ITQf}Y z_=#r{Q_c?pb-3oYG&HqTm{+J)HJq+E(cE|rlaqT`7+#KgTuz)RHfN}h-*XB42#5?2 z_~0Dafa8_8;0nUB58{X(l!~4($v4#)S>c;v1m-c?QLki@gT4E+wTC- zf1W6ohr~XiOvF@q4`vFYya(Ud%Q4Sm!y4Ul#COFJ_)IRCp^YS!U@4p^SsYBUSerru zkSd#68s#m~hAH$W+V8rhG01O(Ii+w*HRniK_e-~$Z{~t7)ciHIbTU45nJxvBzU>(IpxR_bq4WSiEnfh5TRTeOhMlG zXO@xMDjT~TD1pX%fQSm*iwdsn%~bOFQEsYsH!^Q$W(k=G`gO)gx@qMS{m~5O%ttc> z-#?mRnIU+6!hjPa!o8UeV`MG;)q*RD6oY=jl_bg92+qx;N4aN^DZI7wYt=;Y) zacvNygD_{fH#fM71gexroy}5B>H2;mHPr=H?|}=(nQZhh^2*+ENtE$_!Wip+iPK82 zTK{jJ7MwtVHdIb9$ybxXAYuY$ATvM*4L{= z4ZU7HaSbJ+Krr08ml&kW_XZD-vW$-QK8x*gV8LJ6z@CjyFq^%-rdOa2x8t7=xK;#L z6Rsn&Q76X}GjftK)w!4I&Cw)Ff1V^5qtTV)nq_P9rf7U`Hpyj(H=E21|4MM7Nk#c%(OJXec@J4ctk)5>A zh7GBExbRoly$9}X4X)9GYerSAHy{@OA`y^P8?cuD{wl+~cH~|Lh~9~Rlz&E$+z;K` zOh4d+|LD2Y7U4vWzGi8`wQX>VZrQp2WR2`ff1<9q|H&G&HizW-8$jZ)Y4n{Va>L-2 zl*Cx&-ra^kioZg*hybIzSIs+5CBuoHb9 zxP=X>duaRXyz>yin#4NdDoMuf;5zx1jki5`!=G&NonrFkP>`BTTxWIqo_TcjCu^u9 zv>o426O*x%{_&f%o{xWIt{SIS1=sdwsX>8o(uiVPD^=SgId(${cvhcbo7U(37jASP zr<3rl?iZ!byp|S8?%~wwy@DWlS-Y2y-`*ga62H;#usyhz{i8#*$i8zpoJN^DbmcC6 zB6aDg*-u1&F_ZhXFG{`PiR5Yg=err)boYl zhTd$&uZUltrAn~-KzY_7h7Jtr#m2~9W26vTbrDfFBCKcbo#!F2&_Rmc`4Fv|j}$T4 zYzb6J2J!I7CH0ec40U1_ZiTrN?-&7-dbv`Y2~1ajhMXP^R?{Ty0F5!UkNS=wHU4{c z6W`z>L8OCQ9@4#Iq)|GVfy&5Q^tNSml`=wxunOKxozXRUf|jwU%&w7ouSV0?r9rVR zH(O@1t-WK6Emag13r<)@*t+C{(SI>h76!$}sa#?l5?6|nk1A2r_)I7dTwuc`x*psN zjhx(h-NWkPy{AbOt^~=28NI)#$;sP`T9ABK-MdV`ATQum(X^N)ZXQ4UFBK=|vou;lki7k#g zfigCj(Yr&*;m4*C##lSk|9y)ohjqqCr7==vj8uQs)agD3hn*PeKQ^sWRQAWFne2b5 zfFbz-tZ^86Yw52R%t#v#ufQ!?`Aq_Yg0nBV0b4_V0SlJB6cY+&Xta|wQbiizi>cfc zsznjg_@#zFtfp}0NUiviPVM1Os4x zH*&%lIR$Vy=Q4^$P8lOl!43c5Nr7oaaC`4gN1WCH{pzI^(5;I`PA)=vq=IkvwTzvGB{rJPrVict#C&FP| zuSD3E4F!ExHe+)M5VKS4+3+m?CA$MXnG2nhLpGE?2$QbuR4q7R@zP4XQP7Hk3w2(s zcxhFT^v{qC5ob31(*!@M-Fxb0~x}ep0J`Ap%WdV0w;Ct^UGR=C8E` zA=6k%B0evA?abf1dHmZL+(B5+m{>n`fU#N-`WLpwkHI=FjfItwZgvNA32OtdKwP91 zh=J*n>aPo`ZC%1E&S#}mUZ}&PM{7~)Tp8UOcmB024F;xhq}wFB!)DZGVu6)Aq4mb6YCBSX|3Uni5DJniR);wQQwEl2UW3ZwEB6X%zpsgNgR}li?FAJODFS z6Li)+60N|BcdSCO<1ni5yx^YR0@X2oUl?R^yiE}PVSy-PxbYCZ!P8)t@sAJ1&7-gE zfoN5ZJgpWUcB^#<khkudoW(^!9P=O?o!XtOx<;>XOR601qN0G^Lq=; z!S59(G2HIs!P_n_X+y{KaEkFTf>pVP5fjRd0n{Iv&$Ez9wRralZkwnhQ{nj84pBVl z=|_=SlNK!4AS7eIc~n3^owY%IGbYRgt7K(+pjoY5i`3+EK#GY{w*P>O&OgHEcBu;X zo76-Nji+YP^p-cu!O5%f6f`P5UBIH9;w*e1+ z86;7jV4-@?L^maQoCzN9Qw>3|IFp8K)d^c$5)Y??qZc*eFS43V{JliHoCEe6M$|(b zK^cJQ!ajpJ27SsWC5fRjka7VE}G&{OERIR+<1QP(&us20k_rv5pH#jhbSv1fX0QwNpwsU z^5hEJS4e>vS6FFbrCev-Ykn*(guNqw-B(DNpBifPQYqht@2(wZCcX1sUkx~m8BuT4VPw|)3P;f8lF6ZfSfz55m72x0{dhqFOa&k6!l^)lg(1>wequEC(>7Gwhs0^VY z#D%m_@DPO^6nmILVH7$-d+0+>3YJqSoI*z_q%)>t6r4nn6%?8*Fp+{h6hX076q-Vz zYQ{8`g5*4CXc~pc{m)P&W2&Rzbc#Gqp&0_x2?`q&dy+y?6gtJ2W>WAeikwBE(-fM` znCdAwha%5VXs*E2K;d~5+eo4L6l!8j(G)yOkqao)OreF0iCkn1Euu(r`!M7Zn9fl+ zhGNfCD3(GO7*iYtFH)qNLYFACm@!?ZU_3=$q0kb6=_-X2DE1nK5-D_@F)gLw(-gT3 zp?;1_B24{sBl^&C3eo+_Ln|0lzmvkr6id4-Ln#!}8EPd3CsE`o3QeX^Dr1VEU>ZeE zq0nl9X)1-&DRvr#)=(&tF|DN_**XucqY&Bk4y|WQ1_eD7Np}qnZ4j7dQg|c9&Y}?f zki6eNn=x&s;2etFLZP`7+RB*bQ80rd=Tm5#z!Xj4Op0AVq3sk}$e6MyxQHUNDMa>E zLph9ztc8YlP$U`14CM+;aTMN3v2F_GQ3yNQ2=1a_JcV{sXbFY(aGnVi%=ZlClcx34 z9sP;^#pY4b$4_8&{ZqSv;T!UXzcAz7%`O+tdEb=fJYO!aim{{<$hW-`)ohHi1|L3X`aW)>jn``3S;aX_NscHu2 zlk2L^Fa!2^hV~KGcVqjL{dFeRSC%^wWkdRxa?=$I*y&m@4ry~;O=ELyT{Gh>@eJ`! zySLrlpAyJ5k$y)*RkyosSig15Bz2c-mN0^7YHV(;I8)cs0{?D|Zog-U?>F)W^ZHk| zmz(H5a}el)_FDS;4JNuhqtM}6!rFRC-2u-KZ@GK@2m4nAQcQHuGyt97u7P|VIYe(<`>=EgUmh;#lmON2r=`7N)KO9uivN z9S_Boyesnzl@WQqznRp(`ne4z&KtQ-inCS4KkqYnSK^vUC|l~zo~vu9sjE2ES|^0% zkY|YRGV=ZCOn>^VMJBe#p<_VzBlyKL=LlchE70l2y9dySy|Mq6qQQb|`P&K5$+_=6 z?D_Z*-xuTi_f!3AZkfpLQ*`5#5}dwMX- zg`N!PpUngzk0NYEQj0Q7Nrh_~Q9^`Z`Hm1(01=8={}Z zTVzzZW-C0c^=B%oYO9)B>sU#s1U|m;#DC*N|HhBRD#HF8y)U9{`2JqpU&D0O$YNCi zx{CSxOmzRIkC+!iyfXvP&)6@9|A7!ehOTqXRn(9xkqYt*Qc+XQnhJbR4pj?`4gH%x z5~b*Q{A4=-pE!+PGrtokhao9C5$)q-RL%EDad_TYL8^OphO*q{RxuK9hdMDOw2x`}FuF zHNsYhEeF?>E$4)PiHuNx2E`ty`RKb1*wB8r5mUEMZV73>@85>ud>ef|!C#wTh4AiK zBmCWFO0o4xeCJaQV3!i`6|5%Fk}@d$S$XrIh}(w~d;v|c~d z5XI>ufb7u}`k3>ieR9)D@#FVn=A+$a6J@MV{q zFrMWvk%VwMf$e_Mzq>5d7V6(kUz_>s3>5B>VLXbW1be9TdjP+O60~rFnUr9b5&md4 zr6_pPpO5eP^u3k7M?9KC_~+8c!YBO&_y|{XE42vxrrzg=y~*I5q>Vb&7zlB{NnI~32}QgLANiU=*9TO<7F}Dw*+qqc#Eg+OX!h6dA29g zV<|%|qhJ!{*S?${E9jBJ`6VK>ie6W9$~6>R$0^rSa0BJH6oDMZiPfX*_FPW6lY)6n z!7`*t!W))KvfGOYVmW>*@UowwswgTMzZCpd;KRKDeM<9XcQ_f-YP_Z6w+1mY z>C;;Lw&R7_k%gCRyv%3HbMUqUZ!yTVBbKmrtR#?J{C47(hlq_-l8#OE*i4TtL{G<7 zdSo!fHVS5Ps_hiaqDMBT%Aw#6PL)f+ot%n4?V|VH9Na^}d`?wB!M&WSkb*_@DCSiA zC|JU&_EYcxmv$GZ+>N&~B0C>}BNW(+z%edi5#EaNc7mcy@Y|1<(~RW|MIFTJHO5qi z&~+g045Ro%2!vCLKnHL zUP64CBXxwXa%d7l*9D@D@o8yqPwxOiND?9 z;=Mb3j=4QCAaoQrq0$^-DyQ9=16d_~AcEeB5bWkvRnCY=+ie@xC1vF6^ylZzWAY|l zas#B>vL=*tg%e>QCPYk{51yowv~;nIVv8P;3+X|9snp*K4cmpU>fOCP@{c0Tb}79Js!sa@Mxb9v28P#Vd= zJgS*_cnu4g)ndaT4F~KvzM(9_0iz|Z+?468bo_pN?m{Gr?2s~d$~;VSGwacDKQo;Q zHA65a9l_tULF-7FohLI%P4H%c zx{FM04!!Q62aPpe8f?56ZEnPfV(q5)J$R=P`Nk5#ngWVM*M1|BQ4}Gxj6?hALy2h8 z(NySYr~kFXp~U5&8BRA4HjC_iMwp%BuP8GD7S;bD?9MY8MAoQ+h_r*45oQHq6xbRj5O8ZtY*PV8@k zw9d1#X>^`7i(sQ?sVag@9@%+T6u_3eM(~cvU#h8`mVx}`l3`|6l3g}fTUN`o#I!`V zZz7JFFe@|c+f0jLmTji3)G5qhhLE-- zD7onRC~vN#pJzw>dINHl+d_XYt~(_{QnbWniHj1`i2DDVT%nhmAZE7XYsbd9m73(- zcsN0=+eWUP+HWlvBPfx(QoF5vZVUGzrD*m!-0Vr`@>bEK8gaWhu9jZw=m9P428~Ed z92zv0$M2Dj`}n;$8bBVu=jJDreG6Bi(L8={326$C-xG-v#h;ZNwTjX{elHcz z8)fu3L`iSJpA}-$YQwke*FIO36-r!eJbtef3nMgLqLAbFjX{H%jV9_*7MgG+mi>BEs^3$^|n&2{CRLG}iSTxP$NTTV} zQ&jejc_vMt4p)&Wj`<3H;AxAdXfyJ%MbiQ^@|;D}LNl_-qG^#CdD^1M#gRnQfW@a6 zlcs?xi>6o;zTBcI&WtRzXmXp8#THGA&B#3#P4Q;r4vVHG9LY49qT@)gXxe1alxV`Q zwP;#uMy|4GT4qKjSu`b?kxq-I-%*bMkrqvutG~LTm**nrrnqF9~B2ye|6#Vm7ESlDu zk?Sm))|rt@Et=Mwk@GE@JSuX)uxQ%Akwnv{j;ZV&8%>%%RjVRX9GevU3+Ode|Fl^} zzI@W6X^V>dRFp;2Ru%dDK8vOd75VAy7ERkYl4yE)v&!C)Y0~r}TDa&4Qyg^ahC9+v zEw^aOQjsqgS~O*=$WOIeH07wsm)2M`?NE^~T(oG)I^UuxUq#-Z5enx~1&_3{Ct8?JBU&|CMPlrPO-dbz}8pFK5dzv$PYq6D);P_jsZ9sn=Hi~)Q z+a!QiTRvq-PTkLLKzWRX+GSfFh-ppTadU0da(fVPbW z^0nEvJybO*824AMQcg%S7RcsCE#l9_MwtTo=~)5u^car&u*hYV=|0CxEW-+-`C|81=e$8#Cw*uUIO5#218d zd8XCb*-GU7AvPq;wE7ptl@9`S1-itG7HY=)qeTf;^0BDVQQ1-d2uB$d6~HWd02B3( z7L7sumd$7Zn0vU8ifZ&ScGUK?is&{I^;_<-sBO-)714PLH5&UU)V8z=HDh+)07Kr| zN~j@RtL!i#`wsSh%dpkyXYQT(x0YK0RYH8^{BR5~5>$LR03NqYePAj|H+dl*TNkR5K)F7NqT80T=%8K<>=nH$B!3<&tz23YNg?hN!qQGTGW&% zUz>JUi9gR#6ve7 zhH%dy(%g+T;taR37-=Sjw6ganX}*+gIk0SiIO$GbQao<0J|536Zzl{VsnE9yRp@St z3jK1Y3jHu#g`PjDLU;G8(2w`2(982x=${s<(3=-k=zCLC=#5ep^7=2S;I}JOsB5bV zef%jE`b3xt{c@8Ey}nC@esM*GyxtrYe9J6TaE6NWcAB4m6s00NR;tjKV^!$KX3mcv zUr>>+8!GgdM^)&bpB5o++!yxiT|$+3Rx6Bh=#H+f8qNt#aYF`3fC5|eE@2ph@8NMs zaCbj_CmEsd9q09~qIfAmg_9f++5-o^w(;l5Bg^!z;vx}#{P=|RGE|^E^ev=@KxL0P)TH?5vQI^TL^S ztorn47V1@Z(|p=wre^xXNWE*XFLREAUzOa$4AvJ;UPgR6J;`5P28?38PP7JHCz1A& zeJdGDdg+1!cI5NG@ek*nI=Rw%0L>E*{hf16K6fx3x(=)7Hs@`!tMpEir#v1wewsQl zj2%cP15EE^YGl5dz8t+pWjI(m8u(qgITS{R>e4yG$=Z}G4ora+wJ!?h7AHMky7ZPK zBc?

    xu^s!bKED1Z*9b<?0czqqih)NL@5gd4;duMA?%ibrmD(@6rR?17M z4;(*$0UyZz0big&f2CjEarA41_zPh8aE=zEHa+gtMn>_@7GDN)6vTjRbnSXRUWSQF3+0Le*N{-Rsot zE(iX<*;y=Bu(0#R8@|QVC^X;V(T1L*#rq23oL zcpvu7zMQLjC*crExG#~en!slVA`Dr|=)~9*!0U(PTego(2z<*TXvp^(+#Rjv z#xqZgBJ}C7*=h47<0e`ZO!v;mKP@T?ibEK&pIjtSs@1rncvf<4T}w^#sV17lB)48_ zs@sYLV8Q||N{d2qybB3rr2x@%PQR)qeVq|=6DUN7;kLUB0l4ekUX#l ziF=RS$MRp^apI8K|NPwG`bx?K2)LdiBx8X%5y?r>;l$bG%X>pw8jC&42M+9rnHiX! ziQM8r2O%>6{y6x8(Ysw`(Fj89IwDXcuu%3i6C#s4@IclfY{lKWcd?Q{U?(||pNFO~K&d}%CQBsM9+0qg_Ek9VV_ zw)GI0?~1xmH^Na8F42#7ivs<4ch}gO&IAh0p$WLR{wvxnQ^x-wmSkdiK=)>9voL;m zx3|rLTyMZXI>zFiCCB20OJzNLS3^D6{V$KJhVNow2-OhzU8&W4SF=@voHGLjIbyTp z%(oTiMR9fkixZ)6qhpfc+ZZ0Md-G?5vOT)D0LN-(d*Sy&_ZCuA9{$ZHVrN5MO70}C zl?TWlIEGvx)_xw*KZ~V|oLu|1j!717tG{0eeV&sMp){QBpM$(gbnk&V(2eF`l&kb* z@FpzfBQ=E~mk_Eb7L{y+^W^RM<${{jyEX@-`1~E;YmeVl(-CoYzA-MPJn29@nzP?`53OG@MQoQg4$epcfx2o z#)DgQR5+m05F2!Fg*F#8dQ|sT&ei6Us(`p^jytG(Yue_J?lgz=8=R?opN?vI=b0iK z_jw(nbZeo26~`EOl05Z$r9%ouPqQfPUs-*V<)r^k2hNBpiA->#HqoTuu)~$PhDAar6^w> zptS|Wm^@CGs4W1$uHoMT(hM0jUWh{rtm#tpugLMp1IMq}@d*8W&J^NN^Cj;!hd65> zHA;0*3@EjQYR>elO-|iMo+#AxXCz6qV6is#z~S}npgEIo#fQNx7cjCz(rhqg?=K`? zFEnRMpF=+c>OYq+#s72pW2yHsGNO{XvW(8-c^9Eia0$MrgwcGdNX>=bIZui{i^{0! zl4hF=O_1izi=(GY@0@>a9G)@rrR3OnF-04X4)Z0ZT6$`I;CKh;p2=kjg+#M`=RCA0 z#7r<*LQxIhUNu=-9-~Pyh#@IBAp1bdR3e-tW=rp!Hxa_^tJp4xP+nS1{;kkrVidk_ zYB3&|N6LBq${4qrE=7qVk+j-&htzZlXE1#G3}2yf+gD`xibXY~eTBroP)(QMpAVYr zkBTW@sLCbuNlcekX)*M_py7HZwR#uHbZm*oOV^a^zDcp_2;>9DKm`QSeq!pSwUV=j z?~u{uD>KL5feL2FK!xm%1}aQR`lZ->$kcrixSG&7R z4ZqB_$bR&1Z2R@;#6ApfxPM#sMbRNtn6~oEJU6}II`c3i!B-;*_O^$Er?j@N)R})3 z+bm-E*U@2DV*K~Ham)anQH}0fycqPv;NN0WxocS2j^|0}8R`p?wRmK3+t*;d_!?Da zz8%QSu803wn&=&9us@DZ4D4$fm42mNIrwwYoyhb!_&_t>vowdqoH0JhyiL=M=tZO8}AMYasRr5Qea;wHCx(-&4A8 ztF}Z@m$3wwvC2*gnK2~ky0YHGhc=14F!wuSMEDw9Iv>ic5L&PMGPQUF zmbvkx``W!rG)Tc|ns?QcS()z)JsRlI$g{B~3Z4yTF@Ym=s;ImK62wH7nd_?c{UjM> zCp}ax$Ncj&rbn9+;?1iEje|Eq7>{#NKOh0h(?3 z_J;7&5-ACFWNW#Dx{h1b#QPfbS9g6^@$T^^a%C1L;&xQIEXz3;+i2^#B6x+0ylVKa zQwuH9efxmk^Kl7ZlDJ%T3Jh-7gonk_0o~N;yAB#np#6y}Mgi@&<@t&*_oj1nBF94A zcK|tNBJ(}?mk2&zXErY-nXS>5KGDDdKlWa9-x2Z`=+`M&&cR6(Jj&iR{ga{1`;KvR z1V!_8*#0RLtb|)dFRqLP!n4cx&O85Xia*V%=TNYof|`FWh0k#GJi^z&!TA*1$iZj| zHia^QFbO(c63M`FQ>W|1@~rPNwRF`FJrYb3%Go5YH4ph=_pVU>^dC6BfeDfC@&^KC zGStQu1jY9s`?1pt0NK5KaS=i1*udZ1DeIM%zZrP5mMkP>Ya~R(PcH3ITXe-Sf8LUu ztSXGKk!ac5uhi(MqW$rJ#<8ab;8i>)%t%JfUBev}IUa0#{NwZYCFOt#p1IHKH zW}5zfzzVYOGCaxgc~~rgtFz$1r=^s=pF#{+X{|~>-^w_jCs!fB{e0^O;uLCD=1M}a z3VmRzTqxWDHOmjr^`ayC{4QRV=9(C#XW7)O&+pcbQrd(_wnVCq|)S$RR%0O;)O`n^dYA;S3+k(l(4j%>rf<<9Ia- zNEutUmfB__)KWcOx&oHJ6?i z`M~G5Jhdu~d?9cv@kuR9?@ZP3zbq3kOsA5dt;WLiYNEwICr#XL3UPRIrxWis5(bWC zsqW6d#_*?;xK{epClELPY+%LJm%2Yjp5LK?4gD0*Mj{|;0RdAR`;RKohr0oSxrC{} zDJcAvD%@x&CI~>7MW<=6Bg0sST8grPn)z7*{@)uJlplc7=(k#1jm9C*Hdqt`k%>Sa ztiY1Oe+Y9M%M|K~n-QofU=tW8X^+Fu- z)lxJkwyW;rmW`ri_EzpWW(}Fk(0-gcR7F^op86?ShE*hMn+^Z^JZdVN>;%$P>#94q z))?LX&ALAoyg_oc!pJ11*^>Wyk@QhG+3>G(O=`VJOZ;Qdr`Z)l+p3>`o#Eerj@s~h z(sX~CmM-|Q2Z{kz44ede)3FHYPft&CxMFgvpxM{DTN~XqIOg73=WcDTYG|oq>!`)( z4*YAhbX4$a-M==SLo0RvI)pIp`j_G#PC5BELdo%OdW%QjH6phH$%rnvLf8Fv6>S{% z?;PQ}zjH)N`kf;aFn=r4y@mxSV-2osMb`^~VeApb3d zOy$0HxM8wKkm>DkfD8L=M@MBO);-@xPI)pXGtroQG-61e;ooKWcN_kE!@q~C)WdDM zI8OW9Y~6nxyIlUFPf@_*@p?G_6CT{?sEXQ^0t(0_gA#pPQB{+S@S3T{kU$jdHT>|3 z?l1PnemU0h1aRO1cj+Uu%jcjUC9g`7VANi0K+e#P)#MY(b_iLIGtRsNbpgr;^1bh(H8+m=DlYFK~pAWI@L0(oa2bJX_w+^}zAlLqKxehGb}yDPw_YMj+A%OwW8m zh^8}Xb%ZI~cc4F$>txYyX7kYV zX1375-pr0Phn^RkSo-*(x90=TT>Q~;O<)sN4qI<#o3L^tTyyK~1pu6fKU!{?-o6L_ z$eoE7?%UqqSxF(f&reeGs~9HcS22Q^s9(jH2!A8|odI7x?%c#vgAMd(ut)`G1A9~y z#`FWOncxJKcgBCiCd84|+}P><;TB7aaWa{fWNEn`t3z;MBV)xP!%yVPygurv~I zKPZ@QYnggS zI7G*71ePGA?Z%uw;MP0z-6-MHZ{SC6YH$rXPs)l-oju}9%Rx<~jhZ*4v$Sv{&)8+; z8yb8!LxiY2MSHY8S$fBeZ^*0KA2`0WoVoI)<&rC3T5fXXQIQm-jv1JeBze}qx=imd z_H3Ihg~$YzWf~6}0s0NiwKCHU6NXKAw78RCs<3>M+g-w*>O`E|UC?rZR>Ap|?HD?Y zkgykI!cgD>y<;W=B;?E5VhH)%zE9IujQj&W75rfod^+j zgJ{bJlRv9!X?pDWC+}{m+t~c=>?a>RgAH5?24W@vkJViBW$l)=)-}|s`bAZXdW;Rl zgHj`~j0to@@Klrd@GPvNx~ZsOU!_$)Hc;7f+NrZZ7o$BbUFIB{V5fn=^=VipZZZcPaT3l0pd88~Hu$pss z8JaU~X2`K$x0-XIQDp2hr11hZ=lum*0jhnK)ttM_SbVz6WUY0VndP(Ud=)om4^??; zL6&OHCa5gbcu;E2ssFX+oEkEUnfja(tpM#osqb9c)gRQH3yl)JV>JUL?32xzA#7Ts zZg0+oM%zBUBb_1kt7QjQpzfW*ByyCs&b1v{!G7MvFui$%!$?Fq}i6HNpfiZ{VmX6gMmG0H{3N9XAY6|w_-@&}1 zgPeMf7wm(ER%(nFu*O=#3;&)qeg{hqBz1tbnH29b&0ItA3Ex#%TbVq$HwDo zWTZDaEUp&gVmNI%b>xSP!$zq=rFB;rhlp+3Uer}WxojYU(b)Gl8EtPN2Mu?*-T~eK zP!ch>SFT^%Um~qfJrgs!FM1`6uiA;({fmp-vL8Icf-ciILM@MBs+g+;u6a|UQc_ikj{g9A)VRyx0j{}ZFKJ(UT7wB*cF}+*T3|J zA$E@x&tuhz>r74XsM#pq*ub6S8yjS!cw>WEk5jtZ@? zl7LfWXJEpLa;Lqq;nUY-_M_%L9hG2frN}s0WgI;Q`i%{iO|eyOXs80YncAe&%*!fj z74oUl3Pt5sw-usx5941U>GJv1@t!2dFUwMlK$-)`A<`0;tKdeB3PvW|jtYDQo>Llb z>_8OW^p4Y7kyeC_r2<$RQ&c*cI(IZoN)E$LJpC`Q(ifMbiqWoy+K!jYqdskKli%pm zVQthmrpC?KU5<@KWaBPhD$MIw)Bh;{8NK6tG5*N# z1$1aYt9M+`itrV>;_)KdA1@#=--|irKH^nj8$u-%DzYY*kKaRQOC8sHd8)&D&r&77 zyIv~yonbUj>E!cpw;l0Ry_Q$LVrPPcy@??ePzuUc*Rk~rvsRgAzE%>Fmi z_Dx{(DCf*IRjoBA>zeh>a8SFE78Mgt#!~bvtwS59}Z;7q<=?FKf z@tGlNT+^HD*o|~cUGsUuJY73T8w4lpsAkxx{7o4b6RT!#RO+2Gw1ZiCr;!*ZlSgpY zFnBUcWnDph0-Xz?K5j=HHDOZigx(p&1Ri%q2m*<=S=vF$ZuVX?KhAEp4aW~REAlcc zg?>>N*M3&-oWs~M>!^e4oCiE0q;{F^nzZF)>zVotqfY78bzP>wAb6BKZKUSWL4wQl>j{B zX>TwAiL$eksIIG$^YqRI-khGW|8?y3U2iAY>v@5#WM3D1c(mk)l65X%v8h^`fjy~& zDsSv>>cf6h|8|1*`Yvzm|QR;|Gph^zZod=V@c7lASP9YNJil&csP!1bYD?trYJ|acD*k3lhmV99gP2 zS_#Ip&?SLd?Ql7->_37l9KbtAFnN|tKZk$vp59v%kVwRQ05UVqe`i_JLqGN@F` z+>K3j4Ry6VIqh5)GM=gg7nbUsNx1M_ja{RN?3$pYD`;V?bA?ta2u)sFx_?4K6DEL7 z)?7s&OlWrFx%yi7-opJ%r<=?J1>GrbVSPcRx^Sw#-d&9?#YT5aYi;AXR#BQ{lqL+N zS*~}cl$Iippm(mc73;ZCU(?Tf1D!p@cY3WH1@1k_BDLtrmD8DlugT|U1$fB~Ve8DMNmM-=N zMFQ)4#K(lCQ|9lL4r``Rou=vJ02>!$kjNwvUY{$Tv&sCu%dx^0WmZas5H)Y?aFSy< zNhU`Dmgt>pOWU+kQc|fs>78`(nRg#GvRpcU7RVGGe_%U;h|^$hYGl$|hTgeTE2Gzd z+H!pxE03L{WYaPaKmxF4mZ6DnrV+R)?py6GdS{(> z$g`OT`QwL5)%JhHzhwcvZFOG9!iGZcG=RR|Xbg8afU^?+=(?RPg!4iYe2_RCcTu=j z?J#U@R~i?L^LppG!}KxPXYhE(2S_fgE!#&~oHeO3^hFT4<~h$ad+ur>g6k)5Jn|*GzMI^LL_m z6;G=RGL>{B1zw&R>_9)(HH*>CR%oZ~w=5N^CGy!5BhNEC5p(X*HJgyLcFxG>Xh*ao zM@Wg?p?A$|J5r{1O~t<>q-gHv!t=GD&{HE`*bY`M<qO7f>*sgyR5q(C9`Jy=(o^BP#FLZ~&?cxBi-WcbAF56M1tR4HNPfyvJDz(<8dqMDNO}IO^c_tF9bVP~H$VId;Ub1SKcTm=hq?!&`>gOQlAk zLkLw-;4lK!6gWb6A=OZ*9D!O29L05O_cJ4@e(5R-YCyGcnsYx>mFh1SIPqpH)hGkZ z9(>d4OsMTrw_>2}z>k`y27Ejwe1u2wsTM$82Vn zH|cWD2acP3Hz)nIEXM2yXPozQCor=_taiNY{o_>T3d_v$O9hPcO9g1)!2P9y55l<@ z6z!$^$Gnx+tT6i9!gs*8rSE`m$6BX(17b>->AYX8hS_h&f|d3~8)ZKWjWh5RK4~Y* z-akosREZn%ypz9S+UPyP%nB4fGUOV-BYD~U14o3F)ghQkL}9944WqVzGFyLSz^v1G z|1hdU4bCrY7GnNB4b8A?TQC_rjY5k6(pF7d%4%Eb5i#4t`z1v%rq ze*^%m|4GzLdE_HQFnJW^H$*c!u+Mjb_&kq=MP0`fz??`3RDE$a()*rKau)CvM5A5ao#)RUlQK z3J6TaDL|LG@jFH94AmsjHDp~8I42v*i@0IveuItJ7dFRBP70+72_~)=fN7`6l~>@r z9ap0b*XQM-Cd_97=bZN&wbN+pXT{Lef;L8XE(V&to6vj{0xfnd%{DB*mIl|T+GZ4` zSv#$rrUu_?2WYVY{KWw&4CwLB;{uOB69n3Mzr_f&fRM8Y5h0kLc_U~=5LZ;*Z-s$k zM4%B!A-uJ~UKzNsY^rNTE^Pc7n=iR*Pu02`8e5^Zx1MWma91_B8>^p!cQjh!C96X> zyU0hqzL+cRiC?6?6741J#aweea&#|oUUNk+Tjk#Fu4z2egxjSWY}k0x7ijfv%5jA^ zoU5;=qDt(jFYGFs!u1Pr6c>W81zKG(%iI^LS|pjUSUlCx(u#X|R=M|LLw2hXIO~dV z7gaSQudN~raDT!qY`zZlM}<-%kVP{P(A8QOTY;?wB6eP@_bl}CO*;24*Bh!C5FbFP z&6GR5KTb;4WY?tJ?EU)>6&ZmGbSbmrlSgG!3CDks*jDHLE1Gs1q0{(x`ZmdKEzXbx z&cTK)=(DerTLTr36TntU^(yClc?8`s3!!jN>;GBNWT5hTR_Ke08bTKr3|Zj%CU+LqH@=2%)uOv3M0yYtC1bw1x(7 zD#7{c@&>H|o`;Sz-&ZuuReTqiaEFbR`5PC7P=}+u#Q3L~@hgm|cA^33V(_m4x6bgj zDD8SUZBkGXov+4)Xox%k4TkRS^qxe3{UMyf_9D~~Dt)9VeM0+mq00yX`@(EA3A-vY zP$7um)4>U(%ABQK7-+k!QU=-%%9U1!1B)gUa$;5H>{(h`Zl4ZS<}8{GsWN9tm0?0i zm8k@wxDQJ0Bz{4tGGCCYOxhRZJ>&mBZ*Kx$)pgwqpDSH$1PG1*0RjZNfF#5$1|!U< z8H6MRl9&ex4Ir6GXaF$@oh#`g?!6MiA4oK>PHfjn-S_fq{L<#7O*6QDZrnH>GC9d> z<0Q44_RH`!d7*iwEpgs|t-bd-=MG>ezx+P?qPy=od#%0pT5GSp_PEfQM;a-(G8}I# z>I@-yGaU!t>)<_5r|qpi-+-`S^S+U*Y~MF>A7lI2o9QI(opCu>Uyxv5@aS&`+;K5% zZ{`agaMSJ0=o8+sg@ZH5o9R0E9z{yE&feGVjP1?5Atmz0dNrH=#(JAlrzrAfeCQCk zRd7gZ*x)zTOK-+yDU#fcOlOJH$b1DJNb9h1ky_boWz!YDBW~q%HM3dd&0Ms-nK#z! zbHQ({mt1;dy>s7{Lwck)qsnl5GhbHM&D-A0MVRq()Nnp=?BWz{#uZ?sH{)08hdL;4 zhO)8bpUlr=23dFVW?nVAZKbhAp&ZM&qF`^Pdji1e(@j0XIkJBU9R?FWxIi_QhyR`m zrHJ%rB(-V|h%!9R$itzzM3S)=6ePCz9?jqaa%e7*LzBWD&0q%FJek3H)}{h#dVCBC ztwCbxaLd;l-_XqR96fxip|(NjC*asdPx#?d5y<(gk4x$su?jw`Op@wJE}@0Wvz) z`Z&L4aDKu2`89)cqx>2YLi{q~J2r#!o_9GmgBk4D^yFLZ+#s&>Ab6oV7R%fKt&yIM z6prKA4Ekan8^^P`1RW;N<`SuKI~<$A1=G>H4`#AwgUZ;B4b_7j8>vwIoIM*vzk{O6 zOJP6j3AB-8Q{w8~+y)6MhzxPe5vy=#xjD z?AYLaoGP-#g;-8Z;QcI<=8+_)m0 z8VA>M@@lS7%UfnUHOX9he5Yn`Ir}ur*r&PDcSSihSBxw9R)_RyDzD7aP7NcXt2eYi z<+wD);41KZFqc`r%p6<_3jE5axdN8sxIAL`B}<#yHwe1Nq3O>d9)SP(vVa8t^JO-;>Z-E};HYSc z{`oR6)9ulyyFIDtZRsPA#==#$7Ei8S)-KIj+o$~<(a^Y$$0 z#uFTyWMp`pc+TNcSu%h-^}vpiJ;txuL4J*uh=HA&su?UrdxIhD8!X|en!!><5)}#W z#btq9ehuc*I)DZr9@Xh}0S1Zh*cgL5$!HZB)@62VO3lGya%`BEnAw`aLUXVz0h)Y` z>}&aNsMP4XbN9z1rw#!6Ifjg?4Be2x5?9W-k( zxKsKy#ca2E!iIH8&DgMhsTrH=-0ABRkVE$;KY7Lm@8jsa?DUgyYceT)wbE9`73tVG zJ@syKY^$>!8Dy^h6ZIu}?zH4I)R+GwBkjj#4Q)v!XQ9nzQHdYd9zMHCFoh*Hu zD%-c|hC(tP*{>qw+j(ajibc06B;Q)`IF_VJ0CHspP(Kknv_7^XqD{*q^0RqLY;P{Y; z6H6W&VzS{WLcvm0wmeEBa5MsC`is|kt;HTf6!1`kTZeyv1iES`kVui*{9ae=G`|;I zM(ng_ITQ|Ds7LwoQI?9FhKZs_`MXp$88;2%2klK!kxkg;WXT% z8vRH{Ov6Rpi2b7gWxTYVV7e~SldP#&p&wG@$VPt_G*#X{6F|S2<@DohA8CuS@!V2}mOm*s=zDHA#f-fD4@(7)D_`;A-Ob zv^UTlU~~avz9%ECcK4pz`r7iU`jXPJ!hMx>^*i>JL(!9l4uY2lWk#q$#)SRF^*gJp zO8MQPq@hDbqFmkY5wJHb6RPn#>_o(ml+`U=O{-URo;cUmg(HANM-cM?Zv$AD!RE7} zqtg;hNUj4Zjph<(=jKutLL_*C z6gGpWGWh<|nv(MRx|+h`Qi9Jky#XU530qy8+m@sDiQYP#rJlvdoJP6Pag zq#?QCDh++Oit?^jLE93AX62X8>==k?SEXLftNO60QU^SI_E<+X)Hm8JEC z`|7Iei>s^lU?c7BIc*34cA?*Cjg&z?@WX&c-Ajq($J%Mf?t|M%un@bwI zyWHn~T-y&-jupP{&J(9vd}q%$x17Q23*BuUEuDDS(m^{DTYB2hv^BMLow@AmY@ub) zrt?tZbEnisIp6tn&MIbSWc@Sttv=u0wYu~2x%2IvmpfNu)V=a-&+6)xYxCA@T$T6q z%Gy0^*$Cibs+DVSX$&%~rc0`tx;wkhpRK1Q%oAtM)YE8PmfO;?s`H|!1_s^ZN#GXV z4#`kUKc6&oA&~{u`Md6R?iz4tL4D;nmejSxMy-B3wWO|n5J_#0Y+Jszvo=$u6lmc# zlChn{e6mEei`sYce1{LLaIt!ouME$$ba-X9JmMBbDnL*Ez}) zJ^aT){p{g?aP%g6D5EY>>aUOL*MDS7^yfCMGWZnblkuJ?@N~^ zN=A~Rx8Jefj+g1TGTIZp{fy0p7dV^~J^LRQbiUuQQy)8}pM9cJr&LrZ0(tn}b^Y`c z-8%I*#X9x9TAh*ssR%?xsiI`aF8UV@I-?A1MQ?x4e)}PtSQ$r)(e!ilEJ}XHuIb;M z(P(5GEqXh?PCt{uzl7JC{?qefaGfDTGfnv8N-?-~Acf;JS<^$;MW6#m7}U?d-YIa{ z%l`S{sUpxN0S&|i`nzcYC%+^fYx=XTB5=|0sGwC}e?|m)bZn}>JuPk>RX?oEAI}lD zj?3HZ5e`duCK?Ya5bcVJ+?aIcua1e|>kaSqcrrc4G*5!ni{Ku;|Aa3Dlj&u$&ivbT z@lwKme)+p|tMhU(zIdq>tnIaW|Aul?;5N{gd1s6GZ*n|>auOz}f(q2zKdlq5wAtaT zWYjJ@C|3!<2eyb;PO}9BxD47A5!K5Y+$UZ+qceCXDg2TFR%R$symHpA<}?ubt@(_9 zND*KSZV<1?d-qU)k*eT*>h)If$^{#_@OVAnn)E-HDn2Dm7@8wq>ENJXMiL@~iC4Ou z2w~2TKdut5bZaSm;*#sfK&k7;TeHL~7Zv;`dv!WBX~O@h5wBd*@ZnnLhn4xcGmkWN zZq{<3u!p}o>I4dN37B8{pMGQuWvUiFcp@R++hn6PW;h(-m#^=#-+6N!Zu7f8+UNv4 zTcEM(fM=uoZNNqR&K2-%x&10(t{X0CN`I0VT>IJ{&vxiPYiH7w-&rRDm*k_y1oiCC zi^Q!1{({B?mfMtfE{R(Qou5|F5FaU_$Y^*yYJ5LwiWTS;FQ&bN6Y~z4=TQYo#vD2Tg~}Ds7U_2ysWrDShIKyX7D&BDTemRg=NZ$QJ=78 z!!P-tOZiWdy#7v&)e|WTt&>OONq$*PPW%#&jfsSDe`d1rsayn5#EFBUee^w1Ewso& zn6MOwLb;&91gg!j2posauqu2Um&?V{S+xfh{3q!XvNg)hu*hjl%pW}dsTyI;&6?;V zB2e@})Knmzl98;|M5z2Hlr9I*;7^8uf5`x!iBB>ZKX@FAeS`IMdP4XUj7hY4edsWp zMdA0*n+7Xg_yq+H7SKQYz;M1o{6*-e~5Nj7D$=DCykPJGCCf7?*+I!F+=q{J zC#Cl;pkx;%_hmA^Zb~2W(c_DhY*6tySua&Wb4QM{XhyKeMw&Zv zbih`OTMOB^d4wN_U%bM@q}OvP0(x+3@2Q0u~KlX_>bhiQP7#paLGp2!S}MLFDcL@Dceki1pqd3 z2xmw z$;}_UihiMgTFyeE1H~sC6#Y{~|MUhU+wK=oUL*yn@hO-A&6tr51SvlJ%cib@A{-80 zqZ!3p`+0!>pyKm$z_z)dN)6r-gn5yhZ!mAsS)569KpIP0^9N~>RP@hVvPhoQQXumN zTxkD6PAp*l@YeneIS=QW^4)6QI^gm2)ft1=adE}11LmzmI1D{VD~zIl{`TC(YVnmY zGau(WsJ)ijbDucREMQRc);{yrK_Kfj2-`vP)?uR-XD}zy>`>u>!kW?=@PIZhcqPL0 zZ(?PhYbs^>`8kdf|NR=R{0q^zMgKx$DR>H&E?SB&T*Z=DS6PLFlrD5OHf85OKgZT% zyL;$g%-9wiOChGkhcdjtvNkT7l+VM%kkr{|K2?#RsmfwJSq7-d0x{)Pd)!#^zS(Y5 zqp`&4J^Hg5OEzK2K7^BYRkb_ZXk1uS<8;+YcP3y?4x`B-G&u$;wX?jm<}rAbIdtJs zeTxA+OBl}*!m|XGswu3D#PTG8*?mTTE@R0hEV+j=CIHKULMWXh`v%gcDE_3nD6+ee zemeLr`j;6?U4nixZyhq0GwgEK8<4UB|CWL|$uwmiMNkAiu^dwUQy)lK#Yk605ag;$ ztmfH0cRw9=7yYZDc~In)qCXD=t;A(kduqye*SY!p7DMY!T$Lw)Ya+f;#R zJ!4u=nAX=fAZDkuB%(i)Ik!OcZ@{YsWDbzdCvIlo8+}JcuKKYN&t^T3e@n>xUZ+33 zG%mUU<936M+va6i9z}Q;mXc-J^p0LF=U2GBSb=R@rdB{6RD5_A zEI8fWtNWEN?vxfBXXqGIsw~Xghi9=JN%=7E;Gy5PLHSXSZBPoh+6Bfk(7p*D3ilD< z6~tQ&a$)R2#TR#?%$<%6Mz0aoX+-Bhr%}XN3VsPlV|jkS;QE&@U!o2tE3D-%tgcR@ zAtPz!sqVI|X7a5Q9#0(>tjV;WFk5dmp{EFV7+J*%1euW@!54S(_^+SFV5)0#cNBoU z)nwkP2f9WeOCV&8X5X!5?kMECqsvfyai^`sUzC>$5w$k=Tpm(2bFbQ1NgA+Q^zT6f z+g%Uk$pe0%7yVT$@um`q8oa9HAELjOvhTw83Vf}Q={kA_C!%C|9ux-^#-9XePaqNA zQ~!bG@_@>NiZ^g58q$4ZgK8IVY_JJa9@z8H<^x84Ad$IraMe;QKAWkxPC^ky|3PDw z?OP~RDQ{43#yD+MsH3eShe7?}RiJJ^KC4JSuxmo}*Z-AG)5{Y6Wshp{FMDi6j#pTZ zyHx|U#X|HqtX@Vm-D+i$8U~EjHcd7vWz$@!oZhq%6g46!i0D6#&uStmiAXxR)+Xsq z1P?})-prJwy_xCsgAPf!ggzKZVv722~ zW>UJs<)Csr7<-J^-wu-6*MOum_)vI_zyuuk5&c~@NiWgP;0F~i?NZEsN$m{AP}5;j zm$4^5Kq2czfA`vzOwqYyCaK$4Ym;Q7Qflc!r9B3+*Ox%krL~}`6Q8w2Q!-Q8bWPvVJv_)IlT- zBpT}-U5Y{FsHN?C4kQ_3AZh(UG2p>xJ?qjWB59&c(#K$pLD9!{DsF$wu}+F27=eL_ zAZZelH0h~4qA2xN7n3x}c*p&{m017KF2BvLT!%Vu!6ipj2HrOQDsFYf|P}x4(00uk* zGx|1wBom(vL{bWoG~2NOuUue~Ug4-P4=P@{;4s^f+ibD{DNIs&{#qufhe=9rz?~X% zlxd7YmHLW`Faxc$rx6C`f~2|mplDVD{^b)%Q;4Jmw%q;|`~M)flz-)OfDbDEHP^)? zZ36}tKyDQAUJPVz#JUXGfGbQ=rm@kcC|%)lP&qchcGdt8YGmrmr{a)=XyR!B3O_FfR&IKVN$O=Lt$fYc zWRqm0(mj)d%AQvlSPhC+Z-NOJSdPyoA}N(fT4ysU{Ug}s3X(oDNs{!DN%2g;I>;@R zDOz8$c>|FYG?=9I#%9L^#GrDt()LCNHh`oJn?cc9d^Q&lNz-WSUQl2PXkfFk1*7_4 zk{Z>2b5f!h*y`GI6YHSKERJ{NjOs@LD$xlyI&v}fB*ACoj1Wb(4iPE;s=Iu{g{xlqP{QZGsC<5d+oR zK-?~Twh?h@l7rI}br0XG0(o)vKdKooDCba29)~`F8WgMp?lN*F%bf1*k1ifg%SdA$ zRu}CmEv_rC-mPhzE(Q+tZ3mGx_-rR4XEFz8DzroYEdewhcU3z^%+WbCg`*fK3>-HK zY!W#m;?UYks0d^woT(@*uHIc%zN5aRNK-gV4Ahf|593oXN)*mw3U$SA&j5k6gH@|` z^d`~=713EVg+$;wZ^wc_bVFC+>a6F@O~!1s@GmK^sWdCYrqnN5@s&|Dqb@j=D6rV-R* zfeLulCYhp!1m-b=axj01Q!r9J9{$G!0;i^+3;o*#+!e?@hYUT*D3gV!(6-ZHHr9~x zKNOfp=8u+yCYPz`Lzu25bo|W4th?73Aq95~<^bF%qbrAkIh=7y*+G<#PZ=F+W8CC8ZeeJCD7AaDiB{DxQB)aFh~c@wa6juIRVbIL7yF3}o=+2U#z*GN2t7l885hD{zy)Tqc~h zONo_&jRe<`YsH1ivqprP_L0-K&&C)N7m|=N)zgh#yU^BIPY}N(Kai<)Z|1Iz_6)MD z5^3K|SFL_9NvgBgEC}YA!IfaU8C)&Jkk0zN5^*89nAv6WDjX^oy1E9rX~B>%&O)(< zsuOm6W57;_MFR`b4i*wEgL^Af)Tsv*f4cy(q!WzVT6}4S(*W|#;0D(84N>u_qZM`! zU9b`0fYHItq=^R*u>n+VQR!mZA}-$?F)Fijk;Wx^Wn%CM);EHi5)c}PUVHGMQdVys z?j%`(S9qM^*@R_js@Plufi@@)VcVK%d}fyzJZ7NZ-;|vPjsZdTJc;)h5!~Wsw_q_F8#*>82A|;|0>LuOc?Ap1SA)f4{b4}uej z!3(e|Mz9Q7iHK5Mft^J632illWdQClC?|$XRsaz$1z{75dxx@?>3oP$eRl&oj zIMQOUr)rajf=42yZg7J?A&uTo<%JjY+x9lCTXR@Q1<0RZ-VGK?p84dyY03(rx{sJe zMJKe8r~H)fq=-h|sIgzh#oc1?in@j!DY|v(UYQvzqUTowIAwfq5e#f#@oPd1UQ4Ok z?8#WLY(J^TGG8fgW%lteUk&e*#YSL+!-22?1z}&WdX<<6h5%bHGwuf1`)ZIRVNV#^ zjCfvKJDU;eF7pBrFyszj7&WSVfQ*ywBfhMz%1$)zE#tyU8<2ryp$1WQ0TTZn7pI*9 z5_AEKKf&GeMHS!`IL}a3bzcfa$4s?(9#5c7F^L8Rxh}wDBC#~!t=jTWo{Tv$;VflO zv2c~Cw)D~Co;a@TfT3YjUy6{#6COlI8vSfL(%ZRw*cA^ahi_NTH zk;=>@PIgF4dh4*Xc`yV8FDWups~JXHrN$&@UpKS%duflm3eym>1K z%rqYU`$iE+c?5As%UF#8V`c1x7Nr;mMIcE8rl1wWmYF0bf-36D|kaz2i8NEogSrNKV6>{M}w!6pCU_`Syd3BZPZ0*ZT^tFIUXG!)H%9x zQh`TfD~GzxG|<@QnbdkUVK!ZA6dD(9mLUSOj4D{pD`+>ft6(bQ7n>RvJl!M9EE0iq zqv}<#bG8V~se+Lti@pFB(JugPDH;|S}0IFfGOTL@zk$RHX6b46f2n_$A8DbEy0gBXAxq`9cu#U8t1WFis| zwTpb8?>B1_cezdYbemwsScnpJzp9%AUCSZcL}Zi5a=W$eHj#f7J(%))hq^^t47g#mT>2p1<|h5UC*DDp|#N6th(MY zUC*CkybWh6<3^oK+k@#wzxJZ)dIBrat7MT0T(!GIWNd&~DyuOp{WT4hl*(!? z6{arFMV*$)LXwIeXK*e|KiPG-GkcM3qare`b!EDn^q5TRkV&`Rw+_298N11A%HD{+ zG$2DPKd5-282sDhLJR&is=`&XdqK`bzo2KLUvOrk9mgQB$;kh&fox*n=IT%yPT$1gB2ZLK3jQ=c)g*=-p2n)747-gz(mE}a=h`1se6j;lanGB? zXm$E0<>rk~c1W%MWXJ2ajdw|IrYWeLm$zV7%QrjV+<@HuLT>Od`>5hv97fgJ4O3DjlnDMMGZ7tavF1eHL8 z-iIW)#CW}*o|WUXhuU)ux9255b2!I7I{BdDt;4AOVV9`-<;FG=Ro$ox5EUoe|CZaw zDLg{3u9kOs296-i3XqAw(Y+X9=F%WxAIT5{uD1^B0oPlHB@^E|>@d-Bk&dGZ$Bi0L zc_Mp#W&_3WLsI(9jE1i!A$$_c=v#+vQG7v?1U8=lhwBO-AGjDQRS(BTa>*7q#!mQ`eX-F zRQ28UaEfk$O!fhn2(%bA4IdEP;oeCSDKLn@Nu!3^5vqTx#;75+!o#*2JUS)<&lr1Y z_?b(?&!y>5eWE&RIb4^;>c?W;MgOn@N_NAg*}rbqWT z1a=d0wk^bq^44;O!WhE5hh01Z@pWo(lQjwuHxrQp2Cuu|o8->x9?LzWcQ9k-az6fR zpJc=V%EsJFj+aWk#1ol)p1Q^qhe4p(Td{pe$va?EDREZ{ck=qEE}HWy@y zo9QSLOaZUN%{f%~WPIwtk0W&ZLGUOuWw~NQE*3lIKME`rH!+`uu{mkal6}U$bg4+o zh-a8wRBx7!;*~u?`CuVfhZSzdrOh7fs|+&3hoc}2oDR1r4|0@#x=lMV*U z7K91T<`Z2f8c%e#;1vQus3}e$K)o9sUMK%VZY?fQ)0@6`eK^F0EKh`yAp|sx1JZZ! zy~89XXPd&u;kKa)A7A^Ltii|Ex+=gN=RZUwb7sS<6fYsL79G|)$^6a!Nf2JUo)Wb} zH)u|j^+j2cm?=>sUM8Ltb;!M?2Ik)kiyQrF!rT!xV^{1+*XgCf~UR1sr1R**l}%C z3Pm3}huICZn)XM4GLC~^lElK}6?%ezaTLDpLh}3b6%yqe+mtC+BrauzM#e;HppC1} zoIiyP-#547bDRx9PsaT2b3{n<%EvV3t;9g=lc26>r%_Lh1zQy8*X{=Tv5|c1kh*c` zLB+dE!Bj-b)uuTqERP^af40aB8D1}%JA&rGI^i7R%|j@70;G`5W=jYh2XKg~+h+E|GjP`II+8%nk|K~HaXxa_G6SFBd zJMc0$G!a-Zp)6l^HdU*aodh`vMP{K1ho%r)U_9RSvBBzc_wZkD)tm`U6bL2ML;zFu zX*UId8w+hm$ptV8V(69-lQG}310@N>9AXqH^DxDOCsW1EOGc9>zo%)By4=sErpKic z!4yf17qeU$DeZg%etlDG+nHu_G&I=^rO0MqSQc3!n)HsI`^+VlVe<3lm8QKynJ}u2 zG>h-5R`D*@cI2#a@(Ts>Y7ieljEogNUuF633N<%ccW~z#ngjJAv6d4xrIm%W8jXp^ zPB}Ar^C}ORnazCQ;->4ii6j@-CMe=l6?1XcE*^7}Rfu0|mY2oN8%;(NQWwOK(ImN0 z9A+&+q$J3C2DD^|oQn{z(c8ivf}yst>v4Ml>GcdTlwb>HD6y&LMX5VOiG+{z3cI6N zuZFx$wd$Q$>eby)vRkiIO@`c|DqR(xD29@ZrXi_VZcQ8#F5(n`L9|U0Ln2DAh9($I zeS`vvCG~13*&%;oxT#KeB$BN|bcdXtfKhtYhwI3&8W5UhvNCOyeB?Q5hhdXS96&u| zNE3#nOhc2K_BF<6lUAnD2yJ3b_5tKKQKq4kMPFKVhLyGm|n^uZZ$O@ z6t^1iX{K&sv&+wX3ujkhkKa`41wF*CG4+`3HN>8;De9?qMHAFf*eG)qsm z;c`*DLf9d_TUnt`aq!!%|LarzN?^D6l;ExFM$5tXT8KdGEK+49TQ?g~8f=KISzq@s zm~{1v*>Nm8$!qD(9-#m>%RCmS@1BI(NkM}G+qenOrwYnDjNmW)kJ>13%db}(=)y8C zbC=PohDVifi9&S8dH(tUU0KDiD_T_yzXuhBja)3(=Jcey*NV6n7$Jn_1KMcC>0Bf^ zV^u4p)s9aq`2~y`KPXZ`U^{wa5uReSsVnZ7nTcZ9d;*X) z)IdKq^3r3ap9zn4DMnfRHZ0j~@>(&gNK~ zaJY|%gh5@ru3xks|MzOH-t{OdM^?X&9A56>>W!?90dy4>PYfRjP%-p1xBNa3;J+2b z@TK9gfVAAA`SSHxCDm|iqT9p>#_~3xRv4`>fP!L=tabPzo5To?JlH^gF)@ZrU0S{a z$kH3x=r%+DT+V<|g>5w24!+k$7QDdOTl-E8o5Xi&WRrWR<}q8sVEEY5RzE`s3NZ*M zQpUUvd$2;;dcxu3#|-k{xhqk9e9VNy$B)Hi`G+23s4v+y-w-EH)NDg-hH^e)vtqJA zDuq4UKzX>LA3s(gYx?nH_N)L{{(~%M4;HFw8llB{s$uUL)wi6Xu7wx2;fUqAFv@ub zV74*FPBUo!)fi{`Y=nq+6)4jE-@fpf1KK^5RiZ9%9}~xalGH2%d5EDUW+)46H$yo} z3LEOOaddHR zm-!ElJKgXqGqjTWTO+hGV{Ys~T;H%Kf)wUGP|9w@S~7RRDaJWyP#HE~fw*<_WU6r< zo3BFac#{-wsUkT2JRyEYU444wO0hQ zPzD}6lXv9r69uOU$qM5FIG1XjmaJO=)>(UKCsPC7gLNw|DApaJeQVYp zdY<8-<1xWiR4}OG^7a9r2(C6TZ57N*kZ(Lx?tXr;hF`3ufLs8f%?;K8Gmk*l@f(Ug zix+(5s&$Y-o-!^N7w{hf|K`y<5VPR|IKEN@^YI3$6+RK%c!6l7A0qg45#c?;`{#mB zWB;6mIHlMshjcr+?bcEGYLk35IeQ+7!IL0@nwHv)KXvrUT~|?p5M*dU(|lc-b3>yELpI~vB*iom)WqshwTo_%u%Zi zs4}K@o@?){mofb6+nOOpS@u!A5X4wY9W1T^TWvQ6Y{9bj!^yONVmt0ptUuA!)zOAK zHe2MdzN@E|_6_;You#cr7f+n&#-5@)-0payxvhsDo$Ef^*w)oa4>JdNcTuqH5x9U$ z1ZZY#xgv~q0ur^8=!`$MDwOZuVOpm!#tMP~JD_t&q3!QP3LHW#RmtdbW;FIN5}V~$ z3dB(^xqVz_+J#KG!&$yINhbR}o<HKS=E4QZcCKU+1a6HO62U_xh~Qzmm))wzLH1TGbkr&?*S8;w)OR{Up!}Uk zF0;NjfX!VeI=bk>)^@@px!e$;?2Qfzm$KhwHaE2&|7~))>5-3=U9&lYG}CH`U^80HP()2FyBuwZMM=`xXP6Ux|H)NPA+O2^= z0LbrMlb6@YAg4NCjfDBxb`hGbVV(hqpO_G%5m7NKrY#(YzpVSGjh2U z5UXuAUvVl!l%125U3NHq@0&UQ@8ng}0JK&YbIrwRXn=ybD$5~8|Jmoh^}oJ%?eDjW z&{Gv3u2B$)4xfK_`3r&nG9Um_s6mJ_&1WL*B$H+( z*~QG-|IZ)(MB>-Bh){{U8>E$ zM9y#M$m?BA9$vZ&9kGvlJa?K$&d;5GUXGlfJ8jRII{ODhNA%rRL(iQ~1SXhMJ;#4% zuJqL~Fgf>+Y!0jx<(0|IG-stV$LwFUi&w|3;}?I_*i&cp_R~}v&-9;kAj_Y|k_uykc;ewiSQ1A_IkMy}iuCamD z0sou4(B)knC(d;u^mp6&bJzYG^W4>h}peeO`g`xRzd=S}M(z+l=@VxtJPbW5XWO@fYC7pPWWsm<@fM%>V; z?iNqR{Ft*GF4`Avl!D{)$(a989B7U2Q1?)e*VcV<7q&qyeILa6ocWpVQ#IT_?ow3X zY{zaDIij@2S>oh{P3ORe&pr`SPA5ZfMg+0IOSdks*u;xnoA?)k`dAS!YLC2JNzf7qYrr1#x4Ys$gTw zskU=CTS+HdHboo~@##U|nG=mIXE4Z{q-xRuud4$QIWCwMwc%C~>g-1J+jJ4?>Lax} zDMHMF}nbg0^*5uV^YA=ylcC3fej_1SOD*#3~jcJhTg0O*1ZGPP3ePgz)rB zXSBD^MNUVN+S`BlA6@pGCat`0l6;z?2UiR*!vWAb%SUv|Nvvs?&hi16Wu&z7G>8oK z6JxpRV5Trt({(-bE*q!tOg2u;V@LxRkVSLCM=RqS&Ct{Ny8>KT`lWN2^jMlPqvc$) zG9TJtC_52Oe}oM?n+}Tb3=y7#fY3;pB0Tq!&$xsY@lC7^yD$M5UKF5>s*CdjyO>Lh zD|^oCZu~ooLC<1Wt$!%5;QiI>9*@x@S!8N4a^@@-@iD6O9iT!jzq44Ka{JC=TWZ>g zXfF`qEZPAb)|-qOZFama1{4$Hb9&lqm>I-)d5k1J<5gb}UZUB}sB;N*9L?Ke^z?ye zSt7i&$LO(j+pdGs?KpKn6_(Laj_@+0rvNAx;?qMbCKcG7QNc`p>hcA9!sYYZz+~FX ztBH79-i0mKEx@nOV}~EUQa-;;D*NZR*=+k>@gie0DY?#!i9eqf8S>9fYxzMc8HnN6H zF6u*>bbWqYD!UcW6Ub3}o4!{peHzr~X;h#9budGPelT$jK9`A!m0)5eGqJq#N~fmm z$8hDs?rZU)nV9}#x%TtpcFDvax0krMsF_%fLQBEKT`9!Goy5eQit1PdxPgYb7|X;8 zFtNg*ILjYWv{qf|iXgQrmWeyT#SnKrU?SItGChuovE+E;)Tas+C_^30&<-z{Sc=aT zVj_-7qJCAGL&g`1MVOAchbrm&YJQ-Kd3&_-YPTl%he_bA+dYrr?GLd!1fqYKBzgP8 zqpq?s-;f3>c!1Z7~s)DT*O^?`gG)A;P&K$lj9^J`N(P ztOcK6;Q9Olg~?Mu`gI7Ot1ygJWEii|ZBOL2OjE-DEH+-Cg7}g({Oo}!*?&gfF`n)b z;R|HG2sjn1aR?TS@W@x$n}@xx65&n`U~OH^H2&hc2qWN!X>Nd_x(K)MvO<5U@>-9U0i$>Og07Zr z^{AF@XNr=6EdoVD%4ZKaY_e&P{VQm=1RAbd3%;D=`7)v~aFskhRQ^JP2w%NsT$9|J znVlKQ{0OYv@NDz-EqPPBPlT@<)`HLYJfHC?#7Y!t6nULwp?=TE%;SSu;T!e{*XEyG z$^Gnsg1^EJ9loE#UdesBX=C$**V84|}d%c8g{h{IoUy=CMZEJQ5-qq`96NEBXR4>>SZJY;LMDphW8~!koVd( z4`hOKYQx^&P$a|N1T)RL0!8W-!%2_`9OUqIF)WZo>I^>D;1bjQ-gLdUHtX1##BCej zQfZO6Yd0O+Umc#VE06bJ7&(u+87P01c7$3t@XF{#$Kg+K`K!7RoJ(;^aTW3+bKEC} zaX%ma_2%opsRex?h3 zjQghX$FBrDIH{H>U?!Qs;aQks@EaN4=9bQ;J+-PzvwM3z^RYm6!_4z*%dHXP+ZMr) zO}Hb1*^j!#@N9^6c$OGW@2z7?>Q6NNXghO^8(?~tUu53hErv7smw!U$-D)xHGj8P1 z9x8F~(5e_`c5zR<$wt0^ogKS-Bc z6qVQ2(gLt@V25o7Ruzxy5uO0hqYRx12n z`jhk$kAHT;MI2;6@#; zQIRF=KnY2n1<%TH0~fIaCFn8vbBlFj49NiEV1}LPahhzCp*Rtjj2%XnY8#A?u6$GY zyx;M0p>#i>8QPa>EqHU2=gm#(t)uL_$YtI~W}z4#%LCpa2)pi7o|6OJl;;*pd-j|& z(Ea*UdA`~whL3CJK8IoUu-mtFCO{1TM7)A-Qjap#)uX5)p3`ILh$6TO?I;3s%=l*| zNUNo{hjV!iZehmQdK`}2AS)#FQKdnzh!%UPES6kD&o&O>~S5q z@lP~M{F7{2nUNQqoL-xe#K%6Hk(tIX_KRV}6$Dpzg1PxzkuKCDc{*Pjvn!qjj@()F zYntFLm|*5|GP4=2;EWjVwh|#Tq#MNWMXd4SJkam~=->e>0cQ9HnPEDY;pcN1ema-o zpXU9Q0Sz$xQ#{W@QxC&6vv^OCf1c@wEx%z{Ua7is1)oIo&(28hY{7nfME54|Vs=If z9s1LcppKRYK}?cqgH!qLvTEG7Y5LQnLne!n1j9o_cj%-UDwvHGg)tVzg< zRq&*GV8S&0sp<4RAU6pwADE26?qsy zZWkf$rJMe_Fi#`EYvK|L#m0G>ixa`a#`B#NBwg}xiaIZSX$T=fe5tHHK-mmG@_l82=PP=EEk>quzUhag<4{#vQofJE!I+fO z9ot%r%;20eDD(%R+*!V3r_T6`2G1`V?4r{+V;W?_87ZEz&iLb8&yREMj59goOeK(# z(xQD*&M121uxI43oe@#=1h_RzizBtn5=7(t%)2#WBm-|DjszA*rX{RNE^%OKZp3{C zBumKRL}y$AhGpcXPOfgBSnk>+RoS-eYPm0?Yw zUvyWf7}39$(GLt_C*;|^&dWHVh~ekUJ+jkzxhL1|fo&gpWRvc6UhVhr`%5i;OIkMlC`){2px_{BfhWZtb4BjxJlO4GkkjMU)QfkUlu3Fdj;oTv1r zCg0-d3?p?`vNc)j#LGRrx;9ehYND?;NS(mq&oZ#p^sh8i{W%$vmh(2w<&ACUO#c!w zQb#4Y=SJ)j_MwE7=n`mexOSM{r-bH~CN5zgmEceF_|u~6upcE%i7tU|Pkx^gFtGYwdp^+}UBW?>U`ChVKE?e$%+c&WNOkz? z3eQ(pM3-;~C1BA?x)NHzhdy{6&Np>2mkv=0e|^;R*GHpEIE)geMVCM~8@&(vHJ4gj zdbotchejj))*3Vn?poGn-rXlgjvCfPw2Na2=nd$9G!I{7zv|0~>L7fP9W6lm02A41 z)aIj?qTcGb*P3We_soa4m&ux*ivrNI(;IY2$HYjzHIepGAfk*vSB#*4n%Js=PXKlX zGXWbPUhYYiJ0C{KqttAO*ny3fi;+faA`l{dM2s{Q;OkK2kjHLN&ER)h znZt1IR-0V~IXcs4w^>({l?@6dG^2#?)_cBNA1%=qlrS^8gqHU&fg2R~)dIxsxoQfx zWBOO)JavW`IYlnt!WSuFC2KR(iV|npN7rBO;X2U`!gNE=_VG&Qe3O<8^XGd4n^gFC$P=c*ou{aI$Z)%*okAnpwlQKUcRNVj%hQ$@1DlbHD0bd&ZCsPa3)dr;^uR_{ zgRV$W?8CUGNa`866KMZ7t+HpN{U0i;_u(()Mt(U!Txj|igJ0fJ9@varC6O<}vs`eH zYH}Sl$>4#_j>v%xt_EpqiW%4NS&3%f`%f&5^gi;dewmF4X=S$e z$p;@bJhCW+ai9j1vA47Bn9^2wB_m2fLVAiwxKR07Yy|*86j9r`)KK`=GlUUP8YqVj zz2DB!Gik`4h@XyTCVfVk9}xQJHM}yc6nyLp;a%mZdg6(TI$h2ZL)=~h(1YT37CuO! zrnO|HLd|fEZ6#CJkDR}`-`fWOaqZQN&$xzp{x8ej|0U#ZW+QFAq+}?prgP}&e z!L{Lp6Wh>3^-Gn@%D7y!MoB-PX#38g#)1ZM8;&H3=UeX}vllv;<1eV&7e`-kZr{13 zuiLv_4mw&?0m)o~dp-M)=jqmjZG5-km`*{>cjSrNyR0dodY7bn7g610mV{2YsLo#F zCcC1<#e;X{ZT-Z8rijW_kbTpf`pa6o?4|~KC6DX52X`v+%H8cf_A-&;Zi;5FivX*ChP({YCjbBm zD&W0F!fDm4o(#Q+YYynWG#^-Rh8p^aq8bY!b|K&>BrkNRwh>8!#(|TA31%N-fvCl8 zcx4|9$2V3p3a}C94T(!F&`A7{jN0}H)Ij^|Smw`Qb;SssGDEFqsLcrM6St4zV^~w6 zCC9(0>VMp3-lws15gPjGbE>s{`kdX^9Mz;%^Jf~ZIa;4>AZb5R;{w$akhooMO@-B* zD!2UKK4DFT-X3~{hoE>LS*EtIEmPb+q@?n*LMH2Hg^Hm+E40aiOf^H>409lKR@Di> zS{xq`8X`>&oi#(}tT|DTDL*TeRf{ZFHgaggGmp^D2ogyP=iW>leAxf0e-%-WiN>E5 zs*}b)D^zTa#G~my0~TE{^FkdMxdsk^7wvR_w*z<$Yqq$3!NLT~G%`P(OdlNLpA|X* zM;#iSoenPMbP|mhfKJ@*1R7xP!mbv@tS-rE#%PCaj92S#tdghQm43$81h8cZaPgg`ZuC+_}82Mr%e9_ zY8#^hAH00^pcpkCArqAHAe3@+DmW##2#ij(mGbQ-R?4@Vq;9<3w9{6~2lAGM0ziUG zm-?7E?ES@j)(oJVK0SK@A6puoj$=!qt7ygEjHCk{=et^n%vVF#@vEl1u2hT?1gM!V zM$-tCHj*p=a59CH7){gAi5cFSmJ`j~;;$oJT38}RG1WDr53p%sbQYJ)Wv4f4sgI^R zO(d!=sc$#MsY^Nu>XI3{o*?Tphp~d-ywEk>Vxkbe-Q*~v!<2cXi-bsw&XXLOPa!Bm zJ!a^dM7^Lv^U6o8`f-C8^%;7BI6bD2G@IJLD^qGk`Jp!G{6`ns$QD!G$5)8a#kLe< zk!8g}wisf(qR?gOYKJas3uqIhIz1Qr6hY5J7jg&*eOWW|0|#krJeq6Gu;#0+4x_m? zQ8vC<)p9DB3npG6QFz4YGS-qwk3brfeFDuF8vatM{940GBV8{fUEgB*x0?QKtn2IZ z#OQjwgg!oHs|0Q!R3`T)GJagjPgDT8pPaJUa%-110;AYw=r2SUIJ%+FN>e2jYpy61 zKRKnWb_5D7Caj3&`3uG9Mo9{8mXj@m&U5>>qh*MMj0p!Y!;f`hZo{QiUrZlrpxiVm~asO&=gZc=2n}{EcpP8iGyplYqCPA^3|`8-0xo#C901_1Z*i zk0nLQ@h7L^nFx|jv4$;(L&}qY3*4kMWG~R|(5{CBPIWQCX@`Yqhju+kFG!L_EJ)am zt$kv&SpV20MoWq1WPmbl^HpjK39-UqfRv2#pn3^nv`h+Vhb<#`(eJNaA6}__w9y^R z8FuhScg8R#o@%;)cS_E%F(eV~f@5J*0?#;-l6Xq$FlrY(3&==PQV~PRlYuKh2*!5O zEXR?MvKg@w+76ph2@zo>KmtQVB%vw@1+0aLc8by6T0-b7syRI>8+=*j-2-BDuarUU zB1L?yf!*C@roV`^u4Eogv(gVS3gU5stMS)p{m-7s`_+Qxu&fHE>1>QbrLmWSx zNCDML`OtA`2tRb(F4JWzum{zTz+2Q`_B2>SR=nAZy*IypxrTJ@YC+#W# zAc-rG#5DpXBe_sRUn3hyz=;Wtmj+`X#%$Iw-|V>s3(T~)@Z zONpy8Y7}wDAiGy-`msJm6}sc$`cQMP)*AOjrua3YMCu&~k+_2;Z=%Xer(FHj%CEYU zq&RhFLZzzgoe5Og3RKpUk&xHJPWE1A;+} z6%(f#MH)~nvaJ)NNOPfxn8(faSA(96B))FNUZuklOXl4}C1vvksK;&#aBG+8-(&i# zAaaZy#GQ0%iIU<4C~mQCiCo$OcT0?>*uN+9?qN`iHIh5&;?6>AChOHAYbF*)B*w+S zm=%F>u^S^!F|jPAef3vk%2dMT0503ZaUkyGIQsQOwRlmhMNR^VgY?42w*>fd6uu=N z2;YO_5?_J1v&6E5oeHzQ5oZ`ppnqpQB@L|0R5ONY2u zNORFgai^%-6#4v7prc7o)tP&(kUTX6Z3`!x;fdhHPHPTPbc}{0;i`b5lM)K+mTD=m4H${^K}ZXBAGxRUXOVsmLYn9WtzWh#`Wbg2t;>#ym=R@YI@D zB<>w114Luudxv3X!YK@iN!oBKKoMCHs4<1m7fu!Tj#%@6hyf4cuef)N)^nJlNLzF9 za34O_T)G1Tv0MDbVcMt^HhGU|J+3vcXZE&O8CI@78u$OCgBvYg7dsyQdluuw9-QzD zGn{6IXPV(zUdRg^X*hu8CCe)D;GYr)ZC44;b@nQWF>H>QPk$+E#1aDd9RWfgE5|O;Hw_g&5=+mO#eaION`AEWAm&`I7NIZ zi=QrK@gHWbZKTVJu~h+{kiQZrGaN-tj&qgh>$CZe&an)`@@csA7{EA}m&OBRxcRO+ zB=^h3ivmL$ZvYYV=DP}Gn6(i^#@@^NIeC-l7-l$ZDj5(bl(ki|%hH7bhzeWxB$zL% zIV442!{cCzUFF2#;!7Qpi^V9*z8jYbEO7xwF#|wEUx|x8$$8h-l6WHf%Pq1nG{i_| z;8vbkZm608hzi3XE)vGIT%P<+m2?O-10O!bdOv{Lqk(8|s_F|6_nB><>`+x<1jw!A z!jmAsB$uEVT=V~fL4LJ_f!vT0fRQ4=5}Zx#yYjZ+=o)so=u9TLjZbg^Mak`NeZ-Y< z*z5>aj+f7I!F=vS42jmw3(S>qtl>pLrnn&gqW#x_bOHYH*4T#ggfd-_R!AOVj1b}n z109I1XTt}B{EEDD!Y#xIK>KE6md7p^xgoh~7#DJvi?`gG#$5+)lYd_ZDUAzR<$~lQ zYuwH0Z=?sM6^AG#CBVolQj|3=I-}duV}V>7?UKJP58A&9C1WG5f#5?$mgL$*m;Cyc z7@cvX059wDkb|>gAZ;lSV5Ah>W&Xf?GGs(=GVX@_pSwh>#VONe)*eJlE4)VgNTlD{P5-DpAjv?QZ#@VNVK@!@nXNCRn@BM?P|RU4;c z+1dvGTXtL^OwZYZ=eF=%rVD7`q>GpGyedlLRZM(8e6$T4tUGW^O=lOz1kYhkF;W*I zaDC5xS9J_7gOQ=RFcu76eB?^J=dhD`Y@1W3q`@@_Tq(I5p0EK$4o-QGt-;4y2;V-39Q-A; zFDIPK4pVb2yR>m!^i|Q0yBOPHSc~u%Zetujo$^O`?_vzQK^CD0-AMqkt~vokJQZW; z8&E(wj|5^Jb^?faD#l>&0I;h*5Byq*qROmAV4V?OZH8Bfu|0T8oW$^GxfrX(FI-H} z%$%&V7Fo+RCtt!InKAdCWp%7T#Umm3Rc3f~0XSKRkF^NwTTML7^D+}#z{EJif(?<+ z#Mm*zf_#rr^kGcks_Hy~~x zDx!x8gxLK|jKRG}<`XpRi5V&&v-_DCYvjz0^@f>_dpX3|QEM@=KOf`K^qL_SGl zBTtbAPGX95tV=EfjA6mwytmWzhBs5u+pwvBry1TX#;}r~MIU;)eF;X=+cG?>GE!Ce za{D4;RN^ACQLaLUyCi>Qg}18BMR2`9F6{tR_9!WG&OTfif6jia2bkmx>S9bbhPTpT z0g5nx5|@&VU9qyPmE!&GCBsNRyj6@{wX(pB%VO*rDkSNBB_y2j1dy`InO&jkj?=MuUEPR@_0;fI z;E;5UCjuu3JCBc*1^H|xA#KZ|zuW0g0qq0~7jhHgCC_k~87>jy7`10(_Tdy4Kgr6r zR%_MxTOSIaG~g;da_TQ!h62Y0K2|nRmwDf#I??j*xOhZE)k!o%V+uZ24o1Pvyt%T4SZYn?x}N2_V$8V&bxrl3qslIz zU#RT)%)7_LxKI5$M}@RvgL|9l$CZ4j>_U9-Qr0y)fhv2BDqEXB$(qcS&9atQ>$n!J zc&BEKO+OkpDv|Y4zRH$^Z0jXYINt>9VC`t>>@@vnIA;#nA`vb@&ZoG#lu=f931)`J z7m4v)3lj*~>^`1>kF^A`k^N_(L6i+WYAYS#ZDp$RtXyk@)|q$9p)+|j68%9I|4AC& zE4xWGQ6Muo?~^|z#~=m2#Ee`yX6V|m&pupkwuUPW6w$^-thJU}`CJ6{J-u7bn|sG; zAg>F#b3hex8n86wS80ZKd4cU2!nVr{SMiCzfpEo=L^37VlJsu5-1I+=pEjN!TxUGE z3JZwn_-(i!q-;-GBZGRk&70kVo(={79I54<~S10DyE|yMOcIbRn**z zaI9dwP>dHdzmVQ0#!HY!!dfAJmS*C6s~9h}mZPIuPKt1b4!@?bsk%(I37c$Jl2yJ! zKd>d1ei(l29J7DLnJ$It< z4E83_FJBcpj}xa_e8qCr231~(KpSeO3u^EL=KbMvIhqBtIy_X14(J#vu22cUu0MIMOyQCDxyJ=DRIy4B-J|r-}q@^be zFvsC!u2g6{BIvl}!ut1{{VaAx2V7C6qkmc90`6R$) zR}p_C*A%&gQ89j56>%|wCf6C3q(7DyAklrF#G5M$;A#ZGRW~y~lHsldb`)?Wx+0l* z%|+9{$>}*&!ZiRurN?`5Qyp$^T#qvpF__NhiC{$UA6cVH3rD_}+xe=$|E#O`_clgbYZMfD1SilEr=b9~XrD$Azz%oe@W{CSKGMi=raG6Y46v8RuK29HS9s1KH#;F=3 zs3U}_M4q@0&z{SjBbQ3=n>rg_BSs6a!{Q-Swu|;xI1f-N}dCEaTEI21ek zXJ<^b#jo+q0-kMU8j+@jZf1?esc}sc_vctx4J3If){Fad!S@2ZoFnef15#EJ zFPSRY=}N?|HN(iUe1Brb6nU}vS=s&IQuF&*I?PjD>wDLgbd~x5$7Q$xiLLe-1@q$^g(8Y?8X^OF|fc;*W1#DlDj9diZ zVjiuvgHWkM=4d|_dC~H5Qd8zyOeU+u$>yku**}}JF2+$}nKuhrOI21MP&%pr#o)YI z7%R%W*~nYwppBBY**}N#XJgPP^J5ro?eIjmHTRji$ie?#_T#pX`?=Wsio@N}V7V?9 zeME+;{lB!m32>ZCawgV|qi_&pg9L9j+0FYVLGWna#7TlAc!Bo`fH(+{AOPavec=DQ z@kl)Z(9@WleYhbK#KqmPV?(>^l~$|g33*43u_GqDt0#?i?NNk1p$J)F&C0tIFV>tH zf0E*O+(8qWe%E*G^KGu?<>qlR>0=|39j)|1z- z8^ynnXDTwljS2CnHyA1Z(>iVZqG_az*<<}Li3#8Qmqh8Yez_6yL>FownrstFw3&`i zfJvImhKfy6!KsrcrCowq%9Lhlv+01gq9|KjyjU0emJ!1)S(#*(wp!b);-o+(HdFT4 zC7|6RzRb3^`EY?iLn)hPX`A?xQXqlPuuB=074`Miaw9Wo1aiceIWiqtCz`kN<#t0E z3uOe*j<(BW2EJKM&5if#svLN1?skYTcPN01r487r;lT!EkhzmK0DV+0Koshp(C*U7 z@Oc(&z$@RsK1^@P2>AV9&@GoIx-#^-One!^6L`OSg<}MEQzVfzI3ME;BjBiwuZi1x zTyAR{;FB|m*#_)k=-LMSkc_}yyh3fT4cLe3II5d9D{z9j&wv?k1B#=IgEI_%Ye@S6 z>6k}_6QupqLeeUHNpPlz*U&bStOEdcT$4rSfM6XkVD;|GmjVsmkU4<(?1n`@Xl;wr zyy#4C!^eZ-%Uo+)rsTAtc^NSEk9Cvsp7JoQ=FJ00Df(OJxDcs%&%&%`lIAS{=_TK) zd8aDgLTejY2-JNMHv-Mq!+>{U3SSQ!B)fr7<|}Dl=swBFBglQy)qQ5g1){J@(7#8Z z_+;W@BtAunbKwv|sd_6X5XH1Eq%WqDvhW;5`qS~XbTnp==2eu@q}?dqaiu7|V$92A zbtRaJ(iQhqt}HsATBmarWi;)#+=QHt*1PI-N>85Fiqb(XK^kM#$=|Lh(dQ=>gu2=+ zzC6{A5}iKA+j_{&J>q{^h0Zu#y!BjZ!B!liaZW1LO#P;yxTx@aNl{^@e6Ld$Von#{ z#GrrmtFymz_OdPyhonJJM>+W=X5Ea;!56q1WYpKU9Bi zbiLHA)zGcc^*A+7xz^Pbj9BkacqP6>1R^J*a@Qmwd#QhEGIeyK>jTl%f#{k*bXBVF zGiclzvNml&A`4X{zQk}*4!>H9qsZ_fq3kMh1pU{cU+Uzy=!Vei3h^a^7@V?YZ9*u_ z%D$eI{e6FmCa9j&{Cz(bA13S#BR>KcI!C~60SS0_7w_Q6ec9+}{6?wq?Y4a7_8aor z21RJh9?~jbtr=;9L2ClMcIEclZS3~n!z;~<)=n_vtl4S_WUJ9yl*U(Q;gPGo2D{Z@ zl4@03eKi`ttdcf>ITsJ6&Fnq=mhAe_xZu%{1cL$?Q(NPpD zVRCvBK>DTM+~o8mS~)N|J$})H2I7iHPcq$B7ML7nWKSw`UvW*2 zBRLQwvL8LEus1Sg8d6?0?2VQVND3w@h@LdWGGxkhq`cC9e*X;r^9gWZIU*zN$S~zPqc+zbZhAKP0@pBE~g}$L?)?ct29Z8y6b@#(kA(&O~SL2 zgKg4Akbs^bLm^K4U^FSrcDwCv6PBNbTN}B=+e8oCAcYH7uO*li-h41DJ6kbgU7$s+lVq#c zgO1hqh&6{Ch@L&xcB|Z@(g>#6cXI8Fwh@~RtG8Ie*$X)G3{%x7Cop>rz42RfR2amG zFKuzG9@LMdW*?y3)K(9+CiQCq^Q(GY(T&RJL3v6T`vIfU6Qv*+)AzT~Mf!pa@FvIV zDIj^BfKp{p!i1RpAr;{Y%Oy_K(dyYDdh*dg&XUl{5Erao4<=!6$4As@Az*HQMPE&; zN7T`FK(5teZPYF|5uUE2)lM3SA4-bo; zqbP+dBF6x)W(q@%8L-K&)nkUDDYod^IFW?hw_M9(SUt2zSiKVnUCD|&iIlY}C55hB ztG9)%-bq+ener4;*10gX)q^qsz*CMSoJO+SluUKo9IFS_fP|;vvPpR7k?>A@WuO0+ z{u;4A$JOjCAeRhtVOqU8Wc4lsOMSvWpw-(Ph;9i)HwKcT7|rd$b(QN4l6I{-&gPMj zT{DDCb^J29;}=&5Gu_BB8K&}f5ZNCkkmizV)8{nyN8l3Ff#;8c(!b zC(SICFWg*F*P@bDC&_e1vVcyez@eW8cr`K|oPaDf4Z^?1$Yb0XZ^&VQyXdgz z4jcD7)(+`OZ+9kYd;*9&P&^@b#t(}gbm;tNBcFo=vMP729oAhxjuN$-Fb@dNh@SS; zi=GAxvBDkFU?&Et)~U|XkEBsp^doy3<>#d6uF&gB(St4 zV1zu=TiR~osL;w*m8wPbv>*{Wl0B{1=>=w&)hj7!pWzOrHQu~k%?G10PocNl6mB>S z!KA#xLT$n6yl)({iMvRHp==PwHwJ3TpAiM(wZxuZcnF3N7J3s^iqrVOj zk44X8lZdnD^EDAqG!XzbgJQO*LLvgAw~B}qB_pB-1GGfNBhm8=?8ieqPeo;8TSMys zE;tV6?!nwc=6VY`RV_jPI(C?PeT)+v)E*PwklzBgCFsZeB0Nguu9GQR8(S;t8FFue z=uJQZRt-BP#6(>R#mY<+1IZEP=>v zXn`hfW%_Ljj!j3l8|_0AwG?U$9#3_yXvFbv0XUTh@?kF?hvjwL?GNIYCZyjOJ= z&5;Rb8ho4OxaYkXj3qhm4QSV~H=td|eCd?9nm+YwOjhqTJ9blC$KFi9Yxe|9dS~NR zL^kr?xt$=Z!8Dr$a;{-zrUp!Mfqo$j0ZB$^l7JrOST1*S*{Q)kuc^1 zMw5oY#)&8~M@i#h6i2gz$y|{d#sa{&=W&DyhS^V?>IkE$%fplrERmEf1e9h?39DcL zF?)u8aXH=%-hpXhIGCupu8EFihAS)~Ed?Zbs-W(P6Fo}}?oJ(J?G1?DW!4U_1KJxx zogtjjyG-!u}Vg+N9Oykv#8_`em{CzXus=q zx(!K4_`pplWh@ZgM$TvN2Dqsb-bN&QsFIPJD#a)e&E|9);iSrRn~?623r;t3S z1TR&l+l+LNDV_3CO=$>3VJ|`CW(0g>>Kvqgq803OaK0F`HbrlahPEBwpH2~DV_j;p z5y1I^hKSUO47(1y%7JwQVivkj;<&Py*=S|Km+jpNG|$rLl(0NW>1&yNe|PX6%;ejg&*l?NvVF&M6+^^i%Zy z@9j6)=7y1V8W&Oel+(L=$l!R03(=^jcva^dugY;Nk;gB+z_1Dvc>R*zebO4_8tz@Z zr>N7k8);nsr+2@yCvg1cx{etjo;VmDr|ulKm< zJ+3g5*C$Hzj-D-o%SFby1U475s^ly#jAO9Ng{TxhNYeK@eqZkiyqc~?J|&q6S~5>^ zjhwW0f^WciN>|Np5$82(cZ246#TDX==sjZ;BAG_JR2fRO-_Q=XF;$hphcc8pWzbv{ zy=OmB2nfeCVx>A@K8V#hF2p%5#A(rcp6i}@7)q)y_d9qCw@MEVqWIaprHMQ=G0#EG=) zZIVM;flC50J1*MWyeWDy@tGvPl1D<6x5=(4JDZgn{yxbF*=?nXgwol}giJskR3VwH zETdCpw!Ui7TP?|8A#9iGP0J0JrbhJENLp?s#0%~oiM>|yk)|GUbHbd+uS9Px@l5gZFT z6iWx=lvAuGj!;0g7hP0TBu^ZHv7(71z38Uyj3^!00`@s)JF+iIFk1|mt{=%WGy=uZ zJ&sqbfV5gWZJ)=A6OdK|i0jbmsO)a#6-Ra|AZ-BRH+@lp@v8?SBg&hBXDv1QeZatR zgzyF6c{!!|)x(fbgvu*cKpp@{;*`?->T$?@9pYk0+9gIRq(1Ms&GM)slqt4!%$?_lIyc*T7QAU*k8 zz2Yfh*}g<;C%pGQzvxS1X;xL!mkf9!o~wP|J{ZZ2>`O)N6vI1}y|O?Q)1uNu9}Pvy zbZJPJYIvvGE8b1sZXXRq%5>>Sm*&FLPH_b8DbiseQYM>$Wa;KWq?D9EbT8wb0k2&q z%s|2!E4!Dbt-0`u`{n}8tp6XpVseW2V9w+2Kr{kY1)}>P5Lu#czO@qrQcC6ynC_eM z-ah4Ud`~$XeGAzYR=q06ZB(nzOt;Z-Y>`E(&G^P*4r;0%evMfiU27zQ_% zCE#S>zoM}OsZsYWZWnz^xv`MT*%Az#sCZa+x9x5iTTIe)4bis@*tPV8I)ThNP)RA3 zK4WhJJ-(5vrVsM?h8FxVGS7AeHASJ)3%92Cq}|;UUA~4tQcDRVFd|#PasZwar|SBa z#|)JeFSF<>^(_>AE4bv)Z3jf(s&=fdp!F4ftJiRci3h^?#ubX;YbF z;IHM#wv*26k*m=FI*K})hIDH0HgFeub*+K+n_s9C11TZ zJX`dw=i<=nDVhQ%2M!8KitB9i;E*@Jh2)(WreTXD*>XzWVf|AnkI@#CFR=wZs z^`Z}*eojH&pLSDS_pfiK=tBpIQfQ}(9D9%Z*SAabVc?e2Xie7|g!flYE>~X!7rvsR zcTXE@?AxvK=Cl9Rx5sgVjaXxXzs0=daGMACp+U`~-f3T%jup|;l5rE9qt&%MX zK{3Z~UC&IrcZalRreGWf4EHcDT{v^*stZGVcL#O2a4s-^ z86KD9_!T4!x`szPW{0H5bwyXqRU}(#cwE{u!&dy2qVFmkE(z`$(k*kr>2T#2QM4i8 zy{6M$PeQ_wo6zH#Me{lF^-`VsCK890x(eGAuVl~cCLDT+wh{@jnXXri&6T<^a{277 zsEHOTT$yVwuAnAZfbyR;^MY9u~B-LDvlr=;fM;BOs?@2f|9kgaT^=(~%N_`U{Oe(C;W zx~3L(a1iAa{c}+oT);d}#G`gGQ@B~9 z=G%pzKt0bF%xtc%Y{XjZhFfJ-)vXnE^|a9^xCLbMfeAeY!nQym`^qV(i;eHTk_F}a zPawl4otahDH5G03tvK@fUR!lTWi^-VG$F$>Gb$O7`=V#ao9bU8AETx8fc1IwEqaz7 zunh_kwd^xEryjlj%e z8XQ8f|G57UGozH4fx$Ob&0#9zZ&u15%k3d`DZ^aK5Ib1?VPE2OWM+7zCFoS3VwfTm z`?>MKKMh!J9QL8zV-I5lxjzN;>u1xl@W&BP*%|2U;GFw)&8=+}^_aSgmGm_g7*(GN ze)`7sS6-o0#W!hA&P3q2zM`ci3=t}a41vWMjFc=Uhcs{X&Oo#jePup^FM4LJKlB=h ziT1BTqD!KGrRZPnG=;U~ypn`|<=N=LX!Fyy1urYt`PUqzYF!U$FAZbK#Z)n#(ulwO zM76A=3U0^dS47p`>8ckEV|rm`CTT%g_Yn|8Kl)8BWAZW8>J#l_T98V!*^2ucoc6Kd zQ|;qdfst)Z^dmFc2Tx>ekCsTG+c>=>f1;>ybZw+zHW`KQ$Ng`>GX?0dDj+f^2!OC6 zznL_6Ex;xmiWe;r{pfv?xNQ*qo3%2G9%o&Mo+UIMiE1I1kxC9^PtSp0uPtYrZJAre z@nB|nbGQZ;pt>qt-`IGkt%(t0CaTg!+cf5F=%yvv_oX&qb5Gv5ttUzM({yF}F0he# z^f=lPy1JyjG2uEfn8F##uDVRmHWjxcsCerLyq8_uA@G>?)sOBmv1^;?-(f}Cy(I8D zQFrN3Kd%tIj|o1KAowvv&{s@Rs&pMpl1z%GZO&ebB8nnn)K?&Br|92pMc7E~WBt7h z6?`(mPu+-931oBv(bEZjcq$7(MOE}%==Ckpf52z$hFNoZw*6Cjw)yaQvFQ^lyl+14 zOBDUncW!;?L{jIrAMXEdPv^EDj`?o%ITn!D(_d)qwpXzqEum(9{Y-)_GwBAST6YX2e8f6Cge zV-+$~(SI6E5Z_LPMgJLVH#Jc7aH%t^rCC*WsZm5vxMI3!ML$O4cdOY|wA9s|U9|w= zivDvbz{YQlR!p5;)qkF8JuCVzSO^C0Mi*R)$3*~?dwpuErG#EILt|4T7U<*4{8x~F zvkC~bV?van7N%=ZT=UZkky0?c(%BK2cywXhh|E0(G7v(xaL=wjh;E-Ed^0IZONxDdWEd`?*x2l#KjO2!+@ymcDhsr^5ZB#!t(UMKh z#o}qZpXf})F0L`vSQ%p}bA+@CShi2oY^%&>JI#PdKWrnWD@0(9$>%aBxgjplf6FkB z&MY@Xjpie2)L)B8!j6P=n&ozVx>;_H^`p6Lt_k9KZ+ZhBdT=MaX`M)KHJfk`hYLoY z_@yG#P8Y;m?-@?Ldu?%@Ig01x{rXwswD!U){$}29ci+51ZRS;e)|6)F1}wa?I!)dw za_*MbD}vMK{iI-ddZ~4!%;BQl*1qr^xkA*tMwDd345@u;jVPxxWGLAjAH%Sh5f7)N z^@bfVqjb4Q>V!a3DPq-s*GT$1@}OxROUpEqa!MSW?by!`3Cg4ShHKcF`ItUX9%O#Tit;Wz%mKr&N1KcW;c_^3) zlSyb3{aC$0Bx=+mMa3Cr`23C6PLHZ|NzBA8x1qv!b zrNnv;jMD94s>9svNjnS`)7booHe^VaJ*sC&LFGRmdR;5}G1-Nl?59`>fk|`&yl|t9 z{>t|csB1dl#}g`gk&h0*ie8{sSh2Bp^*TI2yFbO0MtbeXYilnSY7Hb}TH+>XF1$sj zo)A1(OPhl}P%i->2>@_iLLM=YjIBW_Z?Sw703n=pt^-0%%X+}!0dt+}Hy^PB`9O;4 zk9dav><3Bs%wVF#~|xTvDVy!Z>m%4@NzzK?<21!S9vvB4gygKTACP`4=+oyeF2j0cO5xzO{4DF z@f*Mf;xhR{BtKvb$ayQ1lmUTX=#*m-(jS~!4s*Iqyx)U883q<3T4C&Z@JiO$VnY_p z2_MS((17;Tgr-gV+%!Kj=@J8`XW9o8f^W)xY6FP>llp=cz9$BjVqeDrjJX70Yf?+A zZ=GnYs;+N>5?YM+%VC!&WWK|-jVQ)Jw2$QmO0yUaak3!sNk@xiQzGLScG7a0Dd`GR z!I*`Hd3LO`Bwz&y`1g2+pVWVLlc9#iN80MnAXX+_X}Sj{v2Lj#nuLF)Q7V(vcEqXe zN9%FehGm}H7oOV38(3{&YTQ2XZY_;FPNBt|c;gv(oXQL{>1eUxRfOYMK^yc%k96C9`(cUS1+h|Z=S#on7)P~=WfrmI^&7?oO zr|S(t_d~w8l$#8oDrF&n#sj2eDtM9fXSW&PFWbcc;=fc8o<#ttWL?O7IOcNNl(R0w zE#zjJKRO&{#OET zSSGMp0I$}<7|QAD>O0j{q=hqs$)_7}&$D+7_~^#T!*<9~8py!~An<4gw$rHtFZ`U| zoY3#hutN;&P-M{(4K?S<&zleq>=Xl-?j(yRX{I2rv${g>M4KQ65Z#S0w*(P^Zvi)! zVPr@cyR}OO>Js$S{DIwSYCeD=>Vb)q1KY*G9%~;5nblKta3{Z$7N1>P$#|6frBW^ zL7Dr27&s&)M{|e9pD z9EC$k0u(QCW(^dJ0hmn>$fI76s86i$Arg;>fn&@w-9Ws;c3cc#Q4h00)f`o~pBE($ zl!$>6CT!pYuQJF)YvWcYMe3x%u!LOM7eU*^PIvp@I>+%|fjlJ!FoQ{wt|arc0fzQu znBu<*BKCG_v>JU_I``4lL2j5a~d4b|9Jo zRa_1Vg3t<;G;mCcIg@1NO6YZ+7^w1D81*@TuQi7;=>Mt)H?l($#knuEH($EkY;=Yg zxP^of9O6s;l3MG4eF$;D6yAd`-9R@hkQoi&gdC&+GXSrSz>{7%PHWnj0M;p&(3wo8 zPWS=jxQHrg0DPh>o%oc@qfC-da5Qqkt0tqco{m&>Mj(0#0Gb$pq8D()z&#>)v7)&d zG|T;;rvct<0QYn^qUi`3%R;vRK%OeIFX}C{Dsv0Ym>B?HTbZra0X#vA+()z9kK5~F z-~pyB4LsuMRnxgTn9QyXbFy-#+R^atc~9mlj5qeQ&%Pe1aQ(w|Ji$56 zec&DTby_pj!|Ek|it_;a^yDv~WXr_B^RG~{7i2r&70;kOKcH^Q(1sy?bmnjLI=beA zi9YLKz8FmEmR_<+YhEJW8?^okS1&%P$JHzJx`mY1Twmg{0NXMD+CJ)_unwXY527H3 zy8(9w3TiL~7rq&$WKiy&uT2RC>G8%oc=2*D1+)2Swo@rJ0t1vj%ZL@v;0!T1Lt-;x zL5cV4aM}&hnz2bA#sQ3aK!Xa^^B#Gs&h}^{-$Uv&0~J%Tyl5psY{gmMz$} z2q*%$)aR=bLnbtz!E}h*@OuwI(^RKnyOx zH?B3;JCbH)aG@B)j4;aRiz)^z(g>tIsqjui$TmwVnP&GR{)5tb%U#bvciC(?6KtPN)%?Lg5h%2k*if=a238X z(-mJ=PfzD+Bm)zciNQ5UkDJTEB7E9JTF}O})RxDU=f>zcV#IIKr7|qWS ztPNstg9PG>Djr~}k7T650#rO^-I#(!6|dUXCNYSv6tmG~0R)Sw+$;vsOX81;0b4YD zk_DGa^q!bcCY4&Swu(XYp9qLgOP1_F$s;zjV1Y{Xq8Jwo7FK`|PuGH-IV4$Y%h3EY z@syTf9fY1;hVG>0hbjgap<8^z!r z_;}Ho*>qza$`^ywABkR1@Mi)=h|-mtCY~_B#1{gKc?_us3n4*gBNsCiLgau99IJ*Z?F*N-iT}gCdylAMp?|@ ziE9@TJK~fEYS)6{;DM>e&YZL2+HhYqjW{1~!w1pBVZ#O^{z42ML0@^0I)Jd@GcOdC z79Pb`S7mwU&z(9uWsT?H(XVKE(_pa}JVvXV29GP_FEGO@?{o<&J4_+@3W@~`qQjC$ zPdA+>o+Dl9Jkm!#QE~8uN?Og|_z7}uG=pRww&d1yN(`Pta$r9#R1a+`p=T^~Df8kK zeG>Ve45L&GqAQXI_V}U|Qca&Vnj|l`hw4OQIxCZDQmDzhV9tp_6q&#n`q}8(4xSf- z=&EpnDv9QTfhO5)`4^CisMUCYM%=HcZ>#3+-{3_ti0+G|w^9O<8xF{A9`vSCgS6>@ z9N59Fl}A;3nOb5M)(5pV!>=p5LSr6DhrvA7vnVaSdFJ@XPjoR zT8+~@40G?pICtTjk40#THKyz7>0a0mlkl0#sK#j?(m2f^`a^jUE6h5h*2=RBz2h_l zwAN^~Q^skqdz>-p1l<$~st%xvjL5kpug(K0ex#h3nx*HzZFmffm``0BOw5@0<57MuHL zDbmc5W)DPccS6r))Wp@Dx2VR&%JXAz;7}^7e_f4&KXM5-pHgp2eu_;_P2dP!B z(AYY4uv!c@qNPfKl6xyW6ocr7=0OA-#o#?^Me$pwO5U0M&2L|KJczMr=s2=fC%x;A z!x)7cYz6Vhzjboel-TxQ8xz{nE(Y(jhNM>863jSy;&jQ;0&L)qH8W!Hfg$D(iA^O_ z*n}M$ogStdor+s^EUWzya-VR=oDAtl?ec)r;3HilkCFDIG2moOoPrvn(Wb%2>vZBL zNX$oF$YC#6gt1{(6{mfolRk|h?P-??C7Hn)B(F+bImc56`ZMG>aziubtX{*0a%ct_%2xj} zQYRFxTqcIl$=}S4Qf>b|B)j>3at+YU4pVnP;3fHCLo>wCENin2E2t>>&@6_T0IP~y z1q(>T5IXmpF;jeKmKe&EYwd^TAS8pKOV6VBA#=A0vo632b8);UommwaTqK_9)%HWi zP80}mt&qMsjciKZPMdDzn6h`2?u$5pbBzj62hDt;m+za1&2)-DI&CtV);`5w9Gb@~ z_fa_uR_ImULkpDu@T}PN9~^4Uy%JsDfoH)#OAJ=4z8F&(w+OW&{tR2d@smJxyni$*B`KUYieQ9a;;rFaCN) z%RGyBXk9x?`x-HXJr#gKb8$ln0AA93!0;^CyulC-ciIHcli}?!(p3$hwDx6JZG*dD zGAZn#&2Tj&n{$xnir*{sIR^ar=?qF+pHcPtN>a4l&0(l&D}yxkjwGPC$}>05O{1z$ zwA>;^d$tbDDQ+-_n9@_3wYB^yO%^#s;oP~q) zL!SifW}K0XO5ZMqc3PXEno(mzyW-l|u0ogU__=~+8{1{dhuii1#10ulh#F7@0(-g` z+D#&dC-a~CxClL0F|>yT^dA+Ae^d-kA^S`p{m$ZF^dWGc$-lGtcMkt%@$X#zoyWiP zDdB>T^t+IL7V+(3x?Ms)!JpIbQu2(6_i9lbSeETdzIuvX!tJ9zoz*KXs~~`NBvQQ_J6m>8MFU)Gg#|}#n2H7Jq{i5 zSq1Hveqj|5hz1wLkB>8mA0JmBetg^kVf~go-W)KB83vrU!d$>8Bp8j6&l;&$G*n_0 z+1J+SCOLQ*EwTiX^pjQmll-T5rTW)24`P)INY5BNEXy!>*hLu2l(r%lAaFYS6~fbm zQ`$ajVoashVf(s9^tao9sMJ98R}XKhAduqD-)>_}f4fa0%KY1HCMHe`M5RDe) zr*K(^i3RtF1^1W*m#rgqnP$NR`8<~m77WI-U;<$xFngj%v0$QTIu=|83vLEFE5U-Q zh(dG)h{_!nFs3Whv!KFZK_D!_bXI}<3%IN!KP38_ap-(AlV5HX+wv$5Q1$AMZh(Fo z9M!D)r^;1GQZ@KTH<RYJN`atL1KxYGFN203* zx+*O@$Ovp^=;HfNlmiBy+;Mm!!Js@;pekI}Q7TYp1C^(S%F{}&Qs;wZTcweBk5Swq z>iBBz4V{l`m+!Hh5QTW3tAz4>?$jax1c2TPbT$XdJ6q_EnmZJc!IKGOL*v0EoO>7A`uxl9SSAc;>5JwO_~Zl^5GV(1Yb=^v$R zQx%-b1$kl=#1(_idt&H`1hJ}9pLfpbX}>>R@c$^+@52A=e2^`MFpjER)fL;vy z`Sl$!bO&#LK?NnYiJ|(BbWdy&LwBhg z@ri5jIn^K%q6VL$aG6L$lt0HyF+2xPVe7bj^+MGklTJMXT_JDxDG5i7JQl-qC5SGc zu5r#llW=v0zdNN>cb8ubV<-}2QF!JYO>*db5$N)fW^SVz9A01@w`+6_G9+_@_U}JQ zP;3iyKJ?Zgfk8Rou@BD|!wW6U2s(~RBaDmifY(X5tm9m1#3?bH{0mgneQI}IX2DuTWLs{Qv z-fgl<>|2_5ToBM2FZ^FXS$8FPc-O@X8o5v?Jmt}a|Je&>H+BBH(m*mW!v(~>7~X7^ zfTuxRRtfQx%O!@Fe$1r@Dv20gMlIn1GkA`Yj=!t~irs%=;IKa1>1_&siOqpP%wJZ9 zrDprf$|)Sq1q@)x2()+240O#3bOq>zbpnjsOEJH$nTpA?@l_0C;st%$Px96^OMe27 zeUuPPo&{ntx90?rjx009Fh-jX2I$|Z)kk>Eb8jePcyX)3l( z+I5le7ke1|+cgq_7U4ga{_daeQ4Icb*Wa~bnX<+K1H70Q$n2UQ=vu(I=QHlZ zr0Isc7VyIns^CYF&mJ)yC#_TVZAayOHv&RV8s+?-ws^_-F2+ew&)jd8 z6&G+f6+gfLO9)uQxV27`ANIsS^gVc9!xv0E|LTAj&G)41^}QPk$@ivny@oFU$4cN> z1!&e8!tvC>VRia|B@2ic-DfYSFBP(@+|V%Gp@KB z)>-?G5>s^1;Y2k)z&$?-XC1O;X*Yw zLfQ~6YN)tbUmfPBuv#P)E|ll>ZTX(hI;SRRGZKYMF=;9;psJy%*~2x~xjzNr(dN+W zyJ8rFSLcZAT5Tl0kNvoY$>~!~IO%^cwCqD~Ri4 z0WY95cXi7psQl6ZY(*dC%gRnc)<6{V*G%xiV_O@WTDP`5YG`a~dDOD?er3z%yAQXX z+MJWUZTFV!J)6&-&e>YsTDcWd%rQF>jLgyA~GO;Z>DvT?vWc0nbswGNTB=K z)@8a+qzg8|y5F0Jru{O7USn?34N8+r z4-2d^x=*9~g;sexJ*3maBC7)T)=CaMJ`BBX5O0_Htec1*t|X?v4OusBd7=#@VxS@s zZ<4@o?ICtOlH5+_v(>S87*@W~(m703d^;bPb(5g4V(2eI|Lf~U@phBXs*G5x82VPL z(w0MYfS&s0dVt?*K=0Tlq00jj9|xjOaHQ!ofL?{mswB{>5mN}{#u8EXw>x}R6`o>A z&Vw%yOAftm5^s0mQ@(h++ZnTcyIaOwV+lNF8{;us5b?j=1r5;mP6>a|s$8f!}Qv#M;Iy`%b93~-+T&=WF^B@(B0i?{o&Dq>Pho|4!e zOAv2iV9}#}i%K|XRS`y%O#6%s6&)IfOi#o#mKdD5k)|MQyo(JdDsELLf$Cgh*)NRg3LJaN3n%-Q!jC9$w*4m z1DTxLszJdja9K4J5_y>sdC39icYf;@_At`Y^vy3J_3vPu9B^(xoA`7o&~=%jZ!c#? zUe2VVyi2ia?FSe^h1-2K&~-h~g;^ad@tO?&%UO|^vpCgEtIo#3KuA;;2zONky2_yo z#Jic4C=l84GQg?kShwv*nwG!51WL?-p}5^HyQtN_%=A;U@45wfe7C@7-8tubIopO` zS`GiJ7P}t5q{Dx^>Zp8})&6gqzi#@v8E_Yq>e6oHA3m3|;J<@>7|QCZMFO97m#VVs z)>0mhGczwS@+udUrcZa(1-fc{uU3n9OK>6oCGl>dcozg^3$1#z6na_~dHphz3%ks^ zV?XwXeBD)eOIWL+0N%~Vg%1%b27cxs65MqwhucmTgz?=vTBgJ!dGFRyraRbYDa2Fi z@thENbDUm5oxfXeHTbLs6d~NzfOp~@CKA$PSCgu1>+=_21dR!4K3MII(glGv_Dk8E zk|@=(gUqempCdCsLXo`N3i|91%XwBo^%RLXa9VXjy>@H(7Ndn!B3DcpaA77@4ci0Y_gk&zsP#acYzsvJkjes-U)rwg_T@As^E!f1d ztgf~CZdvuirpD%0)Mf$FL|*bv!K8g#CUoC|)dPIMLIEfnu$+U4Tia;xDBY8yP*@{-&fYb{&MEJxO6fbX7- za{u2H=GIqo(F@9tIbHW4YgNVw^XHVS3M|%|bX-m9^ao;D7{8?s)4OU5t3B?43$aXv z?UltD&A4f&Y$9eeBG^Wf)bHP6g375G!Ak}$#E)xWUI?JAz28$jtM%!=1JKC6%I7n5 zU*+=|vEAsvpaG};yPL9Aig&f3Ex>0Q#%6mMQfD^4A1#vNlMHNu*eqnR_EP!kKnpeL zJ4DB9YcI8_*qo*Q;38@A|aDy@DpM$Azr8AP3N&cln+|9y7)J(7NS^$uD7k#v%oO@Xe5p)hN?kr}>( zJ9tAv@-WbanC3z;l3_I?;~^h^07&|f=ocf1<26Hm@p>b*%tv?~=z1bX5W8!>Bu0{O zApsGzfC%bN06pFQKzAb3vp|f%qO^b;Ba0k*7AbmO*owf#E=osfX}wTc@+-<=>v5Je-9tHj6}3!9B7JnQ&n zR=XIqVkFy8O@Ev#WeZ4?@dfD%eTN}W-6>FU zlrNC^+tjSdZk0k-f;vZSRKFia8o6;C}uDf!t`d=2GDoG0`*W8&rQAl|YB2{t8Fo zj1+zXPdYv!eIb?I*PWpXpN`yOyKp>ScP7w^k!zYUob}qYS&Ms892IUI=1PhbEGf-`yPpkC1{Mxf&u+Coj; z&(4D*<^)c6Vh*|A^PxSVN8pMf8&A!_tJp#@0!#b=eXe!n3XU{c4`?Qqbh#L!xeC#H zY*TC@ih2tLyJvBHIJQuM6(dlB5AgAx7-_K{e4&bMLTv*VwQ=)K2aPS9+D{yTU_Fo; zrkp~bhm0amJf`^f-Q36Get2e^A|JpfcP3yZ|aQ+{10M#`)Q z+$&iUdAWo*^4@1XjLP%5C>-#|w-fQoAl}m{>7Enl&Z16v1$u*ULZ0c>jB|Khm)+U$#*0fX2ds z*ox5WwzmXgW5n9X5Q5f|Xp+-cvpz$g=6%p0opu(=YQoB?-x)sCm4CjYee6#u%1Sf9k64o0F`##&~@vbB-A~Rx)0VSIB7J^FSaki zFWy6oKF335jI93qo$@J`L(&3|^G7$yb$!1JFm;0+IO!;n*am!3Ikut2#&Ter*NOKL zT6*+pn`!lPY%iwh0_KdeCY+L))%uHh=T6+TgSA6*zPl7fOQ*!HM^U;{6eRkfhxVjK?CF>?TWc z3?;#dWL%P&3MtT))m15snTor|43ySyCuzE45qMxgi4olQN5%W&j0l_gNa!a39p{)O z$}*s2NxoO8_7e^xPa#3NLOWBVZv{v!Hl;+RJc6saNoPq2I($IYcg9Qr3do(7J!3!|$!?9h~UXA!7 z@bYPEg+QF94*+QP0yZtEF@_aGqk_=Eh(33Cei`s)`l*p^L;Dx+FJo%Atc|PqGD~+6 zb-i9SNS;t87SaGqw9#7jJEi{LDOKEyQF&PtT<4Nd@1v3@3IA-Zcz*-_X>23Hf=K0y z?Sn7<9zFs$FWKO^y_bvk*fRm$ef3N zf+>MSNow?#Y`I`o3v(`(uh%$A2q2m)uqOKTO4;lZ0$;^8fhK%qdZ{YVFAZgKhv)qR z_$0A|fml9RwKYsdC=B`jFYx{`vFafGwSAFu)>c+@Pi$<-BAa07^<(5hgN95!A@75s zVD(n4kY>}pe~Nslod@Fmvtb~PSX&svbL&MEhqgg#V%tKmpQvoyB%jj`6R|@^RZvZg z%JOr`lK*=!OD@K#3G`WfiVO_PO zG@oB1_?=CbrMoloPl ze(S7(Ft$0LTN*XA(R7lM&E&Wd*;}}kjb`vmfxp%ZuG9SZI-O}i@4D~S=@t^y(V566 z?N9Y#?L3bLw4k;_QnLwL5ew?*92V5m0ynllVr7$Y=zJxpD_%c`q>`YHW+_4S z#qvo~ix7<(MWDxjNsI=>=)87=jq~S%F$=V;zc2)KbUxJ1Xu23(XuaSLP7RtkM7>3f zF57+{W`j|5bA89t9nP5Lf0XJFTaNHago-(${84? za}jlw{C$b65vhH*dbRKOh&!ZxN5hWxt<$=3bUE>BtJJ=%d`Gbk{yA$eSNo2x6r(Fi z`zjY_bQLIC?9slXt1zc$bh#K^<7!`)35pyi5e8J$HXoiBTLP zhI-isCh)ouEbiuJ-1hZ-lns+BoLch&g1wf1w*vOp=0B>gO$TWxxAniNFXrT`xh1+#v zv`Dt_JKVyL(6|D(@Xu8vE=H5VacyXA>|Bi&!&W_qZdfBmkMSISp8mfD!DeeKKWFnC z{%!Ok2Q!JR?U1t_G|+sJWd5wrPA2-#5X4hx*`VMuF7^5>RXRFX-?>3OhtaEM6Y6w( zI4AK*<)Ce5N3Y{SIVbfLl*OrDq{`c4wA<`x8NQ;dH^gYUos4_gj_#(*c61gy)S}GD z(uD5IXrcEUv z;R0#6(197fDMqUmsiU{hdqL-A2RF=GJ2{H;@W9P8p*M-e)JYqKzLg(uIvjsMJ`(MB+b{>fpA(MD2wmz;65 z(I&EESLs!VJ+RSx6hw_(*x(&rnCaJ8=Dr*94{UtBc%qhxl)>pBU zpHB8S8yG_-1{-51NeD7y7nw3NqB!WS9>P|*lzE|JWr?c<6nKU%Mq9xwR9CYYy>BOH z0^27rJuo12J^du62ZcsD6O%)blt>et*)v5AKadpNFBhW^?PQYm(Z^EGA>bI&gP@}& zLT5;kc>WWTp15< zT4E(^^lo%>Zy=~3c3iimu|xn}t$?OtVOH7Ay4DuO=}alRNaI$$D}b?+2r_RFW3ZRZ z#<3)TTJyPrl~Uhwv#hC6gHn*D5h9xS8pALmAi)VSXVqg>n&%gx=aDfA9Vr-^Tdt(8%M5wUH z=ZeXh;Kn*|LnDF?g844tf%}3ilA;uQ6UE4u}s5@cIaP~7ePz$>=w#H|t&_e}1tW^&+ zGzdDj4o|X@nmVPT8{0sU^O8X9x(`E4h)C0|7~5#4py*)5COZXrILK0_t0Ix>Z;MfV zV{(&NmL25YqLAKjaW zWFU5%jL+C^TujT@o;AwsjM4T*oSO#*2sa+Z7(6{<6)1>^v3+(5S~++(X7lEW~~WlYCSa zXwDAgRg4|w%t~mHTZ|pY8)k4hVYx}3+*k=Nyq0p`hP@SCr6QS3Gq$w@uapX-p!s9O zzFelemYk~cDUt%7Dw%Nzm%?sFNj2q?a8fa+vhkm(8iVbtjU2H2bw=|xYLTZf*l%3kC5iNVeKXV0rbhT zJK{4nxGi_!T6_6-cN%^bJzJloI&>e1?xt4fZ~!Ps9sn`BdQqGG9y!XZSa& zpbFFCdqjo}UxgT}kd%$xG%Bca6>5l1!y>7y^i)vhlnSDriBUnWUl~_Hl_n`urLq!H z2&$lRF;=B32ui-%PHC4Pu86T3JB4*@Z7C@iElzbH7DsA9;gpBwyh))R$5UW_#m9jEOS zowNx_aYPjqTDcmR$|PL`dqUH&VzJi!HQ3hjldKL9#itA~(*S;@rQUUx~Rt zXkD!s&XEw>0AV{hC5~8O-2LSezw% zB*q>|lAn}7ORV9kjAKv8s9_Fgt}@O~s0-GBSEn7mJp(a2RB=A#b}xZ~qg-5$XORu6 z9M6$;r^-6TTS{k!KDSYvCt@6=p&=lYNMKZZRYr8&j39diO^lO}q2Ix58&3qfUGZ#V zZ*H9Cc#r$Ucrw*AFy0sA!cIXw=ZkTk1w-M1L{|LcG+wP6!2cq*q&?MNknNt zLvLn?acqo`3aN{b;TaFusnOk%*MS&1R+xUV9&f4KGsSqO zRF~t(HQP>M@Q)16%|U_ou#Z|!KI-_KB$_C2Q;g4LZY>exa%+WM6o85R96sxAkV2>T z_&R8A(D-_vosOrD6nfa89x(A*jBivAiR8eJZ^BcU5+?8?!kv^Yrn@!&;Tq}wac_{b zQ%)fy6@3riRF+m95KwurBQrEQM(<9a4aQ+>AYEA$4v6}#`oc3`lZv{f_!3pKi(j|Grm`hA5fUa4?%R; zR~|pa(AjJ4m_c4^su<5TOhAh-P}rfj%Tv6}HF#kd8DH!kd9CAMDV$WdOiw>!x1$66 zrJ}W3ducM>c!6S30kh~NK5zjOKs!B%@j?Ou!VAQBk)19-qV(pdeLxlbuoyo~so6MF zZb*t#j>jK#d|5 z<8)ia^eAHY!kX*w`iLnvpx|z5u3s19m3&WZZ-$!yRaUKd3O@+W1;uJn9^J@l#CVPT zp52i_y0TUhUdOzZ9>aJY=@Y*bw8e8mpd&!9RCC9RJ9xv%;>uBHl;iKyTpgXn4A0V26{>(=CiFU&j z5APd1{BILw?ZGd)2LZmX%J&dD5sm>(UhkM4N_dkY#^H3%fMh-tJQE6gYKd z{0XL*V0uKLV@~J|TolZT$e^bz#e@Ja4w3KQks63S_*3%zCj{W7LRAb?bttAy zq#77^v zg%Eq%u7X+5*y){E%rAWcH+FgfRV6u)6HD-fjf2}eaIU2lO{5ooT79kBvwP#d>qBUi zXi5D8Ir%CnmvYhJkO1k&y`BCAgy;a_m>+tRB_@JCdsf6cV8MBwz%E;}qD7K>fet>n z7K7eY-!%RWv%Yp7l zLOebXx(u_3ryYDAbbld_p#i$(KFn|e!sVC1T`{qOM|`QyndyR7LG@h+xLM+Z9XK{2rgg#VCqnV8rBFk0|7AsO}nmg-#jP7~&iALbk!2;QTZ zD|A~(z8-0zdn|=+E5FPY6Np)}*Ct)YiEXYGrp64D=5enkwwVDB!_vndlUF>k4Hp1H z$xYD23o1zDwQ4-uQ3ix4DE>0BU7_LltQXBIa!rxIXjI1F#^X31nCZ+O%i~w%h)qyO zNAnQN|I(w!sq$k`z-=H7L+D~+2S)2Bwup(Hs&*3CiC8Em5Hm+d52t|AL8i^Mk0*Bg3)YejkAq-3-*B$HV0iH8IEYSr2=pGl$ocnrNTmO#5V&WJw&=5t( zGL=JRzz%he;s5@A&XG$lGO{30%s7Hh4yln!j4aBZq#Vn{1Y*dP!`HE%Xgs0vFD3bd zqF5&;PT|5^)ZZD`RaBICeRbDW+(1;XXHRiyyr+n>+Z^J!{L}g8AkNpZm^{UaQe42V z2n%Tyttc=(@0jY}!k#To$`%s{$`aWZP|mY32!~jwU9>Z!C2aGShThC)lXo#6^?gB1 zT(UC}-jT^JBiRWKpLHPJ0#0`s$u13xi7R%dov9OEL&B3JLg9|3%6bvwpy94LIIklO zHfn}vv@|%=orCNSfvUn>H=EL*sws3-v8++9BM>Huy8(FE%$ecMkZ0kQwbVVXj^mBF z^T+=oT*>13%o8^>wDLlL!%ojUkGlFUh?E8u$4yUEx`M=YWkx~YHK}k8^IJo!0)1oWD=ie=Nqb0l>*5V!u9&FxwX<$sN4mMzrsFzt3B|_H8?^a4 zpFJDKDVK%kwmmy~Rx&=&v5IUj?BQ1gnC9cc3ev4IPIT)Si(a-C+88T?$b1kJx7i-v zW&^b;^k$KmxC5LTWj#=ylLl(3i_?SBF;Mh2&Om9Du05>x@qqe7J(Y2D=nb?6=Aq2S z3~yP+1|U2iU&bHZEBBO9+TV6h8L5bc9U7r)5gXV5V{z|9t(a((_At@TB%b@ACc*S3 z8%L8&)QE|Db|%}yBs&v4m%`oxfx5u%pzSJ0rox?RWN}xm5o)NqEDWMd&JJ{Jhd#+Rlnz zWW5y$y;(x~XmZZ*C8QFQS$39_ZZ48tqGYQ&kS@sS<|0{^n4D*4owllg~NpcrSa>;fBDEZi6n8{e%T2Dp0^iBi z&LK7bR!&5lTy5a`pZCfNg`V4*MDnmTmzD%huGYL<1JG9;ou`Vt#(-eOsw9XcD7~$L zj)Ej;y)0MjO|B&cSD>`semVPflBUIW6bSsavp85|3%zanfMOg;v}jWG3PA0T`MLx+gaS~QXn3mD|T%~nXYrQ z!_xMknA}=URkerW)01%M6hYKe?8rqw8;!4!5*+3PaFpiJQ!ZNfnNi7gu)~S zech5MCe1Zfe<-)Y%2kWhKani;Up`|-=Kj11*#Q`p$8C|*XDYbnOwvD4VR8t1QE=|Y z^A}E(l@=W-D?ESVbXm#K6GzX1F^9uM?4gkFe|#n;_Y-Fi(_b6W{dvTq9byvm5wdmz zS+3k98meAZb1vCz9j|l`GkUWb8)LvUA7#qy*v8KN+}e^L->1R!6ExvvAdHJEv~;vogw@Sm3N<7{J6wI^`N5+F4|A&@*D-ju|73OLKP zCS1lE?i5@j`SCP9R!Fhs?$hLH&`=^KOYQl9fgd~;ipjG~PWrH`56`1cus^PfkEzN% zZ`8@}$r-}v$D>YCJ9c_2#pzs4ULaQQ)Z-SrB>!m>iH@Cb#pFfEkrsnXKv_w&W_Dnu z)j=_N3B+9xlb2OtJF0}T}@PXFJ#3~Lo6*5lea+EOWG&$W$1?>DI9spM}AD+vlmBe9AW>n z+vG>$rE7WrUTyu5Ap5d9MWLG{^~*-p>I2<4Y<{w(ga(O7`dh%)?#0kQydS}2tBr`s zVqjkmFA>FANo@g+!V)ya?v*l28)r!%ImLGfSDU?rHac0(0)5|J5|uk|qHPcLQJTdtc zWIO^tp4p38`ZMgsE^27)KA3?_BY@By4udzSb1a8(a*!~8NH@D} zo*aShFg^qGB>`OG!wi;BJP7dt>}PTUcn67HfnB1ymj}96$r=btM1j-YtHg(Z&&E<~ z-fQqfrX7sdgC**FCNfx?#0NOgA7+UUvu$ke^kJ4Al#f{sC$qrGyMEr?=|dJ+@@C^P z&E&cG)KIFv&82T8*c3`uz&w0wRG;S2rxPPO+kAX#@`u*ZhEX5p)3=k6V=CJMe7mQz zEuc@EN_Dm+_|)vjZbwVShb0(KM+^KABnD0179ZfhL5YOvXF1=lAPu(?yA|3?v1a_` zs#VSo4uc!PdGgY<&HDXS+$`meIz?gT?Hqd~8tv2;=1+P~;D0(WZ=5jv8)kz*1{`@Y!J$BTSIjT8q@K znG3&*0eS%ZuG*9TyW2hqSazJ|+sg^UI&P-h^{x;9O*V=}$A0ME47Sda+;Dczicdlt zXMK;;V9TEm@Ecdi*0Bh#u-eLvv(ScIn#KQ}n)ZPT-TcW!>NpxiaNcaje%>#6ONkF# z>=jXG_q$YvY|gZu*v_P2LP_`bK=%%Jz2Jvzh)?$pHCwSeM>gth{8D^?Bf6qiqsRdY zXA?Wdkh97qKIvcTtwDmRG+UG`BpOM!_^{npLE44(3cT4YKJ367&5yVIaNXWO58LRz%-%@%IdqSq;7#ERFlfmw%hllnq`fz~mA49w0 z9_PB^;ie!!{F|vhdg>nu=mk@KHVqT`x$HjJqY^%eJG^+RQn*^ z7ZKQhoNgbYhr@KA@&EDmCh&1wRo-Z|su#5+t7J>wWxFlQ`yzRjEpJ-9NVaU*miJB8 zW?Qx_$&$RUwRBqq5~OZvAQPCtKp+Fbk{p;J5Fqe?yl-X#VZ!hG!T+!uB^b5-1Cwyxx4=No_p@O%lY41b??;*==)asj>(8Z`cXnZ(zGJ_UP|A` zX~isd8~vE5Z6aVheV?ptrtf7ec9urRZ`|2I{qa`%wiBa$a;a1Q+1>}Zdpft4?&;R1 zVBOR0p7QJF5iAAXXJFHO%(LAo2TAKlwY+Kfbn>RpP}}*x=JOxVz9Oze)|e23t_Q;; zK5f1pQSct*qH&dyNM(lfrFq_G=#}=4RQgvdJ+YCWUs*CN9nIc+*RVR~yR=Q-XQDGk z-P4&IJmY9yo>y|r8tzi_2M`j8z}=RAX^;1rwOXluk@P_Fc$n}^p7)t`CnOE~4_W=b zZ6#BKv|%+^FL|F?uVJ&iXIA0cDy@Y4VmUGFU9`z$M+VsKUA%C)jed-$AFcEQ4}v=~ ztY-O}<+ArKE%J|c3BTWJ>g+}g<%N!MN=G`4*zbZQZ!^m>GupFrTq3^FxbMv5xc4ja zlJ4xn(M@+RY1{Nm*kE^l=Bk>Ox~jIi08Ut~t2$@>V;{$4-YvzcO}Vv!+Pc=7O5DLY zO-TwVkO3W*6?yMo#^8|h^mLvn{R*UC#-fP%nQ8TnI0&}B){;9j3C&~MLGRs*-n&;d zJSCws9Xc|+cdu#N3UK>8KH4^|xFZ8Qy>^Uuy9Z$tE1&1de7o6vv;))KTavaNJ=$&} z|2-~kyKe9I`JGCc?!>bHKYV6w#0anh=}m&&kl4)tE^Rv!n(RiCP`8lm;7-Wy@k(0R zZTeMCzd%6C=*KRycMql{agYGDooNa5%(@JZUkWgU-%7<7#Dc>a-X4tqcHn0)x&rT~ z7pQ^s;Dn@@QXy-hEN0NkmEbldxE(1#J|-$@@A=?PZ_gMFThI3-d3#cClL}FRw2{$I zv39zLW+J0SJd8LgxC>zr(-JpU!*KyU9(=Si+V@b!oI-JMCrlkIr$4(A-E<&Iu#B?l zp}pOMJ2=Z8GX0)UuW+S==$Lh-`nSf@RMl*?5dyo_D8$Wlz`2^=or%Pldgld(cVQdHz_b*(5wl z$1Ek#5l}j2Djiu|x;<09JyW&vG$b*LQ`pNX(4(>*P=sA5PpM-8{hEbe2M+Nj;gUb& zo$Y&&?+)y7qGG7-mvF<|GZ#VdmjZ>>+JPhvW!>=hV6G2==24*bGRY3bfpCLFdwb?{ zp!pJoy1hdTWc~K`fYMP#SWpQ?=HknoEzlR=7g$}xzu|a+o<+{O^yNa+5a>55#DW|&(H%00i8SbiAf;oD(lHOo!W^)vhGLtE zkG2aoolos^0p8z0+3jP?E+tzoleFEiY{xSCf#ROwivbmSs&rDHkli~g@?DL&e6m==%bi#wKKt{L{-&-PtICM}e-J!D_nYOALR zKMqiSKY7gc$z#^|feo`(yyxw~tEPMK7Z!BxTptbVyyxvfr?3aow<7SXw_LB@8Y0+v z&)ZYNf=j4A|KWt|4=08McHZ;$lycxwg#GnA*RSU}!b%-b*?H631Lpvhw;}Ljhh2{y zb_Axd&U@aT?HqPH7i%7L-<$^R*4pPdkVBYC`@czR@{+q zmgoU4(LyRjJRs*Em|FGrRL~D10lbL{6#pL{C{9zEF~#kMUN}_mmk|^K^6ng9#rJC! zy1f-(B1_uukQA$m9feBA2Bo7wN$V(5IyRc!Cz{D_tpX|+(t&;*W-on^RFyimk* zHl<^;QqZwg>DU69N(YEk&!GS=Z7+1$Mha~=H9Ew`+f6!Pn6NMJ&LK_<54#PQ?KfVS zg|z7TchriHFSh;0ZupIzO2;mxqukyH0!yWl;0b)RedIu}fELa0FegRZGxVI2wEdtS zhdHf!ZNHHatsi%9BB^>uQh%F~V5fCJ>Da4u>{mMWIg_G(^&~#pek65(lR9F$+T)bg zIY~Q^cjpKv)ubITu#2E&LN!Rfw#kv$ms0Gsjw>C9QJ_bajw5D)Hh6m)v;#=uxY=tT zf$%Xo(7RUYz|u>ww4N4xw1d#QhAUz%8|WA* zU(iuc3SX17L!|u~`f;6pK>0J4tNc&#B&~GNp?TDuU&RM6M-_L}Qrj0f3nRyxip9ZgC{Bb%ri#z&<-Ps zW=;XiT49e%l!9NTFt+@Lft$9eR?q0D4!;W&{F^qwa;$#G@cQ5<++8S*nJNOPPcSB@kD z9XFJYtAZ4zgU<--9ryB~%v5^=Jnp0I1kxejAC*6r6G$IE0aN}EIZ7hZ@GU%DUt3$( z=~)mWQZWjOf;baZ*Rt-OvTz( zF`6?wPvenNvfX=eQsc#>>~gw+wsQ3WNzSyqz2hr!tYimHn(G~_9YwY5O~pq$in6@H zMOnp-sT0q=yuDK-?HDRgr%XSl(hmtgtm5k*fII5NtEI!WrcSI`Z)>S)Y~}K)tix6j z2nz{lQ&n6SrfbKHl&AqsSxz!JvYRW}tBIyl&f1G~!=?Ccjaq_91ygmy3nR;77E*$gE?pT-5iFGNlL^%^LBP>MZ z-KpkG%+Zb;W1^WzG-c8I0uOd;ory}PcSt7uN@AzaY_v1Iy)(7r(4P;}?eud|)Nm$J zNpB1SD)H>KcM-;zopj_FDq;<*uvn`!%-0P(9PfoV#p)n9#(t`Ua!7gyH6Nt4IAMFJBz*=@p zoiu&X3!Ye&cc+$BD%GltG}|$~Qv;nG52fATUKOpdof%5!SS779UFjTW+F^^gcZ*g9 zl`>4fRL3U3^EGcTXjnCBPaP*wu2majqlW)?9QxHu>)E4b|K<@+;WiF^>ztx=PEG01R!3C0hw?I2b(a*;edma5PqNTi^ZpME--JUiy+O~1A z6v%grqeb_6I*d~6FpBl`hu$0QTnR|h8lhw(rP5MSBx9mQb)U2+DZiM_seLiqOf2n- z*^bnriDAw&A9Iwg?bHjbrrryahhNOb9E^OIh5^=K!t<1Qo^fE1&M1in8Hfc){I&V+ zeSr(*gxc850;4<0S^{Y_5Eb|jvsncRndw|D-^I%h&GzIa1-Ep!4qAIq_f4==Ic6{M zSJc{3*F9f0pGpj3^0EYal_Qb7J9I9te0P`DoVJB69nB5hi|J>dV6B|!TtgMh3MSaJ z<3YWk)-D{IE8i`X@9vhgrd+%B3@MK z7R^n{TogTGuNL#FjZJNVmb$hJEscSy06iJwhn9h+lL6vkfw@*8_uQ~5U(XG*;pFz* zunWrh98|u0O3IuxcS)ddIB9b>kgYbV`J3?O;f003TM%tNSA}vbfm}`ZB#+iudk4v`gtM5~ony z_z%^$PQKfK8SL};dK@1VJx%Om2EMoxZ<7^w7V-pYfNK+Qs^K`>7BzgURVn{kft{|z z(nc3#rES%41bDw1enrw+OGp^+p^19N!mc`m#cKE`I=9MquOdR;or`ROYg#L|y|qS; z9MSnEihWu+gj4<8gx4fdL9Z#Do0ZNj$nB*7F0B<=+)DW@DdL&=&MhvNeD^YC6DJ5j zGw!vZ*^858xo6xahiXUrszch(FPoJQd~FwO zf4g%V*}iA0(zzXyNnk2I5MJC#v-F+2++4{hn{rPY+5R#S5LCJ;I0VKzn9iyf!Xee z^*^nnlIh{>zW_oad3SDcOFE`q&xq2SXjGa+jJC`ezv-;DJl`v>jh0?1F{3*4GRYK* z@EG__&mpdS7 z#hFBm+jO3EP@9)KPobt^mi1;{yNg@IRqf_PyG2;aIw%3Sjaf1dYIF8MYLkF!+a~v1 zyNzcX#(x(^-)%3&gx~0 zTs*lLIi0!5k;0JnjR3=Ev;*-ZT_U?(aSF?F$a2!2%Q9xUbjhSWex^ManfCO~Cfai$ zN_%*75)=Y!=3Lj}q&*kq-Z_*wO7wzFdpO2CXPtD=o{LO-E=Y3kJVewn%Odw?%f0h; z2|x1MWj6i-U7BXo9v0_(&(T49t}yLsW!o=MI@_QI37m$HPWA6H+5U<}dyq}JcLv$s z4X&fR;78j%M0@lE`s%f5PgpW(PnaD}l=i5`DKuv%?|G`l>>UPe+M~-bg&EDJJ!ZT@ z6i1XVJ=J2iETTPO$)r8HtjnN1VHaqRE~6ze?a^gwIjoOKd)l!zSae?JpD=lc0{W-L zR_FCki-&ezW_QfAXMBwIw6#>$R<%`C=jw zh!TA*jnZdJJ)dqdpXZ%(U}k3qA|o;%OKT_ddBLiQHuKRF^V;bIYp@eN(LA{WJTA?O zIz00UCtJ+t`SeM)UM3-XK7E+kGAHrTeRk!siX$af9*)8{t7L&id~_eN9(;5k`HW<0 zoGH{XW{1aFo!PTn|2**lK48T9I_Jb2h>z~4;z_q;C9*Jj?ir6Y-&~ z&892iG?Vy*aV%*8HN)r$ge;|H_zo_t5b@Fdlro+jgrmowa-89bPdFXDm=wmgW=TYR z!f8qvv}tmN6P)MUVX9CnTopYYg%zr=jPsY8elmB6q`IOjuR#3!tL!ohsPX-s_d zq`dYqCh^geCb^;wmP`R%|2**tXFN!JFsZ}D2Y$-2PKfxJ^@;B@@xeY@sHc;ydiuz8;yr(c4Ise#vVlZN=T2^lQ`j)9hvqH9lZo}{ z$$9N`L^}A3o;=ldysX9Wq$fOu9B+66Rauap@I)m%i5#yNA3Yg4$E-(jc#6SuJ>f|< z=}|bFGcC>9zjTpUkE5ClAw9r{$!35i)rs_^kj=6zVJu4|J*JPMY|doTlVXvcZ-MoM zXT(TPAUxf2_`K-`92W}{Nl#4J3?@A()cmH~q$iQ1U@IKEYl)Gb@C+h7;prynNx7}3 zL`hEyGLhG=n53snPnphyJgUI(q(=&8!T8}!w*3MnJPneyDCtRo*=LgNv&=U#DVrAQ zNh78+<~I4zvGg^SzKvtjQ$)Spe9Hz4oO3?+(+S!WUC^F1|U0J9|Zw_KYP7 z<8x#B_2jaMo?KHvPwqTBzQB8|XA-$Eu9Nn>S#P>WsUiBt{cH0eFjv)K;Pk{NJoMX^+EiMxSU!A82uq_iKEZ@zLqH`Y@kd1YThY z6t`37;1vJ>9NAc6nH!=@Fg}P0&=Y{YZC7j2+2f8rt;qwP=-m?-?QIRLVK=Rj*iuZhIhgH5G+Hu0HcOTke zS3BWZ7G_HR!n3S!zM9`IkP?!PLK}wmNMz^yzes!XhSDAsz_3XSLwh)h)lS+&1IUY# zJ|S;K`(%svOt4Rjvvj@cs9?iP_=ML#$a_$6X}$-vXFR^14G`}cPn8&tF^a=$$@i>F zFnLcN_u)L@Lv@LG4_7C?&9sM(_C`I8zO?#Q(gX87Rs}OV%tQnjzS4s(Njmp&#nBm4 z7B&p;p|U7q+B5NVUi%b__e?YoGea%sc*64D*kn(*n5yhXsG zdebmmY|ZlXpzaXH5w?K_3 z?U~d8v(wwX;qCm0OQL*QyeE^$&SdhT)6~hsF4P^+r_kFw?bCq|^LS`LpGxQqpu^9i z4Ct8@0Eewv@`o^v0i6~yx6h>ae8T&&bjN*PpLKBH69f7z z!sk}xwa-Oy4d~eh&PT)c72z||9nS#bXA?dT+k>>zs^0;9wlS0Tp9wc8;d2PqDBbZ= zu-UXAOA0sQ^{h{hCx17eQ*5FXo0Ty3n)Xpv<{&67|7>5ta0~1aZbiH{C44~%UzF}7 z-q+_M3@;09U&w(jk&Meq_=ApU%05B~SZC?bP7O_tAjVx@Z1fRXeSv}VwLD5BL5avp#H|D(_~FHBRSLXd7lmE| z>2x^ifWFYk=D>-{?TBBABq@<(C6a>GtOKM9Ek|w7LEsz?yvUdhRUj=@i6}}WO^J+E zBIB^!b%5sKXt`>8E*9Ih(+Q;m`eI`a=^e>XBIA|F1SK*Ns!UQMld&Y0R9VawcPW`6 zG6lAZOjRP8N@SW6nU3<$xvwulpd}=9840D+CkON#1BYHgXh4a~R3ceQ1WTYI*-B(K z*3**E9L^v1p=w{w;d62RJ83)@`J1Oi<|~l}N@O9{+j9PB!EE~q1g2x52K1%Id^3NG zmB;o$mRUeg4*_#q{>PTz0AOQP!PRbiL6i}E0xG9B`tzSV9QAlT5;RH zifR^}H87wrH*lN`^j@Px@}bIFC9+P5tXCo%u&S3;p;fu?zDUo6&vPp?-Myao)1Zd@M`)Vrn)f{0Z4yh$=wknYlB~l8jY*QlJu{4+huVkyN zfppphc0gZc;A9R2-T_s1Dv@#}vP+5V#@b<4h1UMI=Ob`F2hKBcOsiBVk-bV}pAy-x zL=IqWF!tE}bFt8pA9W%Q5|IjlsED3PO3<(LvVjs?i1%4$|+9i*@0@M{b{ zq9bww!K#!>^CBUy_!X&cbj890~&AsdxQlM-o0!J!ear;zI?WC0ai z0f$^~;M5G{r&Wox!CDuT$VDY`Nr_y>l4!OTEop8qMEF7uzrny>I%M0cO5~anxvoTR zD3P03GR@YaHP7uuq%m#sHK1=aaMFcG>5`N#m(rD>bYYjlE}YI-K&sH1==Ne#g*M0< z&{TlezUQT!_P+=3zV*fO4lN#Yq8R`1gpgdG(@1~-tDCb zUrOQK`W6GbZzC7EO4m}QYnjruT^+ULTBqFZTCa3%P`WlMT?JT>J|H1<3F%Qr^|h-IeR@}s z(uF1JcedTvOM#XWx`WY8a4=HWW~FP3(zO+<*6-`v5MUeE_njPqUPkIF#Ul3m`gVHN zR^KkEizN>(FD{=}yc%p_O&EW0=xs64)^#7opY=rGHsIQrjX(5eRw0Ec!c~lG6TzEt zZOL{+OrlmyH?~obGP;qZ74M>dF%45uLSIs~;{Eimq7@$}iIuocP;i`HR9sC6mI>98 zkUIJ?Rx3WmlGE{HidIa|PtSx2nXVO|#g7L1o~0F^qbQB^V~$qbM3S4`>MWP!*NV@R z_->j6>dvA+vnXgc1?is6f9KHGxg2U9eWewdEgWb8{ai(XFk~z4&f_p-sBT(=)}7CR z$X4C!Skij;I*iE5D~bmGYKHW|z#xjboQgTy#}ai z=B+!|2Ig5YU2f}E)4-nSEsu3;&cLUmx4e9-L<%(yL~r@RX0T9o^j5Yj(Ym!eriI_S zl^N3_$-0#r(<0frwK1keih1kK`Ir`C_*Mzt`H3d!O0~3T_eXDKyA(5K`*<`6*KNs# zzXu1$4S2|QyZAMa^WuU@uUAR{$M2pAt`uBue$S%s>-oFXje_=uT1+6DzPFm+XVZ7Q z9YK-j(Dw^c0zDb-rj3b17fpcnB@SISztf`T4;v0L*mj@LNwuzm+;_3ibft9*F7YzV^vh*?=#J*w)czcp}0!-DvXf4 zy)Y@6u{HXGkURolU;0kFk6PcQZdz^|1@bwDWq4ZH>Fu?CtfTLjq=W*Bzux-cG;xUD zvW(`m)7tS_GG{Haf3;n*hJREV&Y+(D|MHnuNf^K$H5&pzMKgr1I|M(smjf4Af=RCR zrY8%gpufoGKOSqdMr&UV6!g##*r(B)Qp4s#q3dFzcj%bXA-O4uruxBU&fGYIin%H1 z-r&8HGDPqghu|T($sQt@g8tdu+!@8OH+JM7uQ21`{?L44q>uSl<~(Gz9GMnf+2inzO!qc2-QC2U0+bLz4{4 zSV-VBwKLAp6awDQ&WdG%GW@h-wbIZOesYvkDB+Fz9aYmAM@)eV^XWNa3V*ld{qxZA zqw|~uZ9nYHgELMn1+ySFc>ivTguPf?UYGf|Y#>10u3AbQp*yt)U@e8_*!4B-3iE>zFa^Ud~GZ(z;jhUNpTou$sAhxflP+61^FcjV-jSPLz_o z8Ov=ysP`beqq0Ov4p+ z05sFjacJC!?#E6)I%y@Q?+ju;i+|7C=VECubmwFL&&2)36_q9BJ202C)7u9g!dZunv-&)i;kwr%S_(y@y<92k36AUa_IWw3kE~A}>}`s>p5i7_T!{70 zapu4|A^4?(T+G}XPQ z>e^~@mb6wi*0*8H#=cafNebl6kiLg(CH8i+iFP?w$12UM!}XLLC^b#Sx#Y=SKdY)!LAi3Hs0Z(ZzcM~ z;DKB(zPM3sSE1O?yQI^3Dvcw(eR*ypJ^Iw{^{S`2O6A3R9k;4qF&nKf)3>u??|xLL z8QlS~M$nQQO$S3z=c*U1IMmcC#x{Ul&D}0(7v%k!iP$T6M^kNGgSc;tEv(3G*pFRbuQG)d zy`QjTdMlAOFg{n+ELKJ8pr(5JiY0k9YDoz;)_(ARe)?>=#=a6q8eckC^gz#ER=zdb zvv)@q8*vX;vhjUmrkOrr^PWwmm3#LT7H?L%%dv@elp&J~EfpgF`BdkjDt52T^zbk% z+v%0IL5jtWJ)c-=N}(MlJKitQr>*6&c$g({iatC9iHZ6LYX>b34eoGl0#(1;aa!nHiDc%QiW*c+pzuO{$iN2{_l?#$0y5_Sr(o!v6`Db?ms~ z`N6LDv8*$YwcjZ{zv^BLC%2uN-eGN%x9^ancW6P;A9ASQKd|4F&9B9L8ldFKQ)$l2 z+jrPw;6?jb&q8r&t&L8bo#~T2A2Bzv9dt*Vcl-Yw5+w1ixl32Udw63wc-T!%;)vNG zVtoR|IpQ>_uybovHg9d+NAIUb%Rg4M-HSJg;y~){V6nP{8Zac7v(7oI6U}oV61KSQUXVE_`m=G-fA?t zSUs?-K^m3lkYLVUERB3`_fpYLZIBr)$bXn^mLnNC$J^H?c__j%sx+6ZSkW}-?TV-> z{r_c|m)`!VMp%kxy~;x>aZnnvVAn$x+wEK54D)cl0&m|Hq_-6Rpsh}^O7xn?z`75i zL}_Fg)%5E*mS;hU=0HUluNCaXk?JWgMbCFaE|h_}?>@!NxWfh!1b&C5kax;KUj)glY`9Fs;KaTNyw zN^;&`tMnwqdoNWsrgCkFMoe|2|B~6|2dH(UF65%v72dWp8dz}zjurOLQQA|vv^SMj z6c&|jt}NWQx12jW3~+Yt1;?mBM{_Uwws||-KP@K9{cn4?t3EEegq0$Nm}R19gp6)eTsuUwxr1!297; z)wI<&HDUoj9rZ!1*a|@;x$0D1;2d#Dz~?X2x74-bW?f65ttn73cZEHGLNt+B*H8fO;^WjTcFS@j`m}79c+%#;ymxd4ht9b8?l~;42 zMOPYdnPFKM<3XT z+PIarA@t{gJ+ZR6m(djqkofpUF8zY{ zoV^f9i1wAy5!{gJynBu?HjgQIFVnRkGi_*ji32DMD({W+-WxAd4fT2N(NqtnTJBAx zIU~$d;y}()Y+HSAl5EUC2etw?Z&S8=uX^uIj{e(%pB`JOMOf58^@3r@QpD)9qaR`f4d^hh1X1T zU5N|j`T4Gd#DPFN;10<%=8;uC?*sTi;Ih*L`^=jPrB(mbMvt z{bwtZ@3{(3wvzZCnfU8}`=*3{l%I~pUrG@Fq&`;S(dX!M)s_6-mCWDjSEkn_noe3Y#xm` zUGhz*h(Aw6%oz|o%@>e-(ByF8NeUXynN|jxCzlRc%96~Ai7Dxwu^L>jX z-+Zqlom@&NclIiUj(AGWPfkcn#Pl83GU5AszNOGD*SE~NgS3<9%dTV}-t56m-wOPb z<6CJekN^d82K<(2$;`t>*HlGOl4s}U7f90Jd?mR+^5N|l-)djJ8B0d2)dNXvm=C-z z<$cTRE5TfeKQoh-H`261puRPKcCGk7gt{d9L*iWt^hc&Y?x{+e;_}c}Fa7cPwn}-s zAak4KNx*L8yk@ept?pbiqz3Y*qNTfnvt%C)-GlV(rT^XeiBFz1oB4pt;M-~_)#W0^ z$|P?>W{N2!*A$>&H#k;$hvc)dr7?`-?UWL2Y*`FTAerTo-^P~5FqyDjQj(3Wh+%HR zc1y`Nwlao!2-_p2*x0HV<|V8`8e?O5G0aEUJ}DL0c{Y-rKkPjT@FZT zHfHB9)y4TcD2=r-JAbJz&fg(voQ>J}OLcMn4om4aX6G-}#rZoTW!RXVzf>3J@2E81 z#-jQAcB;#F(6_~RO!93>Ku=if@jh4KPw*#92#+gxkGmJ|e`NY)zdT{lvV`~kZ}ZOE zH~ntEdqVac$qeFq>xw_=7-Q75B z{_&5^^eY~mr0lE6`)eOqsy{<^namrK@buA7jxZ|dooU?6@V>N?a?qddA20LY41YRz zf|4ISVe+26Qes7se^Lr{PO{5XjmK0Zu}b!1xsNTe|NeE8o_Pn{N{TW@k#K6Gf3oC8 zcb2NS{ZshcZ3Q={VwToF$v@3qvV2YM%G{+Z^H!~rcI8kvm~*MAer)L{%e51Q%;vhI#c?aU%+UVKKHZ`#U% zD=2+2^F2<;x1K2L*(D>)w=ER|u{sRPFV@#s!qY|JbL5~qt}0K5A%b2xn6zoMDr4l{ zifISa78$m>)S1Y^6gik1SAA!Z)MfZ=AFaOD4%nv}nyRZBT1~ab+iJ7cE96Hu#Z~KU zX#L0*d`2zxTzzYesp|wm*GEd^j;o`VI$R~54P85~%N@6hAI`$2wi5+yJ6-Y<8{(?1 zG#~0dQ6N82IBK!YO-+_(m?S9sM6vug(?>0JIMXhKu74B2r)adMJ%6F@g4Huj7L@&) zEcwZEaaDE^lzp;EezJMgJ;O;%ND@u4)OYjYiDPHRq|Z81%pX{$`VSZ|wrFTQPU zIi%hzz~>=M+}d=ZCF5^-#9COGb670ORJHAZ%)8x)O^CuKy9^IM`j2*e{+)j?FDhwc@0$l_9A?? zjMnDnquI)+!T~|yZ!eKw+#Xkj^P%vIJLDI4jk=p_ZmDl8&@(z6`w;%w=gW$WvsPU8pJ@()Yns_;fg{o!_eMolN0t7^_h)tzOlOWOW$ zhy0U6an-f}Qh#y;pHX}0hWf^{R{xM~E6XnAC&%QU?To9oh0ykAyYLxx|KQl5&g>s% z+d7khf3`<{<49apE`roIj^Q)v{=wc|##DHYpzs@&@-O2%;3$T|zg&jTs2iO5@F-e? z=L-7%a)tc65s$rX#xfkolr}-(-_4hQw{X-WB%Dmx*j8DCN08NMdZwA@32OgtvHZ3# zuJvLow0_%<&!`(7Yi%k!Ur_e#Wcd&AtrI0s_7BVO8MV)-u4-wik5-8Vg1UcLA^$PH z(-Ngn_m7G4ACpF1g~htC(AJmxwLhlFe++CGp}VI!zH?PIElpNm>9S=~zw^f|xxe2P zKbcjK+25Z)SKMtdfn~d8Rh<{t7EuPds*34JbGEG-ww)tFLE4=_>HjYJtrB!u_ zthOJFtLEjTdHZ3y9#-?3sbpqw$Fk|#Ho_Wh_sW*h zX&Nu8`4U@ms@>{ib7ZwUzN5+AB)7YXu7|Zb9$(ZpL^aP5HSazzs~=P1YVtj#`Nzi6 z^{^&4r}oTho+~Q;v2o9N{T;UN!O@n)Y__A&pNeUC2IYAm8^c<6<4i~ zk=9?A=^C}UF`_@$WLevyQ+cA|U-!uBH@C)BapgE^{>@UlMqS5SE;P2)pQ|%XZmGUn zRQ;RVW%WDpZJm{*`FGGdzjJxiqfq;eShHcSv6VI(=69~j>MJWpZSa<+rZ!V>zAc#B z%`17b`s3#KYyIeYvdNEIWc95Xqt<%3;V3b0^{tt>W{p~F)2-Xj7}ts>d26<;z8l|J zpjuM;-6M33TIthuIH9(>uF5LMb)wSm9+TC9rE#^iR7YA5EXTEC)Zw&ye!Qaa<=x zT}$xZK0QCLvK~Ng6qPorW$jLU{mnU2`i_jt6PFh$BzhpP-SN>i;fy`rr+Ef}b) zY-p-EOUj$3DH@{pHCg+>qB!b3jr9J&61qmKHyOLFX^7s%qTV0Km9J7neUSZIRwG(LR(+SHTDy zOG4mf91F=~n^(#)XmGvhrhPP#i=xkYg^hP{2o=Apx^cG#C*0}Y2 zOHAXfw#H2Fw8tR-!`I`f@+4CE!#C*~wKL(lzF1dRVk=G5QR|Ro?XU9Usq>*L2q z-K?>OE~fEzQR9!FkhLeP}iOtA1Ot*wI(Nv_k9}cc;&KX@Li(jpFJyUPp^-s=Jbxf_Vh+v1##hB^v10A zbP-)6ou8&@q*f+g{LO6MyKMuQHSXz65PfK*U35%zb5*@HDBfdB=1TGO5n213JI*>Y z`T87K?dQBBZGsM!n`p^&omC?$M72McC~Ke3h_l*Ez&<|#k|*pQA$g9eUEf%VrT2AI zsp%!FD!l$`7U^Cw2cJg|^@R~X%!sAK--cEerej~ogyhVOj0`nJ>O>T4dz z#6CL{X1)BvbXmJQE6(LGg&Nac49oA%-ZAQ2wBQjYHWz5c!Ih|1Orj z^vsX5_DlhL7DDpEk#^;=(rvv^-CEO9kA-4p5g!m0?^z7-O>tJ7=Pi29LvqVV`F2ck zJcXdOai-P>hiKg*-3T=7&Yi`!FTfcQVeJGcD|c&+j|^5Y?L&IaN1RwbYsw<;W1l&&b-n zK%5nyNxjOwEV@Q78L!YZS`7TCt+Uw`-oqTmvkOKqde{z~$?UVJfzK`)>3A|$x$7D) znr1#`tIXZsvzY69wmQxlGn0K5RK%;A0MJJ#yMYH6=#*1#(r@%B(IJO z8Dw(##eB%iAL&$KYu$OKg80`gvPwJuW-a?7=0m=?d!&7aL+pjR#+tgydTgy=CUC+| zfa}B;D`f4<#c@=dx!{*KL+a*{4kL$Z-QpNVR*7nVd8@2_cSRi4W-j<$Jns7Ls)`YI z&=0C@kKbu5Y?{4V)c?DvecwG6NBx-zezy`*D|bD#{=5*~Vf-3f`59bkzFQ@0|F|%Y z+A{d)su z>b!?my!t|YLtFF}yn0dbpM$}_emIVbGj)3XD5O@!#jEo^39q9jzg{y^Du&6fWzk1# z%ghRQM$G-|b&z{`q%Ba8i@o|z;w1=cq(Dr+2b zPSpPwXpC=djH~`kr`{@r+`^H1Mp1wJQEa2A^IOHT_M6tY>MSvt`ppFhzVL80CH82n zN!0o`mt^g2Ph7QT2K6?E&~N)j`ZB3#X~)y1W>IUbcGBM76IZR7Ila9Xa`!%5Zz4P; zJ3mD4{j&DX__*rL^y!_6kUMdt?{J9LZpAw@b#1Ky@b`G^*`FF$y_rY#jrVZLe8}w($+JCJaDd%&_j*WaTh@$^1PuAW$A9uASoo7VeYlZaIk#;0b#jEhH zlD)?KqNw|O=v(i{Uw55nM(#uP`>i9b*G|=&Tk2}-X(JG`OfQMb-@hR1>h-uA9%mq9 zgD3SST_c^TbSfVmkeRw)7IfD&N!CLnUWo4$KWtssX$naXWz#j%$u6hxnre#ioeZ?BzJN4;YAjtN9r{Hz$G>LNydkRmRJyEx?NHoRmUP;}N&ngrx*n#E*EiN; z5B3^sp8KY#{MU}j`U|t;uDnh=I_WRWrR!mwXlx$ymZo}mI$9@hP1mcjRq|$M_@YnNzjrJCiqqay z`uF)7>5DhfmPvhz*)-Jz(c0gaW&OwL@mD&Rl>YH}x<=cbz}lA1vZ(WqC(8PN{5KeS zDe3$cU!$!durrX6v=E}yC3*(=W^(6es#dK9Zbj_lSP^q+@#ujR|59TRZ%+r%O zvhk_-yE;jyZQzVg;fUE!jXxZ>Oz{&MoXF#-Y$;-XJ~dG`KK8uXD7Gobglzt`Sefaxc)>+*(OHBvEy%u4;^WNO3Q8 zYP&bi*L;xp}1;EdMA3&>X>;y*|Nem=0;Bf-U3?vWAkM41eneT{^!axcGvJ)7? zz!(4rUDIYdVx%&V%7E7iC=4h7j=KHHju>eSqz%fC&6NdPjb&ggfCVBjj)8Fij=GjC zbBIc3Ae{l96Ubm71HfT-lC#XmGcX>&L7$NL1O_GmIN=JI=XIL3WFi9-8SprPNeoOH zls~+|o#JNAyrsQ?bUmgG7_WipV-fX@j`V_+J9Lt>?# z&cJj4$HbaAgMk_MCDn~XV9dM)_+DU8?g+RNHJtavzh|0%ad(C&JBxuV7O~R`Jd5wm zLg0lEffJwjceeQ#cNcgB6U=5{Hh}G7C7#2;8~_JWgcx%fm^&zkkGmFcaM)%Z1M>h} zbFDTHZ8Q^~&%pda`6K17IZmT3U|<0QvJ+Uyz(Qnfl4q@zu|<4u5dvRxC8}8ortHOh zck!V7(Il@>f0i(?1i&(}^5!s*17M3QV}V2eTn2I(@Hl~`3@in(NvtNz7+3~imzc(K z29^UjDFQ1PSTQI+?h=8O46Fp;5v$26237$WE5^uUAP>NjBq8Uk8CVTqwITp(7+3?K zR2GUfpMiV;C8816GO!jvxtQ~H46FmNPXyL8fX@B#Bci$+7}zi<|8ilF3mGUJls`V#=Q9u3HY-CB14V=K-{ABvXD?ICKrw(TBCv^pO@s22 zX(F(hfz1GBh`<&GwhYRj*&`ZpD+5~roDwrw!axatGl@cZlrm5X;HH?yHU_p0%Ab|R z4r@CD+W}1R3!=&xC5dqu7&yg%&k3An z;52}AF-AQD^$Z9x&MEa|{SE8X0H=uvCoE#6S}R zLX2hxngJ{mW1MH;JOe_E76w`XI_E=9x|vHG5|<$0z70;F=TkIz%|w};#7Iapkl}X z!0QBf$e_*uuu-5&Dvujfj2oUS5v#yVZVWR4G`a;N^Uy)f8kD~>M-;{52NmOouMDYX zJcLlQ0UQ-$@DM`95aO$-$qw$J@(@Cu17NZ2bZIINAyf<@zPi-!akv7NhY%`;5MP}q zRu&#Ys2Dd9hY~7=5?{R} z#^9lZilM|;Z-_B?D4}8~@%(r(1`j1v3?-hQD#qZUgt`7(_hZgbFyp zZ&pAaL8ur(Jl`ma<`IOtf~xRlO9GD_RE!A;5I8D4;fSp8NQZ= zfD4n&fIMDMSJNoct>SD!t};AUP%&2c+D-&LlVaZGfr5&G!q;{Pltbl#g1VMu_-9)p zc#NQ8jPUi15Ro*-6u|=o72|-fuNP}1j{{VU1HQh_Cp20f2dD)+%AIM+E@UM!1o%32 zSBW^IlsbLp|0-zy*N=;4XPU2q=6_?1XicX1Dro*UN?hBwJL(nFe02*09w)#wUj@zo z#$I6>MP-_=g64mtLZHVg(|on`zO-1LW~t8vUj@N`VLhdJDN(|ixRe7X`09QN8?eGM zk5$2AU)Y1Ju1_-qGKp2IDPXo0kV%{h68Az41srPzWCo`;Is-C;QNdtdxJm)XnE{!; zs8=cAd`lwxarN4u{LQfxFx?EuPF(Y%VkKKet+6-L;LW~86$?jCQ)V=FWg1-Bw-a3B zCdQ1bu`AQy$^dws0J|~`uI$^%Vhna=8eACwAqKlLEd@Y^FxRHBL(|~U066E`H1=s) zDu963o9NJr9h(Nn_MIBR!D{T=H2AjfCJMeeu#?l^r zzg!Xlc7WRILHQLNw(giV(%1=VaDuOF5&Vh9eo%uSe5FhT*bi!J0n~}2*cobYhCjq{ z!p=00K3JyyMuup01mqo9S@|b{vmD~PCL5p@Wh4H1i`nj%i}-IKVxFi8+` zih)!2B~5ZKH5rz6n(v(+lz)1j?0wTKWtvjz8MqFhg@BXpR13JlzzsplO}=+?Q2sXz z7^h4#CETK$I>^bt6F{hnUn4Xgojscl&-U~4Zs+u^&Yn%55A(T`PFQKOQ`6zpUc-|r_2>*UQTAwfzgB*2 zf#7X)_GtPd7Exu1U}vVonZ1?^5yt|i2=-(;JlShGq6qe6dJc-@kEwb25&N7Hu$I3${yyEPr% z+UsWpPp@;&rdJHgZ%j#495ehncW?S$GK)W&C+^&IbZ&3tpnMDFnA&j9rlV(jV~Ln3 z_iXwBwrqu!C+^gAbZT#`goyofO%dFq>FCkkSRsnw9!)qCnlPH3FGQ9>OCMB(~GQyphUOOoN;s|AAp(%p9F&*95FAj@E z#XXsRQV_wNn0^X@t0AIsktu?^F&*95|FcSL_uP%?^@H;N8xVVnGn|_YYUKFjyc zBJfG8GhA$nZ(!gSfKygSWCDgnfFTXaznm|$F@xh7nCp#|fsw$069(m9ZHMYNmY88= zzANKysn9?SHv{fL`QKAS*&YTwWEQ`ry5Z$}UIg9^+1GMR**?DO!`)rNxXMUmAW;zE z=X)3w|NB9RIFoCNNaDK~5&!!EQA9EW$pEehmNilsz=-(Qg`(a(A~rB0{`EoG@0h1E zctmVqMEvX1VhkP;8yFG)`icnfh}cLQl>fJ11jcgWa612cvIwx#F~$K{ECTF#jC7dd zvU{#sPYrfF1_r}#@ykBwhnAYw7|-G{KzeJPP}>dmB?f%STib;?Y_K~q;7)!sS*V!? zyAuNgq~A;uJiWm_#eh%w%^cUZa;k5)%*5HP7;q~9#yA0XD+UHgznPur^m+#S76ZQJ zH`xLeFxb5q7$E&7PXyS<81ON_X%GQ+GX~tuZ}I+&v+&r>7;rPc&6b_h83wx<0|TVr zE^`Y2`x*nj=C=hR!0yI?yZLQ-iV%a{je!BuZ<~_^fPIbupYuD9FvDxG+cDsFekY67 zmfenl0n+cLi!s>u81Oy6%M@dJ_0Lj1r34jn|D{F-T(mQRgS&rubMhOELAOVn_Kq&(lApO2b7|$8o7{CDO z_uITqYHVz0U^{@4F+v8*7{CDO_u?dl!2=`%1EfF5!t8~?10-W7fPknjkCF_Gk^l(m z@<7P|t@y(gVYF;8tuR0<{&TDdFs(2^EB^DEYqsMZ9fN6w0a^jT`SOmzw8FrsslR`Z zFq$-&To@o1{qM@cjI+T6!vMkPe|NDk#5R~=7#KVCzgsLkPBoZk7zfGYBw4R>8O$;a zu#En9$>CmIW_BdZF$|2F`rkb)un&Woh5@G0|Lz%4C1x82*hc@m7u?Q=`UcYt;}{`V zW#>x>29pj0q@(}cD}Esk6AuH#qyOF3H0OMa!OX+J7^?qI@;INk}rHpL06hQ;#BL+rR z{ePM)OoUf@qWk5h|$PMBOyb4coQQ{gxnIX)67USAve+m zM{u5z^FaPEedz*nm65AJ-jl_` zxW>peLNGPxm^3u5Gjg4fX>Mh#qdMGRB#@7K5%uZWdTkU44)9F-8C6Je4X z1WE0`UoCWvL1w8zuvAq|b}5c$z(J;|LC{n}JWhmpY7jhCRa3+`OjLs)s)Pt}n5hPt zsmfO42r^R*f~l%HGeS_+m1fy9RSnXN$7R{#wLxa7L9kRcc$PAa(5uYQOjCoPEb1ev zVremF34*hzAKWg~%U~hZu>`?b)JK;Hk7$BSS%RP} z>Z6N=NBcqMEJ1J<_0hFrrDM_(1Zhzp-6hcdAhVVrSd04T>MUn>5oFpD1Z`0ty&>wx zyd?JkKXA!M>+NkWjROAypWjTFmHrx|4G5(IToBimeA4jK|< z>JkKXA!PANM}C;P1VLTY$aXPK6}J>n7d28QB1~O^pe|};hlntB34*$)k)0yK)FlY& zqDIO^gsDpq)J2W#5)q~@K~NV$gdUHnOAyqBkUXAVvwD4|E# zY_9`h>JkKXQ6u@&gz{nP5(IToBj*GH8D#1b1a(m(=eJkKXQ6raqLL8{rnQ31W`bZdYFEJTEQJuWnGo2F+O<&dup#cXLSQp$m-sSOh}ldCY)0)m zA;w`g69Su2yDkVGEyQdl1U92~j}v;A5VM&O*o@jeO+=W@gy@|UkM-(lh-pj+G)C>7 zg}!xpzNsa1m=H~^*?u>~>?H*DqW)DO9&fBQLo#y-O~c)fxKezM2auuZ)L(?AQ~1`g zf{kV{GK1nwO-Xjt$54Qg03n%ae#b-B&`d^V5|T2`$vHz=jARkw6*zQg79+C=neXvA z-p<8-K%`qXAz4X+ZnGJgO~`V$bM+NA`k^>;2w5WdjnG_1<`S~mE#zk&Bl8H^lIYKi zwaL(YM&=W;L70mQF~12Bzxl{&f!~Li--H$tQsMDAxL{}zOIt)pnV6r&j4USPr0^n0 zXbB@r2s!2VJ6`$^FOd&%?Re?)Q@^a zTd!ec4Iw!Kp$g?Ql26D=5n0Q~T0$yZSq|PETF1yb6LB)~(0WGJ6SCKp_h6RA3@LCsN393JKZo%5tnK3^C6Q6`6=oZKYGxWwbY>-6W$OJULZav!h|*T96B`G$!3KC#5@arS)7d_%hl0fC?8up86g5YgW!%4LreVFnx` z2K>ZfS%|~zH$?3Bi59oV*()>q4H5f&;<^}z*>4DMO*SW#nZunB)7}u#-jCt=zPfUq z+2=Fo4H4)4xHu{fG3N~t=Y8s`FgYAz&Kn}m`x&<@eUc-8%y~n^d4b4IggI}BIPYgX zuE~y3euz15h&V40*@-ac4H4)4%tT@KHpHAaM4b1tOOu@=y%2NW&UBLVeV$C;zHLna8)%9zXDw*aRV47Ek7)5PiGEKM_jp(5f=Kq9!&>km7Hm+3- zM451JG>xy;3E^ge%d!((vrzzIgxPzL4xSYIDN< zD8cCu{{d~iKb!hP`H-(qcQ41r{{DH%%1P)G(3^p+xpt0<8(Aac4zAK?fOW7{xj*ZBI( z+oY~LoUPUD;$ymtBiS|*nsT3k&6}0#C^k!(Yh6j8uT~w+w$Y;c?S-Ox=02r5hRtKp zyxx4QV<`bne*2;+Ax?&Yliz->q@=uOT7`*|Vc_IH_)5w>Das078+JdnE+IFo5v7&sb{01j6w2uX}x?+`n31 zmnawp3SRFgkK)u;bzyQ~7#w)LuX)4d!0;?|-mmL0!X&^j2=Kbp%FW)UY>@uKa|}%~ zTo?>@U2@a2w<}HZTX?>qNeT;t!mdvj%^gaU1QwQq4}rer#UVnD3WKArFBZ+6N|Ou~ zUJlKQefnleLSc~5^;*%qUulwp!XTRK2Yu6~c^)!}CJdqx$zZR9L=%>C3*RUo{D`(* z%U1}Nx&DYe%FDZyd4fz>5_aDxA5v5$!i0e^*H4J*3lAt&@=JIFRHr?ot&&{AAeZZ> zMfIiKN|o3W2DV&3Ej|9~_)t%pDNJ+;Z{{_NkBQI{2DDs%Rt#NuP#GezgyjUoH_EGY zJ~2#I34>LxKacM1QK|%$@HRZsA-d2jOhgF-QLbN+M=G1LSel3@VW7tKi-QLZ@jOzZ zMtB!4H!M)4?~#-d24%e3U+Q0K_9`nxjPUNSd#xLKuht}6guxcC4)9e~?Nf^6its}) zT75!mk{iOvn!Snx4p;UoRkA}^%80&EK5l_lB{+lu4zCWA%WprRR7nkCP{XT3%SVp( z+ytp1j6$NC;kukDOkN0s7haX5qSgChWrVO0e#Gb+F(C|0cy$uGc2KF35yFR!u8|JH zpo3S%6^7*42vS#=yeSd_4FreU7)S(VMq>PXV!cs*fucA0e z$O2)oz^n76YjuZ}DnTGDAW&B^QX3@)guwx?%KoP{>4?%K1cap!vPbhIyL1woM@6&G zqe}A>n@{2L758bQr`dKIsu=7~9#yJm*n9@6MY0H=Wg9YEuU-<>$Brr0b8J3`G1|R6 zF`*SkLhDueyu|Fsl;)Gve9F*#nr%o)z1BxGA6J?e*oxHBYZaz1dzKQUmR@~mrQt-M zqXem?*G3rV9==4$CEiS}zVGMR_B^!5%gvmALS6U;HostW@iN;kLsbUP?Bhz4oE5$b z&FsAkioSG2)ZrAgLQb+6&%X(%$8s(ZB6DO3d^-2gkUcuJ`fk0OAe z8_SJoJ3by-G)z?20Ucs#LrN z?!BN?7t_KLs%nymkisI!WZl4F&W%#jgps(6e*tGT{=NE563!xkvl}QsUG#&*aGOZHdAbO>Edp-4ao&8#1xF?lWmp1bjqZ^H_ezL!5oDs?=;a%; z#`BH|brC?FNc>(2xh}E=l1krnPfjO7x{HABL^8lDA>c)jiF%{2`9H+G2ry41#{UrY zBFIF&(a$&8lLw0Ii3^f0T#27&)N+ibrFcTFKmXrl?upXLZ$p~2T4cU&cwm++eCRs9qlJuJL z$y${x839Yau~tTL`EyE@EEy4EtScX-H3^In0OK1QM04dOrAc6n2r$<9tF`M2=E^6p}?orBsB} z7y&iDIit$UHW7kjM8L7Gq`0_~8zbPxH`mK`r(9OoB{xQ%K=Vf9JW_=C7y&-MdB!** z8X-YOK#<)JfCL!kS+G{3h@I<`%()^&WSbr=|Z zbv`Ka6x*JH>Vsl*K|o)O$QfY)SJ5hYGjc&x-;&VR+7j@o4qT*(i)dfi$6KKmd4`f_ zaKZjM6&4|zM!=?TZIlbn3-nf2NT!kJythFlja(Adx50m#0wqe7SQ?R!!pME-Oi+YO z8o@5-+gQ7GO;a*!Mfgh zklW;88$5hV*ex(MAW2^QJ89Sk4c|I%jB=YaY=eexJ=51ahiuZY4H~{}ex1xF4cnmM z+ttQcwMoM^X!v%&p@ucmunii%J;C@Cm`xhC`$8h8Jv}LDn@ntjiACZ~R@=m48(4h% zaU+9d6N~KukUVSNDdE@#9N&J)h<9xAu{}s0WQm>&Ho@3N+VBp_4rd0+)Pp1(+koRc z{ml!LkL{t5Oeyt#(a0tx+o0q-hm8FWo1kn1l<$~3AvQtT1}NXTY5+48{GWSSyMuEwvmMV=xI~JB&0o7 zBtM&AN{H4r(E4Z7ObO}Q9uG;4DVe~bI{}j8<};W`3F!OhW#(WafZLNGxyO9{llecB zA(?LubOO2!K>ztJgT-tzx;+(=)gz7JJdM_-$+}Zo9vH6{N}J$r1KfZ9m@HByfpRry zi0wA8{pZJw*v2Nd+bGi$Gvl-w61xpz|GA{ztlk0jRUn(ZZiClo2C4sC{A{paph9(qoNj~De__`8+2nK^oc@b@jU<9iPPf77zqsFgs^oNg9wcT3 zhD}ObW&RI=-Cn@n>T(KuA)n_$Xrn%GX`oW|mLP8fAzGz zxFs}#H0#Hhv_quqk}X7h8;Jjl%f=?ZP0F{I!P97`WH0BxV3+#izGCFTfcp51P58I* zjhUKq{mm1b7T1D6UJjw2TxoQ*gZ9x7f*g;tkP#=Y{iTE}U z|C2||MTCfN1MxpO@2m2pNNpm%4a65oiC03zw}JSdJmnkZ`N*$L#J7R?BJqCy*CyiI zK>Sa8ncjtnZv*i^dCBY>5#I*lf9f|SM0}fwU$RR-TOz&<#Qzk>jqeXssTV=Ux54<; zC4qaiG9ljvAD# zIIs~M+&%7F>B&df3=ZtaAUR=57$ew-5$>KeB@7d6gb8;~nG%KxHo}Cvr%egN1p5Re zXG{sB1sl=A-Ls~I0fT)Cl5?hnaf6Mx;qG};!qCA+=y3N*Q^L@}J_|{mDPaI%BY?R3 zlqq2hVV@VtFUOm{m7#=L=(Tnm-=P})aNN|MiaI~ z6QWyOV;D}@2q%7tjh~qT^&JYE;e?HF;{T~LDu!%^6ZSJA`PB&17c!`@5mfwYq1j7@ z6*dyyzglNh3)+k>Y(y8oI#lZY#JkP-!baNrS4Yb$Jee??QHG5uwZnkJLBR3r4g0-ShYkY{r>xh1h-m(k-b}BoDSQ5OMr=s(Bqo98P~FF|Nai z!$HLH+ePMe7;!j=IDR|VydOp!&LBu0^NsNYbq)g$2Z4u3%DobX9u7i}-#%`HuMR^G z2cgGrpEg2KhoOgq(Bro!2O7_Sp@)Oe{( zVUXb<$oQSqAxK|FsX@&U!$FAgyBAF>3^5#j>)yXk-w}fg2f@W>!iv_ifck!lGZ7BO znJ8DRuFzWu4uc8@LB(fMfnfCtj8Ru)NZ}ycUs~KBbQnxH2qr$0OvM>&in97Yn|Rv1V)2qZp} zuZxJ4@v7epBpd`1pDi|@6axtdfke-{XCUFshV~{}nV_sNkZ=%4d}e0O90n2&0*TMI z8qn@AkZ=%4e74h+FpzK%NPPZC$t2H*N*x9g4g!hKPZ;5u!$87W0LgJ958*JJa1c)X z?}bJol*53+K|t}pH<|a&fWlb}iJ2pD7*{xmEBb zm2ntrIEXdA=v7+c`TC&4Si@1VMnEUhoLbHW1RGz-*J-VL1C!L7XRzU{L%W>8_L^bH z;jCA^(H{tP7;iX;H@=WBgj%x$>iaSdqYY;x+U0{uUNek29K;;|dTEp~Sr~OVoAE!x zeRDl~Ee=Bu2cd^ZdV3`dKAbI_rU6}i;V|xS5O;jBSvs{NFh$)PqYh^q+BcP!dOO9~ z!`ZIP+^?TB0}p2h)VGP5MS-cx48slwVaFF+&3j|m;oNVUVZ`Ae;`riznwh4|Fy3$w zZ+x-SG{bnqLA>$B8uJk`-f$3ad~wLP!0SO6Z#alIMB?{K7;iWaLGt1V@5Z9TsKY_j z@%y>v9An(!?1N;ZahSwm@Zli%5J{zH`^I7T;UN6@{Vw0m2R&Yg;fI6pLnMB$gyDyS z@ZpSLHP0eCwx_&l2wP{hlB7#B;{TS z!w(1H$G?p~Iiv{9&Atxo{YEI0!rbFx$wqI}AGFcdXa2R$t2s{2T%h;E97~u;JyjVF zgAfNn$d?b#Hdu*ah=VZX%l&hW*}*WxkzI{-#qSO~j6WR2A771-`mewY_4XNjI0!Mm z8t>~j&@=KGVmJsfL{jdRFvM_FagF{`y2IeYL2&WaabKS$ftkuYBMXPsHU86jZDM@j zAU^m?>W`KNW>M8;aNtULjnp5VSE?=%-v#3TNeE|U;2xz)zIV&eJjeLFi%V2@f$D!+ zzcY*4ETI^fsIpyIJ)m@;vNJe@kM0FRa{-^tVOFXN(OH_A( z>LT%;5ORs?E>QhXTYZ~1_dIHssO|#QMN;9F5Y=6v`k&_+kl_;5U7-4(*N*dh|A(mV zO7TpcU#DGMGP(;!|1&qs9mE+#Q4VN*LG8By<;q{?|di88bXDfQ;^f(M8hV zD-y`(*CndEK=r>4_YE8vP@lqbN$Tzt zxvA>@Is$M>T0~{IAQ6_0lDeyEP*D_d~`}PM1{f zg33kWJrLuP%Uy8!zn}4q@f>(|$>lD%TqJ(4gk0`|%m4k7QCi}X%Uy7}NW7&bF1g$V zm;d|ozNwzV4wqc+g3CqXE%|WCiHqzM-Cj;x4(| z1(%D&@0F0tU2yr|rWl82TynV!E*FX4b7;mTm%HHdzs>QD^?a+vC6~M4a*_DG5^}i< zF8|vW|F99BkxnjmS3$D7!s};T!nq4L|J$+NGw<{K5Aoauo{MCJS3*2@*Fti*(y&&` zIRHlg+ez~}q;waQ{ya%gYQo6eylG-I+&+QV`U7-5^H`II!gmsr&1I75#C8oQ; z^#8=x!0v$hj*Cl3cLC}D`MeQhxrB80KABtH@uf>VcejZ4*F$JUed5I>p}QdTuLqk} z$mlM22DXxQ=D7QN8Mt)LkKUE7-^Q zGPFxlcZJlgVAUYMr+(HYsk=hzR%o+tjOUoGOHy}*)FD~uIiBp2)LkKUD|DavKO}Wm zNF5U6e@N=Ckh&F;Vn@$6ja-ttE2IvI@jpj7Ph_LO3T-w2hrI3zuR~(|4~g9sVz)xu zd}BNZFI^J5E5r_o@joPXSBTvTZ8!gi#O?~QLt^|7iQN@qw?aG2XFy_ih1el6{)fcw z3b9+Eo#y|L*j*uZNR0m>vAaU-R_K27e@N`E5IZEs|B%?-bCB#Z|A)lx3b8|C{11uU z6=JtS5BSD-5s<|03b8|C{11uU6=JtSyUqV0vAaU-kQo0%Vt0kutvt%!32!yErbP-IYS5z?D*c6vMs5fg~VrMdY^< zMg`QDY+O=&v{F>NKg$^}%EtClT?QAAgLd>~3xjY=Jh=;}{xMG2|V!O+F(x+kDMKM*CUMu&)Q z_pL=qsZpU+%ifP$lcE~|tSAvRIu&M%UmT4RlA_a~Dh1fqJppyXGD=X2PB*$sNQw$1 zSM2ZR`Sq>7Py8~-fABafNd#GEyHNsI;;K*`NSiL8(R_PLr zqO(Q!O6g+thNFa{s6dhB4#%yj1Cvn_Q50#f>Po%G9IfR|i$}Fw`Q;dES74noOMe;_ ze`>j_jpD5+{b>|guj+DL&=933jfy9=Toj=l45%ZMQTov+{AiCZ{b*GDsO3IEU3CC5 zNZoOu z-ZKjC+4^5@gWfX=@7Y%E8|GojD7|MC-cuxA%8b%`M&Ui%M*2p3%7>%$o>6#Dk$8V} zHcIaqh4&PR-}3>XD7|MC-m`6jQ8pN*_l&}Ow$+UG<{zT;o>6$uw*6+W={=+Ho+2@N zP45|n_iQ_0_L|-^3hybBY2J}?lyd^!QzU**7#^kfjKX`inZH9FrT2`&d$yf5UoyRC z6y7slX$}l}&nUcS+^ji_(tAeXJ>yf&|DpGc!h6QeUl)$jdqz*nSDtH2bPN`y-;Ba< zwyVS8{$7Fm)EKAZjA9piPl*nzqjXDAxTW^9KL4P=7Nts`6um&p#TieZ6NS%dm)ej8 zfvrlF4kwBv&ViB{dU#&ufo)3l3Y%Y|RlRUE^g>%hFQ`5TtLi*z1OK6+ zOjOHC#we=|futLmU=1DE`UouWFR_|skuTyq<+=5~xhU)4d;1}?Y_QcomS^{!?ESG)$S zcpVdARUHRy;Bwa>)&24_QnU0E;4;&IWu{{io~t?z+Q2oYL9*Xsb-z~SdeDINpkq3$ zKB7hz7lQ^&#o;BhwJN7#1Eylf42%JF=(B;-u;D3M)kjwwINut;fE}}8RUP_lAOJSV zK}Bm+i9QRK7w%0#u(537$-^&02VHOcGA zI-nDK*M?Aa2vo~_{;GgF_IZuObWJ9VJS_b)2G=-Yu3^G-mP7TJvdRf_O*X`(1Nv0@ zwNbP>3aT=)76jC}&ugRE4B$FYGDkhEYXq)q0Itr#KL7ZDI{0~QI#n?XhL>nUy~bH^ z4YQzA(jwyn>Zs;5&Vp-Fb}1duziNJMA^&|LR5`MatG+H`^BPetE19G_u$FC-g0MPe zWK{*!Va;o`Y~CcQqlzDzytbKb68~GBW1)IdS>-UkCVpBvpz9g0(NSN6qwbuBCvZxs zK12&gj8{PKbPe9AQ$|)*;IvXbO4VaxwdWOZv|WSi>6DRG6*!|*>36Q3Utzt9By>VfQO&gRoIDrdDmq9`#}yC-F*?V0Aq@ppMlwPG<8Ac>~5pdqQ1yX=g_vu}+U+C3fM z&~D_=egGX%=bRdAX;oHjYi98jQR8~HVbxB}lr7YNI_T8MRl5;+tv$sBzLCpw;})pS z!Yfb*of?VbjRM_bRi~F5N!^X0?$o`os?H`g61p27;2^Bg4{J9&01Qse#mKtCk=6Jh zoA=VHX8A_0Fk}@_;&N)JSS=!~$Pd{FTQ>F7S<5;8TmyS9PAKkqdm|W5yHU zBx(e_rB=YIDn@H0xiy}Wz8>v<0$j-(k%>sj(5|xdEu3Lr&l*qQ9NUm)NY#o}OPwcb zJkMqn3mz{iJ^?QJjfnbF>*NVoz10)o>}-@+U7l<42{2Y~M690L46EwUP$Oq&qkP*( z&|!k^)Ky;TDpc=7U)7nR#+T6CR7$HFA)EYc^Fwt9tg16MP4p&B$N-!#I?krPR7D0L zwbSPxW|gt8P5s!6$vw09Th>jS+)bF=sRv33%dHBfO2^YANG9l@9cL4ftO-b#dKi7JRH{U>CUHh$ zRR^I>bVf~ZMyVsP+E=O488u-Y7*h0GOunwf;Gt5<1Kc&ibplL3x?p3d#X&(DJ z52{bVYJa6lQfrbze_3Dk4_7sD!Z%6g-%1_F7#N^bm(l_z_snAbdJ`vi6DD^`Ha(VG zs$jmUmZ}nm%c7}aziAWOHbGTjzsgee@=bJSO}lAT&)6o;x26Y0H9Z+tRZ)D?9$MHh zZ>t*}nhvn-090kip~@Pf`uZ@N4~o@pztzM*uL*%(dMZ>^b$b(MXVatXfTp*mqx^S7 zWNBGlmRqVAyovbLbX+>njSfvGsEPn7eGeX%Dg|$%=W9A6R=fRH(^;yXg=!5{Rn2Y_ zXJ^wzc0hkOtBG!}=^3bs<6Lg3lHI0fX+grB9>3MZaHk33PFfsim8FUYn;7mi!y9({ zt!8?|W_ZK2IL<0dRR}iI8#YT8OkS)e_-4+GW@N$AOVI&U2H4CbSTkan?pM&vnb8by zm|g~}s@AWW-mn=lO!q5jW(?De7$&_Os;bnlne(Vw3K4r|LGxJLYV%mA$`2bZw^XHH z^Eft7^1gy*I@9LKP+g1Xs>=DAr%+Y0Wj(K;c?R2NKvh=4@s_IDYo_aMRue|Y1-m0LB_oi&3A zXBI<yl*hAo(e z8Q`NehpH`{hAn-(PoSlO|6T!A!N--B+Hr5GWHa*C-8|638QX#xn-P4hvebrq3ukPL z#9KX2pk)aE9r0F1@NuQ3_S;*AvRP(q4-d3(#gRrXh8Cn*yd8t_K z=7E-FY+DA^N5BJWo1tYnn=!eUmFQKwg_FAllRI-1R@E*;3nzDrWUza9pk)L99U1J* zG4xe!GPG=DGuDA+#So%}>p%Mp2U@rev|t^`oWyfgdkigH z2U?JzSXK-nTK4ivNKjk4Hr7e7LaE5jBVk3YXNCyB`#QL zsr`kP=V?Jmv&R{=TxA%9d*wzoKvSy99)DA*xe>M*itKB@%I+$&P zp^A{#Qo8}IoSm)6Wh^UlRx6RR6}gNo*DRHBZ)Gl{b-bu{JEK-2W$Of}%9>SWsr+l} zL|#k^Z+e_jE0MBwI#lVoRsOY=PO^0YJD~Hgt(+OH3!y4&)=Ep|Ut1T^>N4*uXywdk zT@F?8+*Ov!zqYQR>PoTN^9ok6Z533-b5~j0)jQ!lYOQ4lbRgZjj;iaRx)B}Np;Xti zSu%Q_S8yNO?t`j$?(vq&zqT@DY?TsY&nwtLRqVWE#dD9hRQ|PfC!5uT(SdX;Crm3Q zOm;gupz^P+oG`7JFg>q;6Q>CM%x1%2N5)R!*2!c*AZaYo#}Ag*VKK=dQALtJ|VC zY<-eXpg0KY*ePu9X2o-_v>sHdbZ4#4$P?)1fmU*2>$6Z5&ppvn`PWvuvsNTimX+vl zEwyqIwIZ3470@lac$+I+RX!PefaNvpeixUL`&sg+vxe)WElz3%ThVmHU@ZYRU&#>eiOaCr|zeXc-jU$eR+y0A)&SnhvXhpGJ<^?0m)Y1AkTS; zHu}Rh_(PHSy%M^_HUxt&Z#Rnm+BkXJr0CC@S6nFDICI-Zi}v61_cU-TDo5Nlh8Lgs zb+6E_V%D*365A$0eS~k$9!uqj+vrEz#9zsy*I$!uqrYl{zxsPFmMTZw##!06+OSH; z)V2nyvtU)_h}#&{wPEzvmgv(8Z5;h=82x`Q8sx2ZR0R+0}KBB z3g4VvmdX*g9p)_{>35}MiXJ8x*>+J>zgZ$1$JV19(rwS6IVSrrvW3U`7a5N|#t{^&5Gyt1hqV=gQ>+r&vi%&WwT|(MvA(<_R`Tx7 z#ki8ku#$iC0d!8~k7FE!F>JW>bZ$KV9nt+apAoYgER{fxaV?HvE&k?3vvU*qAM%nt zo#RW6;U#|)XRm54m0OPSEyu8L^FT2R93v{luy6B!oIvMPZaFrUW@kwAf1f|jI}Ktp zDFIvc7$IB5z*hhLJm*tcFH2>fW8|xt@RdiMd=&#<{htGHO_h0$k*{J44ORMu*dnN& zfvU6^oh zlMXQ^ZekK}O8rlro^lL0V|zsVEu7d`X{k+s*h9SXLulV=oX?MOt%*sxRjlaktQZ%X z*gj~>PhbbmTj$io-A^m~(f*)OffzeLiA1y>D-W{`5$#*3AU|)Zt%KM>wxc@WE%Q4G zF}m`YEO25)Zvn-)z{Rk@y(OQ@vvyl*S0Tm~E_N902hBS=LiFveln?FX$F-R5}pT~)jB4UzCmai081NDO^L&T6vep`xUtgDvVrihWz zV_@{R&yVa~>KUlS^w@Ecyfe&vTd$ zY`{AY7$+fPObEoDgybPpLcEFruiiOi&IA%x>?uedF^XeiPqPP4Lvpa6_b6TL0wu_! zzjM}ni}ZOh_`G*681?8e<@TO|4sGpR1;kPa*1Est`DcVnzcs)+sPl+FrgTn?7?U^xg28MA2hTk_! z?&IW@IC$mzezUtImN94E2Fk?r~Z6k`a+$t`hk%lBvaW_u3e$4M^nzDiQ= zm5^WJ;Fs^OG=_Sd2oncKe}AnhAx6isPxAdu#?Xi}y%a}!>H8baUJv5a83f6b#;5$^ zgDDv-l7AfMTjVLniZjNIBLgK8Z=qJ4NvQZxNH!R2P`rxPfcXFTg87<QThGFmQJY6CSs zisnb5eYa_bXdegK|BoT&(wKxacF+wJcj?aZ^t@Lf1r8a)!q~Q2G z^sPjHr6oR}|2`kO2SxXybyd9=W*_6oKK|3A=3H9H{}7h0^XqhVoG2X!O23b<)33JF zo^YHj9bb(0p~h*6_!1gf!pEeKQpcCFZ7H-Th?Si|eLIX6<4E9?_SWS%aT0kPME<^% z{swym)qZiDNFHB-cKO)3cOa~!^_7rpFeR%fku<62v8-kr(EfdV3iIAzZ}nIR_HhAw z(Jl6yU>^tAzYk)2FsOE!@kkp#i7&XKZHT<*rt*|(whB%^z|5avANJb6u2O;TiT4U@G z-{XxJIGGiK%9X?9C-hKnHV1@;Ku>@AB;3#Gyy-3T-^uLObIbR z4$S{xx7jU%ejK3x!3k4B(2pO1!+>ZnI|7D4BY%_k8Lk++$62IrzW}Ltu2k`&P zS@Q)D_~S?${>xM5gd_FGLH+;oj5%z`{c&*rzr0{tBlpL_{XZ@pXiRK!e;nNZWBCq( zcgmCdOp;KYqacAL4%;`2XXBX0M6=ap3=tkDAYb_#X%U|JV%0K2Daq@m#wnwBh`qX-yq#plMkAw4nvY?+~ zpPU~D=l@iG&d7@l?zQ5cduz<(f$R$90?DuYRnQO|HKMWzf>&9 zOAsy-fXiQ;k_2&3)tDtnmI*M($Lq}}Lz@ip5J3`qMf(%^fitUbP?fnQ_VEoNfBDHsgVq!K zDcKLnA_Ex`2Pjb)O#QKl#KUX@;(W4O-t?KEs*Ot!a1yc&DIbj0xwZt+CIPhhL zWN?TYy9ArWA?Q}{5z)Mv0@g5;8r z0*1PhMcN3FB>`mlR6b>F9S@FBMhGkkDZs5O)j>>xq>=!se2U*9TM|??dI@q$;ygOn zU#Eo=B$NaQ@G}5~;dkWkiBJl0g3P zQ^aR4234J2f=rT-t>(ItTK&L?BMIQhr-+7U1;?o#5JnO>!&O}oT(6bMAqjBEr_Tu) z%?YYXy#y&F0Sfu_Vwv|lPYF^;0u=J;(?-HAK?+I8UUprvvMfRTNB}=Rm8~{wQg8zM zk|ca2Q72MTJoS@Q5@5&w?ek4q8C2DMNis(gKKgEj5jQ32qm%H_cSraJb9-0C>`D6Q zBz&|;O1u*K=p=mf-D+R8=Y(XEJ~{~>Es_$igg!b6AANVcZ?NZU8%g@;Bz&|;ygy!> zq>oO*N8g>`tM=?)C+VY;@X;bE@k;2Ulj5Ug!%Y|ZBdd6{E08>PgW}Cy_C` zd*1jSev-~K31@ous`+5(PLpt_A~7Be-Dwi;^zKXMgP}W3B6TJb3X>6E|TkDe~ zB$u&mnW+A1vdpx7!D&i&IonsDeUg!1PSVFFr8p;0Q+Y{Sp@U7Xg0}n&NuVw`U0GR8 zE345y&9t(H5+rbX`m&a7NZ|YmznZo$sOmkFwQOI9_LZiU^^{1toTo1v*tP-M$hy@9 zRViq4BilEkeXVI_6D4wV%F~z4Y(s+PS6Bo01yz-3@;$RuL<&yH1i1D+&3Wm0OS0yTXmYAf`TNks9V ziQBMBf~sIPNl%$X3}4enpI=GROD3O&?q$)P8B`UsNqWlUg|B;c&hSstcIhRP7omIA zSGGH-DrS>(lu2=jolCn_=@FCgh@ZU#)%og{=@668(`vD|^n6K#;h*<{>H?)o-FQA$~sAS3WhkOsUcvwht85!+u?0-Ayql?etXbvQr}+ z&?|d8{Y^Xk&FA;{$~FX5#cVsBO}kX`$Zg%LJ3z5?Xq7Y9VkAm zX?!XOojzZH4y;kC)7dQLI$~8}PaL^Xqeu?Q_^R2devg z6`O*pVz&KWHZK&_HU9a!uXG^oi=evSSFt0wPFY<{RoNI2t2%INr~hbQ4%Gv`iY-A^ zG26a^s%xpLw`JOE*;WhHhkX@~1Xaas`#LsDxu$eLqeMGb-}d{UdeB#KC@78)qjC%X zO1Y+VK%XaV-@&#WP<_N#u{XF$sqSR+gHSDg%A4W^-OjbT9c%R$V`Y(A6;u_o?OdtbS%2Zz#o+B+ zqua4Y|9+0>E()rW*>W*R_n_!S!ELIyT$$Td^iq6ZT$9@oy!`%2 z(VZPsMYHW(m)oV>!m2G&T07U|b|hT?@R(HX1$QX3T$bArOYABhC2Qvj*^XG^4-x{k82xWu(%iTi_8c`pd6s@ZlfaqUu%eXw{cqMhqg`&DRO6st#r zs%o~K{M}LFwc5c|r=wJK|5yU6s%o~wkGIoNDV?2IG~3aaZ6NGF%7&+yRb{gsTuwSr zWj(XF3D>~|q65VHM>%!1JgCZMJBYg-!}$#KqwN?$vm>A?Ka?!bU=N>HM>X4JH4wA9 zAhLt2K?hcYKgxNJ<-v!PDp!LJLBfN@6Sy6m^&OL;xtg8bt5m1Zs^Fl<>P)tQ2mdH% zD3%8$OM$!R%uY%ix*oZMbGhSQsP3ZK{YrN(|3l`u)P(A2s)KX919SY3^6`q5 z!2?Q_bG&1@@eDYbJHUv4d|u2R2|lcJNr)XX$;GTbU*1v6OJS0K>4#a#kD#}l1t704%f#wqK~ zs#FhARTc!9HpL;s6`=zw!k75XoX3NzEVY9xLWj&;>46504$j;T%-k=Z^7*F*k14a9 zxE%neiN%v79h{*Z0H-gJ);t+h#itzvrw*C9Vs=R{_5Yl?9hkXa$y=Bad|Wki;&uqe z^t=U9Ob00Dt8%e=D)@v_eTFwCY$8^RLxyb90XF$+g3rG&cwDKHO*({4daM#nI)El$ z$qu|&J)u-N_d5kRdR|y(39i&x0$oHVr-G`6w3BncQ!qk$uvXnyX9abE5nsvagn2<# zL)uA<=oF0T?m;J~eJ3d5E7`smtEz^ylNiw{XP+pS7dy!eoyg;MkAqIm`%Z+MUu_YyPXx~?vkW*pCyUwc zBTb#0_?=UrE9aC}22~Ma=TzRWxO|UUPW(=|{IBrg*(ZXk)UcC2zf%emB=x9Qq)tx! z&bgxdr+K2gHK+;-JLl0ZDif;v=*oo7`D~jH-G@Z?cu>^_b}nH10<`ayZ_XB*7qVH_ z6uF$Pnds!2(upcC zaBb|w+W6<4qI)8!N`N}KHgP2Jt1`xp6%0=bLll`favPT?)j=;M;x3EujP zy5kp=E`h7_A+&EZpW9wqN2>fUyG+SGO7=nWkSW}I1inrH-(P*Ege!mNBZhr0`<;iNjls1osEUI+53?_aMfXzin1AOHwjo{q zx1&hXsN$f`N7*cEaiFG5!*nMX<4!Eb|AFreP6|rm3yUKcF9ix66^>6 z2Y%M}-k^&1I|*W)0I~lVXx_*r{>LSDPKR)v&$I1$(f+!anhNPR&DB1I1En=(dV;5z zUQ8jq_%+sudqb)WD8)c5C6FZ@)TcC4gsc=G>uUmRs5fsW#nm>2)%NRsMh8<|Z&O2M zVSJ$Y1(Z}3I+&{Z&ywKZ)#%JhNY(qKhEXJOTJY~q8~b^wQIyE(8tHnix}DT$wv85T z`DsyMgYJdH9aM3dQg64Ko-f6Us>Uro{}VD zFfgsmurh&GCP>IISRc(!O=QzVD9h}&E``dJkx6V9P^=rQe_u95P)tpRs-)emSs_U` z;(^d#q{LqY1B)kn_wiG78L6p|%%AD~iKrCA%G5O6Po)kuQ;aE7)1eJksSBw(fz%9M zML@K!k3LSFB8R4gLxb`$Ch?LXwFR4^KT6HwyAKT2Pn*stbq|!~2ga;1A+-mas-fK) zw40?Uso9iB$STKebX9$dA!|xP)}X~7&yZcgklJib5p`22_NpmPi4<4rl&sW2Df8+R zxEfL$rzx(}DOsn3!4h-Cah*Lq{SkH#~t4GdtI<*PyBG@{=&WNSBP^V;}4$3xWpTLtLwV9dXN}bw*_Q9qRuGgupxS_J*+vi%H+6HZG z=FAJJea;jY?35s~=<4+##l<=$h#ZtOS)ahdklJNTk&#n7(K#Jmr|##Yz8|XiVZ*5* zwPBdr#r9ojpKm^=2PlCjsIJgnBSlY;5>F5my6Y2|5K>!-DSCnwJVB2xJwZx5K~N?y zbk$a3ik={a?b04y`hb-9fM94Gb*t3#rw2&wGjzH3r(~lv7+OkQwfUFg`k%r!X^&m5 z{V7@dgYxlO^kKNN%auRHZPM<0om+7E^hP)`WS)(oZ{*Zq{*Ce>NW6xaOJVbQHe zjqJEkwbJFfpE|-m6m_}irz9C1tj9;Q$Av~J-J`UQFrvDmsLL=SC1FIcej{~9Dc#4Y z%fv#r?&EBe#6qwh9(-JAw9c8Tqt~?hWmyi+*C9QY@iJLinJ4wcLAW>1s+O z``2@4X_9;zUd4f*SRER#tk9>VN1?qOccz=^R?=`Q&H&@i(sV3oI2Mr@cSgsO9t+7m z=AF^Kq;ZgNQn_At({wFqxEALbU!UQj393KzD`{~SqN-hPn%*J}Z{Z4@jR>i2$uyls z8Vi4qDi{7V7JgU4 zm)W!|vw`YC#fQUnHjQ;QD%AynW1(s4id<*YSZAZB@wRj`7umExaWHz)_+`a3m)bOz z+UPm|AkTS@G#A^n&~Y&O=rFHCO>@ajW66!aSZQ>Xi*8yLUAbXhPLbxSo5rf!fW$;? zNbQ}ax$35|w$_v$&<}@eYZ_~7!xYio7*acAX|Ap5J)(Q5RA;u+Ttm}B)4^+!+aDED z+hA!fqv=!9+<4Y^kLRF8`ZPzqWB?&4_e#!Cat2ShT!)b9vuryn+O4Rdt_`UTvh+E& zpF{f@JYn5@p3Sm16Kp-@H=fCplwfbBx?E=s({*fa+lLFgD6J!t*KpG=VyONl8k*5@K~)usRqU=bK7!Rd}8zRj0Acilo#l z;WC@P49N`NaL=ZGnu~23i>*jXy%H|BX-Tij*eSj+uC-~bwJ|A(5ol1mW@)ap8LX%A zH6y*_IKwB9!FpQSZ>oBx8Lp=ptfz6w{aV!_wQH8)Vwx#I`(tH>l~TNgOew4scZf26 zw)sUnF-`h%Ii&W}GG%NpL;DO29^G8d=5jPoE%OeZOdm=l86?JaIx17awhCxVYBsnn zq;}vkm29s>dyVlbGkq!P3&|DV_-Q@4g-kz6`YB1NSJI!7{*YYt4f1?*Ix~Qh0ZLNp zl?u+nn93zng~%)ni?Bf>osQah0u zI?D{4WqUvKozYol;4IsR8yoQ%I?D{4WoczVN2nQk%M83_J3b~qGqhOU0NrH;EE;|F4-GN%B zlF%|`g+4oj@T=oR)5>gGLHJc#9vH0`fjMkM{3T!3?PKi?sSVZ)-FyaazO&3ULr z21e=rFJ{|f=$@C+wm+n{ax+WVz69;(%>FN>WT{x`X5Gv(wk?CUJj}pzp;hXN%h|ph z?Jt%aBYy=YD@2kyZ;s}bl&pm0f+<-=$tt;WO)=%4Ssq!+UNe|RVs36|jnb`Udo7+zaic1;j&19pi|BMgXsyy+&vtNHX>m^}Lr%+p)6yeE zcScC<8)wLAnT^z4t^2?bIRkb}BXpY;TBq!i+%h1yOQln^F3Bwea!aFjDWo=zGX%E` zvTfDnC$;J!c1yBt!92+6{?G%{n|&?ePI23wiqXkQQGy7>gv zPoTNh90n&TIcXR_#WtW^{;6K2oJav88({;c`H zNOPHUa#@-Fy1*numdk+U@<`(Jk)H+PbxCp=P+R^P(+s&S18yr+_@=N5P;pO&+?IJ- zNlLvE(p%;N?zmEK>Ssu9nTyaKE?1r8lio6*w?Z}UST_^iGJv1kRAyG6;|hQ_K#L+cMy`!cyP8tb*6iPLbP7N>c8TWP71|SukB;v9SbY z+3~C}U0tcpNo9$2Ss+~jzWpirB_;klkuF=}9dcR1T(%UtvT|A@9SzDu6yJj&d4u1@g7KY+5X1;lI5~sxvuTJUsdXmCCOz$a$P%czq*+i zmmP%WZN?PK66Ugl#dyy|%97-=Ah|BtvXhCVsw1-GxGb2hYp?lmNNw3+=woqGWXWsU z;l2Jt)X#{SS@JVbydgqc7SPsp4xQA^WVS4rt?RTgE3#y^ESRn9xG@N`WVS4rt$S8v z$!u9LTi1(n**)@0QoJmgEemFQxfd?0n+a@L09)4;V^(AdY}s*;ykN|VEU7IEYU_I5 z>=3yvI{_EzZ;q0Q>;#BTB*rKq(Pcq&FZVTP1&J;@8KXoOEM$pt*(p#SCeG!G{N@!6 z6X&v1jZs3B%L3(I7E+g4p$bQ`1i37dDK9hYrJKoeS+Ly8bInmgn#+RbUfyor6=^Oz zOG%8oBGYBTbT4lHgc}zL^VpqM0n2E(@j;Nx4@-rpw9)HpPwWq(+uBmz9m=;49c>UmjBR zF}Ha2fV^Dy}xde3;;P6_rksw;IhO?C&{ zkYxK7zEW^Jq{@V{JK4Sy?UT`S-F!cr??>}k(?jf{WS1D1m02glvk$QG0jNvjTn5u2 z^#XUZU8;zJ-+I#c4aw{tO7=i<&WMDw4^i?EB&Yis_qCT2Y-xPU{8mqPA0_)l^6e7i z#7K5OCHv7`zmCqc2iSH1+H$@qFg62r0}ewa2*3>N#R*g_&*@sbSZRHSvk%tg2Mk{ zs%eEFo&|{i!&2jk>a% z!$QYYpUC3bvmA~^T~c@!Io0b^rTCZx5YGa{uTL?bB~d*4BqV08D_h5V2BcqK zV)l}do_&f})~C+1#Pclh{Q6VU%gLd~RWAwWS)^94*O^x)qGyp@EiHaZF-ttp0?%KS ztuV3jgt9_D&w|fi?Q2>gpJ#b?y;Mh$S(177614A=r*I^6Tv;KVXF=z$p7M?KEb^S3*S3UgbUO&D1R6JPSB~ z4f*jip%d(Fj%c0(n!k3+xaXXb`$Y2kULzHiBcbO&=&zsgjU3mrGUmwWxe_HQ^-4(U zIgt8|y$0;$$m%(;`i&<`z2Ql&3}Yh)Tz_MVu?>PZt_j{{GMx$xSs2)B$ZwXsXYg3fAh)8{`_*I3WIXw_8c;? zZ|+)P^o{hM1HFHzpKmTp1@!-r-*e!1kyLsmMED#K{yS66XF!C{4TfagAmcjZ_#8O? zJKN1?K$6cPBio(V%~kO!gYLhhMusYE%#rPL!_a=x0J$6qKc_OXIuCYq?L@? zdDVB~d=5DO-DhE2H>l(+N3Jd=9zU?+q3co22r99V5!;fb!oPWG*Cx`JC*) z)|Kia&KxN|2a5k5%GD2s>XZ>Od=3o%y*cQIZYIFz=CL1>l`GB>-E;GyiskoM=qY7_ z_?`p4e{U;H=w{M;ZXudCo9oXac3(2Gb;V=;IRbqS3EA&GA-4C2RDo!2301-L-#ZT5 zx|v9y1Jb|M%QxNgyVE%$eQueOlzJru`y2|`tBYH&IYNDI1+;Ov``*w6bxSLGS-}2V zCAh3^Ch6yd^y~VM*AIoDp9AQ>CHx$k6jEiQIf8x;p#Ro@N@J}c#q{>rs1pXX=zektAp9Ao}y@bKL@sd=K-V4BS*H+f$h7a;~d#O2ey9)YS=o&>tztk*RaYZ@;@ktgNh8!F7&YojcFxQq(0m z=RnTy?x${V^<2o!xu>N4AJ>|6Mn=wok^k|KIV?!YIZ*O@6H2_*m^o5%j+8u5pDoLg zl5?Qs_dxlF>Qy~!j+C4OCBHYt^k$^w94NWEVy}KOq~shZ`8{S+>Qy~!j+C4OCBL`A z9Cf7R+zYg#PYmVA$2suvdpJZrq28~a0_ivhI(~1hX@zv010BD&+k7LW;~eN%vNgJj zEk``g0gwL)dlzHs%Xoo2@i-4W{--C5BJbr(y5whlq$9bf% zyMyIC!8i{vejktdp89fSnOK|$7Qa8mv`;L~1B>5ZW!fhe=YhrV?=&UE;(Q<6v|qpA zJb^e5Abx+ZTzN~qDyq#Bhx5SU_a8E^OdQTj_F5*c-u228g!2I5_a)mK*jZnp`cDkb z1B2f`Z9X|-a2^=^{)z%SZ0XPo;{=sy)@}c@lb!Fmj z9{Br%iG97FHqH}&^T6Ml5}g~%6Myr--yh(!G%M;=qThwd@a zoh_A=eAk5Eyqv)C=n{PM0N)>=#S8H#+mg-aN4P2NKo>=G6~Sy2Rf6 zXzJ>n_dJ0&58(X)K>OZ$RcxCl?&bw|J$A{vdGPN4>_xkSlwI;}9=!X(G{fcQ$-8;s zU3tTLZsaHO9s#@`pagDI{a|Hf65D~bA8az;FtIjItSzEvo>-d))_#Dki$V26loeua z9$5PUwl0dz#M(Tt_JeIkw3a8<<^^k|i`uv4$+dZK?FYi-R-gKz$~d_;53cw6a1>%>z?E zd=AfEH?2t)B%^<1RxRcUrTK?w zMxUO{6G-!Wp^aVjrS)T#m3@450M#E2Gdn|2%_~q1=r}r0Qq6-@e>7jrjI1B0%#c*` z2hl#ye5vHsyejG_c808)KLqWiVr5DFcxB}ltB$+dSa*N7ziSs-L8+6K?Z>+aH}X`$)LWE4VGr0>W(`aQh?Kv9c=aCo3z2 z+x$7SBgIf`KF_WrXZ)iJ=5r+Z=2ao?8AX$MQg6Oaw0|mH3~aAgmD~BJXa&ss({rXP zBJ<{1wox7!rOgm`^B19xVB>WCRMkf!Zysp-)2B=`MBDsxBDp!#H_Y>!E_niO9)K&7 zQm=%Fn}41US#K`p3AcHqfN!GoXnFlKb!7r>9w2*jta)XEZ2m>DQZu4xg$SF!3hhZ^ zWmf%kWrY-*2gTmR5rzNvq<4F#K$b1^QkGQ6QQ-d-K(RL`;jO3w?gA0E0EE3c({M}$ zB5a`qlDS4l3k2ChDR1{-^`HxWyq5wX_U1Af3`^uV30sJ;1t9Fr<+!YFCchTIuQ!*P z#>uY*@@u6|z!%7`1@P-l2@0$i>t`wB4)<1t+(qyD8uf?>vIPLE z%>RK}nZ#NEvECXWqpGfcwo)ds7C@)B`kQZsd|CjXikUvz3<0$OK)p3o%$%y9qs$Oc z3xLsELrgQo(ZYC|(cn@bh!!S58=JLz>Q!NPVIs#5VDuItK{t~{3zN`nmcbP!^B+K| zwd1!joED%%+0M%P40vb?1Pgy6a7HZILmS`7tt(xboInc~L{_RSkB5U|9fI-a3yC>1I-80ol-7X0TBpS{9HG z?HNkM$^!DCx1^{taI}7z8U@?g`5kCCiz*95%YtM->&oua51v$609D?S@011i*DqH_ zh?NCkk4Ik54(s&=k4L< zYb9A0K$f@18DT+zY+2aH3+Q6m0;#gFAKC!OG4(5zeS&2HV0n81das+wm4%1VY;tAc zAUmXTqk&$!_k_*DBT!#0y+2mJN?9jx766vFSDC#hSQZpm7W+u9EPyL-%PxpS)vENm zaFmxkiuNt&qi#ONX5i-S%?8R9h?@n4n?>Wq%>r=qw&WhIVfAZN7m1q%;O1@Orfw!~ z7J!?#_nF3tn+1iNMdPH+0%-HLe1t=KzE&A0Z5B?UozqD-pJvYip|_8j#tESX1))Xb zgwO&Y^fpj-NPVp`P6#akLT{rkwAf4tEdWAqn}k~+gccNp7L5}^3w6+z42<-Aoia`c zEj)$x7hqgBKh2(lO>bW^drmejC^pr{l?z1E0?_o191a&puwEG_nii0az2k>*-TW+# zgIMpBn8r!01tw$5i#;c+7Qm`^WP3;+i>gpBkW>rLqkRyJ>t@1g0kC?2if#0m9yq&;N>XRiR!Wz!s2+y)zodbu$^Z0EWFY((E}Ywg8H~bB~eQ?jpfqWzw!B`|u6Ge(yMVBF=Hjxtnf%&?6l`_*b80GfkzBhb^%B~ns4@< zK-x73lKp1i38Y;BQjr*aCy;gx@%Ei0+65B*2(#c+{Z@5ha%fi-+OMGRx|t-}g>-6l z`Lp`DlR~?Oi|Wrniu>!gDH9_wlDYt*KO189ohaHh5|YVg-$(I(0I4D|`c6pg5=iYX zrtKo4b^%d;CI$3?7wWgG3lmYh#-e>D`mUSD(Kb$SRhM7ZeJ8VajfX0Bf)3U1P$tN& zUEtH7{r|+Bd3+qjweP20qh0bQFS79}@3v%l-}ilA@xI7fE!napOY**{M>9P$CJ+dl z9ftsKHfcN%FvP?Vvb;}j^7-7)BguWqy@5a$vm_xTBq0z?V!}J;S3RRDlYbtOG4e%`LoBF-r0Pr+0mb?_C z+CUrtng)0h^-X?x%^1%H>7@X*MN_C$TlQV70AMvx$hQ38|76cbX$xQtFtEN^tR=eu zkTp=mnnoi}d1y5-0sbdQjruri05BVn%LMGTc7aK-W}1DAr@)VTHpxAMW&=#KZ=Tc7 z6M#0rK>OA*ZADrDKpWu6)VFr&8c=P3R{%Sm_C2crh&I4etZ$W|Kin+$JOdFi={nQw zbuR&cZh%4eEuPmn?UC!C0|4E?ESBpJECgo53nTDbM>LcP0D%K@SaVj75*!?04t~44 zao0Gd*8&L#=1GlH)y&6!n33P6iH{M=Heloc&#{umscIHt8_coh+AA{yiy&i4etR$- z;X#jFRUH5(2NrW{$+c(m0|4Xz1M=HEy|K?Dmre(O#{o>bCj0`71IyTUEc|YhwG228 zEZ6)3i~|hDZ}X~?eV*;o7Gxa2d~3IO3}E8GDz=?Sw);Ihq%F8Oz+C+HJiXpQ#(_0B zul9Pyz*-zN)AHN&-Wu0X&razDtQ=U!^4;3tAOK_ztY5`_3HwNPy1)#^>Mk(ywg*bbtZ+H~B{X7^O{tp##j& zq;aYmFm!-tZGXE-zg_{-0S4*cWoQqs27uCmJ*=6lZ?hLi$dLWJI(-{Jc0fY*AiJ*z zWCs|s-{lAUwtI@@v6sLLL-xD5+T3NJ6dJiU!G3-^0KyKGvGox0QtjC%y@0XQ-*z>xj!c=B?{QzE?pu><>AUZ}0J3jnkORqQ1@-ns$V0fy{% zXVKXl?kSaCK-mGN?009Tsb5zLfU*Oi>~3-N0%Zr7vfo`yUV3=Sq!&P1^?W0ZsP<8;6-OV0u2SC{YrtEil0awH&a67=b{qC3ob+$AB;0_$&YD=>h`3FGT0jBMD=^l)^+fyN9 z0&53&cKF>|{UQKy2aamJfZ+AR;99XQ3dL&|oOO|icE~Q`>uJvFS%?ltqDA>9JUJl6Z0op+Z?cbN{UVz#`o+y4VU3-ur zmK3YurV(d80Xm~;qOg!cI(mJO9jE+=^q>(?8@>5d@b1G zZa6B&^Y`YaW@IbQf#*S5=}@1wU$%lExID;Q{vHjLX^Dbd`WfsACp}qS;mpcWoB+;) z4Cn8i(w1-pf#*S_KMsKP=Fmy$FKYgLsNBZN2RUv>v>Rt!W_+d8wCPfb2m=_CHkU zO$X2(WN826h-~%Z4uac*gIF_JyGnwf_aM{zA7|3j*UJcxT-g}}%LjQiM7v*be2_W*kG$?~lt-?S41(f=O!3E^_QTUb zP<)Un{{0p7e7i?3kqiRjgN%J2oHWKSP?{F7FUZ*USHl>oEL9IKM0G4=&2VGX9Az88 zUvLraI4{j!#}Wki1s9X`hy0LGspptHc)(wf;qSvt{X_%*g7Tiboq~eEzaZn^hqM}* z?q?sDUVwkWlGh3^E3ObX-3pz`!75;NLQ}Rb@e7U~mulcjn%0pAi6H zkOA;-W9W=HJ?Er<;9szq_f%>b5E$IYUV7R99V|hMX8`;g-70Y%v25?azhEiL zdrr~L2p}+6#+tMR+8F@`2Fp3XAr#$5$z+Q-9?-L$_EwD0WoIt%0&$oWkQ=^Lzh%ZF*t#od8*2Cx@m*!yIe zwhk@?-U~7BeX_&ouiWbof%ih)rAGaRatPQLV(j~5pS}&iFT~(S8f_bZUx>l)ll}TO z0KZT#snNCp{)Ob!tlfM=K)(>9-zUfD2=`iY^=b&<7wXIMquNCf0{(^aP~Get+-6 zmy#_8^@W)F{;t22F9hlf4Tkga_NfH#g@&;8KyqGVb(hYEa@QVW=KK2~c5aJ-e4%_6 z56~kA_=Se?@#olsf)JoDG@PwzqLnT&xpFoH@C#vmLHwokAwXYfB-#FhIt;VWlIvqb z0KX7}-#Gi&igC6~#D zCPF@mNCJ$?$U4K6O(do5I#S0bORvwXLD4kWXXzPTk9NXr{AfpLS;{Gy|F$ ztU0Wo*O}1Fq&+*km)U!s1=%dJzDbS7b=2xB>vA^av)Q(*{qD%n9LVOdElp6mDy=+e zI~Q`EjJ-KBBfGm&WAmVy$FZE7q7G<6^P!o~{c1_^=2Lrmk&?0A%*@etGar#;Eba!al*4S~i(Oyf5j3bj~31hZ|9^y&ag81Mt1Rf7DkAKSd z%+FW@WL<*BL#ttH5BNji@X#8zT}HO2ExC#`1Qri5i+{Q}GhJ<|pz+W;*6h+g!x;jT zhnUGfttmD*tDWz7426#8m#zm3o!{m4`O7W}U79n}?Xq|GB7- z`YpK-=sZM|we@K>s)c~%p{;C8D^<+H)*x9;K=Tlz`9JyAdRz=L4>6hlvr^wH$UMYk zUXZcRZu=nf5R>_5)ZWY`)?n!zWFDf$v-N55oDJ{}@%-y&H0R>Vv4%)fz;}q@`?KBp z4uRhx#_!KcbPe!3w2w7gdutU?f`(4-X{b-L$8#a@cZm7>vj&Q>${H$n4Ehc+eSg-( z6<~{j-XTWs_QM2vhX}ph&Ahl|iIp#10KG%|Sw2ATLV(#JnrBTsZW{t&hlsG<&9kWj zx>&=c6YzED0L$lc9JUyU9U{bb`)ZBRMM{?d$PN)?v&N}v0NEji>;h-}-7_Ijc8Dn3 z?ITvF{SKG=1!afiY6km}g%J2UR8O{k8bO;y)(B|}#tsonyZznuUKBVTlFJtC3FQz# zI@HA0y~#_ZHBx#3Oos@j-Tq#BzY3fV5l*}P{f&X@ApxgD$D~F(SU`1%W>YDb#N!80 zhX|{!A;<}e|%+s}^& z)>~uc_5kKE0khk`MvEm3U=B-Qwx^lH0Ol|Ov)jLeyo|TxO4TrcIh?`r?RqSL<8Y>0 zFJXXjn84WW=P9ZRt3bvAEDmS0oZww~IZhaC9M0henQ7VswlKgrOknIjKZqJ+swJ0} zhJnRl!eaONf!fs+1{Q}2i{0l7wD%r_fyLn-9A=LF07n==93~)kpQlw2u8G!o876Qz zOgQX5KS_HQG7KCJ6Aru2kL;-q#KXP$l!s|H)P26!2<^}S#bJVC_xUZU>R2WWEDjSE zyU)|>q?J)l7+4(6V-2nTQ8j?$Fu}3=o^)LUJPs2cyYK0*Yk#^2f=1}TS$l->8x{cLl- zRV3?pDC&zy*?rGGy+Hw%!#qFgblP7M3j>qG!^rvz+^_DkCP*(pUH1}m{Zw?3pk;8<@tWn<_5C$ZNdC6|7y%Ih=38#R#*?k`k<;^3OT;Li8HHRm& zoF5#IiviAI0%!Mq`UpQf6^^EoZ>LR$;b{;NO}p<~PQK4ra(!zUEFC76cHg&xecNJy zbeLB+BAu7FqSJMynu|uM8@v> zd3n@MORjGXgN(x~S*|aS3WJZstI#OpISFhWUd`4#S25d?%T>eR<1pr_om(Z_g~7&Q zVq^FHJg>CCl50}KAmcFRsT1!c3j>P71jX+A88UiWa*b&iNE{~cb>DwV`_6qB;2S3J zb>DwNn{W&Re8U93?gz3oSPlbx!*}x`#osR$-hz`s6VmPncrK{Wk_%A7;NCECuls>q z-3!P!Oyui+pg?VS# z_XC^tbhsO_%4f=Kgbss?!^Fkz2X?~$Lg^n|940PyKd?h@WZ>d3ak2Y>Ds6H*3@#4y zv~*&ndbkApq-kmQm-r6zHcPHV4FikAgvIVJWoRGH2?LD71jg{GMDGtQ=-m{t_*IH^*4Zq%BA}T%-8~BZm*MZ6o|Hm$ty< za4p#$ciOLQ3heac#B?JNYfEc(~qPW!<9RY5hUse&1JDBN7W!*M>t(Sl9r;rmMemU9ifC>pK0G- zi6CD`IA1@)HxI{Ja?NA}`8vY+`jNiIK;^-p2=aA=^EGL5R1NZVWCFR5zh*9iL>-yP z#yq39##%3H2iZD;myYJxT|xwzI>MRy5$dH~>#PmZ7O6VIsrr$re5PzM@^oY>izjRE zOp730NANDvgma|o2&d{tco@3clB+Kx$kh>=^m0Ekhn?GEr0WQ$>qlnk&XKSqcxh2i zyp17SM>tzQLbv^0rIuV^89}a&aISu25j(fVNYoKd)Q>FCog-04I8i?`uD?p;5hUse zC+fs?8bP9taH4+XV7&Fo1(uP8xNvAjgdXSHW4At}h6tyIM>wxr?etS01-|A7aZ}O%5{tpWPk{U*6}wXM`WkLL+cAXx4giT>lh=4 z5g-q(FAUIk+6YY}Yo;1S%Ifh*6Er-)CQYWQIRecQZrJv1j>u7nj0{O*1g)*(uy9xm3#aPG{T~4 zk!v?w(c`yrkAI<*cWaB$Ml?Q8i@s76@ zeSRws)-LkG)0LK7P}w>fXNi0Ki^H`GqZK`VD-X?%$KNB-ioU*;`}!|q?8*sfpFIEQ z>{}OLr*=oxx)9E3Lfrjjo@icXl}Ot~kS}KWRDGww_|_%xV!yer6$szDl&$HOj(O6O zD<@l*!3(4PmzQX7B5GX@4WWH~ru~|>))f#j*?;+NI!k@6G8xKB$gvPPHy-vX$X2l} zE&Op!v&yCIYRH-Ezg(d=x;4-++2_SC=hn55tz~O|AZndeA-$}Fd>zXVY1eJ*dT7?e zi~T~c)(wztVC$pgWwKQ%y=;V>7b557Zjje_D`3BsVgJjg$aaFYU)looTN(Agd{U1a zsNc${pVvLUN1%Qyqy8nn(?8X!l3viCw{m}esf!*rdh}L2$(J~KKz=Lt@|QAj^m0{W zEBg3WtUT#skC$4}$G37Hf2kXe@qpYTI`~#b{7YS(S`PxmZw2Bfu0tSxDXHXI{M?z(RLjI?pyb<^`iJ?B^MC3mY~Y1*Qd+M?)F>J?YDBb ze`y1?&9#~AKGk158ui?{G~G#dZ8s(1Gb`zZ{;rj z(pm08Y%%)xR_@>1uT1pst=zwV-h`B5$AwqDTi3dX!ldqmRK8#11=dyH2w9C2klLhZBL z@}SA%I>}uqPefZk$ojE$KdO_tR)h54AM*Yz&r8+3+yxEa$aZE_*y&mUUcuK`v^ww(>B-wV3HDk1^J_??UGEY7+S{pbbxK=M zWRxlLiH%xzje;Yiba$sd$0njE7&6KX`2-E@T^ZgK=>!BBqEfKSr4!pV*<-KJr79o;+=Dj#1=>DCdSJyV%XqnML!Z{ zs(dnsPQf;By0k@K66HSQ$!x7TM$uH*=)9^2}DBA|d3)uQ4QqC?|v`iKbh2dgaoyC=x=H6T*}9bzWula1;q4$_e4P)4nMhMM8*j zLik^3NR)-V-Q^)5Cq#Gg;bvCahr1gg?q+kEA~MUoJ*4d($QddB*JQmJqw|kSq_o$& zMbYs`x#JJu#m&6ap3+eX97&`swRZ}nj51ONXcE%g;gze#qCmH@=-#{ z`V1SXqaeyC_xl09bGXne7mY>H>qk$irs(dY+}#IwT6dvWt_+K!vybv)O$o2)<)hro z2bdfedgb!3DEjy)%@!m~FQaq6%$<9X@o!T}uKhB1 z?ePFEqies+U3;)UO#4YwbnTa^Yj-(P?Dg!I(Y0UZu06Puh}hd-nxbdF-0w5T14lDv z$tu4Lro7Bd8Qf)LEcM9w_rR~+hPPG`a0+(}hByLtz}JcdJ#;gHL zp7U9{{ajlN2OYyfSJRc0;vFcBaL_Rvw4M55IOrG-x`_^Yg;%aEis7JRbkOzbQ{{og zaLh42<`C7oYrc1|^ooOyaXJf))RKJ+=`5BmH5p2>k0GnYGWde%Z7*|*A*023S|r4s z>sap)=^t4w##t@IZ+?i2k&T%>gqF|c!t^I&+1wo)*LJQ!nk z&dZ3u-z$a`7~>Qe<~!~6-r=&^kpN@kS-wW!HL_r=h}}5N5q9f93XE|IY~=~Yp577C z4H96CZf~>kaN8KUFE)vdIbrnhj+DkofH5o!b(;2<0brHvGvfbXU(P_>blL?252^L{AnlbirEO+Mz-daZe`xF zGW<=DZ)UmvCd1g>(9kT4YopUfxY!oRc$OuKM-e$GjFXPGLba1sBU03Lcd=d2aE>ZS zwa3XZ+j6`S(J(OB;UxxZ@2t9gG7!_)_sV+Wu) zz?#}I+NnMW%|SlZnf9H@SPf(~?4RZ!=(?LI{U3syRshzgkFle!g{YQI`Nl)Fcak)% zgS_r$x}(p!_3M@vZ(h89!_x7SXHK0qW5R@KQ)W1p6xG!?6*Zoyt*dW5(O7h}tg-OW z@uKyGlO{}@F@D0#!p$2d6;(8q6&*QxsBq%=Nkye4jTQVK#rtdON=s@Q$2T6WayaH@ zwR?9s_&cri&)N>UK3dUGUR^Z4sJy-!d%%Ae(|^f*n1j@vm;)SL=$|{gkAV(B|J>Pq z401T>pF6vc!HyLA=g#h9h$EH$xwHEi>PVx1?&v<|oB57(NBU<4M)!4F)~p#ndBW5Q z6DCcXFlB;cRtMWNUnTVi_fzY2+{`JaE35cOZFN&|@kEEip#SxA%4sW)8CWQV@RaHY~;hfl2%yzGzk z*u|}}yV4EUqGSiZ)o?ALzuT$#LlupUCHpJ%=$)U7ewkq%NOts&ZH84te~vYsZ#eZ; zloj;gQ*I5O?jCq+lO6na!&^^(x6^LwN)J?&HR-{p-Wt5O!3gb5cJMol&_4RRopw{- zP+i+p(V$14c5C#ZQll*`+0pMb+A`?Rv8waUrm5zT9(lSRIdzk5S;o_Y97{X64{|&a zJsR(!`cS>ym1XGe4ddw{#xuw69Ty3Ji z+i5us75nwjvu_Rk>QUo`naK`)ukpfc`g3gTe8VZPsBfq!D`~1I*AF1))&qE9u5oQz zvJarxxHiMMHY6*aj;pq@iCQ_x zk!rlIp}eAjVbWoC)2o{L$!jZ&YgHYtYu@3ZMj|JMR2s&a-F3(0_keNjWYYao!#HJJ zJN<8~pnT)nS^Dd6e-l3;vW|)yD(dSRnu;4M8fa)L&qR+~E9^yw@nYe>?ZAc^FBTau zPW-p+Z>RC%B;&;?j-4HC*%;dMfaun?%?hemwRQKowN8x}rx`Dn{abhHy32U6+<39_ z-?~%7c(KZO+3DC;R9@0lQgp1Y;b75;lP9Z6YWEjamDdzC9xZC9C@HTy(p0=~+w$T~ zYm2I?Yf3AqNzx=q@uZ@%y7G$s6}3g>H8lxMWpx8hsWg>xb6s!d-&(aVry4J({rh&f z&v-fAcsavSmGmQw*909eYQr9QuQ!K%?vS&Lm%IOejG$Y0sC@m?fR|_%A{nDa+tw2e$Ym8`dBr{IOXwlL|v^bN~Eb~Q6D*bi%s1wut{4esTdn!lWk}g_? zJ63eCDicmA+gC6}RhQYmb5-9Xg~yrPfCmXrs_a99?y)*is+>T>&X_L3CRt1 znD9)ZKga6M)~-FHrQM+Eorsxk77nYzGFiQS5}_L6{0edZ z$<90dSB-asxc{`c|D0p-?NzUgwXYKE1BSRXFS)Uf5tkN-OA9;iw7#y+P6K&Lq?Z

    sRx|1g{gq%JZxBz_CO6pe;;DN2>u`!q^tF_?svDc)(cYy*`&5H?CdpM>B%Y}g&onre zceWKY)>qJsM_JWD9$(Xp#DGM+)Nei0B(5a+3Y#phETF&JsouuABMtF9HZT#cA+9VE z-!4yXW0)eoT`9g@?by=U>ZP=cXp5#|J6VfBT^LZm;A8xql%^Kh10$6zJk@8*f`jZJRAj+x?n1@z}w*4g?ltE;W7riorV-XTi7-y1J} zusXT%&JsUZD}Jz{^BtRgJ4sgVP$k$OY!d%9B)P%P6aSS@f1SVb%IXf)mo#7^j+#Qg z677Et7e8H@+-T>EpRT6A&Qn7@-K&VlIxG<@jTwKsR=lwzxxp?FZ|tJK&gbHq>e_>L zpD;WTt0CUlBYw3mxuGr;zuG{5o$nKxXu5|c%i5V_gc9(tHi@@(B{yKlBJtK9`s;k3 zKoL_ut|&PqFSC(Kyl)kY-z9l8u~__W68&}lGE?Se=`tIoMEkob;x8Q^Pba{n{gkzj zESHGCj1hks*ZHwe#nFn|rsDX`L0QA2m6-ohC_YF_Zi`qhKFFZI&R=baS%x|$5h~%% z2U+5yB)5hY;-g9Q*ZB-lTGG%^9q-4+CZaXOM^nVdNuFj{DLzgYA7^&H>FUj3oD%KF z+2Z3N9lou_bA8(SE7fDZxN*ZsqRSHU*M)z{KYm9a^6M0)IXbyl!Wwc(Q;hU1RHx@I z>|1R1dMJ5O6e!hfn&XA(+n(IG*OSYg{JZVA>9%-TRs8ZORO9yT7Uq5V$&Gshxg5^F z4lhJVbi%jZ(xfhayc+g>G{yUHk_U;7jpVbAf45!VbZe}`jZcog9m*=HZKPR`#2n~EHRvblqK_;} zZqQrFwI3tszh1GlM>-lUNs{tgn2D5x$*8Gm;L$I`5{7i-Jz0ddyaW>B4CR5 z+5lm`cqF;;Qh)d2G5&S_8Z51AqGc*a>Wh_%o}$M6;t65CRF&Mgcah5j{OkNR*i=_v zUU#fk4ST8@_DeOw{N|qIhV7v4|C`19>-^kUQ%U1NHRx$-(BCW(=C_RG2EB(|3jTGz zu4#~dh*p%Nh1es?>1x>DN)hIFmnS#uz2tHw|2p5a8;;aARUfL*;+~<#{oU2V{EsAG zlGHr@gD%PcINtfOCC`b})zT11c6&1uA=Bf^=6{?N=1-<}zOEbU>TJKW5`M|;Po@j= z_4+#y^SyJ)af2}5AKdwv|J5MVL6^$=L+LlH^Fhl5o=AtY)e3okgfKrz@{~?FxumY| zlUI6o0Hh3qaBE^m^v%OP?p=$De5l@7zmRT}>~z8IsV9?NdaOX_8}xj;R1 zZw5IX*5RjP$QhhARx}mwryu=yaeHU9P}{=@+QYGAhV2+Y9#8PE!znNkH$65{Q&C(~ z$4l(v6|+bS`;>6`3z8Z3Kyo;qf1Qq+Yr3iKmZ%r2QTrzd*ZGynjCv3`T+P1@cN>Yi zrl?CRDrr$nys5}`xI_*7{957qf-{+c4N}?C3`>XVPz0ho?sMCei+2m>TgTbA;<+&*Zyy zZ709E{7Y(W+(jOH)2~m5fg({E?N7+9R4d{lHH}M~lNv1b@RzpmufwxCiD0?0*_ZMv zHQGztgzK^0NsZP)UHfB1RgdlM{Iy(hTnTu!8t`NLgzNFrqy|hq`s3yN>+pa*QN1O# zB{e4+s~d|sY}psDQKNpmQn;S%lhmk(kVk52PxkA4)D(VkWp!npf>>+SsGp>(B#_*w z^T{Jc9mwl&znQ4(c+_@tUYCeCjk@_jf8h$HCAFdnk?9+$^y~0MuoiMd#o^+HMw^w_ zCxWJ!LzMm_l}U}65_F`Be$^c&@I=g)fOUK9W4n zr{99)&=rjlS{L!J!}H5LCuBcY$p0p<>5YlQr=xFOLcbjy?vmRb*Oye=Bi&7!-(A8L zbtXGt0<0)uYc#dP7oHMuT}^dab^H|iBJl_TAv$Zfz#STu;%c@~HuiyE_Q??T$-o_SZF3 zH&q>~F8kaeY*7#4DN4Lg4@>qrpbqtEx{RJ4(c#xcv>!qP-EgEwa~o-P_(;tW`(oOv z#{M)tW%9K#$&Q`!*Vo4Juftt;d+d!zN*l`>s_B72d2+WU!sagUYlZZyOLpAUWWIKo zehnSw>Grtk210eBsc%mN%`rC#*E6G&9Wyn)XU6ic!_?Ovb9qCxd`6gVsP9liex^XU zo)yUsnZWPa6#h9@cKYh9KhjuLtj4`ljr&=eTYI)L*>TfTbFOD8y+2!ZJMEeuCn~Kg zX(*TNdY2YA&5T|dlI*yLl2Zb}EBUwKnBMmjzfZGUjra;7&vRpM!!cUnt^GGe`y4gB z=L$MJOl)t|6}3mD+dXQ$&(W0KbEV0Smss^V8p=FZej8ZQRMBV;VfH2h=C1BJ8pAw4 zE!h#%X?uPK|B?fI#E;L<;$MfS{OHXt_SExXdAf=dM^6X;JWX#rzp=ypgyK}bHm16% z!d}6;PjkOnxW2JCnK2XZePb#AIy^kQHRc9ocvzwa{f*_q_5CTy44QfG`*e@$`_mko zJJ=(CKI+7noQJsbl$NUDf1jG&_xB_-e4@SY7xRxE%I?_vAwM5IljrhWzawd%IIc_t%`uk=*QCs z8nR{VSL1!PRJdMiN@l#op|2g~Ux%kYZVk7%rnoIwl^XVI$A#!X4nKsZ|aK&D`;)@;)`;XrO*bgsq6&FyREOtm^r(4JmaLH) zHRQL(2-iDJ$qkt%Yu=%&@13I^p0LqFCd#j9pb3xqk`py`CH4^IkQ)6vbP2vcKe^F6 zh??GC$iEJ!K|TD$ebZVsV^ugWyyUh+nyRmgR5jBsmKG-T;f9;*z zunCj?N^SbDeLK9$La*vZTF_F_)Tr=iLn3wx{jYRNKirwz(212kq|EZ+?%S+!J9OFq zHL6j6NH-~ObWLv51WPw)q1uh^$;pSK$yHDOb+|vG7Z@NL@*6V`(HqX}^=VCs3g9Mp zqnB{~V`_)FPW9bBpgodsO`iWTUAR6yocyR;Xolm{M*elU^H8HMp+!XW24LAJj;euw zN}cIvcOr0_==h8R|E#gY?O6?+ULsmv&F|5Wp&wI2|LllpF;CxtIv+uP&+@Os(~WBA z@v)YS{CFaAYKSc^hiI`n{#3i_{$DoomXYLlIR834Z>9P!E4A-goJd4XL0jbf{Iokz z-=oOy4F26#ee-*M%j@DT<)j+<`B|dn-j1&WQmf=&S2w+d>)tv1yRBNOtgfiBk?)im z_Pz5&%VR@s%k{quyITvrj_fgd>DOcV9j2&wogAfqp~V!5z)!1zKSoV3)Ghgew~Qsf zJ^0t*FQa#4z5ltQ1wVIg&$gR}8uky;M9UA)B|q$zBGK|AYJ)#AI=m<*em!zO zA}>g@iyHEeglKs^_YQg_ z)HLk1Sf(=(HTQh)3*k1W-hqmqM1H69@3wrG^0NhYb*Cf(H^}cy;eK$&ZTbF}$+2ZJ z`JF|-`5k^=Hn-_G^~tqVT=7-M=*`vqfCs7U14&g6qys6X z&l1rze3fz_Y3hMIoF&|k&QJbogDLFFjY)uVWH zv2b5Jl>DP;nN5D{_}AgJQUCfNO3L`*G378a)Wf)VSh&A3><%2p9P&GYe@QqDzC1p6 z7@6u}d}S1U6nxwrIE=aEw~&91;@fUgd>GuU8ms6{W96SeqO8ObQHSe(yokO`dnYp6 zJo4L{e;s}u{pTB4{E%>Og}yPNG_&l)(a^yJ`qDRM@4yhHWj^`M=bvL&(#{XP?V2Xo zo2t}{CPzJ*;BflF>c%_J+7^)C&GhT|bSh3wZ9RPzj$SLoXQi~HHl7dFw}87tTj{Hg zci>7H+_H!q)1`8`C^>U{W60G+`b~C-ui*3D&G-l!s#aZg`k;Aq8v8h;M6 zIgRBhsvMsoZcZ2X3`y1E#7BsmGsHdnvNSn9MBJPy?rAi7^illdL&VKlEKgD8_!4n* zwzzkbkuyfI$JdCPb674^Ile~R+*RDW-55AjvB&3#o4c_*Rh8po#LeBsy}RRIewOuy zPZ2j$IZ9~tgU=B+Q#n?b<8#E#RPHv$Pg3@a?-4gsx!Xun<@hFXGnG4?nQ4kWK1$q7 z<@PjfzkN~vRPHl|3|H*)Ag6Mlk)q1`LEcY%ah@|fL$Svfvzz;iFV0Ta&I>-7-F%n$ z;tIW;41k{j;)^?t@e>q310f&C@-$UG2=YPVi#zmoI~el8;){Fq_BI6aAvaBD+NPWM z_n~%q{}B2ztdO#N$nwSggLSXNARoqCualO;AsH_2AID!PHsr}10U^D=dTji&yiz_A z@{!{H)p{cx1^Fm(|F&$cl14*5T6}4*Q8-Vjj4_ap5nnoG%vhwz@g3>rvEtG7#z?i2 z@D=IiaV!_A9N&;`F1TstrOcLxgAYhI7m7zu(YI;yyU4Kd_2}mD;zDMs)<*E{=;k7E zVUpf9@%iZH3F5*sqt{p^JbXU7c_PbGR5`vN-8@NLSfaNDd_lT-vbeB8_m7WAH%}24 zDs?$NBHcVyTr_k!z9Zc{OobKp`E_jkk1wuSLpRN2l6@M;(GmfWlua;T->6|=fQrSxVTG?b3Wwr#g|KT z`2xrnh_Ce1Tkk^17mBaU)SKlZ$QOynMx~_qKO^ZjaA_H?J3wO8xxeW8lpjM5I>+iO7+zTKn0II5&&dbiEzoGvUp5i`M=6e(|aB<}ISlA?zGk&=<69M?Yh z-zTD_dVQ8aULv9mdOv`#kvEr$%R^JLauh%K8hLY>xI9buUk*Rz;_^oQxbThg<_dAS z-pHMy_`!$Dn=4tKqRRKf&wdf>Y4jPS*yC&E%~dQTn`>FF+2fPt&2=I+QMa$han-Y2vp)>^ zVG*08+c!Yoz;eyL5%NY6o2A<~LEgl2&Hf1FM?`G4ZhsW=qb%3#k3oJ+#5U>Y_c-Lo zMQle-$_VAWoPhj1H|w3%^5_BbW>@j-K05j} zDe~w+@n*N1^{HlxGy}iWdx4&#I+RKdTP4d8lZ0W7uO~mU5B(cdJ~{dCCR&}@&WKVKwO(@bRDeN z1JuodEbpSq0qW);aczOoLmAMS0Cg%!o~p`+!2b|&ZN3IECUBj~Yb*2<4P-a-#kE?e zR;NIAbC`H>r0xgcZVneO-qMi3?&b*b;%?m@>~4+}FHt*H1~?|zoywOAg_?v+usfA6 zP0UD9I&l;1PUTCZ^)>-^r5Og=t#UA8jNyE$2W zvyNI>pA6Z`fbQlL@y%M@E70AXN^Nwh+#1YoP7^O@(AFa}<<H8Um(6UCr!HyLEq*=9y<<|Zo%E=V(~5Ra?-m>w}5MN ziTKuDy?z1L=2G$P9KGfM*XA1w*pg`C&hP8vQ_at@XMn_G>em$X8{q3;fUuZz*MZ+ktukZ`CZd8#T$!f|DZ?`7%s zNI0%+mTUG%IIbM=yN|LJ+Q7)t&D!(@*H8ov{2MNg4UHnIxmH=JIK~#P} zT}z}cLY$opxYxGx%#qP zvqv^^<%u6m)$0LC$<>eLT0I~yx%!JAEY#}(dC7Gb&0X01;u?UHKS2DSyXmw}?=4R& z(vfSR_&;a0i`<2DMCB_(^y)%7at#)*4A#b6t|4$fM7**yC96m|9Y{y6q2iUzTIzQp z9Z~tp3T-UoLOP=Ihv|AeNJp;W;)f%2Int49g!tiDZLHx!I->H2n{<1mBl>>g54Y*| zNJp;G;zt8@d!!@R81bXSPG_oeTu4W*vEoN(^yYzd_R%C^2d9%^y@-8qVmUe`hJm)T$99)k7(VT z3t5TEA2(^;oC}GG%Ksww%2?8c#6;zf&vjMPz6<$@%Kz%6$B7i>nlAqDyy0r^??R@c z^8X&wRJ=M%j~{8zwM@La(HJ~lu}9i-Ef=q@*X@z^Tr0$@ zr;P#1{JjflkIGk1>h?%`u2teSx-T(K@sH%^S}k7FvBOjqu!xYk3yp1QR(nbTYwAlV>(-iv0K3;M`zZ6kym#m{row99rA`$F*FmWROU{|cZ%1iY7>2~U6Ah*ug}-zyCL5#USFkmZhIi#BVOOB z%lAUQSEP`a!)iOCT>1uQ#S^m0SvWsp1w;<0=zxq>|gh z{?aYL##Juf$jQ>WjtXpEp=@3WNu_vWIBi~Vm)v|mg!{!C<1)3)t01qs>2f$H-o(FG zqdYBsahU7&Y^q~`^l%39GvXHwEU$ESwdH3aKdX2+$C8#F;+HfC>pu`%w*VZd{N+M> zYg-O*XvyWR3$XtdAOkIX{#7^HdXU^2d8lQS_*Hj%Yg>*y)G}K9dZukCn7aqd-68$76o~6%we-+}^wUzvo0rJVk$76h zi|Z3;^F2f4=Eyp&taHa!kuKcDq3C9oeO2y133)X~r<=7OACWhPb{u zO>HPGNG&Zq4vKG%q(Uost`i}-GKR~|kx^)6%=JzB=5tWYv^%o14u!=^uSl z%N9D247(oCF|}+JZxzu=Trg6ap;v0zCf*va?+(3E%XY4Z&GJCdAGPcdZ%w7mw~msV zqcdvRDc+i*Z;sBWWfyIpJzj3U8#S|AyuF4tKRa4(jy|Ymk9d2PHZReFKB$HIpv30r zgIbEk+cZ1qGRDZw_rd2r@%C$H~pn0ku?$cXsM$1btA;er0p?J}p(^ol@Gowm@!<4ydJC z{AQYd@gBfI9T2}AqF?L>AwS4X%dXNINY07hR`PkLrd0^f?s)mz{dPBE%MG@78{&6k zv`fa_1@bQK)#VnD2=VS}3i!-;>Cg$GQ@p!UYxC|D$Wz4cvvs$rkf%~TWXOQsX^^Ce z-)|(hr;4Q8bO_VM?>A^;6?X>Y8Or9FkYtMAZ>P-O6dIwsH$0CK?{~FN_e5C(=At`e_Z%jc%XHKjmqu*Nx7f%0G=XR;_REVch8dsU&%hDo6kCo*@1-B3;c& zZe#%}|1@07&2A(DD*rTHmm?jxCyPJT=yGHP_Z0DGT9l!lDP#oqRPpEGLLL0OkrAl; z^CYJxM_zDG7k^%$%aIz~GsK_QXKVII4epuZ&-GcF90|fbOZ+88o6K}0M^O0}p|{LA zIFED0Uk2&+$Q16m;x7YqdyG2V^TY@Goo_cr9aMg>#c(Qs=w1N-3s|m>9^DvqQ29Z* zHu`d7)IsG32XsFeb+{L^T=RobhkJ?m;H2TqRN4bZ9qy$p7pfei4)-$g*9_f$IpSQ- za?O4P_eYRFfM4_BqCB^;yzxn)Hb8YF z`%`)2lf~LyJ~tr1y@OIkrd$c+1_4lc;}gz}Cnw213Hjf>i=;a>FmM9{sJ!vX0X-I= zfO`)qjyTmD%Jkg_&RTm(aZ;#vzTH3qDsOypB179^AGX*>ipK8hyo4KEK;@0Ui=Js} zl>!gwD-bt6IowN~fp7y6sJ!v_UV5E^5!~gZ7@*CSxPb~(-uU}aT>)HhSCV2xwzhNd zf_pzH`f1Y#ZeRnIH~zlW7?iJEX+Q^eH56H@0_@;EK#IHdY6m>H50YYso({nf?ix~* zIJMe6gi1U_isD?Yc59)iB}GH;3}qI0TbG0@qqhjQLA26dk$Mfw1(zAzMZpCiQzt-d@sOe$}Dxafb&mNac-BPio~ec79d9tlcSK0-ve^=j1guFIE_XMQ)R;dI(lfL;JA>G z=>ar)<_ptvkPnnhr%BTV+$cQ@gn7QJerkXfo`u4^XSLq;z!jcFq$tl&6R-zh;aPms zobTL=tJ4FdpwhgD?|aUjE_Vr_@GKSP7fLij^MEL*G`~>aO+_&ekb+9{-eLMl2UB?H z!-)5e*KRp@02Nf4_ZDimmOY>fD$RRm>kSK7;h_(t-Mc~KZ4bDDO7q?s`Dzc~0a#FJ z-g`!m3S{9~CrtYAg|-FI!b209i5db_c=(g31Gt7}$QlAtc<6(p_vPx*gDE^br8&}+ z%X2-z2`bGm_9YJ+W=ao$3D4au<@4RAhjKr|1EQePy#KUz!^Z=npwfIGMOT0*JljZ7 zpkLV_3eR>@oH6RvrTO4VXIEvpp$BY1rTO6TG;Is8g@-Q4}Ey=p)@0ZlyV$k3r`~yd8z`8;b|g8y1oT4!*c`*Z41zb=O`&M^eq4! z9{LO-DYPvB9G>H($kew0aCqobqomNb0C0HdqlXV=>01CeJg1=0wg7N=PLraG2AUoK z2bJbS{j?#e2f#t4`Ot7(0pReQBgIf{GRQ)&LZ$i8kPNljEdU3V=0oGOlxP7ss5Bqa z7v)$04l2!u_UT&yI4mbAj%caK0&q}iKHO95GAsZGmFB|(bp?RKqGb*#auuQl(4f+M zco_APlV{1}0cBV;7ZuNw7VyFvD9lF|()|5wX*P&E2WyZpA2qVmlpAK&U?>KYbz={$ zR)#<^gtoXVJ4HI}8H{&>C@4meV!YPxTce>EO^T&X?Ks9jLFn=5vh@Q-rqULAy0xZ3F^#s!)oXV;6!eAC3%$}a<|ws01Bw}>$kuCjCKNMC zk*e43EGTA?qDbQ%Yc>?KNikJd%zpQK1r72r>c`18UJeGBlXMf^z$Z42R79&5Qc72n+m4rFn6JhM5-llS=dAQhgubPis9X%5()V z)FKSMs6Vz~fkde^FO7Gms2v$d)Y?P};^<*YU4cg};?Ya%MT)8bl3IkMmv#zm3*e|l zIC`nUnWA>Dz)_2E^wMd43*e}=?Ph(KH5h1Ez)&j9uh30rbLt$~Z-YTCV$jF*VW$NK zrP2(Y)aFbrFesH~*lFbEDMtkcwTMAUAyfqz)FK8Arx^W|;j;w>rIHmwRe(V)V$g73 zZDP~fhl_$3G%_(u9avdlP%6!6fiZ4@5)~NKA_k3R8MBuv3NWZe3`&a8ssapZ5rdLq z#U^D7FsMZg8p}3DD-UK^U{I@)bL2Q#*?>=rKqM9)OU{+`eHsUFnx<#cW=T^Jh(!eQ zG%qh4I8WLEKdiHCC)ci8;0`Lyr~8oI;`y-i#!K_*p4!4FFQCI~umjluyr2y#&8G*E zgQW}Pra%oZp~lnwbq7EVuah0DMxbzMboug%7Z#ER!q}Zyh z|M2EOL5ucNc1XuvA?Qk$dyI7NgeB5(H%PmYbXQk(THMH+6}Nw>K2MZ_=X)a`nBp4q73t&xrL5d!#0({|JNVV2uw{#4!@Df;D*-f=} zYJ>C)s_-r*yPmckaKcMCab-W*o!ltxz!Ki2WH<7N^aqIW5=2}%Kz8RgNjngPmk8p@ z0SfcO%3NhW#tVw@uHc>7l>&V55e0QYQhP}H-i@@M-exa(R7m$;O83v@ z&`OJfty?67?MY%=N3_0FQsr>JER@5x0kZ_bGd2#?o#4L_V&{1l!88TZH#v}+5x5Q z=V*G()n%u2fSm2!qXdLx?WJV>Ts{Z1OWGk*dyAET_Q4;e=;tU)xYq2JcF50O%FoXY z*8@U+_R`|GfqDKS6COAx#5kq(fRz2&r{-gW~(I`&dJer^&4RKHi+As2fq zZ`Nn@lkSj;z5B_G?$WvPi=|l=qO58+tA?PO%u2{CeV;Tt0Oj^%9h4Mg8LUNK! z2h#x@sF1rn1?edzveS^9B|B;tg_Y6_u;M*OW_IV~gWN~-@jNYYE8H*t6Y${^wAZXs z?9~VApwfJP5uJv#DrpDu@DX`DKT8|a`2ZhOn$K@bRaad203TGE&+pB0_G-TXd|(hN z&F7o+Er21u3{srZw*Za!h(^BNJ5{||_xHn$pCnLdyRmFCwu z>sD3E!vc=@2uHp?L0hNd1CjW;DGq=lzV2i?mmM6C4uB&*!jZ4f)E$6Gd_CDgrL0(> zh>uX@>no^YCmfUxz#+a~Y*#Gp03g2JWJjyjT*GRl9U#QlhopW%KKoRfU#}7w zN{8*sgMzT->or0pbsx~hN9dC094dDSbny|oe7)XCZ^=C*cL{Xy-9;TkioD;8UvI$86WtAN>-?k-1~qbRGL@U7~>Td@)aU_nh+vIimDh71%b=e^~PW<(Ur5_ zKEMl=tVmH6U>M&7Qfx4WE>@cNM7Wv=MT)AJ1O=^YO;jC_$43)BSD74UH^{2PY>#g$ z+sR(lHw|0TY|d3$Q1vHJSebtE0g|X>g*q?gTYxPHlCIY3=Ms?Qqe+;n)!OYRA6SV> z^XduxTmqMTi=oiYC8)_q)O7WLk0xPW$kMj}S@~!Z zh7{Ts>rlJvNRe#}Q|3W@>!BbPBSlwL0Uq-akG+tiZvh_jZG=MG0#xQBDtn=;z6HR{ zN0Tt5(6#`e`Dha6g>L#5n1t~WxsgKK0`%tF%3WFy+5SLpKBBi5XfEBg=BR9cpf?|_ zEG|eJChb6PKH{_&7En7)J0|S_X}(?bY;1pNwj1?9jP}A3GMjT;nt{=LduVu@C(VFp zK0>q?R&lF8AMEi#R9uFT7jY{(yQ?;cv zK9Cxf<~K(7QZd5^So4+Bu+#36!D>EYwQnq@Jq|x5_Xt+=Rg!e6_5g?v%tod8jf2`_ zbv|I5kFYI%$iOxqVcWG#s%Xb)=@{7NJJ4yv*wPd=59Lzr}9RS{Zb+jKj2JqFRX6uFd(o70xwcW)YhV(F<_bfRR z;sfAOX}+|X+)Xwe(jO?#$7_6Se@)o3NtoZH0itWAX-K;x5EBi4b4t3pbjb%I^c|%= z<;YndA5f4=^P5X)PZLe~jwT;K(09CjPXIt4-E4cA!E2c*r@`ClL4kxKKwXK1&k{n!(g z=6_GpI$giPuBbHsd!5!o{av6S>inM(#?)Eu{hlA>NhK?~s0sk6-%0bPvg!K4o>ZFu zL*QhNFjHg{AWuJ$=l|4dZPyR-q|*GKBU$Q2;RktAY5q)q-;p2WNu~L-Jgph}L7r5a zKg-V5T!B3OM4mqzqP=3s5Avka{24tLr_8AO0iaZ}LY->$gF&e@e^#zdp7_C_RGL3K zsvjUw)K4h-vvb-Q!Vent_n@m@wsJoxluGkeUU@dgOqEp!6!jB|zM7-&5;W?kS+Q4# zJJmO-_yMIEBCguI$QYy_?_1StqxRgrs)l=F#(){33D$Uo%YxCNEa4D7MYfE$m z@YGLu`r2ykaWOv#l}huqN_~p~XmvEl_F9duz%-km2==uGT>*&o4-)3jhv*8hte>XY zem+uH48cAKa(`Z+E5Nz_p`@tPj~uw`&ll$Fsd}Rs23N$vuaDEuG*H-2^K-9H(wj1f z*gt|48#FBPkAy2i<=5x+(^@QO**}VsP?|XyU8*0POr`mH8TB~AOp~nxl+CM{>zu+se zrzu~3;RjArX})nvyM64R1qZVzJ;*fT2Vzrc{vwMUY&NrH1an|V@4hHVldos+&xM4@ z`xiVzo@3@nL!h^R9vj*<59an0bN_h3yAl`LkSFC|MnxS;2$eBYwIGRfHmpsKk*_7|A@PneMG=I5U?+HNBexm4K)*8K( zNkBg+no3p(RRN0j6Gi{>u+d+6U8f%uO(iST_jvk2(Nvnha_Gqb6zwO9{^dD6Dp0ha zDEe0^x&jpKXNndZWL<)y{Y25f;)gHSo4w=-21fe{qp24kCoRFzewqdS)hs&0eNFi` z2memgA>s9P{gsn`5H^+O^<6p_?8X*E+ShmM3c$914=MKO3P87?p!@n>T>?p0Yvv7B1OHf0IBGB@N0 z(o<=^GeCP2r2jN(pP>Go{@VPaA5c%F`A(j;z}XL|r_y|9b&5J6>j%_RX})tHr7Irt zmV=}7xTdKz-#M%+0QKhy>ffnL&sCo9JrAg-(tPJ!s!$bx`ttr z-ns&Cf1cp}H<>-uaL)tpsWjhNvRz$kb{=?7rTNaJI(1(2Jn)`M^Eb2lY6{@}dBXeO z95nKj*}e0?dn#GsR29Jc^Mv=mIo(6sc{ZPq^Mv=mIhv>K19*R)@cy?W^nC#D&lBF0 zLfZ%M{ygFRZ>Q?}0N$S`y#MV4{WyU4=X;Q%%ov~yna%_Asboc(ssQMpC(!?Gxi%&| z574L5{B46a!aEPpr_%gwRX6R(0s7|&^nZJj?p(AF1&;LKJ-aAT;<4X5rp{l#l z4S?`K1B7XK!-n@j!-Mbu0TA90j_`({2MITsQPpQuRgJb))!pUoj?TWP(P&09vZRJ1 z>PfpKhewA1D4jRt%FJ7V6jx956d`H9_--~jWB$44RNPxPBO}B7BH~8oP&B>r^K7F* z52 zJ~-0lfjl1s&;JfP+sK2w;vqai19&S{9O>6y~vWcJOl~x z!Lb_JWJT^+(*ybl2$Moa6j zNg}@%v?_=olKwu97*zc~ThZ^xfU=IS=@0v?VK>|CZb$6q|$Uy=-q;+?z zsUWZi0qpM|^p17Ck}ybMhqT^5Wz2el1a?U4{gcK7K1gndv_6-S5{)<>2Pg5`g|!EAkXyha@q z%gxqDs1E|_f4|*qeZ=}8upV==LsD_c^+9m`haOb3M=W{gMQ{augX=$hz~^>;fW@y1Deh9&RA2omfetq*Y;)$M|OA6&y+JR-Ru+Xun+AL508_B2c0 zeGw$tLs}o=6)kQT1pFWX|M!=N8?AtdA6$=r4Drl#?HL3K`jA@D!>u6d2R9-&m6mMg z2MPI*)`#nmn=RIOskB7=;ASMR_4(YnA?XLV(8Z&2L!|s5DF4Gva52xCATG%G!AFt2 z(YzrtesG)H1?fHry8rM|x|k>~$o4_7{fApk7i9Y&*#5)iW|@%fgJAm)4|(ReJAATz z5Nr=cnOi}&5AKHI+EDk_SCEJgY5ifQ*>ni`!M#vCVg&yOiTaRQQRUiy2om-otv_t@ zY<82_UUW)YF`gJArR%$Lvv z$@q}gN88OCB;yCc_#d6}x<5D(B;!L`A5Ss=LDUZd^*>%X2u!@ZOy24iA`?SepYOpn zH(Jx=nq=Y-nE3O3J$&U|sRZKCaHL){rko*iaR?z9%PS@?Q6}D43dRI6VS)@5#A0FgCVUia7NUwvg92*AwqBn5WKuX zRzgFBU`XqW8r<>`OI}42A_PNPUsM=J0Yik~5SOhb*das+hP1w@!=;v4^5TdPAsEv7 zVzJTNg$Tir)}Ia;$K66?VMwiTpF9YWh9Rv#o%4)vo%#%sh9R}0%&i~|hd{%Bx@?3k zgh<1XTHy{`2$6;%tv|i!nc#{X2$6;%wZa`z5F!mjT3?l$HJmgY0u6uF+fF*SF#hXg(*w~s^r+YVn~}!3>k!cxQY+l&u0sT5 zNc(RdGNx-GA~K}?Up{vhA|gZDcD2ua1~)`RhP3U$X5UOi4()@ zr6D3Rq-`HFm@`B~hO||caYQvlM256gA0uKhL_~(PRZrtJt|1~aq^$<^D|3ZQh7Pif z4|;9?I?o8#$-@v48B#0ex=v|^h{%w(f4%t+B68?36~;e~@ES*;K=_;MwJISZGNe`* z{~#iVjzO`}{0B)nbesy~A7tgw6HsjOjBp)K3z3x}wZixZSvhnPip}Of$jYHpR2cst zD~C=)vBkV|vU2DQ6~;fv%AvDRY&HKuRt}w`!uSVSIdmS1N6mkbl|vV(F#bVS4qb#| zoB0p2a_C7ajDL`oLzke~?it}mGO}{$DJqPAkd;GEL$SmB2U$7v3>C&d$jYH-p?J(J zcCvElIj>zm+Sqdrk(EOTZSj>^lY~J@%b^!wdmaO6e@oui5xUI!borij)h9vnAp&%` z%4`2E-bOwgpEuSPVS;41m!4=%r^7G_64L(LC2&}4$-5@PzVS*#1{WZ-! zJ1lvnM3~qZ?&~rnFNXWU5QhR_D5t8!q{VQ5Z76T^2@?|`?XT(nai=Bcs>6iE@WAeS z36m4U6JUr>1rE359AB9D5}xR~7m`bO66_FFYd>ts0kkmjB|O=cMUqQ+iq{TKU>4;B zTA17t2DjY5X>v>WVHoP=za7?cDMoTjxYl(q1eWkL80vu8ot7Lm3lmqu)AhZ~m+~g3 zgjaa&&=7qua-uBEu`i4jq3SBx`3!T=gS12VqJq86l9OX$4tbDvXqgfA6XuW?#)43_ z^WD8L$2v$mq@$J&SaPN;%#kjPk?y`BN4oGj7@nY^oDmCiqzmhv-U`{A40DKsv_ofM zc*v5oU}27Pkap8$Pqph1ZkQt-q*j!<6&&fpn{gCju9OM~y6_gS-SPlD_qWzcIdh;3 zZ}r;EFB&g$33H%>v|G)We1|#ELE5c5j45oG10AH@y4M(;!W`%z?bc%k5`;O>LE5cn zO$7(K@J{4j-nbLy00(Ke>D&*oxf9Nxkh-k>nD%i%4I;jL|-nOzQVVGM6jOmx=>4sT%$Z%~xECbD4;Z;*DI z`PtGihc`&O?Y#LwIlP52yhW?bD!}0_jNvV6Mx%u}yg}O03FbdIyoE8mMa|DthB>^2 z&)man-DQRo=C~Hd2+|?PU&|h_)=MSk5E90g>Q1jrO~V{J!Wbet&U?!GSsTQT<3spa z?Q^TN3Jwh6mtcnwggN-a2p`=Qk@u{9-pOKjl_@u1_q3<%A!`%u8XT$pN#E1p(RK~x zuzNxK+bk9pOs%+wkAjS#zlNSn=>t2o+kVy-vEu;H@L=~nH?Z$-7zDd(+TT{O8_a*> zJm*p8ejA1`Whm^fYk!Z5T{TmyyYIJQ7*j@h?M|=uCx`ADI8|;Kea|}S+;2k-?Z&~* zr|(w|-8GD7>Uh`vPGHJZ*i~wOa_FvseR{*g_wdCM=YH9pH_U=vmCm1>t819ef6vzb z}_&eb(6Vk%xDebGBn%8wJTh9$7;=PB!N$-%mYI@;lF(JP%`j|R@F z8qmjg4%YtU_*?_K_yz>?JmY+`rGb5W13Ku=A^1Rx3 zhqOOAK-a*&vfKbPb`T9{)tzH?`N=uC1~%!2W7^+dv140qKy&Jxr2WY`xdyhS2DGIi z-f3dTw$uRV>YS{fuN;SKV5@0(TKki8d<{gchRd*!;AOuMHD%0)9{im z`I}|1!?d=ab(r<)=d)1P1O5% zxuNvAz$~=Qut`IogsVaW`3?Z=yaA@e2FhWPQFl2X`J ztN3hPeiy`!_39?-)%|j2y=wHpZjQFQNV~=!`rnN`^mT;~8of+Ge;TjT*L_m#*q=6{ zKkX{#M)s$Tx?U~S_aUdV8dq=Mt$i0oA-1r)dhYu=Fvg9aI<9uFszV;^zkc|tNQU^Pn!NP2AqTP9{`e{$5L zaR;x9xAN`t)=IfN#uNZyVy3Pya&n`Qy=>zi?N4a9kr>#Bem8+HJuSB6=td*^-A44g z<<8f`8rknQf{haMb^hdpMk8B8;}fp?B@s1ZGM-qX{Yey3BYWA#vwEDC@2E7MWBxGH zPN1F+vgL$E;{~Q(aNX}kreN-ySP6f&oX}`wZ`}Bd9;coAWy@;BWHqr0_iOi%de6CP z<7LKRLe8)QfhQ`(AX$t)|{gLAy+B^^^^^C04(Q?Xn5&a*D%YO>CD<17Y`=_9s!> zO@sLFL3*5)ajS_fwW%6*J3VDJc2C}K(=gf%)Bc3Rnm7V9fpZdo-NkmL*pYLZM(c4} z#;v9r{uARuV!zH`mDq7yXqu?~$-JzI<3bbKZ{o1_*Guf!ew)yK2RP$L6WedoOxWR_ zr-SU?VmFIdnWg>7QNkvYPt$zZozVXJh#gyN(*oW9$yZgH7V@8Hmx+`5`93IiE0~IQ zImHPjZeqJ^dIWY@f?sU+6}z>xTdVsu;o>HaC{37(CBVglY>8QJVykI-O#74e*0huV z-0QWIk1Dwk!YGQfk>i1SZqsdZqq6HJJmgYG;!oJ zOB7f$$D?M9M_uDbGsmN5EafCIZVj>})~lJdyt%KwuJCR%S8|#$9wis*>q z_`a*0n>ijegYT1zv_Fa4Y9`+|gYUa|sF^LHc?9ehYdZwPop zz3_80>wPooeR8e7uEbe2v)(tO-gk}D&8+v$OJRrpYLG4AKFu7ZnwPoCc{y`}g^?ut ztFf$C&6}9Isk>e^b3|#zqD7MZl?3iIbH$>0yB-JS{6I5DlxFZ%68+T}TS97@*&3R` zS5q9$Zr;T!V6`C0{z~Fzn%Nqf_v`Ue__>*D1kD&{lIX7+fv08w6_#Etj?Yxc5H7gSUK$)e_PnzS}^}efx`ybvLD+rfd3xQeZMUO znS%C~0*BSvv&0|UTT6BK_}jwv)`Iqy0*8&ZWz)5V?X3mvt?PbU*xp*u-csPOI(v@z zV|!~E*FFBWu)Vcl*)#vJiF$v_DzPZdt@s%)7hB-?ySJi-*T-_$XkKUv6bVf$@C`(5O4 zb_?5Y3)*k$l=dg<*ez_oE!(@t-xjvt7Rd|(sp6+p>l`X3k^Yb*u%R#m*RJW4iS}{LgrI|1!vy^}klqS?i?kaiNtXRO=kralDlEzgE`rR?N>A zIo#PgkNy_H4*g4=E$e@+tmUnXyUV$C3GM1&hw*ZdE$e@+OPRXVRnBY)tt(-N@p6#8 zS)Ru#+O5*pm3bpxkFB2&)|=9g=<99~yY)=Pe!%^5=8UOzGwd*4j<#j}uXPLUwz$f9 zD^pPK(-<#D+p_-G%6i|*dN1?FR@VDg)cZ8v5l-FU0@v_dA^}kkW4L7>S-!@*S4dYB2 z#b!)XK8We(}UjO%3&*s=!LMz({r3-}goMR(Lj8xarEE{r!7LzxSV zMTLh=1&5@J^frU<#_9~&No^e6lHD&8(!ORC^j2GSZ!>7Z4ceUM{K1>Ya2ly zW4!j?@y+l04rFz*Z7elo@8Od?vKrRLajXra$KMV0Oy6nC>SP;7kG4g+l;stSZERO< z%V5V|O;#t{I99YRcllevE1)+2-Bj&QRwvt7o7=d0Dk0r%tj%qptG@&7&aq{6vW<1O z?U42-JAG}B(;rr){%(n9`ZiluC)=K7DpsYgI$r^AdrqfBuzLS>FLn-XS)GiqPmEOP zUAm*JEfLlWNc-z}b)L1_mgUI^D+i?g^?63=Mo1@-Dt%5>UO5x##Veto{kr~|`%3#5 zD{6!tZ3G={SC%5IS`kcSzrI~(Nfs_6qxp9%*x%1m4O41h_Z%Fqv1R2l!u}G{{`#|K zmiQ1OIP!5nOMHkCEct(3pDtNx%bI3{L=wT8|8{2$GBSae!kX9rc}izV)-)qimve?i5&^v2cR+ZF0ABvvMK+G|2W(mJj1XWVGhMa>n8;kO{ofzd7n23g2)pgb zJeS>kULGC!e?O<~WWh7S3Kv=IvSUXcK^6Rl-srYv!85`t2xP73FTlM!EtoeS58E!Zz1Gh)j`9RFu0FB&P^Q5WGvvcrF}7B3r!nKTkIm z97`hD~*#*Hb>Y^K-xdpY8(ZQu(ycp(H>+q zDYBOy_QDk3BDF5s7o-*LWAZ*EKV}4fMfOv%-{s)|Q!t+XK!@F4v}J)ha*)aBn0{cM zzmBkPiX74&r0J8W6HjvS_k!$>}0-o+6ru!iq*&hbZ%GUX^t`I15V zlHA2HCSwTy;e7M?auknXP4kEIj5lROIG9JCK(FGp`$`#;bR#EViwFn%y8V>+ChkVC zO!LEw!+Q8!)$kNAiuviwgU#o9nu^m><%Pzpgd&^>L)tH!$Fw4x4@257Z#T|w zMTj(z_RG6W1<5Az6cmTdD*iMtjwRfe4;sM@k!PsDX!P=d-tJRD5e`U@_RHtZCnn<( z=;`HWj3C~~^K^x2`O9aGV|Ed86r}y~b+Za{su8*DwO@JAII<8SV?o-lR2V@Ek(YQ4 zERDT_GIRk;%ZbN&TmDoQgIcEvF0PaMg?XyuZ%E06&T^f2GV}z5hLg@a)bZ4 z0mT|47$?dn4{5)$+9;B!NB;xTer1($AUjIvgS21SViZY~>=(r`gxZHJ=@_CuI>9pd zE2mNI%j{?5a%D`$Eb5h0UiUB&$>T9&lC?NvU{?T}&qD9FPkoJ!zd#1Tg{6w{1l^C4{v9b(CkGD{LmpH5W*& zaL3a`2hkN^`d`g&R!0X@0ha#Pm&`{xgo+{PJNnqNk{%^RL)x#dM&B{iepVhS89Is% z@73i-@M@F{9UVp&eb^aBhtn(Q`PJR170=kR>lP(DL)x$IFkOtG{Rpr9Zv)KI97)AU zgf|ILMn^FLl=p8qA7c-+pO?%L^P-p)|Jw^@?XRJIO}7JbUKE`7V;>#7APz`*Q7k+C z7*DOzRWAv9QLOa(oD;uMavr4pV;sG)uGzA+7bWaL+W&TAK$WZYM<+516LA7Xwz{K} zm@o+r@Dgk5m@RvL(aB5(E&h0*F_1+GjL|80v6C=yl%NP{|9BW2T(M;{FiKjC;&s`+ zGT9`J*3xAy4DoVUd$29LfzfG9o`&Qx=9Q*XF&(A#h&;#{OaOrXSVv^`w`H3!O8Sh> zgxy18NBE4+g565wXp=45gwfgjJKjj?^U50>qFhjew10d6hR@ovPZ*s`L%{2gkC=^v z{2HBy!jtvYDDf52eoen{d6_L6h|vXfumH&ejo9(%LMj&OLXo4KQO>p??bmAHVXKm@ z#V83lilq!+4+*V^l7J!Y*APdk9#FEk7_FnnIwa%ezU~LTlnN~Qy|%_wETcjn)9oq- zVssQ3{hEFO-3--3YT*hRuF!@~W(m>Jl`z!U^q7+U$ml8>u5x)?%@nL7zNX_LXDFX| zT*KrwNH*Vv6@7#X(D_dWnmq#HJPJ7f9|w%lI7&E&w0}C%R1nUifb*YTGFva}BIRDu&Q$aY7 z0?vOn#;j|E^C;l_XSD{LM+xVU_Rm(Dt_bH*!1>S2cmG5Q=aBaQRc^L0!g+Kj6n#ur zWc28+?g?0wcplvk)1A6M!u(0e?s1eX4Qc=1CNxJ6@}YvJUms>ZRMK?x5EK(l1)(|$ zsD6EinIB?x6j=TGDN}KT*T4ql>(7}A(sdMc{qGBm^JP);HKbOQxz3kG$=8tfzn?b? zoO~U{V)DN~Wws?k<qlmsS3iPwZIx@sQT^Q#wRyp>|G)4w~raFVx($F`|Zb!4?4$4)sXhv zFB;D&MyiIiZ%s7%)fm|t(!Mp#RFJM?pzB+UO;@Dr80h-eTBCWyNY{||ts_S5iIJ`$ z?OSFgiIJ`$?OSGt8zWsq+PCq7I9KV$NY{||?Qy1pbR7d--@#nQ)zV_5Ye@UfIOCPY zG14`pR=CgH#z@zY_MHjlos+I(pzAxe=7S<#$3WM2<{BrTW29?Ht#E(&D@MA8wC_wc z-ryJ`UB?h)<8!`e5+hba+IKMNw`!F{&%_ATF+lZwLo#&?Onv7W8cO&~j7$w_-+9)2 zUS#UnFeuD%Fh;VD>9^%{<$+Kg1605BAYDtuO>Bg21d#SS{mhagQpZL@VSa-kMzoHN za=9i|$3WHZ=r_LCDhbkw)zCFoP~Vwkx+Yu4@Q(NUnIl%mfYtBl_1{`0;X5%xHKhH{ zdb9PAtz%&8ch;Fzo^%}pUH@W=S%*m1v58RBnhH{O43zzg6Q+Wk9m5fvt3Cnp7y&y5 z(EFc!hnpU0C4MMI){Ef;Y-Ny8}=3oRWa2*g+Z|#DGvH zOHeT)2c-S(KICDT8X$QfaKs*mVWoUXBu3JJwBLOKhQrlBF+4(#;EZ>V8#A&PIU{yd zdyp8r7y$#)e)kMK^j3q!1MwmTym4A6=175s)*kFPf@gjBt3mj##JryHYK-%wK zg=?RZ_^BAF0@8l>iotg=Qbp{vE(dFfJPh(g3_S5(54b2(LnL>ki5O_&J&%E8G15fr z9Hx8o!~rQH28wtOFaNYps-fb53=z9yZ1lkc>;C0SAv=af^ zF?9Yv9?#f1C9zuV95*5DUsW3Ok#>%okoK>JdiuM*D&5X;6H+U@ZUx89c8r_9s`ga7 z4s*40+=SE$uUo-!vmN8+uSR?NyUyjebKHc~3isy(+c|DR+P|vtRJ*oJ+c|DRYK7OW z;JDd7NN)|vmT5c3&2|iLzgpBawHYg=$nmWmD@VV&XuR#Yox>cY{j2L{VT{nvwH*T; z6h>iiplioK_p2LbVQ`>p#~Kn8MqzNgYsYx^>vFR&YIqHdcu*LH!4a<=t4Y7EFbjhN zUpodqD2&43(APc=h2fFjtDR$BJJx-EUDH(<5_Q+kajAXEz5PzV-aeK2m;=*CaIb2- zl-XRSB3R>o`Oag?LfGxrgOmi_wJ%~Sf|RPOo?)A4U(6H?e7_xs*0V=R)LnZWlk1Q? z)>uGo=it|laQND)%i>`fO_#wGZ^*YUDT&8xU(V#^NS+aS{H+r{o+=8_4KJFR8TI5`V!&f_o zFDQDs6&%3YF@W7YU`#;UIeiIraXI&VC@*e?&6(B)<7jOjO`r1 z+RveQhsar>b`D^W_FcRu-0H6+h_RgmSUU!=A@ju{2e5VwV0W>ZVD(iJ#MsUO4AQ=P z%=n&hJIAs1OQ;Gx$A||GVeOdn-bE*GdzFMYwsRb7$BehOryOf&=MV;I-@O9EDQc!P zC5~h5&)$nqE*mQzIfS)iz`A?gQ@%qKf zN&9nh$dRcX!_fN=XuCOL$5E*L8tf_@I}ShXH(=MpQ@&lzrCo<3^>qcaIylO7^nhKt z_9yX;9bR1>JG}b3Qb9X>Ou?M-{VHuYUp$pFwOn6!GViyef+?5~zTaD4cY)YdGPP3s zbFN#(6pUK$_tX9siXDfnj^6hYTg&7HKph;bIxzCQkGFkHP>aNl!%j!Pdx^)(B+j*i z<4wmP*p0&0zmoXI4h|F@gS9{TWJ?F z;r&UTit$R~8#_2Abd1#3mEO05gFy#+@b@3~l&?_|-`K(KyJM`r?hGjx_S+qpfV@9L zUsvKAJ0`LeChF@tg-2&}T;u|}rGj;mC z&WUAmUaMmUQ|7{MkEe32lK93BcJLkZ?sYCNn=Sd9PrF61+v};^qE^Xu*}-=#*8XI! z)3JnhD`2iTF=lp{v(|JlF96Q)=b`boXC&CW11Kj_I zCt)~ENr+N96Q*fbX>o8zzHqyAjo!lVfHNi9aR$J*vX!v z)7R~0HZ`wAm6k%sIQ_zS%!nY`K$2(bTI@yXlc}hd#+dA2bJAqpt zAxeI+k|@Vcw%N{cxMK>N*jK&_9KF@w9s-xMZK(HV67vAuRqhasZS7ppyD$F|rx zL*KFEa3=qW*7?ykI@~LUY@VHnx?1Fw*+(avXXj!V;uw3K+9!5wjGaqdcU(t@Xr3Rv z2#1H2L=twgeRi(Y4&~fxC);Ny=;q@x?NA~KJJ<2=>$>ll4Ym`_^W$>(JFX;>u#@ex z6LGQk{jq&^Vxi*Wf!d!$5_WQxqVqA=9ka!DVh!PAy?J?DNho0_R}eb)-LuC#p^lyV znH4M)e2gL-uMW!{v)OhcfOd*AgX=s*J2d2v5h%Y{Nho0_8*(S!!g;?guqAh*-F>V- zzwm^TP{K~OyH1?w81J0?=w!R=1eSdKl&5ThIwlupBkKf}jBz6GJ4q#-Xm%g#HM!GD zA_hCz?mBU#W4w0(yP8h6yH2peCn)O4>Ird3ROo!RyQrVzjer0?ser#TO2Ps=i2$81 zX@5>#AOds(0Y0hml+9Hq#UBx%^IEq*w%0gD_D`^R3x5*%7x(JR#1VwiRSDy4uyG98 zpJ<9dqa^e%UP(io{TT1W+QvC%$1!Gqf>`faN<#nQY_;*;_b(jhkR8X=?-OkL!k>ix z#o1`%1G-Bv&KX}EtD2wSO?oht@V+=(Z5)TKrZ|V$;~bvjn2LRZ!$*tNdERlHjW&)2 z$F64_=L9T14t5*h@Pv{uvpAb=9Fdh>r#j*jcyAbGKf!5@Iwiqmakkp{RF^}Jvhf+P z(=T2;p(JK3&So3O@sC4g(%|E>=x~h^YnFOLp{!Z0Mh>SIR3stNnlod0sp>0=UkXMzK|(( zFx1R^L`h&xd?}NcdhO53JVPdR&nDu_sK7)F3U}C8oKvy*a@Q@apeg#8&vZpSt0cf9 z&OQdx{;a3I1t*pLOnenmhZ!rI@zwOQ8j6voVht5*pcrc^9--n9T^a&k@wH4rC-&K7 zs&sc&M+CfFf# z5T*Wt{CzW%H>2hYgvQw|#<#;xujfCZE{oj`+U?K|PqRyivtNX?KgTPwk?|MBkey>3 zp_ljT6>%nxMcdEi4kc70zMCF*BYBfqMti8(1I0E|v6l+;yPxke73_KA`&`##&l?9q zeXiHUHYthvh?Aip?a!~89@rZ-FzNjymWFq04K zWpbIm#E&oqedbq3^$LWnXQv5i|5?AFYpjxZkofcb`}3#)6%r92 zC*?ref7YK6oS|fb8^27$%Si5P=KVz~UesBVnN6G>aRQ5Df9+al@k{7Of~#W*oPMh< zmu8ya`~=eet8V2p{1W<+Aju^9>ukyKvIILtNc*p(L4OZcgams=Nc*pQjBF(a>1-vi z7Pj4a;Xz_BQ-*of-_$xQ2Yw0iNDQZDI5cOC-J!%oRNzIhwdE^W9}^>(GQz7YJ2kjg?Fuyw{S z+ja>K7Kw-T)3pXlxz^HSEiCaJ8GD3Z_U#hWm^=;1W*9<(^S6Y4$C`sd2{L11rB~T} zAv^Y#{IWxrV9%OB3{S07#}n*QA(hhneBIw$ijW;@VhxhLW@TcBnm~u@tJov?Wrvzr z3)7y+@AH1yZ%eR4g;c7-hyhKoUrnq>c$KW_B-o=uDy4T5pY+QjXB~?i;e3PV9ljouC&f-WvDanD-Z`-kh6`yZ`$q|O(FyFU z-S^1eIdK4ndSj%rB3mje zjV;7v4=Q@-Tag8wq?ai}Vfu7eKQ5b5$!cn8J?wU&Uo?1 zt}>}N2YiRcj(ubjJz{trN_3N7_Ck{E5g`>`-01$4Q<6Pm5+_Tm`#B}SE-{HNF^t`1 z>zIF{T#8*{5?x~WtbQg=D*MCaCZwJ=K6RC3w+N}i7s~p%0^gJD7$H^o$YA&QmSq13 zslwN)j4ZN;Og^e_QdYT=>>`us3mf#Le5GG@VUp|#ljsR+efy*|*b^pq!f-qcAMwj( zOOpLwa+fY%S!PYL%S)c|s+(9=9`2VdmE>7ginCtTc;54{DPo-twInYx`680f>Ed)!pJeKjNIg?#l))t`u=HPDA&Xu~&f6hX zn+JYR`DObh`7{lmM)Cuuhi9n3CbqBYtP~FEH2JJoMc~0c;jfhkO+-!VShot9wj@cX zkSd~C^ps!rGm=D9NEPX8UW$;KybQ%~&rsLPnv;Z7NUiX>6=c;U`o+j7&jfOz@NSY_ zBcxXN+={EbovTnx_6%|D@Fv+qLTZK2tzaLSysm49^Jv&VrqB&W5bS`VTlQa4>;xfI zbonrM4Nb8VOraC>^`5{YOtBM$R8cHZ+SPv9e@Xdt<{(w{c)8)BjEXW%Fhc36a;B7f zRcs>iaK$ehGpPzDS0H(^t{zTmPp0-n>V$H4^+;7xQK|jPqD!iZDOE7VQli@AmyMiM zFDCawa;@>qQoX6@4aHT@=!xAE?NlEs`cUC>D;}icK`5?!`nleVn(9kMUn+cVML#O~ zc~$#Vd{W7E4bC%Cm}mH^WOPY!o&l-a^*DFYFZ)X=&NCoY`wXLxNO7K#LabVKrBkq+ zXQT$hR3D^$#xFZhsUgh65F{@%zG9OaN(EM9t1F!%xX)!&wUR7hi?!uB1lrVi?Lg^fd6CU$(JQ9LOM5M{o1#a3D)z zAnO=n?98S(kfkt?`Km0L%cW}Qdkk##+l#08=S#N7G8qG0$1)=ZEyXboQgu9PmI#Ns z6lN}+i%rD@x|)ECI-h+{anb^*I!FZRneT8hIWr0U#ld_XY8(J_UXG+%|2Yff5H zQ(&rhGAsQHCD&7VDGZ~X&zm)#BWVgFsjo_UsT4<2NY$ysQoMfIdP_~C2h3eMubCdE zQ-QgQuflprnlp#p)C{k}OCx*QyZy2&m*Ut9sp93Pi&=Cr3yKLwyl`qZ6|vH*q`QJMDo>!j!w zGI=4AFPOPrM1_uva4;;jm??{4ilVb#@Glh)OPIU_$=5233SCDcLPU>lH0znh^{Su*4K&b3V z_^tNK24{)@1*x*9v|lHc^prY})DvcY2~jCPRQ9}CK*XpNUR;GnEK6}IGF0jkZ1oyO zPrq!HrpQZ>D*K%2g2a>pG36>f;~AeN?2#fdL28B1tspq1u&h^ICA+;TG83fA4Z$_1 z`eoZSMR0;txoUlvPAa)61#Zd>He$C^)+RCZ{(&F7Wb+Hr#VfSO8dI&RGJh8 zsdDJ>?4kb6a&e+m8Yq=}Qs2CjN|H*0q;h6Am?lg?s@$_ig-8>oAXR>_d5>hOG?)qs z;~q&>X;4+Zzwv$IG^r}xyZatVQ)$pt{$XVOoL@G-(`2digRXldM5O^y`OUmX+5Aou zp&(U$i@rxEmHd?MkJL>@yGawH(gR%0BTa-#4}vYWh|oM_w>(W;f>in4W@!+Z(nGo{ zdzz#Ksq*LGVXA+d6hCn(4P44!&{=a*NlR(aQvR$_+0&#YNR>ZnRIxN^DGgfcs_f|z zy8c3{{54!~r(ZVY)1)OxRd_&O&`BjKrGZNMt43u{6O|xU{w1Tbr)%iG28tKWoQ$CY zBvt5RRs^zC8Y~5cQ4z>eX|PnGms#1#Qt9!i2(q7@CPP80!eER9SN#$@kS0i_C%P&E z87d8iDu9Gh*(G=&O@e|{1+MrwsidehD5@~itO(?&G&riT*}N5URQh2mj9Vd2rGZn0 zbp~pu2~_E6xRsYUsHF)~kgBjBw{pP0Q|bd@Dh-$_n2?YrPC=@I2?=T96r}n;p72bW z(>*^(6Q>}xqQb2pPNnDQ;*uGEnjDn|HGMsG9I)YT+xC{?t{d*-3D_A2}=wuWRHXL2Es5C(-jSrc?i)`Se2}zK! z*G)viFwz7iNcD{y#&_1!L?uY|_vK~=Nla<1yjNGul83&QIav$SzR2KpzXWciNlcLH z@B8ZuI;jMv^m?Q|Xz)Us+?2+9pR226fiu04emBBY^UX@X1bU=5F?kb`$u~~wW~OdN z>R^0e*HvM*P=VF=>M9AXNpEEeR+s)>pMpN=KPUzID3c#W@;IGeCv_WBw;^?;*`IHx zVmtd&S<+4KU=kMF{~l|~LAG(Odp-bKYOC@vV&$MkM0c0+Ntw{bIj zsMrIA`O1#;UMlu_)i=FHBz<}x75k9QGMUS!_cLWbOmU{dn&f|6a(RHs2asH49Lh)^ zqyj+u%>|}wGHv=06uV6Y(Kf9Y4;g1k(u7?| z_06Zv&Wp^O)+_TBPe|<}?xume-_#$7+vk@knlyPAQhoD9v!0Q6)8t*5RisZc7vSB0 z(CaRj{YNEp#0ViM){NAFh{-%QLn?8r9 z<5^L#l5rFf&IQPCu=$`M8udF*pqj{?=2-+&I6)1EmSUkm_5P%xjW`(^x;e z?|~Sc1_u8lc4pw=gxnrEI1LW|$6ls~%gnVtE9;YqSegI~ss3?2?)$L+qB$98oxZ4T@8q(e5FJD4YfgL*a8Ph{EX``aWgn zF2hVgs(;c;z32R=B!L8+0RjKh8RI@PBw$GO?cK(bOok*3slI*AGi+3Mzm_2jLu!T3 ztsoL-fW-g2+W?PDxxQgY_0LcG-0Ytr7elIl8E>rIXUN5n>R-n8GX6m>&VY;mWs}iC zWyr;l>N^XKMw1~IL#pqr^-OYo1}{S{hSZ8Gw}O0}0Uv+o$*MlCPYh>B$dKwg+vXU# zA}D77%HQqnnd$lnc!sD9sTEaj1!*}0TK?_?^Ug`j87w+}cT_*)8f50oKqxkwcRq-v zi)F{Ih06>%8d7~%>VO2NWk}JG>bs{6n#vHSGhB9*h09DeeS)LEt3x6$_|Hf!B1mTd z(%-#otj1*s(wX7t9eYVHks(1ts_$W2*WThkD;`GB@dzaMFh-sXK^jth_gQ1%GDDWm zjKX_QWZIb_Lqn?X>AmUYeu;p~)X;MclFe1E3<)}es0rs|V;Pb&r23u?G+E(4FIgiu zXTZ(h+iNU~WysBt>U+nG6}AldIfFIG>Pk5_n3>2-OoS;miq#aq1k+{6&yebSPwNrh zNhLmKfY0B%SW)ge)twV<&hX=O z+t_<%NXwAw`~C6vwSI}n%aEBN)%W|EeFCXDGXr!j0eBhGGNk&xjtM^Cza-ZuD`&vU z-=D6p@1zoxGqd$bDH~fE5;COvzV11X`Ja-%6O%J@k-Sm=-ASFtA_P2tf1TNj5T7&i zkt&q-;GSK<^C$(EAmE@cOIsf1R&m`9uH!~z>NUiX>6$I!E z0)?xcEx$}1J=eihpDLZEW3B9&uDoH#OVxh`Ujv@|5(2S z`(;+p=?Wx2SY^ zkf|Zn5C2OQAX;aD);}C#)(ygS262M5&H`nIU=68$sAEdT`z4?-L#)mKtM3~Ut24mr zAI_tpgbrqi)ft4d_?)AP86q{L`r%R-PWDUaV1_`Q0Z{v#;Mxp<8dCl65g1PNUzP_# zpw3{k_P$5LbOtc}L#$-Lqr?toh|(FLbafv={|reQQoW2NNKD;c5|59u$N|_da|zN( zC0}R2*DtRuGaeoJI)lC4>MF}|OtQ`ZpkKxrZoAfhMI7&?W8m}4+l}ae4DmSweD0c6 zW{A%jaPrGKqGpx*H%hELP* zx)>f~@-eS^rOMdo$&h$6$Ms0_qFjown>hhfy(@dzFAH%Qf-R(arLXCMXqy4rzOu+v z5N$K3wd>2`noOGky1t_K&K?guAeke$X3imbndyQ2n&GauoO{j?T{8gHSGK@IT|nle z8G>r&NnH+(Aqh1D5PfAY4SUF?h@lxQ%KqyL6OBlt8PMpz9x|Uhc{BqaeYM8x4yenJ zM>Cp7`yQ3sBaddlqpxa;J{0iEHOZqH@aU`K&9R0&ngNeiSITki40#k%y~k8S67%Gh@lx^=&L)-vL}XS zfT38xkN~GFT|ufJ>oxZgfpSS8hh{xUK4Elf*&aGCkm|K+V-}btheE2?MjDg7EIBlb zHCdlC!ORjsA=PWTOdk$Z$Tf+dS>Weu<4xDZ&n)oswWX$O;%654`Px=fLHx{OkGr}| zo@18W38`M&jcaZQNc>}#)Cs9x+htyp)S1Ogl)Lt0vcyeD^%|Bkt*wDd$u(g!3)p<^ ztXWco%`9N^wQI)4ewMHaseUrh%r#*%%Me;Qd6gw)LaLuk!Zi;Cs^pqv%q$r5Cu58+ z5ogJmSukd;^DVV3852_dM8A__Nk9TDvt-OHR%&aVlNVXSC8YYvF&It@^p;DJFtgPz zL&9YiaQPD*^D`~bM+}LUS)gUt9$S`Z38{X9$)GhW@SqruU=13f4P`$!OQwWWKhYFB zBOqasSrTP-l*=QLG7F^q9}m!DKk-;Yk3h=TCmMrbmPnb!OINBZoa#Q7rhv!Sb;#53 zK!5Q-bj$)BUteoJM51F>qoc!kS)wDPdR;HJ_X`XV4@Ac-(D8M>*xr>&bj$)BU*BZR zcCtjr>=f-+2A(Y05mLPl+O>NI28v&@V;1cAx;{M7l}dKZ)*^MM>6ZwZ#e%DIHZx0j zgjBEV1=l?RiN?$l9kU1;tFDrD$Sm0rQoXLjQ?>>q8Z%3FgjBC5_0NJpnQcUVH;P>(;1%gnMSmi;(IKbHOo7 zWQ0_2^fz8+kR>ugYK1$zCrf06RBsG2I>>Att4AF&=q=O%lcUYVRox4Yh=MJ z7FXZE)Ctx9A@M*U%mN7CctMx5lS&@UZb#~~X4Z&>Sw!_AYfg(N5@rE^ZvuVn;eiq2 znCO?q((0RLKw6dnnAHKz74n{&>>fTmAmN*O!**d{qCEtzj- z$%I)j;hVGJVpw35xHw492a!C>d~`&^ETaLOOgv6gz~Y+=;bB2ww0IyaW)CBIftiUT zwAZ1`_aD+xCV>~<+zuB512y7;w3t1PX)ZHm$>vWOvpGky$|Gn|haaP++V$CPZcdk#Alwvqp%_5+a?d zO^D21fGM_7?8?A6@of7fnFTW5($Of30~6(%M8+(T@zzN5nncFzbqw=E z9S>y1>tuN%WBGl1Ro{@$#_9(kLA5!;BBZ)C z#TY+wghfboYo?LGT$z3jxiWo=`&q0x;v%HFwFpmqL12npkg%8oEZ$nIFX*I_6muZO zTMJFUB*mO2#VT1p&XE)$)h*DreJwCm{E`%NAjMm2v|lHcn3%)jQfDwGKz(Kg^DK5x^xlynk2p4Ap)5QhpF9-U&J-~EP zLl-sLg&;(Z;0LL0>z%=!0g1KEk^UgnZI0Sb>Nx&=98%55ryQveQr)ic3~`OxIZ`2{ zR#dnZgu|TPlCP4u;T*XTQr$)~QUd}r|(P3`DscpzXFk0DCGRF|fH6bOB_$eZVXRB3lky=l5$HSuM8;rkFa} zj|C*6HAh~9RJWhd<=~{Qpe$pCirXu+n$Gs%&Pa0dltyCubcEERrWp zjLU6BvKc3rBhBS-P_0}7Zgb=~NOc?U6jFNwi^Ty!E(egiqr-B#Qb}>S9WZ{$*Z|3q z<#KrC0-j!(JiVRF8943^wnOpsmWX3gTy8g#hnP=~FqZ?&-5F&7caAuh+pB%cx^<2m z2dVC8Xd4lz6W3(9+-aip#@C@M$5%MtJ# zzXZG-0PhY~*zCc9<>HrsmjmG4A>cWw1iTyo@6I06F99z{z;pbP?{a5gilhC=?+WpI zmiYzt-C+xHQb~O|P~V+nre9KDj@0M)CH3V%eRniB4hXCizofn#sPB%B?(0e=_2oc) zcTAehk@|9^KF2SqFZUEou_A%|t`fgbGrxerJ1=R!PAXY22Nt|@*~~9lFh>?#C{La! zn0wBv-oYtWblR)M@ALEvLVTx8`*l(Yh&ce_J6_W-0Wqi77`v(}$uI{pd&y_aNjR<JlKO7P3;kj{$drHqH_T9U8!s$Xh4+Z)&poS9=HVID{b zg^}YC{Kp97SOOsP1VTvlOGGeRF9jrAH$RGY;KW}}(K&WfiHUg}bE&SlA~~+19cb{E zdW+&vV2k9KG?*WYG678BNkLK5CLs^N= z6GS1^Z}rUoNI+s*^Tg1+UQX=tNdC;PgW*(q+#^Lw2+gl|c_e@4!Jog?aS2BPd&Q6d zn%4`7eVt2_JoB4iI17fS0{g^}_?h2~ z**tf)R?~XF25P70({v@7`bNDk)jD=Kpr^CaAz)|r) zh|Qly@-!ocDo>WppMi(oPOT-z=7F(y7vr&w4;&K@<&y1TNkk!7N6{#hs%40lJSh6?U_gY|{Oq+im znW>Pl>O6TCQr*QSfpsu&LNY^|&0j|HMYDDjYV&xZxpM|PPn?BRcuy-1`UXyl1M+NM zZ7g@F0LH$*-Dn#Hf@}eAsJrih5L@uU^aMSe6%QoYLK%{u zFg=vhH4yiMo<^{EfshNSJ}5Ur_6metNcDl&h)66DatoFBF7=i-HWdiBkm>`y-8?#Q zPVz#;EdX&pm|_Gw6bQMH>VsLnzWuwqnF2YtpgFg)w*dP6U}!{sQT@fHhB_6Qxif`9iASW3FaR3S5*N6MqXsk?b`+R5L4jEwpTl zWR6H&022RR$8D?*JSiRs#03EH?^hT>?f90rt~L5JQ^lj=VFXh~z!cFL@Nh{ykcSK4 z;oqMyD+h_V03!ZyLT`67Ef9(!)rWJ8s$Zz#6>6YZWGco`fxYuuuY`&g#xe!G{Nba> z%(#FA0v1Ti1-$pR5|$0SWCZ5RwbnOZPeNw=9s7A=QUE>VHz;8M!@D zash8h>G~#IfrJdHKGfwfAs{h%1!8gmyXf~ll8_4^o^xjxHW zAZ`^_>xU^}4h51Hr20gkt2h>TLGG5gRRC^%Qe{3&0#||iw=zr=2wafr69kB2lk~E9 zAaE7dBiW1&EReej8+0CI0irfWJf`^*Gi{gRYRRDK=a?%)Z3nVW{^~q)94J-wc zS3#54kTX)EB(DO<>r+6U@&qLKu0ZlC=petrGUF%^xggc2dgEqa;ELpd+*JT~eLBBl z;9ytTle`K$py)f>9llo}fI+HHp4;Mnc~F4}R?rC6RrW-%0uby|9XfV2a8<5J1S?>f z@KbXMt3VPf?9m>avL}QU0AZh^u3}|EqV)oQym~Yr@p7; zjC551U433>Ok0Xn7azb5lOSV}cm=6GUxkb@fgWd|1Ue|ZlisURv^#!75-2WhF70aPGWGcv6#R~lZWGA{vz=Bj?>RHT+`YOpK z@v4Y5#4iUJ<9v~rRjkq;4zOwzNm!8TODua@E9-lS2l7=BeD&ot(*yac2)^=_Sr3W} z0#*@#_2pc+c)q^3xFBE^0a#zIHA0<=WGqPa z>MJySYg)YoGZu+kkm{?+2ICe9T#)LkX{LhQRn!~QU9O2-#fM?Kkgf;Hy^*_$wMbrI zdYH!2nWjC+Dq(RtQ>MdIPr^>tOXy;829swX8BMvYdr&LRq+%vKI19hUSxlJ?(+%)& zq+Vhgi*uMf2g&Qr{%0-~bG3)-@`UCw1q+N{>1EX8^%9F%T)^Z7NZxOHSV+Y}D2^Cg z9mPddEP~=G^XV<7VlkR>zvFVvB}`f3RrgRU?C0x;O3BqRxekU8%4^+)tYTDUx>~)t}4Z`jL8x2`rL! zA=RI~6>c0Tl6H%rU0-h}bEMtk8ki1%hjsN55?CbdLaKXDPc!mB+AXey{Wwpx>+Nkt zLNBCNxL@m5B=#1u&RFY=ghlc$r26xGJgqDB5-wOI^cJzsSnJHIisW5L^=G{Ud#!$i zlpJZdxLF&@jJQb5g;alD3&X4R68l#q>q4qOudeFpu7jlA;-gR;FusgdB=kb6Kc6t` zJ+Ze4?EQ<^{0FhO2<-h!AM+o?-XgH~FB{A*o!DF43B^`ZLHaF%e*dy+i2Ey}Me=WP zHwIkcq$0T&QvC&?4AxWiqoiO6zeT|BU-U+8S1Q@J2=@KUN%MMS-y+zzw(^M7X|it- zko#BECu>dpXz@$LEgr)4ouQOyTYMaLSb?zn)Ypg|`L+nY{p&&VN=I08LAZZCYAQ&$ z#iLN{9&Fq)LAR(sgCbL+A`ur-{q<>N?R5PZxg-I%_ym%l)wk@Vl5UHj+xvG$x-Fja z`u|1;Agrk$D}N`%7EdF2gxL|0Ws7HUeW#(2VvA>CxdgY|qkfz?Aj1~VA$h63zLQFh zEuQ!K|MsG1@(fqoCdn2rP~mecNU}wcY^~3EX+@DJ3+ew`z%Z^jUam-#E$Zb%C%~sj zhAlqr^?wcXO>0K|1TiGB7N0?KKeP8Ev=+JHElZ{@)$m1|;a@d`}!{>9AtNpj7rtSVQLJjTp5DYbY_ zdvJ1nou=1es@K6z)=w4>P?^E99;9+{b zgcg=apOF5q?KSg22rc#ME{78N6Vm@Ry*_iIzE<);`YiQ9GSJxdDvc5uwDchAT9qY} zo)Y;J(jOd!x>jB<;eaL5C!{|((r6wf(kG-pSZf@(E|ES<1CYBuvh!Xdc0&4t*gCgo z)lZk)5jsnQkUZNs^;H_IpDLt3IB|e`%330HLi&S)CK&fa_ACvBVpq9)a!?|DLi&Sv z_o{2wP$GRo`h$oCaVrR+rQu$GsHdqQhL#?JVz{Xo!R(HJVuNSM((a~SB8@_7h0m=Z zkCsM3vDGtfs_P#_($Z)uD%^@1UIR$#a|XN;krdJ&!ZxY3yncohDv`7_7Rg7Bykx5H>^{>m2I9i$v zL){ck)=Qvbi7;BytAAaFq|nk-7@mgV!FmZjED=RZSpBByhKce^xxErzfaXKl&4BoEiFOvHvM-em8e>( zL+Vzu36fY#OQG0oyy2@vW`*?MykPbRWY*GhD4sVJD|mxo*6IqU;K;0{RbGGNOUUAc zdI?f25ltcejW3$diiBFyJKtR%2&bjBUVoF0U7k=cQHmuJD5Ss1j9o5~Kudb3yUPO! zw6p=HIyh#3y@Vr{NT87ZCNnsuL=Y`)(lyQ*Imn=;%`nyT_$BocUsxiDmh^h7Z#R*u zLVX4Ra0re6WSR!CT`kSs8pZ6^hFd_X- zFB423S** zgzu7fV(ETNZeH$->&b(6$v&}kKbB+sRKs2JPb}S!Wg9<8ewP&Vu24{04{+Qi1;x_+ z7#0OZ0kI7W$$??@%kd*W;?r5j(x7;Ns#nSy423*zj_!=!p zPI?#PszL9b&|UITEZvV`!m*kfU#kVlM(>{YX(SE3+X%r{YFwuUNk#ACA@3`}Y5MGv zg?4}M;#zS&t^m6j<}vU*FhSDrTc8eNC-N}hOl&> zoniZLZFl5IGj-rDXr8|5)4=@FLhvwOtVd8MRC9r-Y9lw?m-^@nrU?A;~ah+WKTPGcip&f{rAD26D8{>M$TL&X1mhQ**n2p20*@3|M zTqscLyS>%H(21o01%7}Lv;z_Jxk$+W@|_OG&<;F-nyZKZI~YQ-bf1%(R@35Jwb2Zr z9SEV%4GoO;JwwsK5Q?P$1%7}bw4(}{lh*|pIyq;oiEf&3ADI;hHBIt7qO}&hv9r6N`0=xW$5fc=zMOush6R%1EKS|=_CAnw_`cgBXp)Nx(B(pv z`r?-q)O%ZQ#|7Go6!f+XkuHzx$!Hy|EJg}?dz5*!HUez`<^(Ez6L&i<61Yep*AHAG za0wk&55{*~W&v{0+j1*xXnddUEmv541;z8F^}W)oES2EiT21{?Cbd{0rblfB$NojGTZueU(xdqWp(7PqB=f-tB#p0(>yjk?> z(oP<;Q!>&a`mSqdK;*D=Z|}hFQ{sAJT&KliB&xS}%I;n%^VCi_;oI9o{(G^V^uwLv zhdr}8>4&j&ZzIcew#D^yxlZP(Sh}|lie9gj-nkRr`S$*x|Au5Iy)%~X?e!&ochX7k z+?nG$Al-8(-1BW@C};;g?XHvV8B6!}aXFw@O849;?m5*CbkCh|&$s0c_@ua=jMqu` zjHP?~wCwJc(mi*=J>NcQwgcUBC-T?ZM+X@8(o1*Z9S&E#Ut{TH;@UaMcAp=T>g{@7 zUnjkECo-L3p0Dhj!m|Mf{k+T++z{9E;X3J_v2>p=HF|p|-E${0n=9V)>Yenj~gDwQ}{#mO3}E0DSqAyKy###_O~R8(Azf zLyJ9IH?d?B1Th1|nHxW$1vj%8Z26P*W{28B0DL)hU3P9|$ySKUvm#sL^;*L=7H>oG zdE*M~+)iM-XwWb0>D<8*@aRuof`(b~lUf6LbSGwp4lC9#^6Dgk#?t-dbqLOkpVES) z&z+#ppS)%oOZwai`kX!#-EJr7^BuWYJSToyYoPD#1bx0^nZ}YncgoXCo*U|`l zfLBUK+zCgVzQpK=yD&ucsWZY*o49uuFY_)LpYkrRu41VsmhPwI&gI&8vlbl8;=w4s zWEk32LI6|HhUIEv-!+6K7?^rNrl4JpU(gzcvUn(pZR7Il8b)9kfD+s9Ub}`97>+h5 zUcqS6HG(B0AUYgvuq581RgYxxNE8nX8yZFtz)jI$&S|Y-G)piH^#W$zI)meS@>bUv z7LP&k*pUBM5xd3`7@NNKyT-9#93*jn&lwiile)UbvltW4h85_`sf&Y8Sh_FB?aUo< zJ*lgULr++`FRV638M;cTp;R>J2S~cgSOV_+0!EOaK~MMUB5}sjePOeyfu6ZbJhS%_ z{VsZDEZrBxQO%EE*6l?`-35pJ!Y)$-U3Qn;5G~Nd6J7MxSh_FZR$??Seno4b!|no+ ze&L{zLUxfzcVRFpb(M6HNq1p@CokE}3lRT_s&~;#j($mf8MW;@7kw zU3k|T6pt}GA3b>&CYuEd^mMf@I&m!BPh-Hy$%$Xr8tB5i)}wf>S!25LE=)E{{p?#8 zy?7V=!l!SguId|F30*^%yyGlaPZjAp#xsOW`o#$BT^_%w1?d>NYEc|E?PWUMh4=iX zF3T=v(p{i!FP={wP0z6EB6I7K2`{;ab>F44=mJN35e{1IkL&qTU33gsx-VWck9LFB z!8Lp)5*X)u%%Y3l0ZRcQen2}3ur<8M(@zk($k(uRKT~3~UpFg^rHl7U`Y*lifE*l4 z_cK$BRI8iZtsB3Jkh`DN>LzW&(*2BFQ56Y2iL0BWtvd)gJ!`g`oDEC&Gx9*xp+rC* zo1CpXEPeKbRv6)-!Ph>s3JQ-T^u(*~Y!-v9eP*SRa&(iebt9K9(8)q~E+s+MJ|h#* zs}r_963JS39*#87Gl@j4J0F6WNbMX;1hpX9S~u9*XLc9?ubX_WyAVgxRHU0!4NLbk zNO_%{L`W+jQR_w?J#3)9#n?@ThNb%%rzIj<3Ee?AhNOq(dPk!l=*Ct5QURKJUm{xz@~ZE~RsT}H(bKwl)nn6a!B@MntMvk1&W&(XP2_iPp*lYR-5(V3UX)zx7p-8~2OF81m@mx9Qm`5Q4u6M0&2 z9*gIpc!fcMy5|#^FB5_&>Y_d*sUjeco;$hb@v5s)-`V6*PM zi&?T5q8Jx*>JxhEQ1=oRBaMD(x7nwb5?CrWv}z5@Sb`M#r5b2Bkto!)Sk7XQ?3a$3 zwOB!51%P_<8Yb25hU>=LZw#yHxx2-47kifsJ$E-e_e?ep5iGkYi!?f=(j+T2;*YF5S;G=&BLnEp#QKSXw zpu54{erJ~1KaWwK+)Ynn>ZXhC26uazuQHXR4bn=;-MY!$j_ae5yLE%Ry^NtXbs(W9 z1$EOMW9hzZzOb;H+^zc>-U+P9YBxPJmhQ`Pdul~O&k5?jL91@`%F!=(!!N%qd4m^hoKWH|~Zvepxu$iiDou^D6Cs6%!FXxmW3fv2;HxOl)OhsFt7yeia@# zB}WhZDm?IKL8c)$Ov}*&zX}hWlA{NH6(0DrBPcgq%h3bB8h+2o3h7D1uhIj*Ivj!r zK{gX3v>e&nt0Ug~=%t*6Y}r>y++Ibz`Rt)U&YHwXEywD;`c$vJ9+qS2e)h09my^N5 z#3)_HD)vYfPZ;l2?qMZ+P|0jPE3=1{#M1q21FnitVzgGkO7=)4bvWu_C9!lr+lET6 zPw2@cJ*;F;82aYW_8!&|OZOEtuCpvLR_kMw=|PlvWs(tedl+S~6d=bJbbA}9a&LC)}s4Dw7%=-DJaj5t`j zugKd4Q>9E8dXO+&v9;sxVZzV@I(j!-dW)Vk(!&^prF++$i_$|%iluwE(zwcd7@n|n z@2)oHdG#P1KSMNj-BQDFX%r z6M7a%593nLT)!k^QV(KMCuVj-a*Ec;h}46Klp4wDVMOZLY`a|r(z<$1N6!}VJy^P3 zi){alww|p7FyPR2I9OEN8_IjO5x|f`*P*bV8}@7`upPk8BLDQdo*e{s!0O0VUA>(w z!4N~2%v!2TOw&g1W-*2sy3Ch~_3Rh39#E zkbmw}&tU=xALU`4M)oj#VCi-*fEg8ua;@Pg1rb%ccjWn#z#hhx9wGjD`aMQH$0!Qo z-!0FdpG@cpB0Y>MSi0RcVMD`l%7gfqdm*f+jwL4`DtG<2BxYzE>RDWm;#0Pv;Us~R z?==_c2b+3MvEY>LzKUCO&Xq)^R&bidry-}OH%)r~A}gsYsYPkR#!njj~`;SGuE zti&uU%L-VQg_oLJAuDV}tZXaC%C+*We7nFdEEteKQ2$v))*!3c8f=wVL#&}z@sMF9 z!%K!+Bdn3uC~LGe#u_tZm^IcKXN|WeSQD`<#s6j2BrAW&WNV5w)tWYBGPb7UuiUCA znPFw)ze;PSRb^FMv+$j5l?LZnbFF#7`PPEq{M4Vd&{||Iww73nP`=b&W-Yf?SSz_) zWv#Z>SZl3y)_Re)HgLJo+JwK&))s54z0KZk@342`Z-ec{x_gVX`1J*(7kaZZg zAF+;F6;_RP%&N7HTXoh6s~);fTBoejR)cj0+Rs|&tn*eQq?)W|>jETN_}7a4+q~_I z)+MyaIqNe1uGq_hSA*Ar*R3=54g02j%X-RES(XY|%1V9gViiPFdIcf*Ple5YBbLgR z&qzz~i}Z2B zYOy|USS?9GOHX2F!R%>a`I@+_I_I$8p1MS&Jdp6OY&9r9= z?b%9uwxtfbJ$29>se|rJLAz4W?i93#_UxrS`(O{o1tRLeP<1e@4q+Klhli>oa;9fm z>Zq)%u@0%4usVjN{$SfuwN@$q)N%A{RcCFr)Co(~Tk52xPRXYXy>XJIPFu>hRD-3? zuxp*Q)Hz(h4G8bhvC2~CE!Bt~?fnf_O}OAxvo+6B7cA9+>r=H-a~m#dbhL|>x@4)# z&<^;DwI)|xg(7_N)HT>(8&%uIT0r};h854HK+RnJJGv0P4V9AY%+$aq@WnpJh z*qMx_>`b?va?7c(of-VM65Hty+76Fspw>bBoC(@@D*U7~({ifND3()g zjY9jv;W@J`XEt0(1dha+^XO-q#lMmnwljCgWGsTtyr46mp9NC7&~_Ht&SHDF{Il3{ zmRQbGENy3*?vU0|hd*$(a3y(HuLPYe)0=Z$2w^!HyP)A<;rEu@|11!Zx0t!&6dt9;(QW z4zisSb`%dZ;nAfMn12H2Bsv6<#MzDJiVoEaoZrz_bQro)bU3!;1XfF1M)9c8NL<1r zaI(=OtFbY{isB(|$TZ1`n2EpW82R8I^t8k1p6B5$qGPS-I4e5dicYYi6Rl{e6)m%( zldR}uD>_B`O?0Xqon}?pQS|lWRCsU<8M4-nuCt@-xv@czjk4GT3es#vH(Tng72RS*w<1*Q&C3Ggk&EqU zMqI!ftmqCaic4g<72PEt=ZY2GjcY%;2MlMjH5TH|350v8&0Z_I&x-EHbr?N>a(KxS zbU!P4(2AnZFSVkFt>_WaUnz?L(%kwJMZ3#qaI~gAigR8o&RA-HoYyHX5itke1&-ae z0#-m;q0;j`FxT&XqIK2-ytBcv^=cqjYJy(P)T>Ip+N@V6^=h_W&C#nNdNoO}rt8%i zTU4uD44;SfX0~h=JP2e52IOYvs>Q)jUN|q97tRmm=NDub6b#QEt`-jqV^JI$5grj7 z5gr*DnLjFbR6+g&*A?lsBkkaKYd)#d(9r49Ol+G&D4Hz{v2(l2Q4i3MUs#9yG0B+WJX3 z)7F=Tr)QUk%a_iqm{~nDduH{F@J#&Rsa06=Ak!LYt~#t&N3`*y^lH3b9)TRU|tyG#Jj#*4R3|I!mmg7sD3V(*s#u*DKUc{(DNVTDgKtd=Mzd z-|zrBp1?PBxxSDbi`tz58f(`7=_}8H0kT7rUf~**|ITpbMD%~B^?$fVfjJYoJK_c} zIlNCJb;(uqZm#OxT-3W+*}J)>cXNqsYLhl=oso2jT1+5y_73R(O0~3i#VynSZPKd^ zTBJ(D4a1D$tU;(AlC3kL%S{z1pTlhU)*O>D3-x zxInM;xdjd4)vsL~C4z(4>M%>nG$)T0cHKIeSWY%F^)_S!QcD!rrkUnNn_5-lITsvgX3WkCMLZQ%*upKVQ4rPze$;&CQA0Uk%Xb0?^ z$b-kol!{D9WJW@!0DF&+y$#t?Pv0<+jimKKcBF@l$gu@^jDFhiSY$%lx}ty8y0Wx& zqde<)L_^<1&xYY?>!=Ubjq;F@AFwV}qe*G~Y$5DZgni7G8t-YDDzZ5rXeqSwDAAu6 z${U$)=bNpt*xPrs>|5Y(IUWc5(l+cnLiQ~T6^BI9Gl!arxRvIJv*h(pcw&O@+y(5kB zT4|iuN@M>+t+dcP@t39-(XXm@8nioO@n{qyN=@J}oUXSz6ub z->LpLMr6WrjV;7=J|q+f6`(gv$j;0DU}>3ATI$ITH%e0)CQ2#oD-ruXaD+*Mvqy~c zdIZl`T5h7JeT2yIyiyN(?l|wf^6aJMQ`Ss2tQqfVnP!wO_DaVXr3<`LvzBwc(kX`Q zDX(;tQM%A8Ej3E#d8MXh4PNO;LpIfVJQ`bX{9{{hy6i=Ky0l)p6;kybD>z%1`=iyS zORG^1TsnQ8doA^s_F5{Xsg~`v)GyoHTK>}B){@fHx$JE%zuu|o7Ld|Z4a$wu)EOIR zl%~#@X>aO`^`3Lln>u5?=UhrtXN{3gDL~=H=xT41Exo5!em1)~d$9n{74OW%g3rw$}yAf=h#T za9wC-XboOEu`@g-ya;D~b)+=1HeyG1WtV5K&bG665bG2Puw*$b*#b)@L1QH>nF33yU`ZJ)(TSE?5&8h%2|qUV(lkbMO^h&+nR!`E zi2q?QgoU8nlEN12RS8#0GfxaZ5ZlTX#v9~6*Q0Xo)a9pr!RjNAwI1bcBXJGjiYgUj-=^VHJZFcu*Yt-=X~O9o^Qm|JKM zn49+iZ7-~CcJ|2Z8EEerK-9d#Fcvv>QE1S}L6ybX#pQ$SVk97vfbZ*N?mEj*x~UXW z4{5lm_JdG3v=a|4jpNo1Y>f>*2u6Zsp-5huGsj*V&I|9x<+MMPlfB=HWbY4D=R{ybj=d$66Iy6RLJI?d zaD=iOAiEc`2O)b9vIj+$$YCHCfm{W070A`JmLt%z5n48;wd{rLImk9cwi&X`X)OnU zoC0zd$XOs~(?}hVD?n}lxdG&cAYl$v9LdklFR&1F!P3SK2n;Y;Vv2K5(NS7PHfpiM zSZ{|4>;R`O#eO{#MNc{=q(bv`?&gW;$YE#DiC zQoDH$S`K~U(PMD8GQFD!oc8Ih6x%2;rB|TAC(wJ~*&;yEs>h2`*9RJ97S4*-g7uGD zmhy+`+RqeWouF;h7tBe0!N>^32Hh;jd|G%uwcwdv!A-j4l$7Xwq)m)ds;{;ydgUdh z7)Fpw@Df*&@5=>?%TQKJMHiFLA*pne{|z<%8wXeHoGO#{wCtwRqjIT!;GbNB1jWxblBwQZA~^!}53H%Iht4(r|I z=%ALJ)?2!}cN4#nlp2&ctB-O+A7x=$MsZJosl%#U=}m{Npbx-PtT*%1T9r=MaSux> zfPL*-gG#$!oA%I`dH;@P_69Utm)l6+PjGtZl}(f zZg1rcqv&9!7HKPdZHZTKruNvUkGHi~-I(;IGq`t?qdHo)IK8(sUzZH;Et#iF!o7Ru zq&L;(^rkbfck^cNrj_1Q&Apoq>CNb%^rlmo-o!n`R0BunrZ-hvdehmJ-i*?E8maK( zM&5ae`cB5EbSdryrUabc1FHkQrA_Hg+=5NZ_S&nirAyV3-pxz0se6leLF*A8g#kRd zLaMoPN_ATn^-7OrNpyT~i5|~$#&M6RH)?x8tEts$B6@|PROpU+6yu^6(L+p*4)?fe z!v4HHZK=bdK)wGWy~k1AcIVPEs;+nQLht5k+0=W&F-xsZ_kuHfH|O_m&PeZqd(pJS zQ&6Q9T2-sS&kZi`74XS!=`EeoyXo^> z_-WtNg}$M8bFFOZ`e;Un+g+^POl_`DEeByWsE53r!TP@)Ttzi$RC+AaIifMIx9Bc} zdr8!NRPUglg<2wQp|oXd0ItH7D!g8Wi)0xYuOiD-#Fk}ffXXRSxdT*g)y)ExH{eE|$}dpG z_^ece3)JAXYQ#`ALM>LqOSl?YqDJmlqe|4Md6qbTU04Fwy3i4 zs%)7mvt>EHNKGzQQ}KZupa$lt8DOPq zCi)~s3cW>se`t!!#;t8Bg6*woy5wY3s5 z73Z&1ZmX!CSv7yg%mo#@wpUlyx7Af%ymY>zYDTpPRC-EUFP+ZHQUmjSinEp#1p~o~ ztRU|HjXm9P?s$DmTg}OamYNgI4K>YYPtB;FTQz6)f(5hZS7)8BJW<!r$;`q~ps7u#x9>|0l}b4z7PktnIItZO<^f2zK*^2E7wX#|I; zoZs+kfGi91(IZh1}NvV_kQ8$i0Y9*0v`&vHC*5rP)^Bay!KqpiTaZbjrFa%TiO5iVFRI9 zBotfzUwqU>q1Xz1{_9(LU?{dKlwALRtb3J*k{d(GD_INsc#`GpN=QOadN14iCu`ip z0EXqQWqs6z$i&`)weBK?X^jDB4BAurs}T`VYaEc)m?bJP#kRJ;Iv-=@B&bw%_^jz? z&08TRbigVs)lP6yMhCa>tHwJl%}SG1)?hNTXsz-497(kZYM za|olsjP%Y4q7Ad?!hNl`#9(&N-e5c^U2pcMC}uO3_LW_v)q*J1x7N2@YM^IEs4q@y zm-8Q;WXFOTwRsG*#=>?icXc0oK-%O>^)0OpO^vz}3^vS-<=e5D88vsJm|KlcU-?wp z+@|Aa>g(FPaOB0I64UD0_J>wy)ap`L{h_t^^jqushSoaW!b8%wvb%j~z5UzQ`mOeH zy|@g<{`L*~x1U=&E+?HRx? z+nQRg>W(nNu=m9l`*V#MwRZ;0{aiCXeGR;6&wyCgfTYK>ccfwO=UVJ9WVU@PVec23 z>@T#e>u+ahZLUXhU3dDdB)$k0qYR6`&}QGu?4>pf7T;Tr&l9w8YtzM+RA?KWwwIpl z-b(vhCoO8-!Q%{r ze{Y8Uy-gW4IBOv+{@xb*d)xc%=9*iWP3s08Z`k|2o%SD(%c#9eVeTJKz^C6AVO`Vt z=GqpHmceOEFzo%~Qu|LgWYpeeu=h_l;nQz6(Og@1Hf8NZ!`eUHV*kZ~j9R-K*8asI zeEJ=s&owlj_1r^g+F1Ixzc^z5<<^W^y8_n!<#v4f?H@icvRIb3SzOv*?zDe)D5EB? zgw~%O!KdH;0VexLCMOvN|E$LT+sq~$t6=coR^!v}>&-Vhjq7c)VejA0wtv~@+&fKJ z9>+1WR>R<5PPKnIz26y9{iXWGwwgMO+#koKr`_5V!|Y#H*zZI#+Ar3@>UVPR>Gy?4 ztF^IH4P)QQv;QfxePTU~{ikYt`W-Tk*S54Yq@3C`!`y$GZU1v-hb1<^+<(rt|2enc zUD)gk)6@3Sul;kr{m-Z`$}%9Z*IbU!}Im6t+l7Tys_M9X(cZgNbb+5<=e#al8sR0>n@||M&r|?FNPZd3Bll#Y=W}1e7 zs@PU9m1flN0lUQVmvAm$n(_n$thTWg!zAee)GE{Nm*4<8R%X=hy<&F9YJAuBJJ>d# zPTz~JP8+PR%8vE6`pU43T6{n({>ljX_B)F>(R9AH!5gHWl{T4|<5x!6>Z=zsYVkp_ z_^X%Y+waTqcvBlDQCw`U@iq2r)AFxgwbj>7XVmgTV)@t3$hY5@V_Q@6iKfeqe#7UO zhJWpxt-gLFqlRZ47R$emH>iBQrr$$qZMCgu{btWK&Hj3=t-cw^sM$xv>TlZe?RR@4 zqd(u|HMf_0&NB`FX2@3GS(j16kBZ^n!E1iLv!UNzyyaqJTf_N!-Qu3*^G(aYv&mM! zpV^DEMlAn*T%5murQcbo45BnOB2UuvbwS!_?F4`Snyvn1PQTl`rK!nNyfCepuIf+b z+3Ls5nYa4sCDJB8Zn4$R$M)Om$EBmfyw%Ug<2$k6R_m~yj*yGYCiyug_`RFiQJ@oI z^t*@T+i#;!*VneR9j~wT&f{X!=y#9U>bNZL^;1 zQ`@Y)=yJ3Ai5zI1xVeuVqQ591wbAI@9e$BU-z-1#bAi`dYWUt?DO!+00lhbQzkuK3l~G@SI}%GyU%m)g$L zH#2GRP-%##@$$K+pX#^8truE)Enb(lc!1C_=Og(3){RV>JWSO77G9b6TetchOgPrp z>+060ji$}Non<@E&C8_CS;NKX=N90*u&=|aX3sd^>~)PC)8^+E+s^ZYGOpX%FNQx~ zEZ}!i@_e&#f z=jDvrE%SPvmtptI!}{t*)9szI+w0a_(>61;cU~T0JFi4C+1RAeuYl~nlGE35WW(r| z`U^EJtsY_AmNuK-{}s@Jj+2?Rnrx%vG}Jcqm7=GumLQAyK8=`=+dHdjyJ>mHS=;Gc zl1a;DioVmi4BzD$;axIe*1?Z7;@j8ZX&FY+kH>IJU)?*>4WN4*e&W&TJkVDc-K)B} zw!uq^ccwM7Q{cx0o$g?UZ6^8Z2CMB3_w^F=ncQ@)p{^nIn9wfMZ2YX1^XlLXn@s}t z>JVrivZIgWx!3H5#u_~6STCJg=2+EY_NzXnyUiNB3J>+UKHtmeJr1`X?JQ(tpBn|u zqjvYZ2DRr-HMKOfoj%`C_kl|6NmoMm%g>Fmo!2I2_&kh|i|I9_<*$|X^gyxAy+$`*Y&~7$xBQ@K`Rf>xd;MgF zEhjB}9Wnp)(@)U7m2boV~=h~aoq{k?G+HhjEzm3tH9+kefNLX*1Rb2x4D zSUQt?7{hpDTK`q^ygusCNoLRY`$=V;nyw(=XubKabrVUx*Y-<%K4^E1K*$u8f-PfWbIu&-l+gIGkjVv);HGG*EF=%dxLz(9?_2 z(0)?E@8X9hzFV{XiR~96d*0Xhb&nWdYde21J%h%R0sa9<&L33tm5O|z@pW^bNL#F3 z-yc-k&iAu3XfkQv_j92&x4+$-@f`N^67`5*ecEoizwhHl>Gx|gXf{dT_i_HdU;D&P zrFY!<fS!qSI+ln|IppzlxgtWxa<0(whS7qS?Z7Q^Ep4d z)YqYsM=h>7*KoY0Hua3+Y18r_U9p|ND$StfWU7BP30kK-vEj!rHk@lq&A@9g4gV`J z_@5rkpy8xWKRpbswHXQOd{4qp(UX5#*Hllw|G!lXO7L1TI*Iqr>RO{t2=S}07alrp-TJWjnuU&8W>;ri$C8ZNP5ShYL!ozQ zU*~X`t=)<_Gxcq)KC;@9HeTPk|Ht9B^Q(gywVo{MSBN#gI{ajqNn|$~Ce9IoMUSN#Gy5>AyOX$sqYcm_+{$Shr zmpOeUeSY1&sqaNo^}o!sod*~C>Q()!A8U4$10oObD~bUs>CG+mCmQ5EAlmrLY2!6FdHr)w-HvUT5c)2K}_%YUKyw4Bf`_(_b)5s7~G+rv-z7BTzmDe5j?tEM|&5lp9 zqaT`^`6d^V9R1LI`94XL%R|Q}no|AcnrZuo@SB!T_j$IFzf~UF@@ZoEEBG1LSBm`+hIfUq61nUY?Ury@l6&Nq`YqyMJ!mu9}niwyuWVu9_#`CsBS}9&+$n zJe0PaUeHwwZ1^TQm{`zTm55oDkLTI$CzfTtDhs75pFlf( zV#Urr-m&`NSrMmsx%S*yUp2DLYJ6gq?LL1#-1u!?Y{h<5bNYEaPG?`@;#WbI`Iw--VE>SZ24w&ULI<@ zpUr#@6NoO8`h0eRd=F);K6v9dM(Ep4`>&n?vqGOOwcS^CWWLYId*s|#cH!ISkyyHc z#^%-qsTgU#4BdTYukF72pK!&@{ueb8B#}rx%oc%Q7j)NMptS}MzdsQ zNZk~%Tj8vLwxo*XRUvgt%3-)yUd{6Akh36SXr9ILSs`b;os;J~;%t`B4msN*p@^@1 z4$J4fr>@v#+LE~}*%)%Jd6rn(l1(h%6pB^_4b7WbzBv@F$T8}^h2>j9(IsY^Y-Rb@ zP&^QrUhT7F8_TyzdB|VBo#op@@%+HzRX+J0EZ-sJA%FQ!mhTM3M+Pcp`{Z}Ae3z7m z{N=k@zB?2zH}&sf`5q}Z^zUW)-cWpY*l5XpEZ-N3uMLbT^Bs3T%lAup$X|YdU5_eMo_>5g!W1s6hzVS&6-TX%vMmbHWu69?HLpVC&Aw}z4f0wetwOdHGFq}=wG zUu5~kP;zo$#CV^cODw-6<+i{4GRrTAl8;DuFW-; zTnipsvn!7G4*zdM$Q?B{xFJR*3Bhy>Bc0n5w>D0yh1PUOu$l$@oQB9#R7q z0Smygg8V`sU=y$b95VL^5(omg9yC;i2!tNmzd1B8dWug~m_V37$PYvaL;#FS^-673 zHi2va$MTGNAQj;yXqzfm{M1KafWt@1gzl&OpIb-yZn{@(I{}pnyODfc=5d z`gCfm3JDYv2>XEn1O@;&obobykAVaRKD0kF**4m$h(Hm5X(lj;z#ssJ12eQk(W;6G z6cdQ}fx!d@12`DW^`G++0wnGljqu0M`TaSNedd z1g1W;Kd~(^$=_(x2uvej`+?~MrlVrRLW{hLm9wlI!q)@YYJ#OJR>9JWhxYByb0DDYe34tX54w>dIC9w3N{mEJrSVmykL;F+1&ECD7z;XZ!%s#t< zz>0_V3zH*}QNA;{lEBJ`_NTMVJysD|1>mX)tR}Gfq5a}O6IerF4S=yGu$I8uhxXl_ zW+Sd6unxc}vx4ghtOsx=+c+N^2y6gw%RI(L0vjLN9k%JPHWAnaU__3gYBPb&0Op1~ zs;IAzEd;gzSZD6BmB3a2C(U!djlebljpnJ{PGCELOJU;}I|%FmaIw(nS33#pd}w!O zn_YAlfn5MjnFrrZVE042E6euCx30$?0($@y1twMa&iP&fdkKX6z&--|0F;}pyPv>* z0MpI$ae%-901f6I2MHVmaN6AC5P?Gv?e2A(b;1i&Nl?kIty z0O~_t5}<2dL!bu0akF)g5jX~*#dI091Zp4JuZ|Dp75J<=PT)9zh2}Bp2-E@CVOH=2 zffE3l1I6=ws_F^U6A1Z%lLSsaw0i~xhK%v;af-kx0ueuOn!srQ#pWIj1R4k!dz>L~ z2Ebr*kFx~M5-|2SN8lWQndTnn37jWj?9oV|5kQr>M-zc20>&QA1eyUNe! zVsl6fGMP|?5Dcr3C&@fQA&+>y5t1jvT9QeGLK1OrOlqt`156?ml8Aew4T7ndL?|Q? zZ*9x>hZDslLLrHGYpdb#6q5)w7}kV#d&V$*P)Hx%x(H)tNAxk7J1FE1UmiZtACMGt z2Q^eQ?C~@(Yf#7}VU{6Qgq`069wj46ad3h~v$<{nHT6jF$g(TwZP3V9_a&!|hgHXsIzTRl6W(J{VOBY__X<+W4kUM-M z2O1{jY7I;r)LaqV=m|1qP)HfRF%W{&^RyuI1vOu?$e_ydM42ln3C@Uyuw& zfx&$Hng|Zkf}}6%ng~wyG%}8>>ksYk6p3K57Gw~2!su9e-l=vN%^XCt-<6J)HJE}9 zLz#n6_Ip-f(9qt-br{MVgfakOKfqAtAe8-Hp1B7@nS)RUz}SPK%*h8Z*cfYb7|@Gzp`fgJ}1?6=st#+BqX+945t?90ocEf$qQU#<`wXq8pD<&Owy>Z?_E3;4sQL zh;rW_XEq+AoP#L${jo-J=rGDTh;rXQ7|N^kbsI)G2T|_(y9|!ujF)T2L6rOcdL!F% z808#9xj)P{tzwjO5as@`%mf(a97MT4oMvP~4x^ldDEEgu%{>_997MT4+-L5=DCZ!` z{n2Q14@Nl$QSOf}1cPB;1sUZWM7ck@X?7b%IR{bh2LTgclyeZ}eh@MNMmYyj?vE~y z^pkjpQO-e>`$3s8;Oa2SIf!yU*ly(g4x^ldDEEV-MtpY|-IdoVgF} z|Gu=;PyQT6KL^q8zh5>120&;2L;FvrnwK$ypo1XzCu@y(;xG<6h=YH!*#sB|okalZ zO;rqr4uavIW*HI4VLWt}02pe}U}q^iH3H?I4l!u3!$9dEQ2uF^d2j|wXE}gnfzn03 zezk(C5G?_O`~U-{v+|++!;8i^jI)Y+Ad9p;-?`QU{Ur?Hz%! zGkkk6k~)Z_Z*MdAU?g=AN#DK^80EXS;V_aqh@@{{GxuO5bv8V-e}tPi(|yNaJarIH ze`FFdhw;?e1Yo0i4Q^%yHv`yV_T4Q6wg5O7%=X=ca<&rKD!wq{1xRNb%eFnV|9mh8 z+slXOKD(Wz+fiB^F|O|&1a?qGDs=8-*-i*oK*i*tTE#Aw?lL5IvkYTnf4&8hlZI)@ zJuKaW(pIyEdkO4)X#aSC36M}YAQV3?F#&Q4ym!<7@l=dE`kMCuRUH5@#~9jl4iY#B zV3`RVB5(-6M$;)CCU6+QK@&Ja;E2(@M_G0h!sk%q8N+prYgk%?(z9ms9wTrJKx?+q z?zIGJ4c*6CcKo6J7h&kG7@>8OLpk73e^HQUxEsA8lAQv^=EpM|LjRhnTrr&)ITq5XedkoLypgi%^c1A!X=S_HuC1P{1L;HIJF7Rzou zwErg(#u1~nhNq-33Ucy4S9lsU5G6T@4x)x~ZAX;6Bnn>gmjj?-3^hc_Nur2se>u?8 z-~o(mQAD=CT3}o}QARdAreXiph19GJt%{K?Iu*?q%su8ElR+(tp!O5osZxi>YDq@4 zC?eWVrWw&D%7_*%r;1un1%p`>!R#k9q2kCmt%8v(ib(d8N>c?RS+tTW=6EU?#4s<} z{>eO?#8Kn53P!Lf@-*0+l4S6TB6$5|DI~{F(2|T?QADnvtTqN&qKsToM6RE#GXX}f z=p5=^?peX06-ChciCo(`6SZzetSBPZPc}vTT`9_l6=lknn^M7G6-BW633!>4Q>s-k zQbiG|ezMb4!AKQF#)jTF)3bs>3X=-$pE4~$Z!FVV7^I@`Xg}o*KlDZqpht`D0C2!; zYPz*3+}cmi8j(Iq&lcVF(Eizo>;Zb>jy?w6TXeTHOHQgzbZ${Nx1Uww{4SrQCF$9s z@N7SuVIGv8ExL~_yUVK+omv!5?PqhKVlO5?$Ynu~7KKOq*=$n8;vzx%#V{k%yNoF=V#+Tj1vYMz{`QnUIKzsIumYgK4=}8_NRWO}mhHc3=`yys zh%LV;HK>5g@Zust`o%mGV2p7QV}5bY1Q=#qgqeT9{2BkrW0-LfX8xhn_77*c3^Ohg zq<^Rm8USOBi&*my%T0jc#znaKhi&=B9t<}w5~P1<&NBeU92YU?mmy<>*Japo5q5rQ zo86XS$3=ql%Q5C2j6E)5&o4)rdocF6NRZw!=ODODkX$54@9YT#eRS1jg5)AW0^rYm zT_#8_5~O!d7&)W6g8d2!(mQ8NRZNiFl>`h`Opsh8Nbg(=1ap18gb9+11POrc2bdta zNRa+9$J~Pnl8XchfU(CqT7?AZAKL;GefI&}^#qV00kHkR1_DTseznrb=iH41kRbhP zW7toP-Ax2G0a#yPRB$r^BuKw92Ps@8NG=kjf3l6y3zrF!yA{AV(_CgrF0v#5hPh0X zT+oVtx@u(0E@_1eTJgV&On|h)1+Dns*8^p~IXW(Bg$r5%z(0A%C9QCgHQm3z)5s=W zk_#8);{Lm~G2-l!V7MR{_us8BQf!wD!$t0N|J_x_?NpaM!`&|tC)bWz{ zm4JJ#T00VQ3>R6`{dW%U`Lvg`S z?*F*|@g>o4c7)L#E)%&dJ76@{H`&v@Lgb1dD+d|KRU%gf*;8yF*N9vL^1wDv#&sgs z1;NmuZ_v=aLF9%Yqk{vAeBSvck(+{Kk20E%Ikbx$`u>CUX7e$TcELXHKiF<`?-=Q4 z4D|E#OfV_>289|i&?zMG#hGSL{As34P=fobLuBNdH-iV70( zBjlp7kR0bJ!&An{NMm56_aBZnttKmtftB8WIKi}HYV28Rs-c zf*J!sz5o8PK#6a-K1PNb149)g*wONuXPd95n`xDo8{>OP~>w)EG$W z{r8U>t}#ZI8UssJDlag=cMmv5ni>O56(rOW`;e%{KvV@W_90V^k*V6= z#StS@je)7EC>bHB>Ktt^scK9{Jg(RtuZ@wV#=ufl?5uQ3NYB;Mq^U7b7WJV*^K6l` z#K2k9Z*DUBWo(7?dQDr!V&{vQNJnonU~Dd2PR#K zfv%_z*BWdvM!pgQUr`@!9^hwvF%p&-2#b1pqS+b9SYlu->ggHAEt(i9OAM4nJzZhk z+K-X5#K2k9(~HbbN751lX;DvaH|TzhtR)84qMn{V!S60&q%ASf7WMQ^(>C&!7n_3kx|lI^L2BPvBbbw)JKZVYLK$TIJP@Uc{8_Tq$@Gd z74=&~p=J95t%YPI2C{-;=?6oKe0PCjWGpc-7WLaVj9X?gGL{$^i~4BDM95fTU@YpR z<)$AXV~K&WsE^(Z3?JR=3u9y~F^r4|67eJCEiv#G_0j8QpCfgNfx4)VU7Bn(BB@IZ z)I~kBI#B3)xFJUB5(9M+WVr8>O<-h19ef)Y%=$$<&^^JqMq4oBBU-cP#5*g784nFQO6n2=brEFq zZXZJG5(9Nn&nz5moF7t`7^sVS=Da~5W27!IP#5*gg|KlJNL^x}F6x<+gR*_eOpMee z2I``oxfU$+%`uIUy2LydN#-0hioPWHY13!57|r%Y(_nsYwklf69bzO z#Mp;yCI&X6p3O7&A)AST%?M)bLpBown^DhN2Dy!q&BVZF)U(5loH<4|69b!3&z719 z*-Q*^#mQ#kU^D93 zW9B|&GjXsP_3T9>qQ%K(;$SoCgfwb?oI zd=^Y4GF6bJ##mIG{3b5^<`eS`ejg{liBA_~S197+g7I={D;H$5S)U3b6@r{JCW6Fg z5Sby!shk|&r2lv&kxD_18pC?=nM7s^vfs!s;#EYd1i2jaC!FzWBGrOiFt3$aL}mfG zjTssK)|pLYw(Mg@@Aw=db7Y@lv#sY6nJdWP>>T~1sqUlmh|Cit-)!soL@*|&Zimgb zUO;4lAe9E8iZ3LxP>?w$vWUncL3RZu_;`1GF_Fa@@iX%H5+X|k*&UeRdweUtl*m$z z_#cytFC!v6_x7H^gt@+6MV=d9u91izS;2i)2(mXY!S_^QoIE$aQX@u>C)ym2ACw-1>6knqNZ@B%URA>oY+ z;eFmVy&MT|TnO*;(~Zn5PQn`(!uz~AM%)U%6%s4#&xPJ1!DUVa^ARb-n&DM(c3sVZ(KNUM^&Cb(~Fby#*YE%E)Ps!?z63yS4}ODp1i=K zH9mx#H!hqP$P_<9&Knob3uOIH-#+BLapAl@`GG0E=Y8VjyzzR*+v#58lkLWZ?e?Vd z+$FmG$#&z{AzdC>=t+~`#D(9yCbLIJFV&(XH*rjKfT%ZtIZj#=7h3b$5Qwf?rbWqV z;=*ZO8)QssOOVqfvZ%!C8VT-`2#E9;C|R>y7m&&%EGZsgDj}6g*i^Df8=WADNeGE~ zZ4#8MUZItc!6byiyf(p9LI#rngHh$7loC>ygix5*WD3OEm0AfYOhPD3d1!-oJW`m1 zP?*=`R`}{wT9h0nkt@ZEjmVzJlk=a*6J)P3Hz$!#M4tW#9q_alu&6+Uj|8$4GgoWv zg)GLHi+b%)q5tkcVgQi=Y?5`Jl7TE62z$n!);vTP(ozc3r3XV+`dQ7j%M#jDI_9Zf{&t;A#WZ=f($Sr4DcT2%2jOAI>`PKGYm;`xP)-Hdl;Lp*sLYV-xAe^Bw1KOSlGSE zkldmr$-okL@ImE8QeA{RDj_`T-dsp-)so~;iG?DWv(Kw6nNUKQ(7hFq+@>YTf)c`L z?i~tDn&fL_GMa=i8X!e}gp4ME=N96TqQjo{6+DH)W$qnDQ(oV$)sx61Fklyt3`&WT z!X$*k+&cx)Ydf?k`AcH8h)%lbX(e+>2y?l22BJ^x)S{#<385|b&cNbdohi#so3#kQOCJNE|gxBRfb4J9q=3$k}{Yi;@~7gc`h2YMNHd z_Lu39UHJY0XEqD2YG94cz~9Ce&z2l7IvzLiS3Yrb(wovKErr$FyVviyP$dIqN;8XIOSdM5VJg z)oRhREIuouDQ1y4$1)k&dgBU2>yB&D^DI6ueKhT!IG~k~0j)RidWniUE!jlL3x;G1 z%VbFE&1^`X(2}hzm7%3KbIe$FiHHm>y|HJp5kxN&k)fqGhZ@v9afQegR%V4)@2f1k zD$-+7nKSkJz}HxO%`ovg%dU$kI#0z(ElHl0xFwQlZ%5{o5a#qICN)-^qGXb+DQU^! z^N#DoCrN^mwn(nT8H$|NqU1qI;X!XMG!oP#sZdg=(3|_h{%J8uQlVs6kfV9Q!Mztv zl7uKJgy_vSb02b|WVRsJ&3(v>lERF>SQ7T%227G1C50S)aa3NY#CIH$qhucYmDj#W z(xPO(NK3#!-JmN-YLpae^u?LR)S@KWQL@lbLSB>{Aks@j$r-JL)F>&`=!*+XC1gj* zA}TrQH8purQh3o9*Q2Rtp4Cc7ijuhhgo~lZ6D1u=4i-_&O>`!n)1qWVNnu1^Txkp@ zCdrDDLj*Z(=lJ45a;S8gMfzl3mUa3nN)H#Mb~=OAyY~UQ+jJEL@S!KC}~ns$WVF63y;b1 zG)l3=x$GIDMf`Ly(Qdv(FH9^dXy9r^wvTn+fI@MC1q6Xt)<58 z_av!MQmD{d^9uZBo}64l79|Z!;*nH{dR{uo!LH;S5ygyGRoSXV=TgHw zih4{UNfwrrk*v4mVa_#}((LBfGZAlr4daJ>>$Cq3|ZNlE(x@w+d61e0_jTq;c zG%hJL?yVc+ee!sp(4Dlo!#zduPzmlR3|B;-d(=aMoK^`)%9sAWE9 zM^cv*QU@gDN671v8wAM>O!kfGB+2fQ!tQ_+`VkVmq>My;DbL)8G%qPM4~Vf3sa{e> zqP~q>0I$8Zq`E zTTBXD{L%n(A2PM1NDjPN8$7?VO6zqA^Xi?3)&62>G5V{>SjCrQ4T6u$VS zjdEpN)sp0kN$^D#47GTo!o;&@jOzJ^f4*)@yln8 zM?{lkkV#>X=>{N!ObUbiN-o+z>xR}&2AKqd?3E;eObUVgii|fMzNsZiAd_cN{M9wa zE%YR5Wb&Mx?#Lmp5y>Hw=S6xClq|cYm5@dz8|83b;*unXOg2ICYYSl5CeK?pvr(I+ zW8`_`LCFg&yC9;wpmdh<4o1qEDSlbfK|%UTF6Y3m;KcsB~4y|=r@G_tyQ)bB`rlA9)F4Df%T+$e)!3<}B>>pY4_$r(FgHfmnWooVXnW zgUy!L$=k_?+l3GR8MvD&QyAox-N}aAg$@5%qtVOT$%fm74gcB2JpVP+PBz>wZ1{)f z+sWF=hTDY=|8SVmSKG;k+l3APFu&N)MmF3oZ1{)cj8}oRlMT1$34*6ReM8diVv!*1+?Lv-!_>__Fw38pV4@5)S-nGz9V%#pn zhHuNX!>KB)8UC8m<1?GXtkK2m{DGmDHXw*)Y+%7En?IXthj&>5|b|K1dn|DIm zNtD}#D8GH(cmZNNiE_ITD7Oz2#C%g=JLz(}(B&U(GM;;ECu43OA;?Kn z8+miP@a7+#GZ9kfb{T~HQHzOi5VCzVkUt-1BBa*sLaqONl8KOAw~zh*xq8zmsjeg6 zm!z_)sA8TA#5@lLVxEO4KuCZ<2qDBEW{FWCG$SFQ&Xbv1H}hmsV`kQnb7rmEUhh74 zx4Yf#QF8mXeBJlSZZ9tb@+3WM$u_d}+}14XcKgNuA3Lkg?l1LWM^v1B&e?m%FJi|& z2}!*znZltv1(K8YGnh&V==5cS+q7w)*XL!V6rZh5rTUJ;QsRyvPf0PN2aMpY>xoje}3GGZ6d_>2+H)t z%p_xm#2x{$|6EdUPXB;@6(~YpkAT;Ie$qBWUXS2#Vtu7asYFQX5s>=N#m@!@1!}Z2 zA$$oeyZg3$YMzB3XBM8Jpx+)#Tom52<(xi z?5!!Mh%DoSS_W;@2d)a#YHtbh5rF(JE?8OK2w6UY56lc+Wggs08bO-%mzcC8r0kL{ zMEnR4{}-37P5uZeKe8I0#yF+O8vYA*sed_8j64v~kIzI1{}Fs+roP&I^CUv@kF0|( z_8bNW2I~dypp84ITYevm1tN$Aekq$r&a}V~)nz0QK_u|YA=WNOgpoi*GPp80%Ii`C zEh7f_rDRN;5dr;jON0?X1T~lba{eho_K$%5e<|+O*%8o>w?s((5s?2cHxKY`2t-K! zk-K1}V!e5&Wc~=4|Cjh)%kjW)?Kg=(A_-jiNNQlHQ6}t10Q+yv6XoXv`gNBGQ9lCI ze`~Hi7>N22p#EDA*%G3D1i4&)rAe+v2>B5}{#)2VSsKuf!bFJp5g`6s58I0f5kCUN zf9ry8lqW?RA>v1X_#&zBN{ILoApToV_{Mua@*5%IM}YVu@qYd{Ld1^%@!#%edlw>p z1c?9E%XZ(0_z@uf+m*J2h#w*1SL`y+mWUq#;=hgK#`gtA>5CxaN5J@X6@mFinUEg= z9XXjLZVN02eTX@8hDa)2WX`^+~F+62NdAc8R9=EJsxkwD~ONDkQ&1_TiV z1UC=c62=6P!;l=YC5#LrhzxEXwIvJ=A_xv{9+&pVb7$!tcL2}NPFj|Np zTDbX`En&bAIRnXgTf(>@g1F)41zW<`NG{qE1`rVh5I3K&C5#~= z7ew-_$+mB0C=o#@@v9TIe_%8bK{Vm_-)Tl5qlpNjiC^JMee(kPd5Q?5iHJlKqFY{L z7*0eGPW%cRKXU{69f}CUi3q}pUthE;h9V3nBF~8Aow2qrWKa=7Q1Q+(yO#_rB1m|@ zv(c&+j4-;0Ai8+xkl*`>_Xy*Q2-4o~9ILMJWWpkhG9rjF-ko55EH=V8BZ4^N-SJks zHNrR}f;i*dI;&IOE;zmELr9gmFd$amKr6D~Iq?2pYph7-vKfXT1A_C5bZ5 zh$7B-_wfNEJ;&UmTm_|kyLvnj69-)WM-(x~?~cg) zd@!J2e~B{Uh$7FzQACmK_m{T^ql_h@h$U{xp00CuK)-qtWh4{`~w?mg^@&*kwowFW*`woAaM&A^?g%IB8oVo@7*(wh%SKk7FwC2Rv1S_5l7sz6K7Gz5mCeu zx3*c#9%UR6MI3Q!r!8R|5k(yF-oq8sJl`peGLDEMj(G2s6`e&HM?{xGa?(meL>Wy) z5l#GlnNdo5k=%7lKx%^V~^-oPSb#?y@)dGh$8HG|8D8jj=&7PHwGQi?P%ZP z_j^0V&?9=Unz_$BX~rGV9sT}8^tX$h<$;-Mhhaw)VaNO1?3-iQ5xvj0!-yk_h~xeH zXl9n0VZ0GVyz%}{+YIB4DB_Lx@3fy0+XYWU5qyZG*0X&RW%vYGzMT(vMj*qF zD8dhsRC*;0KcWaf{&>tc)l=vlW%vX_eWN@j zt5Jp@QG_2NsrE`3enb&|{QEcy9HYlLMfhp28j}==GVq8Z@c4JU%VmMt`YsuEL=krU z`_5`>yff^GBJB9n5c?T3?1&=l_|qgSa}j0O5k=VXrv+A~J<6~nim>BP^#i>X2~ma} zQG^|TnrH3HM;UfR5qA7(?GWpK74#!;UDzjz1k5Y5fnwjwr&854PIR zi(y9;VaEsd2ce=2JE90XKDgh$Q-&Q;gdHE8s__1@PLyFs^rA>UoNNCNgO4bJj}KQ` zDc2~&kLZ(-oE<;XQ#Wm(j=}`t3Q3Mwso%9V@ z8JMf)8CgVGT~m41EKZCMqKFSZlKP`nfq7JQ863D$UL*BK7gW_H;=4fnj|Fko1?H48hcggB5SY0IE6GARo-362W5nTwSs?cx&BT|oLL_>9uvfPSIMC8E1P^iStlGu$Pj zyFm0$@AOxD)=HO%?gG(2ZLo@~T_U;*M8C7re8|xyqPsx!Pal#iZwu%*s$3$v3q=2P ze}8{fxtV0A=J3qt=)ip~N%0}J)pkk8!-Xs?BF(@aEn zf#{zN^Uay#c>#oU7mzNJ!Cna=-JJ}{Xx~iFku#U5?gG_CGSn-Xiq+Q@Sl>9`la zApdNNJbG)4_3RxbaxXZ4J*B#+a;^JVDr^g|df3Eka+_Bpl{BDyPx?)=q6 z>(c}-5#7B{CEiaHxa4$KINkZH+pNISC8@hY>dt@pT}hXu?h2_pPMzO-B;6&cyF%)Y zGumF^N$ReUy5rnoedx(0sk=hzjTJ4h5T_JVHIc_npOHy}*)SY0hbx_+Ssk=hz zPH?bQz3P(GT_JTRIKcWcv`bQVh18wks9}|!`dOEx?h2_pp}Tz(JjZNZlDaFT4#_gl z@nn~z?h2_pp?mEAA*s7U>X2CfLsEBz)SZwNJ9@rp5|x8A$CZt{~@uvLhMfHUi*JY?5+?yB-a0s*j*uZ zC$z(U1|)V@h#eB^e@N`E5W5rFY5xz2-4$Yo#QGl+yDP-*gzmHdhs5p*u|s104~gA9 z56Le3e@N`E5IZE+|B%>SA$BKpzi)yU0ZHtx5IZE+|B%>SA$BLU+x{OCyDP*FiS<7u zc2|hq2|Zv-NbIfd3C8~i1+yTa_wE02j|9v;x|;<$u%R|=5= zFZ#_<4EGWTl7PJP-{iLv#s~CEHZCbXRx7H#pXH1ZUSk5U&VO4gX6pm`8J8H*H8u#k z<&E7Kp*1#GbR$5l-2werON_`GlQM6QE@3q$u4m4{Ke52At^Qss#1XM z%n#@Z%NRi^HrwhhAt@%1l1!sr4aW#YF@Yk-9fMob1CuclQ4D#nx>~cx9BbfB zi$`@_`Q;dAS74)>r9X{{KXu&oR`FJh{xpWPS6#I!Xo%61#>A64E{f0&2K2~ejD9o* zKia2DKN=H1>bQ?mR}VnO=tpDRVy`y4z%hEz*geoaE4qgQdd@LMFB%gs>NH>S)p?Go z$LL36;zuDdKftYbL_Zo6KYFodnR!lhqcL%#PHR6gKRmESRp~}!;zpg8=dDjG#pp(3 zaHDPZuMx!PMq_ZJZM&@?JVrMfgBxwzXF0wY-DnJMwC%VBAThep7~E*vSzAIk8hZe@ zvD(}Q{bvmRvmLiFCZIRFw`Vh9u7ZiaBO@UgqPx4Am!nmA3`9tLlqvewkLy z!qwOhZH@h)`W&q4dDKS!Lt~YwR#i+;tBuuEt%j;h^kIP=sx*MjgS}6okzS>72vlE! z)t#zJ$I^)7&Bw}5pm8++UD6Ov=M`AhBdLvJ*gV$z1RCquRwt@m6|kzuOB=_rd6HN? zS$+bI4EGx)+;_VCcmjI7v~dbmWlK%oSosOeVA~9+*1)PBFKwL3W;x~S)b>6BdWl8} zq@Au>^i>a#Hqucv%3%_*YR>XEF5$mR^y+jCg;hO3+PIX>tE8_NDrTAIx|(egGCEzu ze3iokdV;i(@nR!BVc$@$(P?Bn*eLO!(={4a^_XZQgTY2rXFXN^b*n}?jYbK=oUSpx z$_W8IDB4KB(I{)aST%KRja>5^vF3N(2CI5dw2@1G<4#c>QvPYLMy|PySaZ83ps#vR zw2=#Lqtp|LRkN+x$Q7>d8ja=>;rMh2!MrxjU0$gSqvCMQ$!*kW+pp9H( z8YTNJR`(fIt_O`+54vW<>ccv+xEM5IDvqgGU{pC38!;8T=3oryq0dH6!^S6Q)f`=I zQ&C8tCH7~b-*O{u8yGU2&h*1Dn|wM*ymLe(^Z);^03U$7+mFqxrzzX zT@BUaYLye_s_ckM2h6GTtK(^PJXB?5Ee+_o&#Skw8Nju_Vxc~)s|2pA0Iu%gzRJk~ zJ@|QbHdQeT##9(Wy~8P*5QFqV66F8%)57NRB>lM&DU4?h*mXS3oa8^~1QT4c3?Ry0rZCBxXx@BaI z3Y=3_`kkw%*a7oZgRArrS5HHAF*@*=s&Z^zl?C4O3b?>u#RA{G1kd%nsy@RGU=a4b z0uI8f7=+zRp?X18IS8A4?0`X)rvCW%rv6Y}hYskW!=?&0W7Y0`1x;MFo3Ltk-+|lG zLx)XVwVN;qdtX5l2VoNj;d-d*p~EH)!Y0X>It>+j^u;z!LzqRkJU9zXY(9+ z0+-9*8*Q4)wz*KY6wD!eoti6Kr~y6b)WlW0 z33;tO&?U3 z%?<#AQ;RUNUgXGXdVtM)Y1OcN6Ib#kfNM&Aac@mPPZ~9mTAQ%ISCxO|v55_VqFA z37lse(hR8vvFhk~qNWRMMzP?@it-cSqTht5KebVwfYV=}0B2{D#Om@~%TIu@dJ|&x z)ZMVEhlZLsJDcR&K7tNYOsB5!N>`wI5BjQShMHbRbF-gT4MH|ovaJ%TJ787M*fi6d zG$R9Wq3k%D2T~OofYeT3^Pf8@!v;5b&s!diZej1a?Ur)L20pS;Hh~WRmVY9zAIxwQ_x0<;QG-Dk|$!C~HIfGP{ z>p=4&THUL!pm{O-x)`dD!s=jEC8;$_p}(xJ=7+1AIpLcn^Y5fiVhjvX)m5~B$vwAR zzuwHr-Hgedl1+~_juy-}H&9jLa9K1B>^E;=+ZLz_?2mG^UcQ;`ta&%Bni<>7`PTe^ zsHUgGsusmJ@1cc#^0s=>p?N>s_Cr;697Z`Kw66!)d{C_R`mJULdd&#*(leo|)$Prk zoy|wt0Yh)i$N2Av$kMX9tZ}p$yqWmbd{R2liw@1FsEPn7Js%HCOTnAz`I^s()n32V z{1{aqgK9lgwPv@Ov$OeWcEEf$tC?=E`5CB+<6Prt$!_zrv>@S5pWkX`xYLYqCoPV1 zl%v&y%?x*1;0=5IRtvph3%p@k9Oo!UO9Wf!4O=7&CNI_yd<$nr3$kG8Rp@}$0k$v+ z)`A$O_Z75oX0*T?rdPwN7W=i(8@3>Z>3sz)jA2?3!=%?hRcrlPIFDMS60vUIOVlt>3?^}T|YIc%E)RaprqJ6g2Y zLf6}(6UM{^Eu1hdm@w%r=)e??tQJm~7EG94bZFs(X~Bd^%St%P(TcDZPM8)cAM?Bd zddL>ppK{V%38$&mJK3*od;(?=wrpqHcBpbC)XJ_Fy0eyD@&qndnBy-kOzgBEv6GgS zaH^yARxNaAEnvdAjC@?!f%wO@sa3tBjdT98di%St%k(Xy!) zrczqq;^y|MauT(`#ih9tYE@1PU0e(BaZ=fBw4C6TfRAZe38y+*!qGy{*8+T8-8%?5 ziCTb<8JPv^94*IaAwIU8=O8q{*4RQ{)^Y)=oCR8r(egMiCRqouYSz~lW*u6Pb;zuQ zRV~M8d6KHna%35A*zz3Po`b5)g2_(3zAbvb7WvYhSS=41oo_EfRq%10vp`i}f`wL0 z!(JX}PIi`PUpZr2 zF=Kn5Kr3f#D`sp)@Nu29R8=`+TV=-f@jxqQY%6ALM(}ZzqnnbgoUyHvvlgqy7qk+8 zTamNQJP510^VrHTtQ9}^*UJN~i`akJXLmAt;bV25p_LQ46;!;s9Gr7Ea6a}ZW_ zpP_Xbn^%d|ULI&&&9>E0eHc8T+YGI1*o?`&y27m5t(@GgnB19Tu&TQZt(@GglELod zf!59ZcVw_L$I(~aWN5vM%~%IkmqUnFt^=)D2QsH%RreTLxem0-I?%@hty~9Mu?}QT zXcnB!wolzSlZ9b@q=N{$g9zq*u zXB%=Et1ApVwUIvCkjuzQT(Hj3ErhmeT&!)NbfA|9+6J+05L9K&n(XKfLfc?A4->1s zJkU0rZNs68kk`@OfHuy~Hsmr^mpQAANZE#5MwV-qX58DD%V?V{s=dyrjY!!x1*)=U zjdC>q+BTIJlfs)mXVgZdY?}>LdT!0Xw$VwpEoBEx{fWzAaWX#TZrIjyet zzJfN+jJ7pU70*4&(fn)MTB@!St9`HF4z}F^Rq@=ToO|`1a2~ZaumdKLZre!JjZnP{ z9oV6&o7gNFJn*`#@O=;c2E^NFIn;2lO4^!w(VrIP8btN zw{gO>VZvnZMF%wh+Qtdfh6&U63OHffFk!Odxkovge{JK0X@fWHMY1+}!!~%sta$EG z&ThRedc(HI`2@;?@FF{f?cJ<+?sd)ss!Dg(_KZA%ULI&8C$>EcRq@-YCBxq>Wa-8 zZM1U|wZp|_C5Bn&X#TaGF0Q>=RC{@#eE|P`08}N0nd)f%wVj@?UAA$ZhB9Tea}u>< z8#i|dl|5G~`#G9}ZD)YjK1xI-`AzidzPg`w;%PhZv^2w(kWkylKr-K!jAft3LbA;_ z%yVF(o&K;L{!k>9UI|@dJA%Q|y;jj*J11|u6#Y4i%L`>YXKwp#qW#zWJq_H7=7`%T z@ZwYd(l4~DoONuU#dJN;<8_$ztz=4-O;^jGchSAWgLQgg)ZoR#hC zEvs})?RP?T9;|APxSc^=J4Sy)g*mR!&e7kF(f`*%?n@ob5w~;nw+jnOU(FV8JBN8Y zSn#jc`WEhTG)LTin74qW--{J9%rJSHZBL8pmnvlA*g47}-Tn-kn>rCo09UZ}WehLgzHM9G^+EbENryEScn; z2JyL+fUWwBkgeiitN(F<^Qo$zqnYP8`6@1aEletpT&ymY*Q*Srg&O}|1lvb8-5X zIDCsps=N}qmpC$#U)|!Hxy$oE+h}c@N~*jPqFo$lCtvKdUKi;uey>RWaiJ}t%ZkHg z{o`}i&TpJvE51`C|1`*!+{YeZlH>!d@RiJQ0toKpXD(M&l8obf%P{Vkl>Sm)>_K>R^o`9ZYrw9ehgg9M!ToyR7Vzz+dT;SqZ;JziF%5!!*x~mZ93Ku_&_Jj5v9ijarv|@-Vew1xT zq0P^tI=bNyKgM?Cp1!@pe)GpEIS$Ek>+?8qQbb%*$?}x~XQ+AbWQaI&$={YD8Rv?l z+Z1s!dK`@Y?F-}j`#l4dm>xeVl2=FDuly7*jV#xzb=BU2==f<$PD3)@DjkTQp#(|m zx*Er9cE-=L@hsG(YAZO>c~ajaqk%Z0fmb(KKU5TFKoCdP?A2A)cgW)BX$xuXSM39j zab^SJ$OgQ6zjYKc&V)exaY!DtCB&;Z@aok=_DmpQ#h-xWVXHVM{v>uJPxVpV(AUSItV~sycYv8$8uh^rUNEb(j;$z4`uY@AVA98ub9o2_cE_{;nsFy?EUtf!Oc2T7tIBpa{<#z%AdI<6Gc4iJu_3 zBnGOa+AATyB)~76?{1QnW~`%|qzSTp0&M@?JFVL51lc}Or)CE0Dw-hHCxG?e-7BNz zDMvR@6XR)qJlc2LW{CC)p#A?GVLx4xeL}Kk7i$dGCMMF%L@3KKGH0aotPaLWY@dYo z=d2l$AZsQh=I=8?%uE0?|HV%u_AG%^+K@-k~Y0}>w$DcU zNXs`S=1?MA;TJ0mr60x11D zzD~d1(LLb=Svs)-?IW$z5{Z>GvXYOP?$1$DnTK_pMCMZ0|L+&d7~(fT?_HrtXrD3LU&=drA38_@oBd|Y15JrLAg=0pQ+NQ%f~cO%<2LKoG$w+D5bIkAcDo6v55Y%j5y zlFcId-bi~%xr-7+4gc$)>e;`NFZwX*KxLlQ9}Yz z!@o|o2P30~1fqt2t+%3v1fzz;E=U?|Ym6Eah#LOY{#ICmQ9}Yz!~d?bCnTeW!~>8F zwyiOCNbK>(iwVXJ3B(Qmdka>kO1EQKQ_iE2>1yA{u|@$7frxVAXoRs zEL%d%PXP1Z*ll-o^8CCL4Wr^QU&(6XZ=@h3q1KNd$9S{>B7lmvM{ z0p9=d&=KAHm1S^yP`5maHVm`3Z3TkC#<@KfIM7=O@7V zKVCY>vQN%Wfb)MMKWF4c26BD^od1(CwuGFY0O$W?zx^V}`3Z3TPxe~B@|+;&C&2kX zIc`}?lJk?`{GU89$~)we^b$#M{!gB_US5)%pX@J^YqP91IZ4=00`{*huqDL(Byj)Q z8rvFiKMCBww#Ax4N#cGIxPR@aHL#Mz{UmVznmv4y#Qh|2|C+s8CyDz>;Qn>_Hl^oT zCyDz>;QsaLwuHE!1n%FEV9PThlf?ZbaR0`1>*MW7;(ijiFB0!5_#|;Z3EaOi(|!iT z{UmVzM!ogslEnQaaR0_K>*EAT;(ijiFB0!hLnev)N#OpCdDh2flf?bxNQ~$5Z&D=* z`bmKP4M|)C>VsNWl_cgTf%$#9r2Hf(|Hf0))xxSIDL)CyzwxyF4oUgRI!J84nk4Ec z$65A?`AJ~@n?q=SppHU9eiD%X<}mxo5%QB0AhCb>B}vv#PPFWk^ONBGH}L}+^+7G+ zN|N%ElhHogwolkkPO+>^g$qdn^xs5sygsPaT}fhoavIw2v1b`!KMB}>^KN@y5ciY7 z{h!XYM;LKGITMn4TSDqjg8F~@s4XG)C#48Sj*FQXAxYv-&Jpcj@XL|#;96srB>5-T ziu$E;L0*z@nFL(^;*2DSgIZ&jBv~fGAivyXKOr(m5)AUohkSLOFN`M1AW1NYNGiM% zGDs2(^2>wv|BykF$X|-Y`X7==Quav#hbqla1tf_e$-Scemi)k(Gcc%SZpnxEhLFE} zYn(;v$$gaUgJijd49WeJXa>`KEFyV;Z9ts2cFUVS7u4FgBmpNW+mQ0XSd(i@5^a(| zo458$U&aMT=-4ILBo9Hi#{BS8l1P&T(!BME=#CGLR9ym15x@_#6da{i2r@~4%v+~zD+HM&K<2F@7J4KJGD#^fkk2lgilihFCJBUj z>qW8e4~|y*1ehcM=B>*XTP6uGNhvGBhjhni(32#)B*89k_ZKsj!7*xv@kJb8;d{EJ*@O-bR3SAgFbENn%M-N~A89D52kJyB%BOxhR08bye|^4b>w;R{*Fom!fRDaeW5rD!^wAyg(KpBXhI4yY zWA+aE=nnX3kyLmk^wAyg(KqXSb)FNF9rV#1@X;cv@Ji^TJK&>lPWBD=d~KtHKDq-w zS|r{dukE0Z?tqWJImK7!*}v|fkM4kv7D1OrcK)eRKzW^v%`fC~TTO zDZ1$nWXx_}u)c@iL1)?lXL|FB{b1-$JK#=5Vm%nT(+;@Pn=jiBhVHZjsWXvS4~9;) z15Wi={a~hIQR{$n6^Zp==w3Te+IHS&mcR}=)($w< zUri}L7_Hpwpl9unOxJ<3yXc_5>zE5|DHIwL)WXexnO8+cK!`g&UlyPkT9= zr363@SwE$dr(-qSR*UL8(`BYT6r82HYuLUP?bEFMatD2EhZN@o>T53I^r#cT&%We0-z`T^zuO9$O#$CJ>#EV^@pS~A-~SK0B@ zU;1^=shno)(oJ?e4c#lgs@*{?neCvb>=2LGy{cE0F0lhH@z%>wU81*4kJ#}%t(JRB z*VlnK{JnlqU8<^dejP7DwYscI_tpXT_FjKq)&0R`s!HG1@iIGLxUZ8=tP>IRdsVQy zoT{DrS2n}sw#-oOtiY)3tbl4QR9C2ypUqMODIGA!synOLhS>MLLFm9rRjp>TR4t3u zatCVIRs+>xu)0cBYuPMCHmRMJrUIyw9Y2gSs!Dg*IaE{+SDFgzPCB(t zIJNgCNx4RFjjGb4b&jId>H4-h>4G{(Lsh=|H#4|aRT(UH$_7trXZZ)aIvMnJ!dtyJ z+gCj!s3o(VbXA?QRU;iROM53BPA44Bd-Hu&n}b?1+evTJDWyDeTeq7I&@*%*@Otld zbYQ)9fX<;)HcX@g<%czkPX)2ldrQ%QJ5_Zyo26byteSH4PP&E8c~D&ms~c2xK2>Ey zqia^BIr!MQkZlX0`jD??OHfN@J8x(6GEu#=a*63HJxJ$rsP6OC>s;3TK<+t=N`5pZhP;D zuV#PnZdHAd%?GG@%shdEY&!_mWc<{5Octr~Z%ub{t?tBH{r*H*r0xi6 z$!sTA>P{A5RGMn=POj0NSfl^AP;{3EwPv=HD|F|hSSNdRxi)uVZT=%LdU*q-*=#3Q$xd0~#OyZnz_`S9Vu|~clzA@=YT0Zjm$*(T$Uay; z7SYM|sq+dnpBAgff?77)NdWJv@LKKSs?+5c-47~YRm*0(D)Dx@YNfMN%VxU`1I+tw#!VlyS#hRd`DRB3k`CSY73`{|C7w2-H;w0~qlY%l1 z%Flpln=YhnKE!tOiQr-F0VjXgJ~2C^TtnN%bV}EL=*pv=5j>)*2iP+daL+A=s$HD< zUC5k#C}%#_1dpofA*#xPAk(HiWVj-9VMX{5KbrGMQ0r2=xFU4P%#|J(;OOGa?ZV9c z@Cje#%;0e~%Zb|saGF{^O47v{+68d>5P8khL9IURA~B%R`22(gim8Xo|0LS@5K)l1;jV zP5P`7O}c<4AITQHSUshxocrAZ9DOgWy8>70u7EB=lQTgrBJJkf?-q=Z9&FJ2>aL+K zFybRQp0GHmMWo%th;G4%-X3&w+INE@K9c==v8qL+-NcA)IsDZ3WV<=2EcIsGK#V29}e=Y2PF#UIIOy){8CUF;?^bR&`5I}W-z@4FFmezaB0J{ml) zW*KpIPZzVjXPUY>@w;b0R}Lzz3u+Z&_e|cexO|UUPW*1T{EzVE*++v~YuHVn-z}91 zl6y2OQa2}l_af2#c(Lei3u;AS_hQ;bX+qrqQ<~7dgl$Wp`=IEa3~F&;_fobmMf+a) z=xn)p8JlHIk;|E)iEgeb-B?pTJ|QNy2DOy0n~O^K3f_i^1G-o8|5rj6alp}_)?9V3 zV*5I2|D@74W_Dk^)O`mfcc{c$aoD|{5~*bO+zunp?mMB4fqgdkv_5@CpWTQ)KN*1A zG0hD$fpzPXaaQiQn@d;sMo1>wl1==dO^{5pC7UVP%!gxGvHLEzAuj!7j&x{y@EPsU z7Pd1g?R^(q8@sVKezH?^PX)CGsGDnJH@N7N`_OsQyp<-lTJK^T+qOYfN>b%rJg1l2 z&i3t?D+Z&x?`6}yP{r=bBf(3m%2l`fewsaP#{X{W?iSroV6RI+wJGJm)4Oh|8$ovd5DsSAbHT1?4v{$cF&c$uyWv=Yq2hjeaZH2(s4dDCCXG^&9cRy^|=d#~@2-+B2+k;vi)P0zJIV`%D%IEyM zkFX8-@-L1dOQY36-ACChYjL2y%D{9t7vpX$#{Yp24NeP6<_n7>7vt_{M7OTm9O~$P zmJ)0T{|A28_V%Dg``rYwZh+W-47G3M693~8J7+?;?&sO|ylDTWoSO=nH_g>PHG~~B z6FkNIVhZ`izhI5HJ*0I&DF$LGfh_5uIi{H+WTgOEe<8qz`txQ|Ty0ZWZU6F+)xi|k z+tf%|81FBC0wpyH9ZZe-&x+t*)tk&pNDF>aqbZU&E%;Ywtqr}@cuM4WjXc{1y`9u; zY`aag<+nwJkwbp%&IGngHZd5e8|eL9Y-%DUSkvnUn&>#iH9aM3dQg65Ko-eRrCQ;d zo{}tLFfgmivNDBMrbx&z+?>r$O=Z(mD9h}2E`_Sp$TYSKC|(?Heqc65P)tpSs^s0B zc_GO+;(^d#q{LqY11qL`H}X?-8L63&ESc;5ji?mE%G4~}Ppt_xQ;aE7v!M-Exfs$y zfz%vcML_i80CS!?MGj2~hX&S?zg?RG6nY5^q@vdVcIQ&yj1$eNOnHR$lyGh|yZq`R#tqHYS+UiIZEk>X07l9f6r zbzTDkS3>Xa%Y5eXiB1 z?a;<<&f<`6bf&mqrv#Bj*Q^IAF4ieQ+wD;b)=BI@AgCPXeQ$n&ggK5WgKc)MmCQF&(nx8r> zx?vQ_P72kjF4z6k5%!_1%SAsW$>3lZU(KEr8mGF)XdPihT}@e+VMI#8h+z0G>W)|4 z6Vzp5p;z}2wn<_k7={O*6uM1yA7wid3zz(+mNUh~LP`<~!N>&hM zx7u6glOo@zE~9hR<&pU!wO@obz;bURQ3O}(vG+C|Cr=-WDy&89Bn(0>3a4XRv)}5v4SkiDTBC+m_jwL-2 zlKJ+X(Y>T`l5kqJS$ETPEor!x=rg_nV?tB3KlCeUaTcO#TyC1)A`Nfh3Y?7%>Aqx| z&LWM4zfYA5e;NzFD`E23&@{Embw7>8d|LUF#%ZqQX{_b01aoyE-MdP2DNipH)l2?a zx^||yDyJm@5sWRy*qWhM8HS`ciE6$7h*9Ozo8Ao7yX84=59#hzn#*q*%dC9R*86LL zX)d#AS!M%u!^#hb>ueh9Y)r}v0>?wM^om?((^zL?XYsa7GZ)#kKyfg3+WKk5G?&^m zmfG0)%3+=Z9ceDMX`$m_?C5B(LrrtZO=HQ8y;N&;m5Xj#7G1evQ%{lRs+-2D+la(O zLr6Ez(p+`ZSX=A;`_04Q+M34N+BidW?+WP_S($ zx($}*GMYXk%}tN_=6gJDy?VoI3?JdsjD_w!}LYA$=*z`-6zIRg>=&_&HP1L@)yDO zezuh-`5!1`@YkL-PwOeRNg+cpE>@gJL%RKxCQhfH<`qp8mL^K4pMfs0`BZ3u4jAHe zT5viTA8KEbK%Ew#4#vm(#(KV`pC(YJFR8@ul@P1bg4MzJB;QPetKxZ@RGr2$D-yp~ z!eusn8In1^F`ixhG#A@67F&_{y%H|BX-Tij*eSm-uC-~bwQ;G45opj|vou%Q4A#@c zo#VXYIKwB9!FuW+G*h2xhU;kt>uExAzfN69cg-?fOfwZ|KT%~_@#8II{IF8qA<9&; ztx~j;v!pMVL%N@qsbYH-+UH>KnC5CWSEG4mm3Q!D22di&ATe&zQJEUH)j(TPv%&2l z-GR&0vb`4V_13G*45VZrBrp0V&+5x9WCl?(NF{!+WH2RzA-Uok=K1J!W(XxiRO0ta zhEgJ7Qm|v5{A8c!I>RVIpyaPLt}DYpDIcBbnr$V}Om|>KZ8IAV!*3Y12#;`9)ch}XFpXpe(!B=)j&dS*u zTBd`8o-!k8uVBYL1H45x8T!i%{AI_Q@z%Z4V`dPA`K!$?ScdL019#bp2=`n_cOo-% zmKiw9&O!D&qqEGwS$2-GHsUjMmKiupe{H}-s2O_847_D0z9v66v_fxy?lOazt8=|o z_n4W+Tb>5Vef9&QJI%nIcCE1`^r)E`xb$G-d^0m?2Z2|Y>{dH#Lb@HAVc3CPgllP+(N|_J+Ml(j#yra5vi-GYmCMj&XW+8CP|Q>j zTCG;-voi?4x?ZxaET9#HU;gTVIoXt1$VS9p@@d@x&fbu2ux9AyGjQ|WRkj&=`ivwT zgWWT&V)x8q+FA_BLaWk0vxJf*kSw(&ODS23PWj6tXc^m2heT-r91uFXs)6 zH;dQ`wyl8f1sQGoLb{ckS;_X5Xg_cFe-$OG#7ZygW>&LpHMHen2A&Jup;uhP_BCjK zsoEO(YbjYPlGFuzG_Rv%9VAcLk~=85L#|w3PWflnvu!=JaphT|^?Kzy*{;W;Hk*6h zz&6Qi2GdB)EehSKx(#e^z;h{YRAn}@Z6kCMoh}V+P~A;z2dDYV`zaZ6S_Yh!9xJ+Y zLb`99A*W^TqV9Ur2ZqQQuv;3T+q}?5wM%l#fZQ(mXBb_QTL$EoM)6WeH;yv|w+ymv zb=9Yh>LGSZvTeaU$mzb&W;J`5?MKi)(q3kdQgW15%;sz67~76PyG{nq?2v9MXO6S| zINHa<7n$Z0Y(9bJvGy2wgpx;S++^-DkFxDiXm1qb<3n4tiww3hC(*tM#!d4ns-Hr0 zgFOsRQ*zoeeuiy8x%^2nUMIil!xn;E1|XL|1>>ff6qh-N=11+GljSmCx%^}H10&64 z&dX(G`kMli3|TG%mdhiFGeCY9h}R{_Wk7BDXKXX%whXwfP~)4yGC<{?47n}yq)Pl= z3F$5K6z;gzZ0cu7ZkeZ{Jw~oPPkvp9S0=q>KyQUQ+_7mUyk!7yh0)g0gbd*=19&S8 zA8O4}!dnK}(7M59+blzD%K+O7lCXC6$PX0pvV^t_psg?;mo?2KwhV}^FuTTLAre~# z#8#MT9R<#i*fI!^3p4Bvk=ruhw!$jk?JR>g&rZqhWtCKWB-wuGUKUJOSYa(eS#~@t zOn1?5a#C3$T^2}JfNy_7eoBe|PNd6Lc!yk;Fqid1S5{7Eto(!$bqRD?0A1m6JPFfG zp38#g3ig7UCDLVqbcLs_v63ayWe13)ING}3EWs`duoH=OzeKw%(5^VV#``+6M7ykP z0ruT5nJx>aE6$gDI43{p#CssoWd~dLOP0%m<%;+6eznvgOOnfiWC~kE(>NW?zJBdsVzGieJoFkEO{+E2HNMu z$~^fQDBcg5EemEVo<|=|Gm$L|WGkMvCPkLWmIbmEPg-LzOJvIe*?K2MmdKU`vK3#F z%kGh1lHz5FY*`>%sUI$Dn#pTf@LKUjYf@y%YuQPVykJd=ETJt6Xe&N%cZk@Qoq~%D zwg<^nb^<^r5^InU=&}I1(m;Ds5a_bgF-T0kLY5?#odM<1;#*#n-@Kw>(p+|?HAqNu zS&&>wKwTz<){SJzaarV2N=$m0W};jcC|6o!4-&#$7BE-3*S;&lTy~yHth*x8Wr1|1 zyRB#-OQ_4%p=j0$mnBSF*t*OQg#J=}I=3WQlZHAl-j| z#5Z?oUoev;(q(~kBB}ODh;&)`z@~U{lheo&=CZPn9Q-nN+1G@$JSIz^%PvRzT6+=@ z-?G>?uB!@|9g8g4Eem%0@)3CngF<`t)<|yIRcJqKn<2ktrEE<)W3uI0vRfAH_T{Ig zGxI`PRg)#TWx;1(e#*8&KFgBN#u_)3C7)%%XJ5V~R;GpaXkusy%N$2p6nK07Qps5!*N;DOkT_0jpiX1o@7aF*?VBT*5rb-M7Hc! zXalSoLR#~a-A3cv&`z*2&D+_$9nGWVhv+@y>0U~(tx;ENifOVt*oGY2-{30+Cqr5# zl-VyC*Y7kSTM^slV6dr3ymKEW%S zQ|DRIc@}j3l_#W^(?gGFFUjUvWLCd&(Y`VXJ&W9`zx*l1Ea^N8I{$mw2@@-isukjS z7I^;m18pnB^DNJ<`%Ms;C75R~LHizg3P(aG)e7M}3poG#Grn=2bX%5qo&}zZq}nSX zp=Uwp|1iZ~B}wR65c)ry@=f;oE)secgf0@lS3*M1Ug15Pz0@q(JPS7e2c*Z(g-)@z zIg)t}Wd09ltb5L>+$WN+?zJ*eIRbhPfd18UzHyWKR>m9=Jy)R;zgI#~&jHl`ajykC zIih+FsQ!o_6uY}N^1GInb@!G-sa-&8;IbwSbiP*30T59!; z@SX#_e|?Z|5i14E{}A7Ez;}_mFGwo+Ug3k?yWYRF}I>h)KF#hZJ+RuO> zpF={nH?5l+#itCo|GJJ0t!vB??Q^5ie%b=L905P430afY%@OW%fcvkb_V#?}jE*1D zeGYX0^-ET6GDo`4jg!9A4KyoDj$EG`FWTRb{dZ?a=&V{H+vmXc-{^07vmEI@2fF|I z(^gtHN5Icb6x^&aadVDjp99%{0|f%U=V_HyL<9I9ffd#+=U0k?3Ybm&3<#ZnAjqP2kaP8J_nTl<}iC9A&iQ?IYNDIEwpjC`}WXNdQ0ngS-}3cDsWlTOw!K@ z>0cZ?**p}2eh#4jE#c?Tw2)Sf<_P*Zfd03J)LLr=AwRbPlFgRq$u;n*K>csYuW5UJ z+cUS3641U#{9XxZKL^_X*5x5y8p)CNb4bsA`@akiGJg)t|LrmMz#;YLP;pvc{#OdmLv-ha zvjs+uB}dB7f%0FKz*zoyl3#`~Y7A;Q!TzO6#Q({&U!Vud5A|t&{t6 z;Qm+T`wLEe=(1WT_2qPsTqlIoJ&bErP8>(wQp=RoMMK1tmxs!Kl49h3IgYOQUx+;QIiak1jB zecoJ=9G(M*zlL4&BO&8oN#QvV_iICKD`f5*nESQWwuH=`J4O5EU~!JLoda#ZhRw^v zVRK!wcJ3_N*V|Ue)j2IyFdr$%k*RY?Z@;!ftgH)baGfJp=PvNMlyynYIgs;f`>5Mr zp9{G;_k^_n^9GyF$jCV`@;@K4hXpA)2TK0#lnQS#W{#AcBP9JO>LeyoCglS{-Awj;&5J)*D`UVBUnfRLr{(f)jK<}rG z^Tgjg@VCCg%oBU_z~1jkSQ}Uz9-_L$-u!LUH5>1F0&gC` z`#pg6?P0C8%@cR?g1a8Od0_1u*tr-M9-&r#Bh@&$HV>|S180KD&E(qrd^GQ|jgxNk z$WfOMMCS>%d4TO3$WcEO);ixju{JMQD^Av=YxCsVJh=7^R3q&SYn^YNT$=~iz5#G7 zHx#!2;h<&zG^SBLw0QvS4|d9H8x_{l=sa;Y4`}_t4ttysTJxwXsH-+- zF7m|H{1#}V;-fmOMbmjAYaYn@0}evdOk&O7gXRaUh$K&L&EuGq^wHFl0yXuS1;Rn!gwA_Et%rn3~^V^^u60M>_fk5&+6XxlOGQQ}e*oA3TR=Z<0^1NAgJa6sy`I`a>j=zsCANR9?9q*+C__bLTUa%nlXnb^90iTUT9-e zeN}j(T6u`C4xswO(RODDs(A&~fQh5?B-K1f^@mHu%((C*HA7O(A4L0N`=yds^IFqU z?hIKqe+b&E#LCL>WVLdbojHv5m3C)HvU%3i_q{!0Z2lz`Q>>Z@VHgZ=O{f)q(NG3~@LA zG}IAnoDI*^J`#EJK--@@VVfb^=ARSEwUNHjp5JuI6L9kYT#@*_5+ZK?c|K&bxtJ&1 z=8*!vhRUNg;aPfR0&X54du^h9WrA$}C9zUJwrqt6o4*3>X<}twc(z(0#pXe=*Kk7N z^V8n#odQ|5&`&LC$Wh?`6+p4qrs1t<{dR!}TL8jdn`=3y0ui=Q0m&k(qXmL&!Oz=0 zpbxrGiT6?f#9mu1gJGqdCSeN^wg7~^wg#6q&E(et`1RT<+c^2PKz^+?3HSo}wE%v- zCP9JoQh1&kC%+cJuh%xixM?Q57Ld!mw%*!uD-dA|gV6I{N0^zj) zc)hk0#!WNHwE%LxX773zNUnupkev68_Ix9`Kz=QNUq#~gN=UE;5bU)JzG4)=PE+(rLzy*?s>YyrS3^M9yOCb1Sktk;LgsJa+l zpvolH0_gPmVEe6*PYd8vF*CrJA)pojsMkk|nKR*qYKDMX0E}KAVVfb27ADh-0ha zT3}H{ttnS8kW&lb)ax?mf+NC<^tK491%T@H85j_znUq?XgXXFB3?i!*a8_O(nprgq z1l0mS^*U;RhJ+WZb&_hK9_@C8c7a%0Kp|{h^~>hb6G;mTp)D>ia5TI`tq@BKz|ZT8 z?MF`nEl6hdV!7m?K=dpCJ+H5n8(k4zszwN&1%T)EwYX8!OzJEkv3h-lwM||idln?I z>K%~;&%z3*OSmN?QVX{WEBTsM=~FSMGYcfn0*Ldv_`ATr=qhU6Zd(cwF_i^RZFzIoY(J zY-&y`7l@_>py``(He4LRCN)kpEg&2FW+jZ9=4WXf#QJ81ZJfkfU^2G4+;g&O0j&C_ zY!As}(E{}XNwx4i+K0ioX(p@|0IP4>dqU0!5YfUs}M=YPex7N{2pumxmd z-@FaRO*0v`0ET^YoZWL$YylMe=6ox)T_nL4L9l+m3DAmsJVg-fo43nltHWBlUL?X6 zfv|5b!evb}`L&1?Y+dzpIu(l~*PY5y1MZBbKu(5?PD4L-Lfb&U0q9xQO-_sl6HfZ+O zNP#~a-mOaP#l5>jmu6~KPK*|RE=Xp2a( z{`wf#!w2-rtJouuuD{yUy%Y($MS$+Fk=J-JtaZ>uf^KmQ+U@fS#kI5o1pf6i7RnTf zz{PctT(Nse4laU&-x=n+ZN6vKA_*7QtHke>+{x>Jk>8QT$4t-vkdceXv5Lg+l{D~w zm}4DjDl>~4*#=5}XR_Skxv*AM7m3NmO&BdBO*X$sKrRB1-$8ogXjn_9i^St1ldipX z3C6`O(49xS59w1T92f7l>=KNN0ONO1b#gSkPjyMgMdn+Fmis^^E^dSFV$nSn-mkjk z;v%^Co%Qx}Cm9#-<$E>tip3qgYtZsL^4S_^YWRR!AuAVmqWxhjI4BaCi}%4w`Dj~_ zxLn*N+V4sfA2<;{s8-0!MdV=nba(TLyP+#yIB+uju<8<)ix1#=_{;Bvpj_MoU2Hur z32Rkx@j==^Qnt5dvPg6;0-fKL`Uts`!)lk{Ttrg#-F5bz5TA>{=iiL7=QaVl2!Q_0 zG~cwjo-sv+E`p&&;`d6(&_!fzf4keBuLS8LK>Bwz*16RpQMz~tk~{4GIm|l*WdH7Q z`+o@8MTP81X00b=7XjI~aISA(_=w*7Fn^w=(@e@Pg0gRIw0_j7NXjmfvWJ>*yGY6|g0gQR0XH=Kh#Dtl7eU##wpMwI z7>ZBwp#!vUH4L>nPtYzt1^BM9MZmUvxLS_Uhfk^z(sq$`57o2G$RlSL zFGF{#=sXv~2slR1~kX@>P_M^0NR{x)%T>@yo_lRwUs9i#$ z`1b>>gAAoA+6RRHzRD{7ED^#>fbic}SY@syLU>8C#TN&eQ|~3xcc})-C>EF;KBrwG zf|r2czn^PWvy=$oB|!M^7yE|}?^~rx=93|p;32EZq5|k3zyo4O;PXFJ*j7mBCFYMS zcbHz*u{WUhKcGfuQ}}VULS`?4+5fP?I(tzfvzK^^ulhb?g|uF}4cbzOBUUb|6(V~H z$o_{%?V&?xF9F)$pE<}oxl82s(j-WhTC=1?dM|WnqoK~3~eoF6^ zC||;Nka~ZRwM33D&4w+?rwHiYa~mg_!21olHZ)2 zE|KC(p!omq9y#6~))L7QA-)9c```uN!VR9GMeHj9`#$vfW_e20OAUM+4Uo+6&0gpE zAHrX0qg=SI+SIX>2!5qaqWuvL2^|kVr#DadD*^sKskZDdFeU3L&rr2>j@z<={)iz!EU< zqf6GumP*9H5;1Vh88fJffhAzz#{!-~Usx+ROT@qu3r0tqP^m-!EFow5F}BS1hc9bi z2!SO);KwVfM~?P9U1DJAen^Hc@z#Ns2!kcS;78Bw@E*%65eG}e!QMAQ94r9`KSrtH zvG9v}W#V87`P7f?yk3b=SlY{HS$-n~!V-Y+V;R(vkI|yf5^=Bu9Q^o@J>`glrTw&G zDhWyi!4g35V`LX5g|BE|h=CZ6jnwUfG!>5hz9_EB3mWSGp`uy#J|#Uw2xS3 zy%9oS=>#Oz8>}}%3@kmur(pJZO9a3Y60Q6;?)q1B*S16mECB*Pu{SPC#K4kfTF02) z5CBWaw0?r3q>Hcg*Lx)dmH>gDoUk@TOT@s^S@fl*{9XxwrE}1}D5K-4S1QyBA+Q7p z{N!;f+$<3TOZZkpFTR$@fF&^CCzs^8uX)9awURa37OVUr^}7r z^-7f*C;XKFf1ggX7bfCgNmH!`UrPkP(j{oi0CP6IQms}+R6&gZ+rF-`FenSpGgUo_kW0d zJwU$C4%reSUr!a^$^m`lJ%qg;z~1MG!8W{7tNkSE^&sE+`3Q?HdPsadl5drFd(c=R z?e&26K9^*1py8E)YK5%V1J?U|fo+AX*8|q`R|bX{Glab!z~1M}#LTm=3{o?My&k~c z=Uc2gxE}Ie4|wnM{l4*@z5X8ZUe7RjCP6WT6@o2wnTOt1SNU~Nwmuv8=hv?S>^!u|g$j z(@f;+nTF;GcFzfZJ=1ajgH2G-L+I<70c}aN$`sSe*&c#l59tlNeemwxcFXmVf-b3{3K^pgq1-66$*uxToUi*ZRCm}DoCEN-|K2hR?C(B!%mnhuVLF7(f&U&Xq^9_y7z#N!2@kRSj8U{0>;>gukhBuiGJMA4K+?tmsNOOz}JInJ7~*P3-@d+zv- z<&ncsvTSQS&mL<$cPL*8e0%?=8mKLMy(fS@Z~WF`!}9)5@7i_x)Y;!Yb-Mbr-Cy

    zl(&#d@Wg7oT%knZv6f_=X8qds6MF|>@GL3)Ko4l;C)$YHmQY8Pn~ zEFNVR|7b;Sws)k0#-p2A*)M*EGYTe;GLwH)DX$Swd6cQVO_T2dl}DM%+Y01+K;==U z^0sv{OMuFwJ6PE)6|i}f*}QFeKkrY;MM39LTC8oza#1Y`ERXKy#y3=1(`>g%!_jlyJH_@9%VAWN8`;{X%AD*LFQ4~Jll|!%Gm(# zD6hZXqcs<^z#gue0=}aR-}es40|LLJjNkXlqyqeo9%f~CU(o?&80ckuV7H!&g1@88 z-}f3R#%gQOXJUI(m%li#QI~48)ESVq4)l-}ot>DFKijCCFwa z)2jfoqYT-_nW<;bL_yh6qHHTntWL)rt&R)Ij;h@Z?pGF~;Ol4uwRNb1Hm2BPR9i51 zlvvtwddgW8I2~2n7Tg8pC_p;e%&q&9mnwU#@&cHS5=>i8A33iAr=x_^mNU>d#CuA> z>F61yh?50WM`<;ca!D$F0Ckjr+H&}2(Nue!Ix>hlN=v7fGeX7>dX8R%msI>9=jbJF z&C7#T_ITyxG6okBwdIVJ@q?(NYW38;u^IzX#|Wt{hrg3N*H-&lWAGItaJHN^B9<7y zIi|KFxUs~5%rQb{%i*sFHrNx@`vJ@`0%ptEC}N2Lm}3f<-DTz&fH_9MY&m<$%Vb;a zRE+_cV>xVpK*j<%j^%p$B?d5#5g1zzFHu$6#VQtHaV($h1n-`Qu~DxrSS? z#Q?@J0%I#Olm?`3tF5ImU~!DF*oq7hS5pjF93w2YBE{ml2QgrAtQUt_;C_K41|W_R z5L*%21z}FJC#x`l!!g2PD>7Yt7cvGMju8%9k+HqKg?Owlf8;S*4YeYD#)wk`6vqgP zt;jCjTg$|N#WBKSD?(3`_Eb4BU~#O7725sdRRG5^f@AAywp4(}F~Vc(>OiRgk7Kkt zYF%CD>$j+5hKK=@V+6^pWO@}~a*Qz9y1LmnYNF>oAmtd5GAmhL1*9BfQqD|0v^@q= zju9zaSLuGXvBaLD`gjETi%8kJdRPu9z;cY&M>8|s9})97!Wze>!Tg<0*_;a$JRrfHoGYFj5CbB|2$5OwJ~CZcKU;Wgy@lCAc)#=z1s zVrlE)wd~t91EgcTyP+uG-3bu`LdWKDQ(iwmX{#NnF<^9zFxq-}E4y&bpy=2FHgA>} zEm%56ENwl!Q9LRz2AYl$O0=<{7`7|4 zdjT28h>WdAcze`7TkUU+fsAA8*eYL96QNE{>ZwH~=3 ze&;?0@Qo4pT92F)3yv{>Z;Zg#dNfbKatz=b+sUVtdcIg}7d{MHkhUJ>wV)DPZ9t8I zdt=1C)}w{e3&=M{nM5B`@a|KQ>nak2I2UOC9X#WCVy>(Oel zxE%u*$9P$~eW!Y?49BEpY3nn5hk1{!cB00B#WBKS>oYmxcXMI@;~0Ul^_lD}Z}}br z8^^%Ln!BtM0~^PfjXy)*wl&7tD^&bo<5(5NQrzD5C}Lq={tWNEA7`&rZ9&U1qUDZz zTruEsjB)uhv`}qKvRA3Lz~vY(M`xz)$;H6RF=pk@(B^kzg1uU`1u4gBg;$)M zg5Nc&EigG&M{Un$x{qy)0g+?%)b_DPx*uk%t(P%SavYqN>kgARI4#bc_INhA-Daz; zmvL}fTxWZhC}QGZvN$u@H5hmzxT0RaU|?G zCG3V=_x4I0`8v+|`boZdIMG&nCgaH0an9FI_V*3(d@(4Fd>!X}O-g}RLB5VpCHJYv z%*BzY=cu{p6&9 zULubpQO7w^w_m4mBL-twE{@(TCI!=hW~d=k;y2+F%(+ zVu;h)hxOz+Ir@+U;=J~8Hq-rH^&sT9nl!kyzQ$|I zOKr7}F@6#Oa%p{SusmoJlqObY`KEYwkH?##aDh!qu2(q)+J`Wi}SFbXFKohN|`Ufe1Xj? zM7b8f2nE%4VV(PFT!QTqx86X`H`!`)W&ASim)X9NoxA2MFr(D&=iVkyWUwv4rS`RL zpWq4q+S*@bJy zjGy2c|5}yo7R>mG9;_VdAtJ}TpWsKawEvz~0uz3MZdF-N_e)(EYJ+G3rFnvjwW8F- zo4}l(;9~7*-gvssRvRi4WCEr?ss@Iv!yyG*rR4*LqW&yoiP#wS+7i~Hod1Q0&4id)ky9pk*M zc1|W%!waMRGb_cDh!Sg{5ZX87x{ql~tc8im{+XThDfPE2R4D6U$42DBRM_ibThDE2 zGC|lsg zeV|ujD{Nc2^=a}l!>&?Zw!zLDk&6nqs%ty}*iSI*KXZZFPPLD!wm|&^qy97JW!ymh z1fzaY&(slt`Uyt;>wKqwmR+s9U_MXqe15%~j2kn00^j6oKYKuaf@k^bIXHW@t1*E& zK7pMl{oHyffjK_GbNuxlILBk^h?w9LjQH2PXNnmFh@SxBw_k@q`~)NZby^RlxQ{C@ zK>P$F{`Ef63lKk1N@ssIbG+M!fcwN@ZoNEpS*Z<#i86FK&H8j%xzm0E(|&@d{p(w4 zY;LmEKEVX0{lrM$vwY$ldC4qrzqFaa44>c`{`w^fy~I|#0TYf|xKw_xQi*B#z1m>ybsb5p+2yxML++m=E;zm`I_ zpB6E<^nt=V7>jb;5{b29Mc1>KBE&ZYNXQi*^owHktpcHYR z6fRRAM9To!25{>E)F+GVM&*AX>;u_eqzf;Dpzw|C%$#c1%V5}ejqV2R05|*CYQJC0 z5ZH&XeVE_-qr5Fcq0l#v+UJgzVK5Ej#&iePEV9)`z?R{#^Tx%Z0{0qh837wF&E4Sp z&)aOZ5wHadb1fs;zEZ5Pv|xFzWfZ))KRwVg8eT?oYg$?(FKUlq%NW>sdG5wW=>@Fc zf;m6+nFgbLd^Ls%>OrbNH5_37Tm=yaMx#AkOx|r{BO`b-$0&y zR(U}#XyIINW1lFHTaXG`i2FOPs}_KN3xoe>apRRU$2sK)?B9Z|imB_W1xq|FoFP8T z-(A^cpI2>>A6j^s=d(lQ7)6$7;jN091@4B&7G#JP&Jdrag)}qAzM#AyKeVi2`)b(% zNE0n<5xV;=f)=ERmUZ0va%xJrs2m|vwBWIdxtrY~hgqeC7Y=S_QRqwUOR6nqmKL5_ zZt61hGJL9ZJFVk-Zo$Gq3ojhpJdrx6+K|_Rm4g=Seab!H9uz#;!t>2dn);0gY_++r z1+z^H&n!33%Y$NWY2mr$xh&ss&v%JhFuk-~R7#dt!6ehdlMFqO*h?raYMxvQt3C;yOfpYCH(nHuNpx7!$JgKk>I9RZ$t2U{b9@b!gj_pVGD$4ikn8T< zP68#9jFQjs_XPKce99kCGU=yoE-ES^SYCnIfNCE^4M^ zHWXeqC~zyCBp@=$5cwQ`Z(@2#QwPjN(_B7a&s1@GF3ibKp(-L zP_}A|xg^PR#`F1NI3_V?B#T)Y;u|rRkD-Qe5;I4VXAV-byb30cW`W^etx2pG zBze8ydHS}FIX0yB*d?dp! z8bd-zazc2XXgaVjq_&VI*FV*%S?2MFuHA4<#O#VrQl3#px9Nlf-h{#sMJSIqKBp5}`-q4`165PZ?6(Ho%Xsv3&V1G7 zx4@LQm?=NE-_jlNas zoX@h|@3pn!q+4;)HFPEULqk*}oOCNr+D(0}IO$fLbTggw+K}2?)QXdCrIT*Ro~2Ht z73bW_=NzS8HGBAvBnD<#L1>|2r5T66e<=<9BCYDGqC}>0+uPiDwA&cDuXQ>%=7iBJG*&f60&K;$&`iVqWq?*>zgAu|Pwzvy$+hE(q zt$C+gMQEZ5e>?0u*e;)B*t!!6t+JTgGF^mg-31%3vLx|UL{18ml%w5{_OUd^@7;IT zx*rPXsAAo%lUtFaS~*80=TSH%p0`?M@yO?lyHVrZ&5UJdLue7wd{ z-Y+b-9)og>mAVPyqdpGhIDgc+?w!fjTG(pYKdnK~bvI48fcx?DcxTjDEg;-3sZ)B)a+aejjzq>(-lo)@xv9US@Y)*9T_hXLawL*Sl|@ zf<8kF^ZE?!m));de}DfTgY-dt2j>s&KCWO~?}-Hy*N)AZxOR*_DZ5xNUOcsA>h!7E zQ>RbXr_yf=cI*EC7q7E2XLT>+9vH3VX``qI#`^R8qxC#}bXH#07@sdYC(oZ(m+#L% z+QZ+YuBX4}(L#S=Lmz*i8tReSB7afkApfA+!T!OOIoi;iZXeNil+8)%e|DK;^^#Mk zPn1@iYHF@OQCd^i)Ld3uTY9>(@kmW+V`W7>{cqjT$+M=-oIZWd^r>?*_D!j8Xr9t^ zuCBhJ>0Hy4(-lo6C(cgUQZjw&w7HX~&MVomb^4Ub=87rgv}D@k=~Li||KI=9Au1au zH=WMNF#3)9e`!duWMoX%eY&2pxRZgf=M!ZWjrC1*tm=#m-zPbikNWE9|2Ky!)2sFB zzku$YsUOpiWo+zZ?{<95-l2ofmHOJ~|H(SLRyQ*5`_K)wHKpZcO_l0nHi|ykp$%QP z{(DY)pl;RDzl<&S+2zfRrANw|%RHw(;FFwshEKQZ^kC17P4|ENrsl@VvJ-B{5BwxY z_tDezX`TMR>*Po4c7yJ0zwa@pX3EYD_d&X|Q~z||zko}Amj3Df^e0J%8`Z|;HTme`hRXW4E-eC zKo{b3C-oN^{{s49p8i5J{ma;TpM!xX=?<{R1U>ANbcIiU;k15h=wCoj%-3%X*Ke)K z*xsd{P~)Jvv8=Ai?T1PNr}kkn{FC*?t#x|q;J<*rDA!wu>aC+Q)^w>axF%BlL5ae> zE=GK^4rm>#zf|=X&;eOB`b*XN?SUEdJJ|z#?hVI}(phJGnCM5c%Dm8OV8p9n&(VFAv-?A=7OLJi5S=Fz-M5N{fEs&AMNXJv8fo@tLGcBWYBzx28 zUuVyoYeFQ!j^ePlIPXBV78t#@lfBvQl~#!=n<^Vm*HpMgcVWBp3?Bc1v05-QtuYTJ zuR&c4=C15&%rxya)zsIiLC~i?UJf^yuLY;3HQZ6`bvpg)EXlQZaeeu*%8KUFqqX(r zWwq`KR$m$QOf9l9tx=Drs3WWCUzfd}sA;NDJ={;k8dB6a#4Ha z%8IUz2-U?Z-2NimM}6A$#c2(90!4d$sdjx?m%TRB*SndaNW^-5h4$H@UH1Ctx^@!9 z`q|<1Z+TaH_T;I`Q|`<#Km_~Qk=o4@X$`iRg1uR<-E8Rc#2|{Lf$ia9BD>kBeZDTO z@lK|AKi@$AI-7XgX9mh;HMH*LR(FHi1Lm3R^Nrd|>FwVs0g)Uhd;T~HAckz^X=L@`_mfpatisKgY>V<^8+*$%s8z5NM6mDY zY5$SlF|n3{{g3JNugf{3ysWXYCN-%|6yg5IOzl6@yDX8hj^h2#Z0$dDyF7;FV3;HV z{?B~vKZoD<9unztq;~hNFMU4Cr~~fa?Z&UPcR@e+&eRNJd|I!FjpWxbXnE2o?(&t~ zSlLkD*j(z~KUEh;vFK^Tn5-G$2htjO#ug4eypLb^8@jmVTOvabAJB}4N2WFOtsMEo zqxrSIs{reEIxpj;uJFk+@Q250#uMqSCbx0mPt^14e!IN7tnNr{D)K2Z@+VGe#wdf3yY4i#J#ge%hk4SUf)a`Nl!JDdOCZi4E?L;G~@N^w1&Q) zBY*uEzq))mHrF>CsXtTa4Sbdi{PkMR_}am=27Z78|5_=(y1b&+T-J2l8})1%_1DTY z;~T!TMtzW@{)Wb{E_XK-^e5`w-gZmRIqiX~+3y>E&G`13v_^i2Bmed~esy_>H=e3% zt~pVuy4($YZhPpM0KdIKGyXQcS7#|l{0R#&1W^YgCuRR%yLG zLoSeg^4l?*@j-f5fsSy{AMEE>mxHdZENg5ouPk#v$c61es|)gjgPQT-w6ykhB}e_? z40_G%a$PF!iY{u88CT+mvo+JmO>4+UDP+^gr&ssQUBzwMEkSE;G>0_SSI|DudiQ3? zViCM)^wdo2Kw5)8#=%>M=ykZuql7k3@$K_6_Xgw=8MIZdnW6ONn~W12bx5O^KP@{c z_=db0%Hmh2cYBmIU@OIuvIaGaE|sSr$|0|#I{WO>m2{JzUiAMxUt(DHu|tfZ zL!3!t;DgZ}=g8~1&Su5-z-vx4)K-?()>jYsq4H(tuE8An|`Ol1O z(#!`l(-`_N_WGbsubi}8ioDCjd@zq+OFKE6HrJk*hO37DL!JC8dGZeyklT@+%`0BF zr8QM9;jR9d>(QF|(4}-noY9|q;-M@2>T<|UCmTCLUL!+(nB0H*Vmd=E;)p+enO|K_ zCRpq1ps=+v=0`F#^T|2sjClaZ{Ny}(&F}20svH<68r+eQBSU_2p=LhaJMF&B2RQJj z3;C7K{>T^vzkSJXzs{0Idxy~E?{>yI*%?n4Y3B7E>5Lb1{`Fntc2{Q?g4)C7G3Um+ zUdDTUk7nLDkj{89)!!iCx^bw>S9RrCPsAH!#5WFW=FReSMvNK$<`Hsxq_fq1dk>e@ zmDQeWs%a|az}4Kmu{~~;RBu*k=JWm18949WHlL>v_xyk^2To^DT2)h3@1d_v?SUhs zK0in^Ur1}*ysy`Mf#QClsI${(dxxjuc8B$58S@JRH8Yx(&b|gg(+?P$(VWgMBa4_D zD^Hd-Ho3gGMMfPZDu`F5GwL3ojd(Trt?4YHw};I+miGJ9(T3cPT~%9U=<(y4nOKz0 z(0Pl#nOH)vrD@?V?3gtZ%gN#L&Mr{%I#LtJ3;(6A?`^UN5-Z8`-p)?Z9iAJ?YTTlD z`^Oyb*UV&QnnMPAC5dX2dS@>|PssJPH5E0L?j^WGhD{H`GjH`tbJzf|Tm8s$zipj_ z=Z>&z>PqP`5A-c4HkCD&(RT&aC%RLf!7ZAhKHuq^86C&Le|>zcWPry$KbTw(?(FxE zJ6xC59<6VzX|6s|Q}HKfu}hxC=Z9+Mi=)!~ISk~B^F=DlUmVlfuhDm$1broqZurnX zp_&t?YEQYNXt#|1Mf%#y7bc`RdJy0jCXwe!ot?`&qHj7?-c-?8Q=Ym9yGI88g%WaK zpXR`^Zt;baFne=P80nt6M8ngh=m!PCm^k^JhqYkCGj>W0q&8T4&h!+2$4 z*F9q}etbd)%)UY+@Rj1umXjTWyRz=I@_SH5{0go0y;7d$h=H=NP`&fYk*?!Pb7hlT z=N$T2$W-RMIy=oF17lyEOP=SZ2L?fxug)ij^E?s4T5%u5=gq4u8!54$b`XiZn(I7X0!m^17h(67{4OH@060;(#}5Q;7?D0 zLH2~F_bWaYeVJzd-J~=|4+8u z4s)b)3LjUfTQpS4u-~Bjq;Hg_F>Em38}#wLQFh;RO2@KuReRLKfKYE#Xy%)T(ik?D zE#IWIx;GDZmiazDKGg6y+8(hY)i>$3>yMk$7%?dI$EV5b>CP^dd_3aP+M4plveb7J ztJ@<-SO55|X8!Z&GzJb*{pYddb^Lt?UVf^kwmG#0uSN#`&qVNV?@MFgK&Q74kk_)b zWOY0z;cXhpZ&!2{#b~i>dg|8NbT#0PwV%BjaBo+VVV2dS|DjCJ6Bs@=GHMMWc=^a#rWGLX^kH^_1k6ScUfmMql~}(R%~5+%xWb5 zc7yvOKI;@3H^RnXE&3|p7z{r zYLHRWx1G%Qx2H8~kkk7+$?wkln@q%AvXdW+d$(r(d*8Iit!|wDdw+7=zq6Y-WOp~w zrkTp-CJ(JP%IN=nfM)(-Us|IFM*V?u%^wcjU$48ttJ$zg#{CDn?RmF*TH^*s-KBkT zcYCHKNAeST%)7n$)!EsJp1gn*$xl=}QGcY;-453*``~UL&HO*JI?H@s-yN0jsdm?D z;`={yH1ngAX^%UA6_Jmc$azy|ClYVqWwckR{X6ET+hfP{_Yuvl_x=k)$I8e(3jJPF zXUDZS^oGXDBQ^ZgL^ZC@$l&jt(gMcC^!GbI#3o=|=2vG|D!sv{Dl!%OSrL1{%+LaM zr@x2qb^m9_dPabkkOKB-esy-W%j>HP zoS%ObxG|DnoyDtEubig;pq(1+kzZ_&92I=v293mMkMxH=kwcI6;#X%&zf|b-zv|Mw z)E+bD^FXvv3w(WV`eWutI0e4GpI`Sg#%t=1)X;MQ+;#5D?ZNYe9{Bn}E%2=|>5rYC z=oI+YIDXwv675*WydvZN7CrLsuMed^?h=msuMhL<{^G7pJ;^>p2L9LOTHw1{+5^uB z@H43b-}UpWs}uQ&O8&8h)RW+SGVt$aX@T!uNqgV{el}I$`*?MB<4x+yic8UnqrY-LL>NE88WB#@YN$#oW@>CvMrddy9rT{z5N_h=^ z6QYbZ7Id6SmOPaw@-*wIC22pEjKF+8m#3(so?6z~r&0gu$HJ55nX=mBp7Y3-=ke4E z`q}vtX+Mv^0zQqW>-p8$Jy?JKG|DRYTWy{bk$dE=r%%$4W{*n$i7ez3d3FrH?*Byi zGWnAe$(5hTv*YNOf+wZ_L>BRh+$`Z&XU`alm(`^*q|n9(q`SFOXk*;w5$wrjcVPRMz0zAXUFLDGl`$_xqpp*y8AnW8L)J7|C&R5 zCr{%i6qQ|rUDE>vsow%r_Dt9_KQuEkr+kS2_Tz7n9$d?BzpQ_R|3AR}8(io9bgF7R z5axk;@PKdVNYCL0!9IxXIbQo<*az#jKga!rM-|2p*oWx0R^a}=qp}Z$eW-2^O#LjY zvJZoOm~Icv$;tB^cR1|B_29WF?(cc3_9I{)q1#)sgncCJBX#>o>W8IO`%$ou((NmL z;eRyj^jl4rb49nS4`qxV>M7fgMf=X3R zP~TAXORp-^Hy3^oB(oMD8 z2m3xf(nE89_)*#S!@ggSl;jEf0oV`dkyTn=fu|1-!hTSXEG!V8^&!{~>5-kXeJSjv zdSruae;D?|dZb*oFN3{Ik2GjKdU=jp4tu#Csm>SiRKQ-LN3KXeM_@mqN6zGnI4fbV z)UWpT4e@@aRj^mFU3}I@VLz&0?duyd!4pq4?A2`dd+jx_*XUP^d_%^2+8=}c7~B0` z`*GNh>sJT)hIq$hE$p>y7i0AV>?icALw!Rgdi>YHUdML7*Io~My?%9sjK2Z)2DXd% zPr`muzdBmR-w1mn+eQ3Mus7*f$H{n_VQ*%;i02gSr}V26Wjv>0Kh1U#&l%Xy=vPZ* zJZE7)%XSgZIoQwXSEtH&&clA5?INBFuwT%x&XDn3g#9AhMLd^azocKCE#tWi`(?I^ zc&@;HMZY>v#AD#IMLY&l5B(*(Hy#72hyGq&BH}TSdgw3Nz3~`G zK=k+Oav6`#ang??l3m1;1$&l$b(M@K8}@9ri+FNi&(W_|=XdYfF+Po4*mL!(RXyFG zmsLHG2Ya4=^?aVN=fj?_Up+9!7IM?TRi7g2zw#h3%vF|u=mj)yqqo0t1s+* z^#?EI3jh6J@25XBCRdzSf7tu$5A_`++84oIq(4+E+XE$x0s2FS`-}Eq34{J-^b~fm zgh79M^v=uioY!FZr@yD<=K_{6={ zVNBAmX(BJv4_Wi|Sgc(`dc_ETV=qCf4E_NlN>)t@eu z_Gz$B)1O`~?bBhOu0Q>Nw9kNjhW>P|Jl~nH&(xnj=P&fm1G8YCr9U$=Q}oYl*k|j{ z%#?oSz&=NRX1TP_g?+C6%vN3ap9lLq{h4E$u+N8mzJA>&kGlZ&1^V?uX{?ACRH3 zTz__=Y!5OtR_M=8^)1}(i4$aKtYmw>*A6~3R_V{~kbYJpp4IxZN2PrY>}&KJy`+6D z>}&NKi?kvAJjY!J`#Sx`n#`WPJ@)mmuh(yE(}aBk>>KnOHTi?Q{k#$Ojrxu9zFrPA zHo?A0|Ljt&$is)mX4p6DpKX$HZh?J^eltVbx5Bo+gS z`C$j_JM^1P-Nn4U6ZW0@bNvLD8oOZM^^MtL2$u zmVE&B2lN+K%ii4!`(FKp#iGJ9_QAeSe_@wDcZcWG*bn=D{e?Yavpn=_9Dx0R{zA3P zmIq-!sMC@}rta|r>NgJQpPQZ|?4W+5RR7#+X+I1^pGz6fclLidi0E(l|lVRr5@{%C1!U}zfq;fa(jp@4eB?J>d~fgqW?kt zMztQToGp$E>Njfi*a#U9sNXoI$7ac_3+gwH>#^}eg&$DAQLD!e$@2yE8z=Nwx$GZM zzfq^hyGc8!->BE)Iey_E)NeHC@rlwusNXoL$7e`8sNZPR<8!1RP`}Zn$Ct_Dg8GeS zJ-)*)t_D!QaY~PGmUIT{H%{yE!+z2Kpnl_w9xoLu3I?d3{w50Kbph%(&gqG~EOEZ) zG2YJWiP`eJF2H_4Pt2F`UxfXlo>(r&?IqYR>4|NAF&;qu#$`QmNZLXD#uYtrP{d>6 z>ZZRfgR;GTOi(}lZ5fd7jo$?I)8CfK*}@L$H#NPbTKWO?o0)n`mGlGZH~o5YpctPf zsGt5OCy4$uLH+bMIZnn8>Nm6X0!{EW z{e5}NK<^c2g0JcC%Y!BeKj3R~l>YK@v9xJ|uj%j0O?h4}Ho@2Cm=7De8*7o^OprDG zz1`E7ZBF;A?gdtxAA;r;3Lq4a z@PKc~2v1*ihtM5@?iG4K=t07P)MBtYMo$Pm+q8$rX`-)sLFh%oL@D%!(3^w6|^ zeR^?bzM7-dF$O>wK*EA-@j(rQFpz|`a`+5_Fo=YGzR6QP2OkV!FoZ0xFa*L7686eL zJru%F5)R6dI1IwDdl^P%*7gh)>2TPFw`q?Ir)xxWts`I^LDpf?_ecmM;bODfcob}- zsPQCn(bK0KkA`(LStq7u9VLu`FouK;auAP&FqVWp`J&UtK^WJjedds_WU;4j#zPoS z!Uf;lt31XG z2s22ilEO>~GuyPMeNvbOVHOE~IZS3lm`y@2`Hndd=8!NsSDg7=2y;o8+d~NRAj~6S zohClg`4HxluvT{B0tgF8*dot-A%uk_?2^JF2#ZMAFT-67VR4)GOqmpxKv>eIJv%^- z?xhfxk}yw>*<}!xwP`oTWn~Ta47BACmbYo2&5-X{0bvCR=cKR_!pb)7W=|=sg0PB& zVNzHPVRf4p+b%nC4TLo$9F-@y7Q$K*j%AC_V;zKbBwUuqSPx-+n-!Zs34 zbr<7mJB00RS|VEx(H#(WkZ@EUd?$pRZCXo)=F*Eg#x4lENa*DoTjKf5cSG0>!S5CJ zK-fb8#lg|L@|8u^ZW5cZK!E#I*p!u~cbxyJ97ohsY|5Dt(qcbNE~ z4njCc!pHL6Aqa;^s7&1hRjx`Ql#);`d-pJe!z47yDWeQRS(|oiM5;(ruF4^llQ3T% zqXI$&3ESie9)WO#ga%*XTu--GLa2n`_X<@Is@k;H-oAcAJ?}UQ;V6VGuTTx4nuJ36 zjv5Fx5X3uj%EnWBrK5cI0fMp1o4j35Kfb@U4G_gAeO3G6;<>3OX7uvMXul4ouPS6)2 zT!i5F3YQ>UB4M3;$7KkYA&7TefpCR{_2L~y1`9?81n)Zx#Af(1?lowe6jK-|EDS0v zK7X9TpOUGXpsFyas(5juAbtZ?g+W!tiN>=+#VtT(?AhnP!aLkmei7wa)lzopd#Y6&0@kcP(&DgC>sAxHyD%;29*!5 zouXi7W+@M-9So`+zC56(Hz66Q9gP0$VVCOxRf9oQ!&m&|VOF;CfRe$WlHn^eeBFn4 z%wh&g27^il61sT>lne%y3}2b$>psl$4wMWAl?)_w^9m>#3@RDEveeg0?HEu8N6BDN z$v}ec6;Lu5!$?>nP{}~uU{Kxgm9=sRjKJ8S(%~z0sr4Uq43rMW$Tsb(W2Gz94+hl_ zU;WrHLkVF}3Gvkf@*OB43@RaBr;+Tby9|^N29*%6muT)bAa!t*5C)YHuTRVIds2ac z62hPo;`Q-zu%Lu6sDyZZkFW0lPw%3HFsOteAMkj;`MzZ%Nr;q z3@RmFKO^6PQo^88;`K}N9VjIXDkZ+wSH1(Kgh8dm*9OUVpp-DC(E!Yv;|@R+5e5|z zU#q79*e^$Q5h@6SDu}PuN!O?#jF~)ySGgWgI~Y_ud_9LejLlUZP&gQ~x#4=ZAxZ{= zN`|k~N{uloPc=lnV9ezzGSkR#o1#`Qs8;y;W@>sYUo}OcU{Im(^-Tgf3=|5+0``$J z#&v-j!Jr!98%xMVZh>-v0>Pj<;2VqNh(sM=P#y4%g<0aFMIB%)MU^|k^}P(^no59g z@N|{kUHJz88-)4aI3&9rm~Rl~f3raLCNSS1%>U+E--ZpIaRtmb2=htsdj(*=L74x| zonjfq0OlKn`QO|j@Yn$68|&_6lxRcT@B#P+0sglZ@iEV2XY^2K0KhkPbKBu=TX3vF z9Q&>9bgGMbs)m4AqnsO#b{hiX41&0CRdB;zsv!u@sPi@i!5Bm^-#X6?d#i@P7vnrP zoZxyy9ychDf4dhqEL07V#7&(BR-XG&o5*G+WwXELftAq*4NasnlTz8=bo2G@-_f}y zQkhAqj0D{)AeEVv%Kj!#z5}Vuq*O+Ncn4CMnNLC=vDRiHp_!D>Nbs(;naF8ocM^u{ z?n0;v7m3ZJ#P+upBEg!-Z6@Wm?_`TyZ6ddsl-s_uLgZ=_xy_{9_MJUqkekSDCgry8 zj*=&c+-A}O842P9k>O0raNjMK??93>Danx_-hm`%_9tPM>=L9plTsZCqDzqNOv-lO zT_(E(+0Gou>o6&1GLh&^N_78YCwbXX zM7lF6-TgfsLhVRaU4nFH(t_C!u5|apg^8qRQqueTJ~CY7J#%)O_CFVo_T~{2+0Ufx z_dm}_0SVBY+ot`)1bG=F37V7y|6#SrCnoZsNqO)eHcA0`&|E-5rF4a4Xi_r#VTQ;+ zCi0=Vh=l$EgU!Vl)RZWH*iT@vi9~5qqWs}Bd2l33b14Z+e4`h5E}Ug>McI-BzgIxQ zG?%w&KRPAWVayeH2W8SX7y5=4dt4!tnv_Z3oG;GZF1~xg0P8%eVN&wn^5Lv2%C8>%yJW?xdpZ@ZQ4Kep~d#%ern8ag>@@g z3sW~Im9P!MHn>Qo&h4;mr^Y4ZVqAaKatEwCM9ZD9(c0KQZK9TAi&V>9uhV62j_A@FN z2M$pluCOscaPqTdt_LLq04IUo@KCJc2!Klh#3euPK^}&}LjX)dPXp0@-qZEq3dn2$ z%548UPh30!WHx%3h4#-UQ=4v;D`d971nR!b+{5lMk<NJWs)e^}oK*{UQVrn^Jq-u%G6`;&@XQfzV2_SO?D0AIeBL!rxz%2M) z>PCU26`-Vbhp+9NQOY+mR)8|roeim7GD<+k3ZP`mO}Rj_3Q)4TLtJL&j8-j?sp#9S z+MVsv1u|8DDmEI8Q{5<#qym(r-bPtMqj8M#f+Q878SQP{@T1Y_3YgIX+ep|eyBgD4 zfTp##kBdwnz|0od(WbpKFx&m6i#i6Tx4=&BmYmc%VR8%5$!{?pClLg6O{{0V*#4Reo-%7U?vMxkc*<+neK^T5(^w@)85_BCo)O7z%&-1 zY3$v7@}t5`7N`;~Fo^|@QsZ)RQCF;7U>Xb1H1^Bc^18<~7N}{{el=Xq6vuEj$1wa- z{d^p@eLwRyKre z;UWh%DvJN*0djF{s&bJFD^y zVo`zgyCRY4E#wr7a?0<9 z>0RfrkZUZ;HUG9$3P?8=rJH}-k}uwYbYoG0^luHRJ!t9}$T=3}oZtJ!3a^Ekp8{dH_CG#z*-A|3M3LVudogR6-a+rF6udJ zJp?L{{;)o^zfy&}0m23n))t5p+z5dRq(8_-3JV32MFrA-XkzukLV;v$CSkY?7gdr) zRT2pzTog(cVa0!(6Vtgr|x{&z1a04prQivNAVH^#Gh#{yPZgcT%sH}6=$3X7_y zyLY#XYSIE+SOgb$KhVUAvjxDg2r%w`P$Eif3xr`&?R58p72&Zs)Q< z85U8--48h7o}aGz9E@R6)pYlReS$tLkcLI1arc8`G9=K3MYM7EgHxIA&THijxM3Y+ z<$UU!3rYcWSOgt+KRA~o-UINk2t4k7(A3kr-eQ3~EUKaI{!#0lo(<#=be8l@Y5BN*ya7%i;A<>RGuWd#3?P z11pybMItx}Qpr^DOn*166~(;&E1Uxi$z&4HBgq!Q(F2&Q`5~sX;;(Bhp=d zT40tSF^h3^gBX{=WjvOH1TDtZBdIU5C~u&a;Bq?llFUQuG7bV*f&?taRld)>XpU+M zTnQ4c7!Q^S8VrJ0g2XGvgAF~rI2Z)51PNG-hepZ40AdLeu^10c7Pn}EK$ajOi}6s2 zxV0YyvjmA*jE5G;K?i6F60{f(Z56m51hoW-T8xM0j`U6!L10Ueu*G=jl8g=95+rUh z9wsXEOiMw4OORJ~JGu+Z5+r6Z9v;lyHCJ^Ph$TqGVmw?ZPXovj#M{1^4H)9N)<7&lS{Y#_%d3D}g2XMxqZj0u1G)qWU5wA19w#~x=n^D! zF&+bn-LlEc^By?eAfafa-L7+>J(8YLcMe0kGst{j`?VwoxiTmmr~w@z^G*09}HFF2-Y^#y#T09}HFF2-XAMvK^hE63rNoj}XgRLC{Q)XvTPac#ii|ut766(Twrz3S9F%datjZMUGakx#R#s={ z%<)7p0m=kc7K^ng8+>Ck-#j%}@VyPbu_v*z!=L40LAw~-bzWd*K?rEVI^Po^;{^lCTCpJWna&O zGLMxh0;ufyQ0B8TODYSXEMR4aZ={F2?S)VlD#c6W_97^YSlQ_t>G}GWy%@@3rFg$4 zXD@-moO^ATZ{%#xnSyierAo>2D$DR5=G<$$eIq^JDzw45_Hw0&5f9q6nRc)3k;eh> z+6=s;h~ofwZ3fwwA`a&19)u)-lwMuthE8WHUsa|tK@M2y!K{RDx?DJwHbS# zmfwM~LB2MV@Ab)!w~eaYv4OodWAC$cf8Ut3Q27A!+RVH+WL0c~ zd2MFi=y|a?Y=e1iX5Lt)udv8-eqdgknU@sJtAKfJX5N_JH^5Wn+hAUsnU@sJtAKfJ zX5LtTv3hHRd2MFi__Wm5^40l(dF{ibB#V8MmU?0Q(5}t2+nTC#7pZtbyY>ZYU7R)F zZ4JJ$nQva?-J?SmtEPY(n>IR7Q+ETi4Xm*lYhLU}O;;>YO~EuaGtG;=#iq6pm?o3~ zC+^S);XNUynHPtWlT}NV0Vosd#^!<22~Z}a!N~>{bO;a=Vu*QhEIC=ZOgRC;gqUDn z94VcEU_wMNMzKHT1PBvign5y-K&)P_oB&}$j4;Lib?)(iFd;^m7x`BB$`z_97$%g< z=7l1&hw}LI59P74TkOpVM2n)?-wP+!>ka_eGW_O#XNxcy))LWmV^hy!37z%A)KjWugj(}6J4HrEEt$a9+x zf^85reQ72&J-${o9SrkeHm{J~Is^*iXbFZ3F~i-awdsG+nd=g@u^HZjYmun^a2>Scty(VB}?` zh6p$+#2j^dHnrTWT7scM^SNct9`|HHLLnxh+smls7S$3I6k?*ez27%>tf!MfG$AG$ zQhIq65KV}_w_s=WI^cR=hL4b0=Jo;V$_rbSdw@)c7VPY--l?WQm=Gh(?W5H6{5I7T z{1RHpO~;;cy@FgqOfI*NQPV5iRa0O~h_U7NF^c#PRfV2qrV!92v~LAqIz6 z`qJSy?o~}e4I!q6R|>NR4DyT%P(z3}5*7Dzw=;#n3nAu(S7=eu?6yxi0W5?Lh|quu zA;yGPMsjHTRZ}oR=#U5vbP!@Xc!g4tx$%H%3N#2Y8oV-EhE|6DFGFaH-17qzgcu55 z;eDt*4k{-gf)MQ@qK_gqNWcOiW`S3xQ)mr`R8xRJh(MqrXMpPz91vm-c!lnNnj=e9 zOF%$~HbQo^tVT%H+_H>XW*=59YhbS7!{@AZogRbj7&qm?USFn~9*6ljH%*a6s1`O} z*?Q$HHLWODO;5mlg2!n4^n?Yi5HD!GLXVdysZcHJ(ek8d*$5jiNxhm)Esv;{O|bIP z(yKW#mz{>fOG~fpS}2m}87RE8^lE>B?xC|#&f;X2x#xWjwsYKi7@f?qN_F7#FrOD8 zUV!ZaH>JT-Ql(mgvqG1-W&3Ogatbjyy-J%JOOB#t7}ON*#)r=US^+;izW;p3NsMB+AQA#CJJY> zazVZaWE5sH`eI+*dmAteI0`cyeQ|J}zpv*wfTM68#+BQ@VPH`>pIdXnudY!i2s8>a z8hvr9*jf|@9fi9KC*Y!R4{p7Pog7n6fJR|Pqc6^rPC!TDUT{+7b~U&t%v|)vwba#9 zk1HpDqA=ZmqKl!_Z3-L;_u;0rH_;qbtD1s{!c0V8TrL(9!=R#YKUS)>98W$7_vb+q z?$1hX>RD>aTM-l{siI8x3wU9WRG3NXiw(Z)K;a416eJZUk|K0Of_4XP<8Ys}6IPO7HBqcB6zYx7059R>u2c~$JS#p3pR7$_8G6nbq=fp=vv3>peE z4ZXI`H`uc#6$TB3Co0AJh>9?nD9lXs+D6}G51@s?Md4zl_`OOAPKH;-UfbduhOOP| zenEIL6kZi0#qU)>RADBn*S7jbtnge2psFyhijm^?D%0SNcR&}XzHJ?z4%>8Y&GfRM zQFSCREKFZXrKavI9mZl;cosLM9k0feCe?H{Jj_8;mn6cVurRM=y~bbWTt$1DcqGol zUxu?{|8Dn_1e}E#&R*l~r;ARhrl7MhuSC68>l@}-jSDY^6XrHjyz6vfa9fzU?X^1j z8H3xxyb|?Vjkw1bUIuSWZ?Bz`pD_R~yj&^bGX}d9%z_~E5M13j4H+YHXGX~U!8R|&!dlhh9cpWRbzHy$_>@esq z%ydUecdr7#3-e0Um-6I$fO%oYJW|AafO=tGiTYB$ZpD7+axx>+gRy~-9SjE7$; zknaH=hIu856!9J~Vwf56OWoyrfQjMlN)hh?EryvEztls%2gDfWl_*lgd$1A}CYGcL zv6nkE!IEKS$uH6E2y^3UH8jDJVcwEnoHfdA3YH8rOMYo7jpD2`swr49OvKobHPCGd zU<@-berYAOTzFQs1TcmP7#sXWZcFfDn0fI_>-ow!r&@v+!^De5roYi`3SJB|FMf%i zda;-GDf0COUJNrYepx;+CtL=-jFnkpr&Ji!7-nkx^7uYpwh03q!vu~ET556!H-?!T zzr2EuJNkk;F1RsV$>vq!`$%EnW0>*r%g4o6M8hD+FcV~Z2Y?{MOpss6rT)*jsJw$9 z!$goBEdh{W2FS1QdeebRswDt2e4NZ*T_tXzhk=pdTK>4R_Pd=3h76zJ*1O2blFP~o zFfv@nhjR;;Fc>miPc2`cM}ci{XX^%ZY6B09Ja;`Pd=j>k+;j&yong2K19FD3fh*+| zycuqyreCAd*IZ}#Q~=Fz(aiRl+1?#$;Zsmf@c|3mrLZvAG|X)JwN-S$X+}5Y1!NjN z<2?-^Y4|KP{W|mCYC}^^fu&)3C=8v4yAl)zlZN>&=ht~gFE(g(l!q9&G|XK3%`r0L zf=$D`2Kc{bigolbmI1?;l;T}S4_}7Q%dE^5y9dIc+Ave?|C%mla0g`TWU#VX3}puq zIzCeVag1-){Eqq4>Bh%#cwvx~Ze9gU?9jqsLpOJjJK$l5dH5fR-Hb5?E%Nf)LBkHy z@ITgxQSN|-9j4)bJeB9Yh8)na!!-OO`E)V|H0&@9|ENfeRR=WeFb)4GzfgDs4LeN3 zKN>C`1?GT;ojg|P+n$~!X$MT~FcXvFU95J1#SUZfkB*2HBnMdRbZ6zXJX652!*Kkg zE27?Uz{gHc>PXGK794=F!^?(m^0vbXhORmiaO^M~zgZv;3_f-WSs9(_eWH;AN_Lo% z-#jSpcQ^oLhe7#Gc_+jHC_4IhisbCS(8A8fG4VMbg+F`W*r?FB2T|2{A zDVEA`4Bg?ZRLMRV0fp)NEnN;K0Jt-fl}YmBABFdfVr9A<=m2zw0sXD5g2fy#x-*8A z#RJ4}9t&?{Y2L}qGKQ&%(gC<$zATeS3Mb~DsdfgJF#V0jE36=|7nw77-k9y2G6QlP$7W!RgL4R^$#02ej@mt^cG(z6ZeW%s{m6b_!=E z`g10?=KXE{{It8c%i*9BaA*aW21jaMS_aQt1N@X$ zOw8VfdUA_{3c%q#mzk;cCkO2BF#G?M(yO`2P!Df$K>iMs|4&zDdv6FhAb)2Sd(jrV zoeJi6nE8Lo&ub|+da1ZU{0=SP(nC^>9&Tg6zQeHpvx(IBoS~lX;sEs>M*W{nkb?oJ z?=b5BY>!lc`VOzu)I(t$Ail$h|FZ*f5&_~njQBsR^Y!s8 zkvc$phY_C?&8qj3c`M*N>=$m{~dcNp=1c16Yp z#CI6+f9{tG5Z?jfYg^sE1>!r5_&?{b8*efCs1E{+?=a&RX~rbCF(BVz$p86#`t17~ zeN|&nzQZfVcjSX<0F!eNeOj=bCln7Tq-CR94;5`)Jg>vgHyxG z38|oPaJX=|QzsSF4i482cj~2r+QF%1r9mpFARMkB?wphgiU_BUl(&b;gnWM(dLhak!chs%k#`B8ln4fT8q2jzrAnGi8*hD;!?&{>5^!UC=>!;c$8Ji-W1( zYf%>r3JizWy?;@bmE&0ob5LeDTxPsGSUfG(L80Mrq4DlOvEJ&S&~UiWc(+Jwns-oW zI9zDFyCL;k6)FN08V(m4?;i8JzX_xi6dDc}8t$3~hFFN8q5%(`E zS9Hy*pwNhLq4CR};@d?L6dDnYmA+Czy%FJh5#fsCm-G7z1r91*TKel<%T2Ng$zD~?}HmFI(s zBhr(V3g2K)Q5Qks5#hpvlq|1;(j&s9$FGiv@->3eBf_P}uNp-u8bRq1;nL$*)jdQX zp!A4v>G7-cbo-~H;EbU3i1g#@Q?FImas)+3go}<}m(umQ%TSMhiJ;_&aLMuOLn3=e zP;x{D2rno$B3x|z`UJeFN2)|nYDBoy_;s!Hf>I+gSa?B^5#b`^*R&6TVi~AL4@!&( zml(gkB)y=-h~QcG{^jl&p}2@}aq%9pqB+k{&rgYr;DizxK}Rggac?0+P*g;?sCbWd zAeb4(V0A>46cJwS&rIDPjG&l^a53>7-PASL80xVn5tI@UE+yW}>Mi;kr9=d!M0(P!SXq5iTfx zvs#`z3W~^VR^%E<1a(D(>xzG!FRxZq77?y2ND)^nDvQWGR{n3U-ZV<8>&W*dsjMoh znCAkCc}4|do&^*j5Fijj2r&o=kN^n@RGN{Hkn?0_tyZ2aYRt?Ua-Lp$z5CqV?sm6F z$?eAnuy}T@ty>462L*LiP)^l64thV*y|BszjXZM%-up=tYKKq=#;}@}GpWJBA zRt6Xm1Q@^DZO>MQ7?F*TY_Vr61C0m*jo;mE&sK&S5ri5NL7p%#H)D+mVvYBF@)F)2 z(C^Ab7;8ijYrHqaUp34#=^1N8G}Z{1L|UYYa{HOH-}M!l)y% z3;#38x6HHG5@F~OLFgfppUe**Jzk7D zB8WQPw?7CKVbl>p)bak^_MI~7h#=~C|6G;#mv!VPyfMUd98Muag)1Tn}5$Cg;E#3&?!DCC19%dFYKC?q1g8rv%09gZ;kh#>s< zaI(~Y1s3YlXY3I{gz@1F--ywkH=hwk1QCWvYP}Lh7!fV5F<(lLFt&&ww)pU@Z`j(v zA~nyzBEssL>hor8Vt5cic<`arAFT^4rmD->z?JeEsXw}?sxArN1>t`rgtIAdi>eau zU7-3$ORdklxI}dqsQ%Gv-!jkCbBXFMP+cTbyb_|i3snDTjc=9bl!r@HcY*36nc|fY z)m@Ml_IDAu)LU%#vpEOy;)h-F$1)*=LHXm|yN$4&J{geCT%6kI(jVhOf?t;)iIXcu| zQ)woiyHn8ovK1t|gmf1*G+Sy-C7nw^cLC_1O3_(he_*M;AH;L_X0+GCxM?P#yCC#W z$M_a5^gIAEx(h}Z$w;q+jPA~WWTJ1L=g65$Qg=b>A{p(K%)G>flGRCj^u zpHA|P9v#q6VYwuAcP`fHktPCgN#rhw{8Kp{?bHYKn^!J*+y#$ssWnMqmptx*$3LAZ z18_31TnB(W?t;fZt+fuky5w5W{`v0eiIY8VI=S4v4U&U(UO(d!&RxLy=ck7*y2JB7#B&#TE|SS! z3Gv+B3dsZYmbE6%0WkXKkJ#5CrMsZ?&$lo49;|jr>F#z&n$~(fw@Xxaf$IM`-hK*% zb(dQMmH5&nrn|uOKVxg)U_ig);u6wbK>D9wuwpEiknY|gb88^JbcyHgZqfc?9Ifal zUR)Bo3qt>5tZjvi?s8{fpf99z_fBY|cJE+7KZM~D(A|A#Uuat)qPv3V&X=ZHpC)jL z=>CTsKvf@gYr0xo-JOAZ(C0&xbE2Qo?4VB-J*VTih?h2_p&P02K zC#kza>W*`p^`R%1r0xo-JI*HSXthgHcZJj)=d{JVE=k=LQg?#&)StY&x+|pagm(FE_8hZyN$ReUIwY$+$CF)> zx+|pagzm8ahotTbsY7D@4@un>Qg=d9?CANXkxNo{h14Oj{^u0uiEI=&p*{Bhkk?(| zbx5rLA+ftc>`rK}?`F@zOP9p%3b8|C{SS%V6=HWnciR6$Vt0kuA+i34#O?~QJE48{ z7?9XqA$CZt{~@uvLhMdxzx_WXc2|fU66=3R?5+^I6S~X(9}>GO#14t|KO}bd0wf3Q z{~@uvLhO)O|3hMTh1i|Y-M*W>2uNagh1emn{)fcw3b8w(gZBTB*j*uZNUZ-MvAaU- zPUs$6LSlD?*qxRc)@Hv;Vs}x8=X8Ut=kpC5>lRHNfWci`5dq|~TTs`Kg*+?o{K2w+8tsL^>aTlwN>l#mpi4^=6^c5Vsi z3Ck!!DZ0SwE+Hu@kmN+>vAcT2GD<{>0+9xXfru0pL~#yJ(LNB7qPI}Da%+U6 zsKAjEL1Fcwz*f~I8bz0g?o0kP`h=r|qNqTT<4(e@>4C{8i71M+S3|woV~#fQrp2Q= zuKaS0b0Dxy&C;Jn#h*IvW~+EBN`D$f)~lh`6f{KXNu%OP9T!Dt#{+s~GD<%hg&!T% zr5}xoA9dU_)YSu!QTov+x7cgVE^w3{Gd<~vs>QVa9 zsQ6Jxs=X5W(Wv;*=DJm8oajcQ;zpg$A!2@PV5ib-ZKjC+1+@PH~$c&_l&}O zb|0~OP45|n_Y{fMYkJQpyl3}OyVvxdQFu?0%=f-2r#L6zJw;OO3B#lGo>6$uZu@tr zqx7Cpc+c*~?T1Y78HM+Z5Bv(bzGn2EQFza|U2_2D6TN2?-ZO6hx^R@< zGx~^p<+-WK#9&eS%_#h4uO1Gs9ul}iFHXl9#U}QlDic;m>6W5!OTCZ#s>cL&t15j` z^ywjAh~>(Rr_YJP=k!W#$jZPTRi(p;B8hXfYN2^OFLO9AL-i?N^-Y1js`?U}UtxdE z!qqwiZLLF~`aG=adDK?^Lu-ww)>PfBR$FVSS_@T~=wkx=RB0HSM|wx0m0qQF6jWb^ z)%~hU$I^=9&8I3O&^nR-E@=p-_X@1)k<`{nY@ST3W&~Or*w!GbeO0ik$4gtMuz9*z zJzE)pR)+hn67D;FevE(~FKwMkRoPLKajlHNT(-@HY8|ZV@zU0LY?f2LPW`|L&`Y#R zAno+kqpx~^w3UvcRSuJgRdbfVbp`)jqF1MHG_2|Y($w30H$msNq z@l}rv=n2wR#*3}^gnd(`MyHkWV5`J~PTxdW)nlTq3k{Z+REjwRjT{tXQURJ5#Tb@ie;v6HpW$tgSK*w zX_f4^SUqA?xgNA)J?L8itM}`h#l@f%Q*lz&5~Iqg*ovvxw-E1u9{OzMG;Ebqk7Csv zU2WxjYXt-LErC@%^w~-PY?XtG&eSS%G^~{%*7^ceC0HL5cu+g=A|B$^YEj)&`7Nod zHEgR9)&6Q&JxSH8oJUvXP@Jp-Cb4&Q9973bwZ>OHA)v=TuacOq%7l@@GCyN*l@sPF zCQN@VR8Ol_PME8*Aub&-r_!%ZrPZlWl{af;K+k<%y@|~LuA@~;b+E1yxUK@Y`p5dJ zX9V=%=hX#N#VnXqWeD{uXTep>f__Ph%n0aF&8wURSEcMyI9@f0t#O9r%I<@kl$*a5ACh@=1KMksns8znkSH(|D z2TVQVRXXacaMbbSRH%>eA}+V_4Lb| zH6iers?zUVJ;x51uNqvXkGT2>RF|UzkE<%*&8tsakAMsORV?uRD=@AXRP|YQ059R- zBj8JT6)$1`N~m5`RlbC6K6b#MO50HUd)rW`ZbAq2&|zB@o3UySJc2f^+HF|1`)|W- z>7m0muG(#Q2?riQ8(+dUzJ!~hs)r8S_!72B#?)!5I;0P_Z8ono8&~Qhx;Cb81`5=1o>#x#qS>0?z4| z4aI2zJ?7NL>{}aN?ZFQ4)o$afeK$Iw=bYM_XjN8iXHn%8QQLO5VbxA9k}cGL9&~Es zs@;aX)}hJ*-^S&+Z8uaG;}PgVr#9kvn?Sc%HRe-|=LU-HUd#%!fWgUSc(Y#Oo7Hv?n-9~fVfi+$0T?HW>kDsEz<oV65JT zSUtH5R`t+O8)s*meA`FRVW#QS6<+BIROKf(Cpda$sO=RrxBF?;AY^+r+p3|u4_5Vz zO*_3wJ2C(lD~_{$I8~7WNbdJlPjqV7*Y*)?#^hd9!N+z^?siP>Z$M(tP!##Q`QJJ*4BtOH5;4D$qM zgsO5KXkSLFhxHM(FK1tuL-h=-j#O2WTDuhb%lc}5xT>8KzFji^PVy|?fl;cujutSv z7gg%l+c~-0F}ahn>9N7lg8B9)s!AL#i>877_ML3o2~~mp369pwx6_@qAEZ??W7|33 z+V2t7)ErpVqWJbhv~Wb8)&M%RA7$H7sLGDR1ZSM~^%$Fvi`4-N*juwNr6TjNeN(Tneq5T|H5g?^*!C+}Acso5``(t8t zz;CrbPSwYu+6YxG*=^_SY=4FwFyGB;r`v0P7OLVnH#l0c+x{FaNVqfTx7r!*v?JU} ziQ}B$Xz^e>!<`Oz!vVk5L2uXrZwPCD%rBZN6@j5Z403)E8z@B zYxX+mdOLK&n7E*W6Q%9ZmAgqHErbDX7 zJdc1LvP1T#oD^5W*=ltQ`?ZH7U|zzGy=>bHRj!0u*wsOI)^R{a;Bu8Y{?ft3P6rY@ zDOm|;Ia+SjL3h>xCR|hrAv!pTI>3Y}u7p}r)p0K`c2rb{RN{gTPNEKEQ&O@L-r{K4 zR0mTj9dL1r22?qTI^g0`TnV)*r-Lr81Nb<-;x;-S;+24pDOm|;Ia>Zp z(}Ir^9Nlp5+(f5pSghA2&I=-`+W%%`#&Ld7zUswi7cpE%-RYS)qO9 zjP1mX9TjPbj2+~GPR`g)%-FQx;{-=HB|AA|J0)i=R*f&{ zB>r|HXPv$mR(0pGlVMmVe(rC82RfIr|FX~Sqz}W#>OMm!CvqpKczq>2?_5b$P;vS= ztm-~P=PEX@6RQI}(7B#%>!Er-ctE!qIybNxlY4!YS+zSkxjQkr)2ConcNsc4xjQ9; zJ;(!{JNWO&V5d)`ue!<5c{`i24y><)5S?5HIE2 zNI!yc)jftzt^=J&P^_2%t&0Y$Y}8}2UYRh6CB+` z=;G|`LM~%{m4T-&(q|WP85xNSHaWV5&{d0zbq$ve4DdkL2)2!Ys;pTv9Nj_a8p-A{ zVs(HAy2i3?EL0KlI=UOs#o5_~T*mqeXLS)NyO7JsaLv+;dlz#VT{A>=z!`NBDZ6Gu zRo1Ktj^or3!qBRt@+n3I?1k;?10HWc5!BOt%9noS(_Zqzjm#r z)%D&-(8Zb2wE?Q)xhFW9f9={x)lFh`@Dbd`w%ed8o_m6Gr#=(Tqpl`)zy#7=+o-w? zs<)#9`&4y1nc&>p7^3=bq(g{Q5A}=N-kApnWrIY9tsEX&l#nJq0_YkOd!^N$y z+M&@#Hz!dyTwF$Cm`#r6U%Tnzx@$#sfCsvV@!y9*RbrS~j^G`^48`l{~RCaR` zbz>Vhdjgd`SE`3NnuG0TfY&`iL`C^c^xDC?pKju5H}JGL*Orh_yC*?%i!GVVK23&X zk8h0UyhJzsVK@AtNUFUOy2Ne-gT*_oqQ7oV-fk)SbCy>Y%5Kiw?wdsWFZp{KxE0M2 zci+s5&-!9W=s+dw*gczVv!OoOxAc&sIpS{m(QffqGW6zavfcDo-SAg`$;DE0#NC{g z-J30|bWGh_pt=}VHAmddpspKle^Zq?tSWxm=>bP`#N7|@7LfFNscNoyO`c)fGot$CD%m)8PV$xReiqF!*?*C3+*7I= z<60ZT{>zu;E1!YwjwXp?e0^egeZIWf8ul39pje$)X{>#~SRpvY>Y**$&w(cAG_M#N z&MRUiALv|+D|rkn`IqlT=QMvD<4YLBhRa~*X7Jw;-GBL6F}uUj1agdPaSUtmm!Gja zH;exv582Z>KI9l4@|SV;s>#vZa*R(ohJBm6D_P(eQ7MLfoB#71I;Xki*gTqDD9!(E z#dPm9h%KT7Y&B?vY!w4r{kMypPc=gv%{<4*S25u$k2?7(2EO{gM&X*8d5)2p) z{xQZ^HikUJ*I45%zt!YuUOLvqYu*mgcHeZ*2l!*mNW_ql5J`>879&f>z>;5|Zk@4; z5hr8Fl74-nb?GSxLYtpOb#%iac8cxDJ$+}5{p3$mavGA=*5`3zq==ZLlI1G}&S*36WQZ7Y$={J8 z8Rv?l+Y~V}dJK&Ior_b3`aLfyF+FxxB(F`hANe_68dss8hCBH^+QE51_Uu=&0bq)eTOV|fwqv= ze$77b7-KddhHSuVcUvbRV@wFdo`B?DTSB~w0k2*=Vb25-R_sYg?zf6#Vo$LLPeF2g zg!d?2>}g7nM}O^c`zg}r#o+T^d)lf;kEz>x7LxPUDc0C?v<9Ag?TY=D6X{~eP`p0M zI=>TR&=f<^^m?sT@e(8Q#ejUT%Yb@VDfS|-^CBdE>m+3CG9}0|zCPQ2%r8-b#K-G5 zTR$@!dzq4#A-UbEjEyl$iy=yTeTP-;6?=vM1IB!PyEQuTA&|s}K(fvHazdPMP#l5W z>-((Hi4#NPL**50yeVLok~kqWUIq0>WL{J|PpbhxFW^V}xhk*6iC0rn4axC|W5*B9 zm^cH&I0D1(+9mgK@=6@M^4)5?yCjx4h~>MZt!W%5vBZ(>`R-in6^xTx;^3C=F7z$& z9K?^4T;ju3QtOqFU*h1G?{2bQ^*9kG4vzlrR$D@hj$@zXyF0B{BhK_v9O`|oX+m1eS|o1}5FeH?86y)9Plb)0M;Z%{KMbrp>h>*K)s z?;V!6}OOEE<`Gc6pedUlxxOHRF<$lf(5U-yA1q z#*vi!Cpo4Tc-hgN+Bi`&4%GbbuZ*krEEjRoW?Xi!nyXFCLwr6jIUh#krvL({9o@r? zFJSuuw2!xZV|*bcvK8K3WhgyPR*f%$@)R+0+0otIIC(X`7`?7GTj6nnYWx=H%J;CH ziH>gX#v5t25$&_=3ofB#2?o#{qK+?R(^4p-mUyhA8^7^e*)9~^Tz8Xs9|*zmWl(LB zzU_5%<2OzUjxR^ws?0}P;w$*?E1-K^bf0mq=yPHAF^=rxKb^Ga(klLkuyk{^Nms{- z(s7{l8~8f?W=Hpg<7Dah8nlnMPD{ks(#Tp4lQ~KqU&pp}(4Hw)_6N=FFj|Zwf#V-) z%5mZ(@;He64JrK%4hiahahymV--vek*tz#b*hK4_AlYF{Zlgrfq@H2f%r>C?8~7CF zt-+x>ECloIn?c33A ze{3(lgOVL0`Tlr&Nx7X8L=FGrxaITXj2hyI8h$X%mN06FBWn0Tvpw+{HN+7${J{SG zkT|1;IHHChT(*}xMh$U94L^9%^0;wE4RJ&bKd`^G7-!TFN7V4ob#@OJHN+7${PPrB z!l)sRsNtVy*)JobhB%^ze{Qs*hB%{!_yI_oY-@}f;)ojl+5T2ooKZs@QN#bNu_q*> zhWI^@jI^yWc8DMH#*1;r4ROQ`|ML($v4na-EfR<`aEJr%|8E=P;{^OT0RPP?_Jbzi z$C0ahbG|Jh=Es5gZyvO}MbM7}^xr&ZO9=Y$2O)XZmJs*j!2N$&YaQE+pW>?q;1@}? z=h$YPz#j+j|I6d{10e9nkv9C7C+!JG>W_o^|K(ZxwITP%!Tta8qHT@b9|!mU*gx8u z*yR2=xc|rU9R%-`C-=v}{Xbr8!Cjo(AAb~*W!CYkIN?7I`2X=5>l|>L_#X%U|9G2i zjrbo2{{MKVZH@RJ2mb%~Zu@_T|8d~|kB{5ECjQ5P|35xuj{)&N4*dVI9g4?^|M4fW z)Qld0NRodX?$3GlX&Seq6Rkq%-E!dYq&m2kHN0we;o5pq8w}$@y_`{!dobju_>^ zR&stEod1)RBP{#m{5UxOr}A?~USuHW$HDnOon%YM`EhXmPmkIUf}9@*=l}Gu^()VD za(*0~|I^c!wFEgo0nY#FJrlgIT!LOA0nY#F3)aI+kne^8f{p8(}wf10{lSd}2Hw ziS1VtME%4R%RVtb0nC4U6zvb!w~&yZ0OY?t#vVCBe&S|G>|cIKko6POEc@jA1UUa~ z{D4MdP)oQHr2NDTv@fvj6ZR7`Ei1F&LJ|P|w~-ug3~F^(f|#F}jrKe2Sw`4T0QTSB zWzP%Zege4vvqknBM%+)#gQU@xkops#{-2$(CFK5u6yeBmF%u&sNc@R~qW!=4WfWSIbi{OfjmgvcNXFv!2&=WFnMVKhMo zNq|8_QstG9K@wn)e?4yh4;dtZ{G~{&{~?JaWS=B(qT2jaK!OO8I4s(~kRLd6h6lCG zEpZ>85b~G5m}1d-;s_;2AX#l8L*ghUn!z+5i%1+}8xZFg2jxjW7S!6f1OX=@+mQ0X zSd(i@5N#4bn_nE2zDx;@(|4C(lQ;q0I`hL%2_j7bNb`$_MR#g&yy_BY5&)WCJYgL3XDc($+V()-5zj#!vj0jFpD+HMYK;{>Z*j5NK34qKm9<2J5MdHPm|wgk_Wi+$YM%g;0Koj>vc;AO0!%{6itr)bNgDJd$Sw)6%R58GOm%RQ znjyI)KrZk2EEY_VToO{i&|J0J7$LGGfGqFGr;MGm!O3cbz><&x+-AQCViF{k1W4r_ z{1(~Tpw{Rm$SH}7=-fz?7EX{*5+Ia!4r-BJf_##YN+!{**d>`Ho`i0r=&lKB zm0p5yl0ac^!$=d|CkQ49fXO@fc>Vg|P1;>zNdj2XSZ7X7B#0#mV97fO(2fPQPA@?$ zNl1xQbEPsOK^{pU|M(8#vzLQfruEC+!CaagzRNES1QXA z#E%5<;~m**b7lu;vM)V^j~>*CR8>y>^r!^b@&EXIvo{5`y03@K(E}g-%Q`D=>YWZzhB?`q86Lm%A(A1#t9uY^9j2R{0j4Za4?3CSM%=pOiJkyLpl^wB-=(Z8JG z8|(SnMh|^-4}7#pygy#sLm%A(AN|Xjz6Q_!bq{@X4}7#ps=N~V=pOOWvf*Y5eR}Al zd*GvgxxNyG&DN2ko9;oz?3Wj<@8S2*nfAb${_=`FFm$IqaHk@%28Qmm2k!Kjuh;`a zciMy0nMkaGp;PUFQ~gzqJuq~uJ#eccu?B{2wFf!0U)9?LleV z1)o_0d+1nu;8=e(vobJRx!FU{+9R2+V-~wp$(7cKuVULO zs2+wJoTsC`n$1!IAcw4<*2&Yeo^9(z^*3{5rrj5uueux9z7g%St^9HieQb{u=L8z- zFBvOzusydyTYiQl&>UQ#RyNbhX0*?@t!$wL37o;cY-Jk~IKRQKrrj6Rde5FFwr@lG zCfmw(N~B!Q)0Z7=+W~E4-I{}13fgl!+iyqvR@=%>O62I2r!TwMh6K%Tum;{2)GE=Q zJJ`M(?YnF%dnl25yuc;D*+1yn%eK9u{qLtMPxuxcnmZ{%kbh(A$m(H(5m(PCO5ho9 z-0Qo^JGH~jSqV08+#sx%aY?iS?FWG}A{)V^>rz)rgvpw{bJ&55Ohne#$J@k@2PeJ#x=q?Is z#cU5fWzW-J4C!B3J=@r&m+W~4x>tNP2ZLHM+e1g$BMz~D-GC}RVh=pxjaQ($LT{N4 zvF8O^t@M_juLoiHyF;M5QdQ~udR~HRZAF#dtq0!i-J!mkyMwD#m9DMl6?VX2UoU-F zF9PUyYhZOXReSZX?1jl~nOC{D3U6g^6;$h?x<-}!Y?cy8>3}&^-CM&pgud^NKnK>U zYAu_kXj!aQI#9>9I;f6;)pe>`&t|EyN$#&U1wg%Yh`n%#?@sg8&I_(rReHnT(W3f5 zwJEUfrBCaHPkVQ|RBHq`s45*=?*v+%qfe`s9;kOBROO?8^MV^ym9b*4?C&J^SAMUn zmoZ;2oYlJve6@3fS~1&8Pt_|sHPQjIviH*8^uph~dyB7TM^Gzfd+BU?rIJT(>sHeN zI)+}vUGLtC4s6yA&^PqTeu;FTGFbCDDhQq4U5O5CQPl-(mU11jYO2wD=@ohxLv<~z zZdKJ=s4Dvzee6{fFrAib-hdc;?^FSt#u zuA!=I42V?|xb@P1^lpIaQD5EepjOQGZlvl~s+w(?-X^v+LG_rg?*5=w%=T_$vy^K} z2MkK|a`o-K1FFY;bti)22=P|#=3gn-ln$8lq`mvtwhyZJ`|1t{cdF`sHs1r)%BMVf z53vnl+q)0?>W&6?sp`FKK1S73W(1D2?Ko6V`|2JFYQ=0X!>8U;vPe~aYPy$ebuZTH z_om4rbz4v?W_!6(_p<(?+7yHLa*giA8vXmFqPse%C9}O;p?l9@ogC2R+T4q^`S+mc z)xo{mTdvH#8ogAW7uV!o1TVjTLUfk|wP?1N>vFG@TR2S>O6%pC+>3-sIt(xtt#?$Gmm(I?r znC%FZw@p! zv$Ka)buO(64tlIEVjFnyeK|w1At+f2+&yQ0-%^f&sYmYPT<*ISst0KHi0Urmf5;q{ znotu>^>L2(VUE8qAFtRHJgTak<9!>fG2mqG10%lwf|z|UcuaLkh=tj(ObeS$HAPk|KE2a5TyR;)f6Jfo`5^2UTs#A@Z0A)EApO+K9It6mj6 ztEyy^K4FtVt3;DNpvi}_120z3sVe7wzW~SJ1M9ECmHMloi^$~Bpw^J~bME&GMo15~ z>V5UsQ5P8Tp`1=w9@HArequzwV8lQV`Z?|UK@lIy_Ptou8q$7ZM8BMU8XVbv&ij6Z zxgW}BLPhs6_O_ovZoeFUlJ{Vr=>g|`KT^dX%3-|?L9JZuCo}XTk2~-l^mE?#Bjo&W zx0pQ>yr5WFVVJ2*=wHFM70|s`bk7F0Hn4vs z+gGCfuzYj2(!7ezvZl!8OwB|;*OY#&DIYx~CUyt4lCPhOO8*+(hKU3E*Yf|@LKkts z$)J{8^{-?5CTah;+Ba#zV7%0S8zr}?#9MIKznKy#WcS<-1JC{~(8h~>KKP7|K7-GG z1fL%d!|j;nCYr#y_3;!db==RTtA86L(`?Ch{?B$uX4{e-l<@U0DFXoED=>9v|bSG4?x$fGxR?lKUXJ*OnZiL>6|>mASC@ zV`2XUdBwfK%X($5?ES~k{*rBlz}FAp`_yMkxbpYkZ`tRv-+uzyc)9ilwK%B%0ruqq z(Y;hT=HLGy+mJ5*{1lQjS{&4WlFhOf2O4V(O!sp!?#E*MCwymcc2E*uSRA<+_dhGT z4YlS>NB?t_U_baz_*vUqgBtDk6U6!fVt*QK-^eBY$0c^ogmC>Yu$@F3p>BTRwM%)_GGN2>_v7|tjbkLmAOcJt^fUGYFu%V&6nIu=+Bv#um z?z1|W(={@- zO?o@Yo7i@fXvP$D6#9Jeu5^+|@TNeNkl4u3pDb_GMa*_tHkCQ9M3ystv z=Q^F-iS}Bn3^~cwIw=usbG6BcCAm;1WuXqrHs-Lv6CvHqOmd}8?ne7q+X&a|c z9O}EEiXS$d7t#&GxHK>G@NobILso}i)5c#R}IK~g+HQ0Q)0U}i|S5|i`kiEYxsK5*?%%Gw{4kJq9PlhiI({v@|a2kwn)eo|;Z7(zfjGbCFxn08$Ele$f6 zvXn`#`N;=FH;fwD>7fSI<+`7IkbS7=a?wvpGB_B4q7?G4P zA{f4%x>Hs6A?h-*FrfP|+a$3N48wy@58b4?XV{L!!X>{cuM&Zu*c_UnR_IewQ_)_FJ2TC6D=D~@$SCX1QgkdS zI2MsucSgsOng+=&_MOqaq;QaMcCA@=Q*GqpeTD=BdnqH0`jiryjx zZ{Z4@O%Ca{WQxurg@u1ml?#6g3%@I2^5oELwaRrrg~fb!<%`BCuH`AL<*o#C4I$mS zN^vPqEfv*E{`tCgrnoAnBmohOF2}nySFJJ(No^O^M*o9Gl}m4G2UK^-IPVSV=2eQz zZwkw-e9zYVTY)Jqvng3-0}W#;gTr+;g>^P6)dhjmq4|16uCpnuv(fW-TBezcY)YUw z7=6V0VZ{`e+7y=B=!NPrp7R_jF19J5<6!jUM6W|lamh_#$&J2TZ*`T6Zb}wixnWaI zk>aYG!m8Vf#6(j__s&vWbyHYd8~sPk;BakCVQp=lE4sIbbcZa(wKa7}bT9c$W;?|- zG$k}0yehfK4DVbgq(&9XNW?0U4?8j~j|!QMpiW&x#9w+GVprt3VFGzPc)K$iG zGTY!Qdn9M&><+EcmxG=%EorY{&mF_OH8yGb%QXCD&xWbiz0zZ*5rz3{%`RA)?lKK` z*^3DGv5@XWrs*uxaF)Fz>}N)2nTE6Mon&pqr|B%yaF+i1fQeAk^pWY8ZlSzW~=NmJ)5^Y8AAS{NaK9d^JoWwSD)-wI~zi} z9hzp?m7b6G!`6@Ars;#z3phZP{?h@c7eX7m)pf$Pw9Du#y$J2k*;8XN<#5^ldb7%< z>9W&s*?p*GstT=FEA-iEgkOCx+g6s)3c@dcZNMCCN-t$2;xGBK?l9+YNHuEC?Q79~!S4S$O4f;$0oF~gXWM#c%U}kc z58b9$+`#q?Xn(oZdh<6@vQZ?-i}u^RiIPo_JZ(#EqvSTZa$_aspWe*2&Cte`=Z7}y zmA9~6Petu8_qvsBlGhBTkeFK*+M>EmY;VH2R5q&8+t{`Zx`<9!hPJBicD94l{FVKb zG&wB|PD@P|-Gw3DH%^n&(zjE0v*`mv=7DyqTsptjtzwi$9;8r+tz^UY-y zpmI-|+?IYyC4R4j^p<`acU*5a_0uG`^fS<&Bv)Q6KQ6>8lit#xw|oQc*fbN~(tx-8 zMC)Win(&qeyyeG^w&p0|Esbnw!$`AjmL|5Pfo*w7SUZR0_lbB}LR%WpmcIp;HO(Zp zG>9#~pw40;5?dO?mY-*x1WuFK(g=|AbL|e1+tT2+{5s#Qtb#XVr{wmEN@_il%n)=h z1E$Nbv6i3=JDw4yYxbL*RE9{G0n+8++nF8>5Z!ZefTGT^zqy`W}@bQvIB{u%3C$q?x>!$eY;Xx(pyV3z^diNv~JqFn}P zR~TF8eViGhT}HM52k)0mmjTliZjpOt#>d(WXk~A z1|~&@$d&=J6<(Ih9+DrD;$?|!86aD62rg@y$!i(#THz&YQe?<$ndy+cXibU?p)CVw zE4*NLh}f2yiHnT1Uy@nu1b|K?)=NU5%K+$#!|h2ypv%m`OJeF3G9Zr#OG22-0OpE!+IK~m z%Pdxjbyq~X43Mt4%Zdgvgt|;4?&?MLm>JSsW(ky!$z2@}9n!lZ&}9I0MH@^qM7j)+ zu4scvhDetI()}L~`xdPn3}!M!x(tv`B(+`%kuD?O*Ay>qavB-JTt@bhgI~ce`-YH~ z$7Bd}nbm0DXioy-TL#<44K)F?W04`dWx#GAA*)0Qh`^q!YnZ+Tks>zVtGT^hXJZ)PcpJm8rlZ~6okk2yUv#(qdE3-pKv@hhd z%oeuGc**XtCkD)r)G|^w6KI@#w{DGQvP|E<+zVb?qH+7jIs%5r| zhCa-07p?Q>rCmB*( z<_;LIH@V;pku9?u+5oGjkk@eR-52FbChjIp)JRY0t-S9Xm5|P{TSNotusoQ19UgL>r$X$1uS*C(t)Rv8j_<|HIf*^A#P{?92q<=ThM z`$-1RfWiM7KWut5bW-gT!ZU#Izkb1f5eeZLg>VxBWeDLJK=|Jv+jJ>(O0Asb6#?OY zGtag{4$pwY|7M+a&@Mv`&pZOjQB(H1^oo7hr8PNGxpOjwC2|cX6B%5cDS^a9WePt4Q2Dw##4S z{cG;Z7am{43Dn+O%RzOm}f3Q`wkg}2SaDo3gJ8hIRD#6eN#N? zwhZw+13VW=tye-q&w$YXZl=9TlF&0C^uIgjo8k3cB=igjT_k?5goK{C!h1G*sTs0) z25kO!NRK}jI>+8-N#VN-)-y5A|Yw&JlLF@l8*V+ZilGd}J^?#T>-1;BVdKR?) z4|}cEElXO@g4Vyj&YE3W(s~xO{`H-{1)iUC&63u$!&Or6l@QvqfcCFHQ9qI&Zqz6! zOKi^~5&QK6E3Li}-m`%BZ;bFQW2J!kAL4r!_%4!quY?4j1;Kw~o;?O6`0Q9nrjN0% zLyXS?8~SEwU1OGLpPh*IM=X%b67aK{kTq%DEa5&2 zxc>%fZ!d%%)%S;Vp9S52MgL_xupkQPv8$@N)q{WtB*UY1;+ zMO94YmoT%lI9>qyZ=$wnYv^(9BXK?pod4z#D?OMc&S&R9a@tBZW=Zu~>nNXiyfaZ&}HJ&dqWXbhe)W%fyv9bjEEP(!- zvPUZkwTs$!;(Qi3|IO!M+ccBqv$vpomBR^HQhXMv*>8;%6Fa5wfE^>sXMytH8e=ac zg!!y&z&86$4QG}Vp9RH#3)Sk!L(OW044(zVe`_iFVVVi>+2!oV9CgK6qI-4)RI&V? z4n3(Ri0@h8`?vPMglQ(dXIG(lm%aY1X7?o_+gv&2pC!;|*FgD<*gg`{`q1oJs)Fgi zbr!ZwGm$Eb7-ADm$-PLVb24v~jlk*3i>>OPhFE z!2Y+Za9Pt#($5O%H;=Wx&u)cehvj*) zO}r{l|J(9o+Mb{G%x1fX?1Frz@G*159$*5vjG0@tfsD(p=JsE**&7`uN*hY zlJ>Kp{qNi+x(h>EhMFboXTkdZN_BUZte*wzf9H15ogdOV)GS#)i{11=y9E6#K>s_E zuo1gjhngkkXMy<*BTaykCFN&9`L9WGRwBob zl3F3#XIY(8d(ga%WcMuC{k03CyD{{#>XO{Es6K5N&?TW~LFlhNMcpf^OFqw@lJ?i@ zt!=gJY2N;6vEr|P!Ca9Xo&|@$j$QKyL&m?7!m}Xm*GJn{$lO^l_v`Cz37I>4j`q#D z;w))93)+4io0kuS&2`Dz+4E@MY+E5$XSGzpe4`*srp_Y0{rWz!vMH>=b(UP6y~uH? z=#reXAm`VQPEJ!Ro-IEEGaolN*-;F zmSsuFSy1x#K=~)aTF#m!C1*j&-MOrb3WwPYsEcp0)I72-%T&<%(I?jTQzqi%4LORZZj=y)%ej=pfEa+IW zHKvFyOFYg3kN*i<7dMA%c!3=8I0robr!&^_m{U3M_>BfDn9U8vi@#Nr&V_>J3a`^4fLu=tJrwuD%m8-|;% zHV-&QAkG1Z-#9E+-W}GO+8l8>2ONImUi-?#;hZF|W#XDmuN*-*2M~Tkvb}-*;X3U< zF*pYde&f77a>U>qF!+s^tuaJ^oc z_?rX%et*_*@0X2p#NQn7x3S9P#&X2p9Ps!1_$tlDuomv-h`%{xwi_!4i*f|t+$89p z7TqOMNXchS_|3@y9FH!+HwW05*n*;B@Ip1=*Ir45! zcvqgVnH#xTyhi}+E*jsKBiH7@wQmZOJHx``)i}8}2d;e+XM!rt zL0NXc_qrNY!b-p=bZBDROoUBRL=E$`7$^Otz%jO8PIl$}>$66mP$q{CAfLW1v%e!)f+1v(5 zCRmGYj!2u^h(1*+BXY#q9B}rBQ>0H1gtcfnN1n~yhIZs(E6rru9GLcpOMIg}AHK?w zYIC4kk@&q5Qf&@Y`@`i{ab~WGFD=Rp8fpV($1z8s%>ihCxL+RIgs_%I=ZLd8K-k>tp&IUJLc zKAL)x9HBM02kH_QI^)Ar^%W<$=I%thy;YJUrsnopeI%mhkdFSL1b{M8Zc;16)EqGN zhtFf|O*1JqcL2@L*nK3c=1>hPeXOh$1l1fs^+$qV&eZVDYMrE-Lo)hDcF|&vP@21! zX3XKq9Dy`<7~0rWUl*RHR_^1Y1E~IJqTLySYED5lVB+W;Ni_#j{m}|BGbKD-&5%@c z$I-sreyHTt-2JHXudQ^3teQIk?R8>hZFq)Sd4QdH0PSn-&X8nttfwD*dc@e=NvPi~ zX2yqSs+m(Xa~kaj?9LEna}QZhj~JVK7~0sMtqIRkD`#j0c>ANHczULpY?}ky{>aX` z<;b=OwQxK4B&~pXe|o`o zMP%L_t2Sx_Q;iwoZtfYVBiJ|}o~L~z^5%fHKYh|RL$u93FOs*$`zCsR(j`a0%>i&l z;`d63xVaZN$YygfN4U))1^gB&k2Zwo>y-((Ie_e2)9fn~WOFZzmBz^xD@54b6==^E zD~rPm)Cws!2a0_QClo$=#JjzdC(GuCs3i?K^8CL%DE6({cq&@IohQQPfv|5avK&*M z2%E2hWSP~`JV7?^=j|TTfzDUsx#R({Z>^V?VXd4dVG9v94}^Vd11@Wt$*+0v>s#w= z4HVFiw5K!{~)NA9#%%kC@YKDNC z2aH}DXPY68=4a510hc^MG(QvC*sMJi*1GQeEWSU0(QAYR(@Yl4&qlLd1(%=0e*mRk zo9Ub6frLCMH9uD+wO$EXHP51odQ+~RC#UAYsn=xA1;>S#>1`2I^8nRrbMZo$W>RW? zA)05|Gl;C3$60w9G_z{v395O3>NV5=jS4SU>m=2DBiii>?L4tGk3!gn+E>ib6G`(+ zp)D>ia5B6?tq@D|z|U*T?V%@u<|VV*Tq!xo6Fu`l&ueStM%RQ_su6-`9^iRxBW~0* zlREQAtX^AVZIkE8o_R^Edf!NbXMPRTCESuXQVX~9Yx$Vg>8O~~nRyat9>jS~{9WLg zuvTH`$(wm3O0U`VNO`hlev_K1F~dTz%mXa1;fVRUu-01VNtSu=|qnMCHQmh32WVSp759lJYIVk<6@f0j`{6qK4ryN`5iO_s=RjIej`bh`P)@u zy^&HX>OZEBssnFm;2zs>GF!7{I4S?MFWG7ql2F1sKSRcqyS{uD2H z3hlemN7HH zHcn#AGZ|Z3={Z?74_19!wufX`v_L&iQq8}B_AxMSnhC3U!0Ov}c~zdcn!jvKmzUTT zAne=n^SBSQS^%iN{j6`vn!)r;fuLF#rjlB(gt%I$ll8Gu>0BVG7C@>$6I61Z2y5Yb zfv8#ls{U*e`fi%ZsReRst=W+%kW&lb)Ss=Cz8?$k)&U`>767C_TVeN|Kw20B$q~En z1kwV4R3uj438aN_-oBGW3n0;-VHP|Z-lG>LhZZKF{U!9>G?PRNNT)W`K4-?A6k3=h zsy_!Q9trPN6O-{K6#$|?A7}TSC|Z~T$sD`yQ~5uDRFPPHC!`hxQU{7@3q;fc5cTI$ zKOcB8e5YQRh+3G2_C@HsX`W8oIKb6Vd)f4z%vzWMRqOaXm*-~#cquvn}#))*iz5KRk6qW%isu2~d5pjH|= zT9QJQ(K5SO1;T1!33PGpe`WY?)g`bN0Ia`y&~kPKB5UDRNS^jJc+RXAmeKw)m3U9H z76`KiEfX-cc7+wx2F?B&De&jQ2ldLN*#c9PU!UT7c#mFr9eV`Q_1BuZmjXez0MPw4@)|FNwGO&K&@F60 zyM11vu#r}Pz`uUhLYV>)xUdP5D|Ro*!3A*eZ^rm;y2Z0{a->OcsdF1)%f4NqvOe$pdPa;9Njb_TM(y zcS3wF0H6Q&1bc21pbG%#|2^9`dy(gzB10Fz&?51BC1mIVvbO*4L3_RuqzeG)f3LI7 ztrm#Vg%gn6X8+FvyhA|tzdvFB4_0BgTH)4m{SyCCV}X1^)@Es(Se zAnkWig7Ikh5xpQeyYLF{(C8LP*u^2xmGq3<;iJ?on!jkj+nP$np&0ezP|FG-yI2M7 zGqiGE|DT{;1Zcneux*8?T|}bzx5KQ148Vrd=X}7lGivU1U|W6ba!)K=^N$`$vx*T&0TS@FF>UgxPH> zlEaJO@ZU-}ADk6_Tme z%YQ4OvXm%j>1S~qjf_M48UOH+9wUVFBH;YDm#q?xBJsS4H1R+QN|9_{M3(q>5_1L? zgfD8}$>&Az`R}T1DJZwCfcE$1jqpzHBDuXd9g>ySEGd%Si=g-S z*4q;Ddl3Z?ja7!uinDlUVEXs&l0MA|YfWi!Hrr=gx@7qxSpGc})yxTNjcAc1UqnfS zzw)i#B2m5wlz$JWRThMw)_Wz&7x5jWf!|{-lH-dDpo?*t7uL$oB3ZtOYKTF*KK<6NjS-lDWPGn>_zR_$zLc3pdo7I+h~Auee>bKg1!S)8Xg!<_UjAz~6`U z_Jbz=6?M1PFeF?85Z$73~W#um}wN=#u?Jh=E05;K%h= zRauc3SUe&2{o@arCqe)$0suc=Bu~U2enssQ|B4TyeO}#|ah_2o1Qt)i$~Xhi#Z!Fa z0e~ONR*Ca$i?L4pE1pLCxK-8@Ap{m5f~0n<^+bq)#fLcxW}ml604yTW%5URtZqZ%a zA|bE{2>jUIxF`|>i<)VjWO_pYEF#nTF^ZC!TZZbr5(0~Wz>goYHbjfWz~XuIrLOW^ z34q1NpxrEQ$I~rUYK0J31O$HkgcWWUiGf9Yt6>0Ni)6qe81UmuGVU8%{Az^+SbPHQ z&)Z&}99V3|xYw^VcSHs(f&o9Nk~=!kQms}SJYIi!Pg?euXqXC@`5?rTWZw` z>8}X-`=k+FG|hy+;)`frXusD)z@nyFEAJiguL%761jXtrTZXA|!e0@j_sJ$Jc--R3x9uDL&6n1eFMUr7B1^R#$m` z+OtIDD*^dFl@coN{}A~~K)z2;*b*XNsfJJGm_G6nVXp+(`wTJI)|PthCsD72eCuc9 zEV?L>_)3y*m1le0SRw6|KzpA_vN+JxGF+{Y^-5s9&z9I$$a*EPp1(RU%9tVSl>mF6 ztr9cOwTw_RguN1A@3Wm&9bAdLR|4;ScGNf3v)5lD@0G@=#QPJmO9owNUk;8&WU66=46ea{7O^Ne%ZD{{3}VaRz8<&@T)}hD*^rf z=o2gFTSluDf?o;X_s5}_N~W3AR|56@(f%f1iPTq`LE}r!qa^Q@WmO9FSH=MMD!~mjr;i$TS5RVvBY4&KH;yl7~1P-f4tr( z0k8xB{Cur#g$!6~#2rhS&6I3LUtU?|4QNVRDA@x0BTU#;B9E8A zYKq(mAofyVtKD!n9)mq6ox8YfnEwPsXS;OM9tn0{#*?ymTjYcZ%*yEm}odB8!*6;(yv+ zKg|24lEzE>AvtdS3TKH-UILT<>5M%`NaZC^`Jb!o{~?u^K;?fPY5xzYyaX!$^KRQq zkjhKh&1#8QUOEhAsZy>7bc?o3x=d<=zN#-Sxd1Kv4^X`+(OCa+vYJkc6}Q+(0oI}OdXCY~#izf0in zFP@SQvt} z?=2ChOV6vsx>-VXNwTTpODg>*P?rR#L$6}9Xj#hwy)ucqB&pNTtF!F>lb%a2(MqNN zB$fkK>fd z#^sTCA?pJsU@H@h%L2xs$aHxjYg)9lv`j263l@hW)2!K4CKi_ki$jq{>$?YKVsUva zx;fGu;3yM_%L2rqh*Uv1%UhOeH;Kb#!QoJ3g>@FPOdKvx81g3(%^&BD#LE-$%*&Dv z4Mir*v+j*lTox)0MeeQf1~X-Haap)H6p_zKd%~PDxwzZ_iIo3%C8XoB&~eBeW=qJ& zW#QwHJJptukIRxC4Y|90lWrSaBFd!XvQRQ4ey@a_Toz6ax%+){7JL4Os9Y9QhNRXj zAu5-F%Kpk%+sj1dvY>Lvl@0B{mX=#}kY{nc1eHVXN&Af=EtioX_4~~)iIvI8ud9nd8wm*+uOD)1x<(W=-oS-H#v>3}Xdxh$Lm>;p7MB$7Oa*@$7Rs*pf2gSEOZ=d zMR@s8i`J`_NylZO<526P_6U)V%eRW;YQ6R8fHE1mEQ}0^_tODoQgRtJyEUd1zPy5u zK)^Y4Rbu(Tvn^WTS|&D^SE3y!$1BYw=dzG<=&Bv!msiu!YO(D%XjopuCc){@)m>uy z5fYuttY8?hLToMzHiz0#N|ZLL147jV)TQ zS|%WunW*+3R=6t@j>`hap>`yewzgeEY7y|}gFY2jEGI7mR;>wIor8045oS6!qETm?V zOnE#>oWxU3d6FqGbH4B1_g=S>%CGq|UFyF5oqOKtdvD)+&bhZwx1!?t_Fc&GdCO6H zzR_){QtSg`(e1n$vLfAg0gFKk!YTqaO2;=Uj&I+E(S9j(eWT*~_Fb6o`+!!I-fwg# zIzh@l-3;`5qr1S3W>_08S2~KmZ&ZBWz6+20<!sSUbpR; z9j;X6r*j-_l(Ni^^B!bb;4oiA@cr!YD#c58IV$dQ;vrX*{&E!l@zF4y)Kpla8913VNDv7Gu&WGvSn7 zTA1t&Q84M2ndzck$$16VHHYg(oZvG5wq{oc4$parmJRVNoDeK zwyTb8(oHkrrd^Vb7Frut5tb&MG&39Yy%3?vbkj_@X_t1TcpoU6bkxk@Y^h=`oGzLP z7wzRWa4O`#UWGTXVNLpICKtFecW8DRZBrJw`d-G9(RE=JF=^6po3f16_wsgsYtc-b zFw^}hfqc)SMw5oxl*KIMWP5XHu1%Qh{45rW40U{*nl#iV40ZqESnpF2mSzP9 zjx34D`+QI9-bd45!Zh^5u5S*j2uqWO!IZ_1zW$T`-bW)~V)3Ips9)1EX#h+ZfB_?R zQ5DE&(gK*ATgN^RZYrgLx%B`Rm&?N{kkLHK0%C4GFv)+>PUdtXrz}wD2_HARn1dO% zP;s|KLPqu+!IaiCYkf<5&BhI^CW2}!MaLB ztPAf{B2JNh3UmZ_#mLW){0zvIzWJJYnmL?qk8ag>;|ytMz`b6CZw#yGO7kq~XF=Zp z;hOv`$((EF>gVK^0h)!m_P}NlF(<4-D=n7N3V^-^A~ZRP?3jWNRHt|!pIe-QTh#2a z@>$gE7Bu^SOrAqkVHI&{QL|f^f)DJ5a82g?+k(O$*ctRbHMXemEhzkfwPU^N$fClx zMBz)|t8NyH8sCD(ACMWAG>Zz}w5ahdX#9Z#xU42q<6G4D@n)gMx1jL{5XvwstYSJX zYJ3YCf1n8>G?^OTg2o?c^fwDNzBL><`-b~UPQ7p8TP*Q^sAW;%Tk@=`@73Jcz)%sQ z7U$*`CTn>y#cNULTbQi9ipbNa!YZQDn!~#UjsI%CZ(vx|_!g#S-LVfzSk(0vbp2({ zU15OstNTx7Z1)>|cIHc#FaZ6)#Z4SN{qg^_PX4loaZ73;O&@lE2*4=oVk)i`Sk`z6C9RC4;qB zVT~4byv5LyTs>d1sN*f@_$$L%$3yChRPYvD{3|&@p9Z0eZ_&k%k3+in7F_%*vLGtu zKCGnB#kb(%Um4?j^_ARP?3u^yL zwe-!6VHGH7QSDpZpjY{OIJLZWQltd4^;?@3HM|84f8~r6eL+}-16ow^7F7I|vrr+L zO#N;_zb8f}^}7ZA{@Nip(QT^oRPQ#I?lN`vwy7TMQDDWB<~8AV^4ggcmb+(P^+}n?96ZSmW;AIjlSsoF-Bk$z4~+?{fIoXO>61a9 zn&SPhy!I64$g4+j-C<89X)2iI5o{L3=uBSem=~4z|M!5MPbvA}mZde3qJjkNnWSTR?&=1=6#Du$b$;xhme|z! zHgx_~=)9%j6RHWR^KJP5SGW45(Eqpj7&}|9&)BpBHa!2U^3b<8E8MN5&=%OR1y^_b zCdf8Tfh~T2VqDpD{B1b?uk*<(EXPSDh`ztgSjE`5vbn@#!$Q1{msd81Pbps72OG;g zuTSy!QCbNbv5LWL9r0+>LfEhnuggMOC?ougl0y4nKMDF8e*@4=*lSsI{ThKy6Jb9E z?z1tKa9RnXrLg&3#mr5*%TcS?SU9+rCPgm~pHaNjEH*UDwN!u6Yk61k-ShZ(wz+U% zW8vW1k=R95M4ru+1DnB5nS1p`=}+3wH`he<_v{R-=sKI)#)f9OcFKQI>J}Ti<$79R zn&)LAo9e|rt#Z=5IaD$>l#G0l*z2L#O3%QJygt_7qVy!~XOUCj8}$zTNeBMq^*O$Y zqr(Ps0vLlkRRtY-lMcMe>llLzBAQNL(h*;>JyQp7J9H%-xRTfLqTrrLK*^&k>7+JbNC<&A8)1v?_?ikG^?fzG%w%-0I`Q-awZ3+ z&%!lS7j~#Q9B2;7N%Q7VaX1U*%E9a&Jz5>E7dTiixFN6Wgl0ulkeyS+n^6S%0{9MA9NKi%T0?63J9GDHpOcQoky9&HG>iD zx}!fv+GF6B1;kK8M1_|*kCToygB#oY{cbsPma{CKTFMioVZq==jYz4FZcGWTfJK znsm67Z!Ge6V=8|~xs*EK&7tCVp!jd{%gwmxC?%+tf|N^nKwp$Dr307prYu7C?24$c zF^4Xt0~LSM|9w@5O5PEdvOPn))DAsL2YUY|o*Y(0RMeP5t?xYJWu>}zpzd#CS+^ph zLc<&?dk60|#idfqJJ9ks;W<`BRQ#7i9q-6$L7a7v%6$;Z{TAHAm636*@t{6>S*h9w zq1tbW`!B3{iixUy5UM>^fI+JEL8$gy6UaJVu~M}UifRuTDLSBikg9zUs{Ph(@rWZ6 z6e~6R;P{8eh2t5IswN+#PdNym^46X}#_GsK#Y%^AP`+F1k;-tlDjS`XNT9QFDjk^L}v=G-qx$SmgTUap}5t*%+ zX#w3bB{{}S^XJ0+S@K4LFwarUG=46OUwoCzrSWrN{OoMBxeD)bY5Uv_AU~Gu4U%*> zLZiAHk+U~Aa&*Fva5ph$6LR*XdYxCdiaAxt*)q}_xan3iryAu?JEP5i&gLxd4A1B48cFV=2#lhzy8Y3!P z$ffOaVY{4~RG*YuO2MRMe#~CF2S~%D#X*$E#>hfdmO9ewK|h%6lhVMP2GL<7m+SUz zB&kuD2jx*}s5_#9UfhGE%ZoPcBX!)C+eA_mSg~+4H&Udo*GzgduGcZc`^K_+h&hLl z(^}xW)rXmL7`Hl8Kbdq}NNa(7S%Z*qSFGe8AzeZM+tUklsar{E1uGsrG)GDlYa8ip zX}LyjAU7!~IX#$^BCo{cK1j++8YTbPYeFz9n3I~CIw5UXTF$7fQDetskC`$$Ys{3~ z^xTo-QpOFRm^yLnq+yeCW@pbHH8*?i+F2QM*Un6xmp(sr{u4zDib{&oi%J%z7RkRC zOv?HHBDE}7mXq{AUM}v*O#hP&A@7ro%^H@am_jAw4ebr2<#ZibSX#7rS@DwMqLL-X zj>7uduG+#AZ5@XTPo6r}RNH#6u&KVKu=99fM?-CW+p(^iiXBhZY}r`Y)ZB8Qp`)v& zzP-7oq_D27zTsd)Yhis$OFXBsxubAlp~&w%ZdCO=lH7H`Sdo+#NUJf@lG8+|JQvW% z8L4T>R4WDvN%~lr<|C`$B&RJ+*5n6)jES*Q$hAi^HHGW24s zSN@10WMf5EhFDJ{BPoNG)W<2QIa#WbB&f`!jBz=`)T>4hjI6hoB|iw<4;X=WdLGLB zK+ccXb=I`kcGMoJYpLz*>}Y6j>um07>o{rDe6Diq!TOq&i;LH8v zNKO0UgE77>rH5Cydqwr;Ns)W=?i75GFG-@vM>-F-w8ek6b7@1%&brv|MEpZzNXvUK z(z3B}Q+W+1GwH>KxZtd)3D<4`D1yz4>heVjfo42ERiCzL~e?@Pxnec zS^q#rhrX%Xj%`_49j~a|RB)s84~En?_Ji8Pl^wfc4R0i?AAG#}e&FNPfpatNyWbf0 z=l_hEKdb6_b6L-ukN4i}=zF;5(!jXqKR@l_zb?NtFsbLw^3czlCZ?oki8Swd=PIe`0!1Q7AQ((YK(lsDIh{sr_aB%R||Hb7#L*`QgG3i+f*uB>c0L z*Z!m9h4;%}dtvIe56^s9*7NE7DLrFC?V-+Iqc^!Xr#G!Pvv*YJMCi;kUL(@g6O6P+ zx`&U=4;?#~elF{Ut*@-_`O5OI?CZI`sOKvy&ka9cG3#9Z`Dy28ys_eUIeqUP>iOG( zKIgH%_u9_SeyM4CN_yVRImhM~o}Bc^3=ufLuqU;(C#ffMcmc;z_2GkC>)P5IHq{6_d4`Opct?mPc?N@~ zc>B2~c{{Tvc{`~ldHR{Aczcp2dHaecC6q{Wd@Lublc2@xlOQE3l%U0HlprOll%OT* zl%ORlm7v9Il^`Xmm7pc+CA1@L^^J0KTjeI>uC+BbdZElQxfzBrO7<+-b7fDGJx}&@ zWJ)?grikE zQ^6?zaKNQjp*}+pTJ2vzo*#h@*^zz?*(VZ=%ugQ)!FP9w~`lM{Z z7973&&+ol)Oi16B9c@@HJGNmec^miA$KSQ$m*a%=<00io8_N|(UqRxPZ?yEkB&3gI zM;n&Qjx9$eDIug@{*2Rad?+M{_qNY)#h=W+?&UZCK3&=%Flq?@dGZIo{73h9+_#IP z!ar*W|L3**Vx(UANuT|-$O=3vWYn=-c5E-GWF+pTkN=f-4{sL!jYH(WsQ8V}q5M^! z_5VirAtxYvIr3%u0*`@r<{vxfQ`71wrW4-WyS;(VhFP9zL1s(%; ze~i@2pZc9cGPVPEggjREa@nz6REhCTq+b44-Yr}u{67#f`dzu~*wCl2y@!LB|GVEQ zz9sy>8q)rkz$Yqs7mhyupKb>K{|Fga98hL!n(A-Xe; z(@TE_-I%~DKat<1c<}E9k4WH3(HBME1C8?2J5gVZ$B`QUL}Th(BfV4P8?iPQ3)3a- zJ*84;=o5l3;y$6yXk)=IHOUe3V3&Z8NS!h6(WZhg{ao5c+JW{;@GD_C2mg54fzjWA zCjbhT{!s8sIfIQ7{Prn1Lf=dR6nsXGz{+l&{_-AVjy8+Dc zW~ASHLgNCRkMbdZuEwi0o~QAX8q3&`E&bj)jTdOVQR9UgS4)a}jdFv3zZ@aINOs^l zIR<4f1{8cyj=-oFFxn1S+CjGTdu?(AE(H|aDMw(`8~B7AffoY`enyVKO8^BwD@S0o z3vjO-ftLXaj>r-CQ9!}y55QFp5Wgg?1PIXP~>VuLKl4O!_O@qEg{AA~yxRs}v5QKHy)i@i|G+ch_iq zO}ZL%KJJ^yyNUaZ_FoGq821tQDL}y}J237muv~lHr*gJl;oqR&Ab*3#=oi4a@528Z zx$l8da<)m~-9apV_rwuLyeJ}fEnw`wfy zN4!nr7d76lvD}|uUYB!>LGcEvV)a28fYFwaw-ZpX^qZ95%Ng~hk+og=@tp4o-i>S{ z>kP&o`1b$`eke!ay?{p6*(AgG7TW%4;$f9&XY`kS8l&BSYc#Hs``ISv`xRdOO(U@E zGdZs%&VKeSNx^?W) z<4S(|R}+jR2mP^$c*N67Bt?C24H>CVqu&D`0u;Plx>vuP9agyR`$llWzsY$E@tCt; zl@$C(G=5Vc@>&%RO_!ndzMP}ZqP4#ved*1Ma@I~f_UQAH;`c{2enHYPvUg~FO`7`0 za*lB>s`R~+azy>Q00p0sBk(am!98*WJ`N~Y#>20_FJ~u+bKk;!M}D`)xR1b)D^}yJ z{ZijdIXeYtAFse|_I8cq;yRXVQ#@1=sCI2qk0>4K&fd5^NDYBmh6#SoZMEQe=J8}lpFYWazq=10FB)D@jK-A0t!Y7+y^Ka`U=;RddimjKE?wu#tpF8 zOY(yc_+^dJ?!d1asc%n>+vmyse9XZ68Ph_q94=ZSeUt${#TVRHIh&cv2vfFzhYDUt@M+-3Z|&> MQ~HNh3TN#9U$)11nE(I) literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/eblklvl.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/eblklvl.db new file mode 100644 index 0000000000000000000000000000000000000000..4ac5fc6cf890b46738523c4d4d9d964e312f368f GIT binary patch literal 24 KcmZQzzzzTa7ytnP literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/elabmoddb.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/elabmoddb.sdb new file mode 100644 index 0000000000000000000000000000000000000000..9d89a808dd3e4547e7ee02079f4e644b3ed78ec7 GIT binary patch literal 13539 zcmdse2{_eV*Y`0bLZ(WF%rxDm5X#hG$e1(;na9iynTJZ59a84#E^dufBD0dIj3Lu) zC}UE7X#d8z|IL;Y4Dw z2oVH7z>>fLcoMDrSl-EjjPchJ3)Us4i$Bx+KGo+h!5c|M1F#TT!G{C^$!&)Y+9tt_iJxi7L_a(BDno>lte`B=eJ9Of-~x9zdGxX{tIqNIfzHw*02 zpY{~+2z5+wmNu0*(+x^bR#ui5s5(9P7$#vucSVY!s^^n5Q)_XY8j|yHOyBBm8>6Qq z%x|w`WTOuEP$R#6RLd@!bZ(i|YZL#RjY{?`GkDJOYR_=xv3y<*=b2FUlE*q6Io4M> z#0z<{-(SHf`acm~py)eA7rG!7_o2eD&z9M1iY{*U3V%~h=H;}S*>lOWoJs9t>FonC zCgU?mahuCab}AZl+(AMu)wiVU$8y>+j-447ro>zd-^@8j7|J&wWxvgNf7{NHhT;`X zWXv5^UG<&qv~tP#R%g_7&*NHp=7Pk2-(AAz{jo(#xlBiH3ZzK-B)_x|to`no_C7>T z6)D5MS2m|;XomGwl&)_GFIrtDllv#fa)G27Ond^}aXEuLCs*2^Ux$<}Tfnya6mnYOC(v=zH;CQEz1_Tx%q zc<1M0nrZR^>EfjO_t7gy*{~{$)34CUvoeeIPS5A45eO`nA(@mBSO}5DFeI;83@IbQ zV&olkPS96Ml5;ctS-yLl+o3d-1I+Kb0`8CvP)H-BcgWIiQ#^fZ*FmeVl=-JZU-r(f z*2aCDERo2iKm5XK2qTu=(BK!#d@wyrzNcp(s%Kx?8v~9s_P+$f`NQ3kBQsh=^DZu5 z3~n|>_b@ty7+Dp2`JHhT$mq<9^-uIUWFs}kJ%8$QL-x*bIkRWaazxE^DE7~NNNgJW zk|k3kef_Czdw%t69^t*=!MRmuFtxYDJjY)o%9?c_?@sjooUp5*H(K+&7Is>Wf5P{< zhhXit42L?Gp4rF4h6~W%5dU|;v+OH*9K^i(FiKF+aAs*~K0)u*&`gh@eO*wl%(a85dxr zIQg|Ufrc{RE+q+7h?w$8uHSm7jI0Wc8>o zBisXxw^;KMlW{5&@7nYlmNPk8y=%{ga2C7rU1S3de%8)DQa z#fz5I3K$zQTjooK(olW(MyFEWR{uO{*;qb%8^x6SB8p`tLL8b*WDwb-jMz3AL*6}% z&xD;%r-oEXl=pm)l+xt|OVAb%d|cvTMotyms%tH@NnI`T_!3r?JJ$0XIu}J&hL%ZK3;H%Bt=0ogDyJ^ zS=wva&q>88-*&2d^c>$FFJp|bf~+C znZ3p0@P+x--Oe8!u#3?@BfJkZI7=QCXuWWQOvCW`8Sn39AyPjt)+fB2EH+5Q=qBu1 z$WpTFWqT&<*t{rLEwvkQCp6TBae>y5Jls$<~kiW`e^m4NNQE z|M6H+XZAtI?gZM&PGPi~Z@wfwr`Oq{s(f~#pNvL@jo$uw$y0}-Gu`TVuB62EC1cym z4TUQNQfbUkQ;*Kne6l)q)r(Tv^-m?CT4%$oiXQ)Fxffok(SN6>qipBUo+)Eg8NJl< z(_FsnA)oKQym0BqR8pH<%yC-B)jOHx*@xYVv!q8JdHjhU!$`coAH^a*Q}nGr(4N;^ z$)A^2b51+4|J%FoPX;AWZNaz7N7dLrTlG29zFKfXRb{%Z%2$mWoSe|Cn<5i3)c`)m zrKGx|%iEEyxp_q*GEs!X>%kdp?SrEym*-NCJo>;q-%^oEQ*mcc*60XkEWsdt)?@0< z(cx3aeJ1W?ersmc5fC4ix!+l&Yvwmlqtkg~E|a=^h_%nR?r?s9YBG_RDbc@O$^$X6Z&a7xSOQG!0F*6ZE%| z0-{0|^%a9J2t{cK1>}h9zoaLZueU$If{B3h=Xg1l<$rRDthi_W^ zE@bsQ8+|2f<{5nsJv%$5ecLrRrfI}RmF2czB!47`XhDtAR|SiNZ+$TJvZl&d8dPrf zsd?q)moLlNaiN5w^)>mo=Y<0(i$Nd$gGGI6#WGo6rhb$tP^P=dc3Ew+s3@?OqPNcy zm^jX?S^ny+%g;c!vBB1^vHCQR)kxO^^K&TXgEo$46}Heb+2TBt+*pc$S1#~Oy2PF- zv-(@uu|e&yzlKj_A3Va=^~PxKE!xGNOK$Fg2g+93V4T0?Ewr=5sZ#=1##m)<$U0U? zU{gYhdqOqjSSmUE-S-O$PeRXR-V8j`%Sz8;W^b$;ruGXayz}x9h-Px~4Ys)4iES-+ z+Ef1}XZi0Tx0H`!_k{h`+WMpqyZL5yEM1h*UcqE(RUgH4MqETP4923emxH!mL=Gu!{EV4F1|8cg?>{vipdvl{&N}{lf=t7TU z#K_1hhqA_AN!i8$|A4c$+yDG?hGeYmS2%igD2;5trPnQ6)u>Old5j?O^oFDV5Um&O+>+LE#Ed* zpij=HM@X7-(VSE`$wTokq8TQm4dmP2zsa|o|NqXnv5N)&qxp7m)TVsf@V_J9&i`M} zx4X}fpP&zH;~dx}?R$jU$Y+l@`ArcrG7+{^+7tBQ@5#xtr7~JqVmnI`I`TQoZ{<&B zWTv5hQ?0I3`|Afj>q}TE6)aq{nKXmx&&dgWiPh1}G1s5C^he6gCU!v<RP%jgf^iIw=ND)PR%ft9zH4rr6r#vSBb|%nHmt?28X(tAAHXya5@r z$V>qUW_FLN!&jA9?@sed1l?qK^w-5U@g9&d#|e9e%P~bDtGho)q#SRX!6s)T4_{bM$WR?5#1`y36 zlj`ClSH#as?_W56#6@}D@rJ;=y1|t`7|kv(DwhW>j3Dc0zaGE&s)_DDj%M8&9~9^V z>riJE4oshBmQf%(ORCsNvaRu)s=~>>>pKoyr|{S*S(z%)({*2%@1$ug{p#LvfO!H=o;n>Pz za{u=%rsi*Ai+T2cU@kL9y_JeV=)I@#w7}p*!EHh4ki| z7uv*fOf~y^_#HI2yDxU8cLi&)sjxIA&%%ruwZs52=BZlGA@n%zGnPZ-lx`}W|21~W zB+-2sn+Gm1{~3rJO(oX|NUFh9bUf*G%$`OC(`7Z|@*fJbbjSbLVt$EA-1Ouu^oRs{ zGHxzzXKoUq;KP^WpUjtB$d?s6zDoJ3DX-w!vjXpxdmv@@^^y1I|82^g@b6OQv;X5M zbL#)gDRaoCl)3-EA!WvFkuo!FOl1D~ki|quWMm_mK*}6s#1a@wORvWu$U?$r5Fkye z%=MKbP35@qH_AXZdM!sb~bt0vMk{&G!RANUHKJ0jQ_+ps<%pb*VO>*U-ZDj^dqn&?Dd2=ikwF+vsus^g+F+JE8 z-X4ZDOYP)kh2I{n1w%pFWMA;@5e5Xqx;R^WFnj`op+0p9=H@-u1tt&-zpqZX2?~!i z7kggm$r?PI&l|$g+v-?Wpqu!ZiLIywDLHk#Cr0d4R}FgZhp*Czx~yD1_%gulBa?bB zbIJRVMGw9pov`Af#MQ>U`s`Wtr8=YjFHZHUMpL%e&Y?+_eDAzU${Pr1( z=7NBz(*y(JN``bwrlZN+uKr50dXaWc;lzCmva5xB(~XL9zTZatyNj-Nb){qpc9+&a z-mS*Ekm;;;EN>}SEq}L>J-3?zax$$uk=eTz<>9O9Zxv_tq`_0%!t7DG;LN_H*=4IL z=j_YPIjIzftl~1@7p^%^)QHQ zxzslX7<>@ay*%~qNWU?2m->(0Ld9d|NJ;{?`}^#d!qI2 z?E-}Y!s>GIK1V+v^C;|?C`8`w&MB1nXxToyV^!ObB58-JL2m02kBSjb3*nn>k;CL! z%L_KmnVu0M=UA-eE_D!t>PrbL}Y_F&5ABn9+jlV zW#4H-Mzr_#xeaFYPLb-XG?Ot-Ei57*+6if(9C&39y;rtAs7bTWR?qKQf)%^V-lZp=yArP0i%f01-Q4!pbRg}~JCaH{ z6{@=QCqdZfrcN!2GM*Jnna@m~TmHH_NFdCAuxyGY`0#N8fVF*~k51p>Vb``>py z?RA_g^C$0Gx%Q)0db_-ss8SPl2on>RH8mldG_GN2FW<#tKV^sFh-g|%6?XTL9RQbx z=5&qLGf^w}9A%%ZKo(vQM|Cp#9jv?@kMD5!^oxq>P&$t7$k>UtS zyF`tq#GrR#(9{?-3HtI`5)5XEWd{aLj#&!8AogM~XvmS^xC4MAIm^dIi802Y!%3DX zVJjmQc&fm`l$ggDbS!8_Zv*WZ#5)LAfbhjd3_1yR>;N4s%NR5jntUmMkqS+^6z~;F z$0)#gbukzW1&##|9Yj1(59$m)_Co?KYZMQ6306ZvFJYG;j{>|gtl%jD@Pu7~O(}q1 zBy@r|DVUQC%t->Y;sR5G7uXAuB`(+o`T{UiIK(y#dK+j0%aO#OrEoPk5Z8=bD_lN) z6kO8UFfuTRXk6o(1Pag%;b#%vKm(xAKg&Cd*UTF(4;~VN6#m=>M+JUugrLQDWB(1| zVc=WbtqD#E2{!|H6HpYO4*Q@{K(m1a{5}Gj)^`W9 zaI>+X3w9C%2z3$&SOlAd24w}5B~X?@fo&L2RzX2C|B=`$>W8+0Sa)ll0H`~Ki?C4Xes)qyM zy@$stg5UrZ$Ph>bU*UkezBbyJ+>DHi%Jh^q1*1*8oGU9pfT6|+Hc%lRYK$Mswl)-v zO+6Ywg1aO*_+|qlz;Oly?9KQA?t%iWE`ny0XkEN$8iH0_1gyv}Si%Z$Sa`1BP~ou> zt4g>gmlI; zP+05^as(f!5X}Z!)`)LS`_Xou)OrN@h}I!f1#u>Nu^W47x{Mi5LJUw=0Ko|=M8iSL z8gZ@-FS`3tlboCWwsY^!#Jx;(DL#ktSVVO2YtMY`J?T>~7%W`F3pT88&s zR@o8SH|>8twSXs3AxYZ$&(t9U;;f8y%`s$t{>kS3 zZa2Z48_vxhdH&vkZB}|JIrOb$S^PBCmU-2Riuvby_p8}Fi76=VS9hs>!Vxe;eK=Nk z=EO4PBO8ep3yxgO-jBLU3^fzC`E8RWSO%qG+uza^=u0>|$ZZdnGx}IgUpR=Vyf}yIZrK+Vi<-CTLkdSKYc2;Vf{{z~W zAsjYoQwCK5fN^B%l- z-53`eb3ZX6>_HML_CZ}^a#T`cd}4HCQcTQ0JqfW9jp4C(LOv&@#3sc?U=jM@XX8dd z9+Ezsdae5F6Te9yI(?-u-3weB*HK>jo9!a30(1}Iu7X90|8gYacsaNuA%~G@17XO~ zlxP|>J%*p|FOow`q^3ti$v{4~_JdGC2!r8JHa?L8Eo&qUl_8{s__qJ(b`j~y_9901=B6$dmO|zxt_XY44cw6poY)3Vd;=%3fjhc^ zlia{bZQ!IgaH1QO`A2ONq^pGsP~67e$j;ow$i@Nbiqm#0fUAhpZ~IKmemmCG%+b=) z($U4v(b35qv6bL!PUe<&CRWJbO1E_QZNH=CmctzEw-RP%YU8>k+}hj@XlQ1!)gXAL zMqqwd2S;-YBL_Eoq!BpXt&nCAC1h^8d67t06Bk!y6IY8Z=eGJ+9a@%_NQ> zz>bz9L!u?O-$`@{8lyq0pJ#GwS742#0}nZ|JLU^;o@TAxyAlN?QNiPPA0C_ zTl9nDJZH0gr(RD>oxo%=usp+6Bs9P~e|&!kh(0G%ir6@#A2ow+EDc zpd3g!cwZE}fqTX2a01qeND?fVRSmKz#TnJU)VviosDE^;dxS z#7%fy{to27+>B2xehKl9L4j)|oEQFs2N^Cx63c(WXR!cqZ#T(@nLeaE_#no&y6_c( zrgl7D0rmqTe26~{8De~IFC(<&xlQtSLL8tZ_#nntdeOOqpuTI9d_vk`ycu6(&cFfi z(5|4)3a}p#yho65^AO7?<=E2*@aHzkXIO*(il6O>MgqJG=!Z6`fXBhVbA=QSAH?#p zB7Q#rK4g=8_zMw8zu;q5j@bfyDjrWbFX#gx!3<}E{IGSCC?IY12b2?YJ2FNOsD^#=K4r2EkT-wv9g%@FXw zW^sg@hghG$4;SCBeUE~>Qv^I09{@;Bor`cyKlwgINDmJ)sPMj|I(~pb+E>KpY@%_#no=UcP%C;B!GUyn_ThjKh$A z+5hW&U0DDR?+aWb0e=930sXRGDt-zYfCp!c`{IRwhcO+J7JLxv<5MXG<|b#uuU7%~ z0|IA=BX}=1;MbaQ-;FEc$G|3EU{godc~YP=sq;F&jSKLi>-=`@jd-e?fWNxlPd%}* ze<#>mV)^u&#xuh4V(a5~f&C?Yxz00Nf;~}L=h@&s6MPn^vcdg5z246b{YBC`&zcE% z<8_`5`mUYpJbU&=e&1!l#}oCx-^lNq0le^fKL;1!xrumqZVE*FbztsNpbgp>eC30O z+dO>IT@&OErAEodby#mA=)o)HNeZS zkLL~r`XbkPo)yqfq%SY@t0L?DyddTvB8hl7pZ7Y?=L&ctefXjPPb8189`IW0cz)>r s#MgPi;Rycg5hTJJ_ispmKNy5-#{EkYtQ{g-p}X~G8>L6R&S z7)rI7pLYVCdKDw7AMDN6ldnf=al8d=js~jWhAEq)iN+#dXah% zME?f@AmspJBLT37)8)5xfaE~{DrW(tHz4t0T0kKN0x%jH5R4$lfdD8Zfq;<1pq8=DDMCO literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_level.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_level.sdb new file mode 100644 index 0000000000000000000000000000000000000000..a12fcb8609c67efe62d4aa6ddb7cb316afcf35ee GIT binary patch literal 347 zcmZQz7COQJ2aM5BW&vCX!dL;Mk_Q2(oCT0BK;px+ ffV>O>FdF225I7E@z(E0Go`&+l!2)FehVlgf1#2h- literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_rtime_level.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hslevel_rtime_level.sdb new file mode 100644 index 0000000000000000000000000000000000000000..5b900d39c9d792eedf84946bdb76c1139e61bb27 GIT binary patch literal 248 zcmZQz7COR!06(Bi4ulYxmgbK^_$3*vJV zi%U|A;&aM!^iq<*YF&ksb3u&%Kmep1Kx`xcwl7_NO9x0E1fX&jK$-)I57XiRWx!~V W+dv=%LV?{0VrD@3FgMSD@&y3lFeX_5 literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hsscan_cfg.dat b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/hsscan_cfg.dat new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/nsparam.dat b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/nsparam.dat new file mode 100644 index 0000000000000000000000000000000000000000..1c1eb11f812e6e3d4a7bfd2234dcf5cb3af0eaf9 GIT binary patch literal 8 NcmZQzVPN zmQsHPzRov)AJcY7c=SQG79KY=m~2yy1TWxD0K0%OruG4+fI2p`WSZr%WX5P-DkH4Y z>)(s0umd;*P?VTIFF+>FSjvZ!egcD_d8{c!Kr8?N|ST;ABky##un z-vd(BIW4o4bh)n|0CCE>Nu^3jKlXiRtxjASt8yv|W0{mx^H}Lb6e;<$0hQ1$0Ju?4 zspg>5(fenclY~bIu#tB@I|8y2GUD3OrO-4f1sTfuems$0zx#4O<#7)GHT-5SSws_E zKdrJwu~b({ghjHx6u27s1OxYo{M(vaOwTuOSVwDXGeUw%CvMa3R)Kr(4g=%YwgJxo PEO>8xbI%ZO+IaK@`mVD6 literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcxpxmr.dat b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/pcxpxmr.dat new file mode 100644 index 0000000000000000000000000000000000000000..229151a5a27ab0cc4661f529cc0eda27e3c03e10 GIT binary patch literal 20 Rcmb2|=3oE=W@ZQtBmoVe0J#7F literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/prof.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/prof.sdb new file mode 100644 index 0000000000000000000000000000000000000000..201cebb488a08dae654bdc4428cd53ef7089fd85 GIT binary patch literal 136381 zcmXtfbyyqE_ciVWcZc9sq!f1xP@uRKcZU|I1b0nvr$BM1xNEQ?Efgs3rA3Nsc=P!_ zzjyz*&t!J@-h0lRk)7Qjp%RZE{r>}nwD*5S6f}|lU-f^#-I0(`h7sjpBqZ$A|LXja zYYVWmX_cs=p{bEVk&igrYAAVx-bFO>Sdpozk+LWt*z4(USEqm#v1}V?F;->lPFO5v zY&^|Qoc|W0q?)>g92@VyIk_K)86UgZuiN|RbE5GAc6kVZSGauXZ|Lf(-Z;*c_QfQi z7Ip+j_WzCGC;y3)<6Li)9sW_e;Eyx6JI!~UKi?|UOvO!1S>IvvHK0dnq)zHbJl!oV z>G^$uOpRU2UOSx=_HUDzxXb`r0F{AbJv^_C4yJB zzRh*EjuS-DYnpDkrea5wv1eh?JmV(Kib2V1HUWzlm{b?e8&1Eq-`CLhuwN<+(_6zD z?i&xY2;71=1k=;h#=h^G(W3r{Z1W7x4B3#mppVun+4V1r>U>wIfT7zuy$#GbW%H_K z&#?N;djuoXSyxF7W?mpJmFmO`m1D{~mWGT}u<&}Bp?dk%Lu%-ib`H}rMK?%PQZ5|5 zdt$HDV@V`qe$cG~^;Hie^u8EX>P`JND+$CiwoncnvDAY&WDFniu5hrAaW%_s8oqo; z%WSdwlFd(sE622ED7v^8Orssm060wtNG9qT_&x} zf#nlmhg6HprbgJ{*NvV}5T|Gy&ml`M_58vb^IOSi9-35lg+vd_Dog&AVyX9ks*_pO z*%u5M+5E}HoTopECz$HqtBs3#n?+n*$LdPO(7yI%7LFpj5-Iag(zq%U{HPwV5M^x@ z)Mr$aFaM=L6^!SV>i}tq$&O!_>&&0R;N82IT)1Uo3!tYuTK;Z2AxIp>`Xs)P!oudF zTy>0X`^2;`%lO4#nbS^8)uCKzl*OUg(*Z0Oh}E_GgEHj`h001kC6wvCf9_!#*P6)~ zn($hh#;W{xr&rSo8Yw~(g|=|gT=GFrKI>=)$jORHpYV!PQ#?=VZ4$OnYNkL5tzP_B zL3`MWh{vg!Yc~%}PaujbBSKH?J&gRalN%++Wh&$;>as8{X{je6!x?;_YlTO!fN?ME z&5^3bUPa_xRn{n}4BKB;NFeeSTbNsjYX#i9BFOlNxA#HSIoeE<(kjlE*)7b>S>_5D zZZ@_*myP8uW)q{#y>~fb6ZM35k8V(hjW zOYamit#G~Il)*JtTYSKE;9K`a+Mf|jvE;jo!gm-BCK4l^-e04$cOMBBFiy-aQYU9Q zP={F$2Xi7$%(7HbUE0~M#HMsvWc$JawH#CYHH~dE z91bvBWifR&{QZId+9I)e+6kkW$KvY!LcP|MuIbco9ZrtN;PzpDl~ev6f*e%VbrrM+ zfGwdx1Abr_@FU0Ep{jEvT(Ft4WK*7;Ue?&KXYFME5DP30)`Ca zKP5|8SyNg6#FRrrN~ab8S9!1!q-T2wbyx_laiZ*|EIG@Z2WtZU5zRyi5-(wlYi?Ly zoQ6U1re>j{Y=1;zTxbY8Rh?{qf}}yQ2U`l&ktxg;;{ky%v~Tf0YVod&T%96|WaPjE zAE_t32`Y@uiDEbZ2(~CZNqch;ZYt}rjGVD25be-6Q!;JHk<*N{Llwe|DARA!xLzwf zAq#>qGUM(r5$JXZ(gcV`7!Yjkq@<4H{8K^Dc?S^8Vaf~y(5Oe;X;zGKHCGbuCif8h z8&8Y)`_n?SA)2tehnWzJ(iw?q_4xW3gop@^FH?>^V@5>Of{tSSbAVY#+_mg!Gs0;> zGlZLO*|h#Tbw_RNsxY9iryU)-4t!03OaOIaU&y@dnB#s{T;-c5JjWMgqekfiDgPln=e;nB z2~~mF(4)|^LIbe;6E#)|&)xpZ;hgXljpt|ohBr)ZTSMnCtvh3KQ$ayH{5 z*70VT;YF6wku{s(;nVH#?ena9$j_=#rrYYh_#K{ErUC+jicbVJuP*b_LZ}70!$=8C zq~sPTfKvW*#bSjYMf(Wa_PG`(ZwshvU_<5ZgXC3YZ@NUlg;)f>(%5cB+NQiup|one zSR_^lYP?UeWr4g;%ThX_#?hMSKAHv5a|`Pa&8RPAV(F+auR$58FZiHq)R#J48#3d&feOn6zpaZVk5d85 zg|O8-I>`y=T~2R~uS_5lVo|L@RAe?Vqqj|f|c7NA@Z zE~|qusye-b@Vy_1u2-MiaA30Q>B|#abaBk3bDLn6gkt>Hk zc(r_&ZQgwc8d-s^Wx){*n)Im=@N zBi3VcLEiLtmBAiSOn#hadLthdYYffBba&_Aar*v_muZ=W(zDRy5xXl;dN(_GJgGt%G{mc-?@k6Wa+!oxV2V1SP?^9+)=9uGb2KVA1 z@N_V%o#orj$O7>FZ#DhGXF#4xecM`;(GOWutTz2y=DH{8UIs5sRNm7S-zgsMX+MgO z-9!meb$ZGe1YZmztjaPia0TOJe(I(S_${nnB>JD({2iXS;t-JzGup|y8S`6Vws$T+ z34%U>f_V==mlx79D47qT+AV%k^|0}_IFw~eQ}V{&Bs2;AC<%3Q>QS3}>`^DVyD1Il zJU`J|Ks%?CZDZwV1Z-k?bDU4qytbN!F<(;NDMR$av_jgVI*-rkG@R+A1BThBO{31V ziEp>gceW%FTd9L%pav0VSPQ4}RK6^^!+yZ3nR7ZNHq_vYa6O|a^1D6WDs7JlkMs{) z+AO%k?bN0_+qhl-7K|2Jwwv^f&|&kyw@7+>kS;jOwx#+~OcE08`Rn9`<;$|6rdB}KQ1%oT zeubvHSTCSHM}?UsB>j`UnboE-H*=I$Do{i>D9+bdK-1P_%EO*r(Y>Mi{N zATm4(sNT4?c_{u=u}w$h53Xo+&{~9j%J8}5f-@x_OI`G~_y3WpWnh@Pnz(&$D`?pP z*(1l}XY9ov#w|dNp*E%*o>{gYZ8W5Sb26R{xqJ{YeAh`PVh9yR&Z9`189Nx=(_S`H z(21J)3e;aVQ_(4yQCF>N=`8%gl}Dqx7STsIexSVI?CQ>3H+EpZaP0a;)y@7=N04e+ z1G+Y3PxIT&)jppEg-!ZZ9+bMtxPN4Q@AKQt$&yC$RAFS76Wf-iB{@LUS4{|4?Y?St zqc0W6XQ?gK#Gx+X2bW6#@hwL!nQBdw0kCe&B(7E5B@4PbZIYvbvBU*zZ!}=-P5PSq zVWg=|aL8m&!*Fn)`l&bVWIm62yM0D0cwJ8$eXI^4id~WB70WeE0QwlWYW2V!4utDf zAEZn?(GX%1^H0Cbz^W&HL<%R-5Fx*R)CbTVj5Q7fGnrT?9zP1(8boK@4^;QOg$Zo*12cq-7MHg^C#1pkcEq zscS=rDj<*DOTc05u5O`9Tz}zrtchaaCV0kNrFl>Rs)OEB>D1VUWFlzHDPpNpK3FeXggr?*`3Rmdea)HX zPo0oG=moZ?-YYHqf;~Y#jHGogt9=P<-<{Hvjv8!0NFm}DA-1jOJ#ES-E)W?817p?D z79ZpoPg>QvV6Y+0#O|C4AqKotwz{#c;j%@_CvhhqjD9(1p@>Z&G;Gi#ZEa|arL0}x zuJXeZ81F^~n~}mKZ&sV3^nw#FB(DscGC1E=1fyR85H)xP(X%=a0_sx+hnI;*Y_fm- zwgoLSpn5_^kc9zOHAxy|NHefGA1_;^dcv-n!Gou3U|@iiy>TOC*n5)(EksplBalVL zX0;!w5}*29pKOCZD1t$whJ?>#AQHw0m*y41XM8bIMXg2F+C$=A|1y|2!hf4lL*J-j)1;Si`bI86zMu+OxS-iaz} zk^H5o&>K!bwzP(8&ZZk~&iG<$$B}GLIkbd}0af^ouAo|d8{VtxhA4qN{-6)TO0L4U zHRGM*c-C+Qm#vS{UpDu@^j7^iIBg`zA^sTiX~O;w;4w|%H{cPfcLu;rU0tA4PTg3d z0{~+XC2^-R{w-9$7&7ech`^*>My{gHZ30BxXqiaYwrCl|GG&-SS*LfKfcvJHjR${9!i|sTYRdv|e>$<6rHku{N&CXG$v-F-wJGm<@thy)P3=LE93JsPL~SXcFh&{l{j)-!z-ah}WX=yig#ZHP!z#>TnJA6h zAy1hd!CA%Nx2*T5snW5_I}-#WejUMKhp_7J#}$1}d(B=V>td<;wuAM0-y)km)1AMq z;Bi5RRx}`N+pgsInZ;Y;4lNTUo+2@2)D9m&H6NDLoC{h4%0#?;#i@AmXV{+sKR$n3 zq1t=p)fS2;?%)7fonDa_q!N`#*z^7NjV&vwMd=$+7#>4*3;NyKQ83$Plp()>+4al# zS{Qh3hn6cF<(D;tE$qbXV#U%_9)R9f4qUX9NNE*!ol>nEZp;6{wrr3(i1O>cY||>- zja5ve@x3etT^laQE!vGN2d}Y?t&^@T0cIC*Vtp7J;bw*B3XkA^dzk7{>4@X*0?I{e z_t|UN_Qe(>U0Z_c4ZEQ_`K*aROvc?vs@`_Eu|=T2x!1Z-nBNf`UJaX@b<2VbN1Ep1 ziMzX%iR@-FL6O^eCQdrg_nNntr1$y}`*|-f{>ak>=)p89)T8e;bLugrGzoHD0IT!| zI6qw)oEn1nZ*-Iz+sM?pl}vtl z+SYQXzK9sAvVK@(Yc4ibUuxi1A6nqGJYoyA7BX&0;@Rbma#jn`B}m6`R?RHaul`b- zzb*okbK|h-Z7!=_4Y1>($&AoRk2yZE`>18Sz*{XO#}^w;SWS>R8DTqNw0JpX)TFKP zF%!p14{7jS^A4pkiaMhfcetM5x^CnH)HXPmr@){$chuUWv-^RDEqsT^_(L+!`^?V^ z5S>bkcPNARI>Ajm>zT4MT3w{T(qg>DtcfGCX4|^sC_9a9LVVH)#q4QJqS$&!#v7R6 zATcMY5PDdv=p63;n~8JY<}0K91XwoGIy?Ugj{fRns~QfsB=?sOnQCwKS&1`9uOh7V zja#C50@O?9>cz8`E=J`kBjhF#g)(hDD zAlR1`r1=t|llM_cPNk&vh0pfP#L%h)$tp+iF9rVJwca8n7Syz zuVbjF24c>X(``K%shv~}NvlSn+8bnvpQ;wloTk5UKUlQ!b9_<1j%ZfQly}>_?yF7B zB`hoDjJ$7+{jpSD?buF{5DseY=Vuk1;K)(SjF@N17^6>GkvYM9mSOF`pt^Q3z4D+n z{Ht}fDVF%0S0#$X)5Fz&{oeS22RE?&17ms!lO682`T2Q|3=v-mOu1_voz7MsXzg)k zcR_@lsEMMDEtMmSu&+dnV@a#Mq@wBOi7TTF0V`$rbIBZk%(GQ-HXr`GWd_X-8m&%l zhs#m3R2bQURCq`ed@MnNO#&siB1Z<|SUB|;PuK$mOnP;O1b5`{K?URK1tEiZuzEv_ zs-rHdX$a8Fdn0^MwZ2u)h0qF5&4);GIIe0j)@F#gcpK&6h~t(smWT|KZ7oLr*qnj^ zWH+|aCVG0+T|Q9nD4r`|ZUKk1HZ`tn?00M%_h2K!96k;^q?I?5BUOx7lOs+hj%f0Q zmTbhslJmsS?j3PzeIS7%>N@-SZ#`X z5Swd7z5Y=0Bk3VP`y}e&p7Bcl8HG1}MUX!B!MS;?_r9TjmDfBt>|XvTHR7IGd+wEm z#@)Nax9cJC6OjCWYGvImof^zp_(9cuxzRRTeQ30Eo)&7NNAw1mY=5)DurdE;DOAzi za2K!Q-f+tup+D-IIHvMx5#A(42Roy~Yf5YYa2Hv*VKDD@N`y?oUtY|(;uhTvZq%o@ z!vg0Pm;lGS26kG{^EY2jl03dQo(x33V7%H6eNpD{83vQ+1r36QuzI)pm2fc$z~5=d zH0lPx+#jb0!89C>cNHY?wl72Pal9`A2;8r{Mldim`!@lfzQZ=%2MgQ}{}8bQ?N{dlmHc72v8#LAWIR_R}1D%(XIKCZwIFS7Q#&^Mc60sE@{lYY~xR-M}nK(9VIZc$LQv8E1Nu^|!n>rAm(`YQM_ePTOI5u@Q$-T(CAD zd-oM)`+*VO!I{FD@Bss1Z$;(Lk-seupR;Xz&)AL_8T@_x) zt(W?dTsM?_!aUxTt)jX1C|Sk526R%&zb~u7x|aV0;3X~imu>Zf4&iea8{u;n9pSSR z+o3z&;dWyZ&r81E;G5yM-Kp2G30KF#hW6(feF3j*%%^#;?5y*O`o~;-_%v)9lbkM% z3c36gHchZ-o@R^`i7?u@Nj)%ddbRC|@W{Dc4r3XjR6JP5C%JlKooUU&3Zy*rKR zuSCSbQwF0^pNkMgJQvA-rFf7*EkT%{L!wu2=%Xhxu%1u?517x@wdaT^L87!OVzp+j zW}#COx+}3yw3bvy`4}&Tiif)~ zeIh`OkcCSi1Q0BS5I_oB@cu~CqiWYg7UT#2?^@Rr&;gi0+40~-mU25;1Jh|Fae!ek zfdvH|uH%Q68ROi5Rf{B#FqKglFBfPm1Mk^IQH6No?u3NDvF`8}7nA%m9Aax1`NlZ0Bzw1@U+(ZD8eH?Ebm3(4y#4ibfq<=_yWk6fbrJ=Yv3=6!W>G1CjhcFj0JhM9=IS|-Mi*MLkZBbx}+LnT90 z2H}erw%{Lqz7#JrEf0TC*Ds`}k!k@3w8%!NYU{{%1bRW}mTw6I(JgDq$IvY=tx%M9 z8p*F_My=em=e+Ltw*^6+JQEHmQDg1@YS4@$1elC4yDAhK`Q|^_FL%9}w;;e1owHz2 zTR-wZS~NfMz>?>`db5CNnV&QZPGnmznCspH9(Xv^cW<#gj3-TBRhibiCpUlcPFC3r z|BUk~flOe_1BcJ?{0)nlr-!+TuzL3^)J8E%FEmSB4j)WQ^~xKZ0RzS>oPi}1N(!XY z8jZg1+;Vp^A7{|5XgQ7;T^0QI+MXV8^X#!|2eXlY#X;goyK$$Ty#0%n(ic@Byq)$F zryFQ8*ch~16~#aBL#V5J2WAmn^GOzWci^z?>2E8}dBGenW-tYZ<5oAe$6BV$O z_#8J9I&UH_Qv3dGfjPE3QP)BEDFq&sFP9*#7*&CrCX6YU`o5h~Z-kDtm-}7fL>c{O!}bc4sW-B z9=|1-&}zMj#4f&Uq7Xo?nE6Ix8SUngjjFPI6Q<+0d=ur|(D)X)#BllM`%p(CooIKj zAY|=%@Kx3ts;nIQt*mumhq{8EH}@@XU9i7FkiEwbE0vFgSL&E2mY*$rSgdZ7E0?Tx zjbwd1Ze0#`2pw0_5)?{)6eJ86uX0nwM9gths5n}g@fpzVDdLQ&$b)IeRKmi??i`2k z{lK_UO47!z+Duxm97sDh#irCdHgWE6N)9&`>*CJ!9GAn+y|j;&@BAxo=qKjN zoA4)V!a%u@IPx($>CBDzpI;iTBXKse5PQpMzaWRW0#rCBR zCc`)#2mOq?G;~UZn7uTv->W)f8l`-0THG*B%so%BRzD#+&+4=G30W(q>=D9zeZ}Ya zH>=^3*7VO%mrIIQu6V3@1YvLa@(3crza6lQl$ANDc0cZ)Ypj!M$geKnY*qFe$9z{w zk$?FJqi1up-3#U|U|4@Z1IJbVVE+0(nMig2rY zEsJO(%^PP+qizy+B9k*RP7^fNNVxoGaX)$c&tl?GpAkjYNS>&9972D$t|_+eXZAI5 zVcgvhV&gxdL_&8oysVWihX7h+D1UYh&ZreY)j(*whVd-F!S{m$;L2gj2cJzj&Zn(V?Tb> zH`9CNo5YLZlV1?fE%8_Q%im^$zsof7_!7QKO%#pXH-(PYEKRIzxg#S+knFR+YdOyw z>p6b230qH+GJczneVvCJ_eJez>z!vrap@0A%y;~Po#}BD&=n2v)7mjv>1cgE#mvaU zhRGD-T*J?Vw=>J8$EqqVGrGP00=c&)&?xIZmpQ_fY!?qV*K~#jb8+f~@m_f*lk+e5 zG|NH121=5W=95KlC~QV;C37e2cUCk?DBs#cMvqq6NSy9ppr`KfAO&xpp~5$WN>fZ7>6r7u zcc`RN>ce}dR2=c-kesFTp9#6S-LC$z`AL=RXP^JwvW8Jh{R``F`pn*0RK^Y$T#gjq z<%qz5bWK_6>cntGgxTWhV?-npl^z9cmsVvCmfQvW9ti)uoGAE{Z1iAHn_`qaK16x( zxizIk2Vd$J%B1D1{d&F#rua3VaWJ@Wf43i;SJ2JyRFd9@`os%5#sG8c#GrsFsT9Kc zzY085j`QR7o!*@>3Gy&3w-6R35XVlE0t5bSA zrKXh6MdjLH+&`qs`}tKrPeXT>vjWTblAlSXa^cV$m<8}rw}Mvb0vF+H4+-H5f;vH2 ztqKqvD`t-4y)IF1qScU^Bb#DEG{zJ^*|QtL;^u*lAnS(&sqbkS;@eWltlk{g3h$Zw zb*@-0Nv?)1D0M5jlZQkT%T9+x^M91!>|zNxp$60h@JR zUdIpA3K7=>wWbN^fq)1Wfmeo9y<_tNBOLKn|M9pwQNkCW{<#R`@S8%gQ(o^NKdR!u z=!?{9?rf&_Fvik~m5t)1meX-m7o%Xjd0A#4|I=t{d9r9clZuX?fCh_Cr8NvHpeI0@ zC{RfWFyR_bkmt}@>XPR`B#lKEFRh9FbNu6UJ(4T7Y(MnEpj764+AWbflZVXT_vu}z zH>Ik_(a(-LG2zdYg3;m6MmBiipW0c4iw7P>zpusnPa(4 zB)en0e8;#$soq20R^9{P*LCC$?C4Up6j0w?oO2PP!GTr}ldtr87ac-zQ3YbV+AU+ii1atnZb+uIs+- z4&O<;^JGnKk;akvQo$)$U@2Ia>VRx)PG=K#By&Dp)}yJU*+MvO8Zbdj5o}!ny|RWK zFxnd}=rf`JHmcL1jY1bQ((uCQJPoyx-a(s+vZzrH{RVsS0@~IV{!EI6^ z!L?!FLVO1}#r8Z9pW6a@khV=AVmDpTpIkV;$oT-fM8BG{;hp8obg+Z!e=xii{PJO< znQJePMYGN$ZC~p!&CV3ou99zW%ot~h|86IE;S_nDbVNfvL6N24-gdq_bmWB4p0L7n zM=k5ay)AiRJ?_MJ>}RrAA)Z$4OCkgvr9XDehlWcW$UA*{ENp50)i-h98l*@| zY#&}YdEcu_II42}inlY3vUM3#*Ps%z?rutA0T7_Ut<|QHpIjlwVf~S2)LZeYF2xB)DMKE^|uUixDc=z5hhj1IG$8IWku$+$#u4^gd9&p6#n(OdQ63J`nNtDxvZsf-A%N2}wyMI% zAXxb}++daplVfn7EqfFd)@^u+Pt833NOom9tf9ZlteIZv=Es-3+=tL ze&I1rU*=~{zWXfz#H+|5_k2{X2d&)F)<~FBn+%r{~#ja!l@? z8@Q+o=js$r?^k{xOz+ne!QA&q)l(IdbY2`r)BH)2P9aAKHP75pcRr;bO4X17Yz?o-1JPML~!6=!G?f z_=*Ac8BHpj%BzF<;%x%%m2{a;cpqNe*J6J4!t-K&6?eL$DH;{RqYTr5T}I2T}kT{X<1eiYW+@TdEDSgqhyewj0Xql<+d-VSEutjV?jE5^*e}(# zUW5JAP6g);n%2BeE~)I2Pc9Hs%$M0j7A$yg)AyGXhzA?-rb`>plskoXPD8a`$w~a& zBCjm)=_gYb#)}Wa@5?ppU;z9H;{0zjR8sBf4KRWD1=-eXyPwFZ;LbzCnirmj@C(P^ zTiWigLXavWK>2c79#`esBXu4tldAK9Q3ml@*4`ULp7%2dfA_qw1KE&Qpsz3jrWE4) z65Dso{jA1jFb^dY_KRWFTBQ(|i%69a(M}(jhYhV9?6%K+)Yg`9lZAr-bCU(pL_wsT z!oewQpRoGIQ)dQmjUdkV^eTuJ+C>OF$h5iRZX>x>vyTQ;yB`#E5qTJdxtpn^2-V1U zs%!h#K=3P#wtJYV;?%(y(Bkca0@?>UfJas`TW=@p3Sg|aIHJk|RwD(Y*`zU(szwm0`n72oFscHt04p%-lRx%`7`>leKUh(vr2< zri5D=y-F72`**w36x1?C7X-{W1F&`D!h|a4lx9nb>$oP@NC7 zwXN|8(aVfdGjj)VpW)qy06jwWnEhpM*vBm^Q8D%?fIfIIWT4wnw;CEAEDY#}2a^Np zJV{^J#B~x6J}f}@;%uE9jahdSv;~>;vT-LJi;4*J;p{h znX$@7_A}5;9zcwY%3NV4=*5adF zsp2A>EvV^?hPF}#fPt@IBV5w*HITt|bYD&M)4x&CWehToV)yyQen>ZHZX zAD&Lqd^1l9)n8$57orh=cl9! zXRUi*CN-IVad!5?{LJ@Q2D9dW}6>_=OeBJ%s0iCg9U%>SATZ${26 zsbd`SI47Ni_kpPo-K)XwDCtJSdz}w3@+lLy-(X(4;7Yu8mN5q7M2PHx+Oep-@w)g^ zn>=0Qjo6-F@h3hIonw)T4*DwM+pn-2bYMYP$_F-Pq!V0Fy=)Nq1ev3SqBq&9;Glk0 zR42?V=El|AX|8YN2)&+(?X+FZiq-WjpzOSSk1|<`g^<7q@FBE2vFvT?NuvK8Wb$>( znpUjoFB8+=r+wQMitZZ~Nn7K+bTRf@6zW;BJt95=Wl7h7z-r6ncMSl2Xq*bq*Zy%s zh(gyZs-^+&?9$)*$~aMH=swq(HG_A?eHc)o0o$Rj!B_O^<+)zKk#tUX!k%0c_wcvO zqCZ_=+pudBrTXDH%XPC$bH2QB)TZttN^{LSac6T#2A1YsptmS-VU4Q4i|~<}C_VR% zvSsHbvO+NGSN;_>GzY{NQ?yi@WiWpsVWT&@W_fFOYXwI$KWc%TMEOU&d!E3+8s>Rf z_imD;vAn6y4Q1Xx-n|91$!2HTd*Wyjx_;VI13U4R`F|yyO|t$LAg&L}VqQtBwk?G# z3Xg*sv||*dYST`g3>k+w*CkpH3Y|Y)!3?Z1ESuw` z_ZY;XG!BN1u^;G)pSW(u*I;< z8j=QJ6f%EIalP)i=K3nhunskHEYN$@8n$2=m#-{RmUI@|TVPy&)|vs@a7yOy`CRY} z^<@#J7}G!DXyLUv{>5MDk3V5xq;J1^?!I1!>J(T4#ZTS}@{WDeBGfWs%lWFB(8Zbq z>QRcGZQSJ^fo96t^HxWnj$1tCnpxPKg-WNvbTez#UW}NDc-7paHd8B*AFyL9cvH+C z%1g2N_sP7dmfV8!TuH2QV*JONucPo&MkmUx-=9&6wcVL}>NNz8?Ho=rF~5`I<}k{V zdCkWeqTYEVdrv3UTHK>vsHD1xJ{eSI7;zz;LtaY6&cTqnEkyaTI9QdBmm^_<1SNeF z`WAVTA(8g|zg|jGY4M+!QGAKgpJ_ja25SI#8H-X?P+=8ihNu@*IeMW_X?TIMa78{u zJ(L}d5zLl{lG;z%nF4qk3O(P~`6OGL(8JF`KnJvdDBw<5Oq7Q0d6XF{T=)rAG2X}H z1**d3_;`UYKrJlQKE%BcFjW8{Fj!xQ0dkDK`6fLKkm4~>GP)~q;dp*mc;ze!f6GQj z|H2eOpYj4xPZ%fI!wyrijk?gdJH8tr^S=_fV3g##ot~m~Wu*5vLbK@QQ>Z!;HO{0p z(adB?i6#l~%?;LPL@hh8MHj3R{jH86#r_N{-?vq|h}^PeZRQj;UV%l3&eJJZw_wz0 zV~9&WKot^VYWM4c*Qg~85P!ExS)3>vvcVTT`ey_+Gm#8YE+q7?RT4p`ccBR&r0>!t za)H~>O)6kcbnVT_H`50Qr`Bo(z6R2yg3u?TZRZpOL(NQ7MVJwAMgD{PHc=7_@^1Ne z-^EFeAJ^=@ur{-}nh0x5CM0ZcURyBs%2`c>1sYEr(CDy_R8e7z>+QrzrBO(jj>nW2 z4k=NlSHuQ?H+e}^5j!))P zAwG`+}cv!==gJgugO2yb$X@*gO%AK21jcnRi7JPBcYiyMlR9LC9}H{u}pTPZ@G$ z2a{Imu?6j~+dX`fyznJ8?u!Y2-?KQCi4IW>xN#S*!j$ji-62!wy^Oc7pKTS0HtQZ} zBG}QNVugPCT{#_~Nuz!-%;YB5V?SZnraQtr5nLjgjHeWvqSWI~b?(y6M8-`z4u#V? zI)+X(g76-Dgy zwSWnw)qZSM&DDNP3EkCxtUgKAaEAZe(yK*m>5=YJjT!R9>YywAMftoOG{}cjiG>x< zywlMx;n&`nwB8$`(8xj@V|B-=bmfX%vLIcRi)J5zFV?PKRIPPoXM@H+vxp5=F5!63y z#CQAx^{hpL)f;zy-&`)ZH`cr&XHiyT{pW@rk=}UPe9@~A@|dHrLYS0s|ywsDj$6s z*ic+^DX~A1b)lC?26BfHj8>nv0A*1e=(VmqQy-a6+m9AMNfzjZry$;?UHpp!Y6nY3 z_>YdW6E)oVv;9L<13X>#=Lp(dVp0f3`b&dp-AM2J$oI?cZ%LWz`0lb+)ehm;9Huc~ zEmq-ZFcg@uy@>&dgTGB1+1?Z``HXmA>3II7+IqUlrh^T4V>7$(h(?PCJCHQo`2qgL zgIh*$;WOy|upa;Uw=a-)Tbq&y*X@jDi(8J|C*$a%(>P}l66AighKi?23F+O#Gas;% zwhxFWtUEe21m|bSAfjt)@|8yi29S<*$rQ)+nM)9BM`x1f#0;)#amT&z4%r)ipt;v2 z^A7r(BKoa&?$TYDZm1qba#YS6(z>b_BlWBxw~EW>OXKvW;duuV>f!mrRG#S4S=OAR zqfwxj=!2xZk_tal+^_jVk~)b%&MGt&aDwN=JVmOk*HzRAcXD5>|L8mg3BTwA1S!S) zs$^XRH#nGczx@7Akm)PmX=&cGZPmgSJNK&e!!HDY`KlEqq^gHwHO(n z3v|9OcOSAZkSp5Ln<3ap)MBtu;G&;?P>t`OZpt8L0_S*K<$$dB+dXX2BJ0S3)7yT z>}QPoHQ5g|X}@StWi`529%AKw$wiCL04wxZ@8`uD);^i;pmT51ZoM<0|L&7D(Ai%a zDSQYwhdDW~<(KUq%V^gF{=n1bD3_i|CajHaXMrSjj}#Em+DAiqr9bc_p!*+qf#Z7D z3uA`mI+&Qx_?DcQW9gaVU%Zb8t9jFDe;h?^kZGujvEPgPGTO#7fn8a^tuIp*bPW`6 zU~k-|%`v+94A1iR^}hp0j-8*=Ayl<(2PBaSW(U#I_a$i05Bot!PPT($m!yLEtI!BG zRXX;zA3??bcgQNYFFeNraLysbut5?MmS<7&L-9!w;)&EXvh?SsU3uSbefv~~3rX$Y|rK8YFJ%83F|S z2~nzaTyFsFw4J_D{Im3SYdA(gI;9h18{!j`CfjtHJHoy6KEZ#q%Y}sB$gcn?rMdo3 zu;?V)xx2@t>g8#dybe3oI9d{wOkQ&T*@*(S1YQq)i2z=Y^n^8K2mgd3>Mcv$@W3-R z8NOsF&>fWkX!7QqQ3v~R10O33Pcj=VJ}ic?fzls=0o6mX$8xI#nci+oq3aJ>hrf-Q z`iFn7n1p^FN=?_5it}aO4CiT&0cbqb9Pwy8l$$9|6vWW(xd>xtasgF4P7PU{YS@s5%VwmcOxJ){kf!fZy6Xb<>a3k|Aku> zkPP^~3UaT>lsF?ilZ})3+rv^K@V5sc)a3vNNSyCR6c8YBrdH{AxURa>c6qA!$9E$_ zFTCIuXx4mb4%GhJ^X6ZC!7Y<{(`DZXuI~o_KPA?sX79XPpH2-&whfq<` ze=5pBj27FdUArV5x3+4a4`)0~HFu{OHv<*g&4Am$$T82eDn#Gf08S|0iXc+oAT9YE z^d0Ec{gd6q&x553?N)?{ud_V|Ej~zSg!{DMZO_hz*a+_F42J(~S5`2}?Ey!*Rfm)1 z&bvSSqrqpk$d|Knca$D*5Fgx-)UU`(8n4_)&S!4o_ zBUo{UNVb9WrRp|E(S4-&R7H}d;XM!IlD>2W(I2j&sFAKIhj+3u&Y1ii!E9TvWweUn ze|;XtF-x4q-cz2z+>UIF5R;ang=@k(Yei5zVtS^vYMLtr7jkx};B=5a&ZN z9)L`zPkU40Db5Kvbg)&>Z&svSh7-zVVFEIy?pwoJy^YmyR62tbv>iIlWE*SD_<&3L zd=!WbvJkgtO|c?w=TqZ1KIyxuma)arpC}*lSL2VP=Kp_8eFab)O|&)c?(Vj@ySux) zyDYB37x&)Qa5iCY ztsICqdJrv~xQ7yHHtb2?)Uex;U})fJs#f=1uorh$YnV&P49fraKpf}P*cM|XM~}_&Re9R z|IQmX1n7vV3HUox^_6c$v*}HlXzqPscRHcs7F!11i`EUNUNTe-MIquXM$;i;UAD1S zGE}9mR??keNsp?JcFByY5A*m7jFx(q$Y)2q&)-sp->^WMJ#SKql0teHL`q6jy5eEw z=#^PBMgPc@!2Qiy)Q5G(T-1jHA+qlun|-*a>_uU4KDy6L?x~?~=3gw|0cb*6j!r4m zGW1?5oD82Yb2$}e7(Rm!pn7SrdWjx6v~v+$xPz9o;RyHK>3!jKVtJ0Y+X~lCOT%s;xJ5@9(~2EE{eP%9!ks>ej0XX zytB1rFmIj(I{&4YBWa1xVFPte=BQS@Ss#SSz8bDZ2Mm`+>0VH^piK_d5|F6d5d(}R zXJoqV0g+i-O2;9VDp)M6K6*?3@OM<2McZ>V4Cd{}KxY4s)=0`gl_ApXQp}|#L+1UO znLj5ryZ=o5z*8|oRZ?{@SlQzO}Orv9QkS;+NR5IMQ#D4-C(MOc18Z|uUB>UR6{zM~YF zhF4a?d7Vi>=g?zn6O(&_DNe+q{56{R z19MV+ydlQJcy5jnf^> zx67jf%CXO-c^dZ~a;f+u3do!fmD)bdd`OIR)pP2jo7JlL!+k zc3o?g1<7r|M_BpQcK|dW8U-wA*`hOLK5tiSgj<22@K-}n7`G8^qRpb7)|;g}T>4ZJ zSn=S82(w`nTk-Ktm@lz66NcU5vV5;}xi6u^imqT4-1j&*iQY5O!#(>bl;6(?uQ0XaDFxn2d+JC@QL)MMGo9yng^FPn+hk^#FZM^c8{$K_eV8; z+dtyv!6cUwiH2+Q_ru(029UX<)+b&D6zPWh_35g`l`J4#b2`Kc+|%jOaw57!-Hi>r&a|SV}(NnI=T03=}vQw-$1PF z@_e>8m3r9%w4a<#Rj@v=k*EE(Jai23-p#RohK~y+@EGCxu~ROd`Zeih!Mvgo{)Bzt z^>DqauYwfoIH5w?g~2S#O^Mq(#7D?FoPLli-RXegdp%MgPT~|ODuN&-2jTIE6ud;& zf#6}9NMwsVi7X74XX+_9x7w0Q1+rOHz+u*S<)=&FIWJSJQhjY3;uwC=tok4_Kb-q8 zM~7SaA$Xv|X)6Nm!f7kr(QVbA2J#z!2HnpOW{P+YtN!$#xX-_1Q$lKtW=T$4QMLmu zmr5PH=fUayV&}oQ^=hx;Gpyl{H#;`?mbKwt9|n=mF+uiYKkhqE&3dJvPIVVUuI4*QGWf zQ+VsO#FTb*y>4xp{8Zv>yr;yA5s4gUl|6=*p)&nA{)8HZJfs0Fg5RVhCjRm3jRKAY z?iuS)C4t8@e-nqt4*@*m8^IyL1YY#*g}5nJbaD$awE&*kmAENL{hq+`q-~xrMRTBAgyeF6PWY3JY3257z4Zqp1yA4#I*p}vmnthN&?( zC?94V2F1k}Jx%;6LpYv*)A*)>EN@afX5wN=`p2v_p%lKiSLVQIL#=ppwW_6LV zAl~=!2ilxhQK4F?;Ri1aWRQ3sy{LK7WIDHg(d0)?^&-|ZcKIUK3|9A|LKWkBjci5i zDvfNVql=IDrLE#C(h2x;ADXi?D>SBQ%`ZOQDwW^=;MZpQ;066pmt=@^Q^oFiMndeb z4?h-^XzzaTAmzO5=g|Qle|(GcyZ`a+pM3AQ?AQHHx`ahWLYb$q|Nkt_3^A8<5nDWn zug8E?$2AJ2wiCA>LuNOB<$0D<6;)@cYfp-SNidCuZP-Qo zSq+r;P@jdbZRJE^%J-;igN8toXK2pUQB_QvfbBwbqp3Z%I6S%CJ*VDz?Naj z)2aQgNK^kxoI6|Ma%Y2*ko?_gxJ#WeV5*46r-G>?9x;&fxzF$u^s$}2iwo%vmtlvp zCfj`yCigjHZJy>?-RF`3mZ)#yw!WzXRV=0@d#a#N90NdNfa6ORaZ#;3%CIz>ceZs% zw8f$HqTx@Qep?f+Twq%yJJhmt+OQ}S)%_dZw;r%9$O31%f;utyNeW|Y?$^Rc>Ju_K z60`y3Gaw?EX=9sdwW9w3VGh9YwIhw zoG@YZEj3FZ-Gel5I;L>*2eD$B8e9$oSs4i-HL%Gk<5$he?3W)b_)S@%H_XE>B}LuF zbH?Y4U$sn4Bm(QLTjn*)s{&5`?B8Sbz&ZDW)t9cE6*`K#rMNJlqqyg7Izn&cVR`4l z>*b0@$CY&_Ck{3ZdJJ~sA6g5NuT0w%pM2okvmObC1>yVvYU9DR&?JCMw**_J%X9e- zmP>M!Pzuqix&t|$!YvW}J(yxZnP|+!r5S=_dAC2yJRSt`{3PeCl=S;3l0zVL-!CY{ z^1cT+smBlUA!3F7TVJo*0>q1n0M{Y2P{~8L)NDIOO0M*?xCp+?FptO<14x6=2>%?R%_`o7 zRVjWfel;AE|NI_t{MS6f?Ly)!5#XZFGF*N=Oe|B@}1mv$0M2Rpxsi}*;O2z7nzKed9 zlBBXi*TXD6vOfZNd#s!dk**h9rgvMqvo6rzp_@U7pD=%|zM_adt9G)Opl@nt7F^-l z^i?QWorwg!)R=j&T-A33AlkBEvU(>;u$-Z7e-3v&w>pSP#GV&HdB-cBk!*8<8AX5O zKzWC#DARVo9gen6wW;?+==q0!%#Y&p=1&i-53PA9?K^+Kx1R_4qE30JZNx<1U0Hl>#A5eG`v3#MPdl(<7;4B@#~H}p+pXI@9Zu=%6f!6d(Jn&EX_Yx#Z>X#F@{ovuomh>>@?vmuU;%z6leT7&vl9aC} zwuE2BK$oM zgzAB7wm@6oz&_Hwnt=L*@a&5#SXiV2{t9>JJoZjeI6Xn`=?>~6{&g8ew!MQGLw(EC zSbs5dN;FIIG7G;FvVyjS`#Y5WShf1$7SOI;^XCo4x#1xS4^Y3#QQYXX7%By7)qMrw zZEBtqLj2u&P~DafA`ixdavEl{N|_=MqquFHTR%6`_+RLEgxW68kf;Yuu|j)%FON=Sms# zt=r#{hr^%-7?n)Q;KZySj)F#Ovz%9~I2?FUR_+8{Uhghq!Hlr3phOE4!O|&L?alm= zGD8sL7%#%?)cp(|%q6$0%l6bk>-a`f`01LIKFVe4c4PzJ>I{MR04sIpW<)HD_n2x{ zMnLmI1hqQ!7LMxlMB@kPW9fo!`BC!gypu7M(|+>noKtj1x2J?~^3hRp!Q4R8L9)s0 zfFh*d=Y`_*ZqGdNs&6A z;xe^HDgYDRL_6F4{ZKT<#lw`I;pbn+1H^5G6uO(Nfv4gO-Wc<}BebubXRMnS$U3IX z)4`kaH5i1&O2!z?Z4m8uh3?QDm02zwGk;a)Woh zjb>>YP`r*t&4W?9k9Nt08_855TKNObehAyi&ym zX)dihYfkRzN5t#3j({Y08b4gZo;(P;(w|Lwf589T`(;bLlZ>g`efb1*7tjqN|GGT- z{j!6Z!F!m55D^q0sJOm*nN01K^Lgkq>tTSccf4ktLj*6eb`tgc0#^D2NLmz){Sy=* zP2@qhr6XXrze1LJ6LUT) zO&N{LR1~YTl4&Tm_}R(%F)kT0k!q@o_h!R+!&-j0VNL*HYl2nVZo^m zN}WiRZsQAMZ$z?TD10WGFMsy#kttp}oB?6(w9LPCK2UdO=SvVuM=xvN9@WHQnK-|j zQec->JbQnTy#p!=-Nf`PLauBdcEZ$E zTr3T^ZQC(VigXt$bZaq4?j2QelZj>x0(7465CpI8Dl5(g&veo<4m8cxs07l6i8=ev zyoUj5cgr>8v| zYw5Q@z33?JIZjx;og;m0jHNHJuyNGtp;0bph_-e@qOsdZYD8rbt9V|Kqrj;GSe^)X z#N~!GT(K__vD;&+f{4&Xpu&C6-9s$0LgY(9;PP+vhk$p>3|QWsLg+W!F#ttuM4A*u ztbJrVd?I->r*`DSVFtZh6>|KXrh%n>f~fv?b`->MDvNKk2HuycYLq>78E0Tz-C z*TwHspRw^%*v6B-aI$Juesg-tWm>rAHKrZ^MKY_T)vJE3QyS6}X!fvWa*M4e@?1du&@ma_W0zzcD>|xZb&VQkW=HQ7t_m7qXVqcwMa~SW%Xgo70BF5MJ3B`xBzvU5_I~xl2q0W7-d4K90-iA6j$< zuM^8T_&hj>ClziUnjIJ+CNBd)&q2#nn2p!jik3B`TW1*uTo$C#6d*Oiu z5T>^Mf2_G6gs5(s3(S%P1`n243Ea)8Hw9z}{5osyG}S!d3O^jo7C;Y`#d*qxp!T=p z7k#4s&cCVP>uCz}x2{+NN`8k;M`jQ?M1gCc{fEduQs}NYAdoOye6u9Tg(v@!qCd)! zAt`hC?hK^L=zN4&I{8QKdZn!C*)y$VVu$;&H>v?4ZoN;icXk$dD6X001}+6UmJq+O z=e^E`r~X)1fEepdDZ+aeBfbi73Myn>F4$y5kf1?+`w1a++aW;$0iFbPCtQ_ql>W*a z{sSr}N~{qHiTXBS!Zq?NUP==$go_9Zk}EFcw{b}qx~t3oWi_7y*U>S^f|M-I_JR}^ z0Px;o7XKyM7Y>A~`KQ;X$cv~GQ4p*qI=aIJWdfK-9uGtEAFtk95MJ|Mt0I=h)-f^2 zl}X=c)$2ihD^A?rWI&SU$1-rd;={Wg6}{ahsM&Xk3IZ`2h3#TF7}n_Jw{|C+mHc+|6A~Tqg?dx^c~kk z-9MuXr~Vmzme7Zf(t;lSjlhg7r(X!~4!)nMu-1`@>NmcXH*<_CfuP77WVpah+x3sq z0o`Hi)B6L-Nc?0d&ok*7#eeZI0?nf+)8VEasOaf|p~E>wuvNZYg6t7dc$r`Slmf-8 zO2WUuu@@8JMpOdjs~`#@n?kry+LnfJ5rHb=!xa1^BQk6!xe=y6rDQs^twI-{NTR5u zWU6|NDUC1~2{eG*@NcMiDna5mUIh}r zbnNH<4V3^tNyc=>;OAoZ-ANDPDAM#dHWw=T0}r8LN|djiWFrSqW+XX%2jo6*RLv{> zd3`Z3r8n)7)~<0DOr}t?5QE?9A@Q;A(Fmbt3+um-qwo2GX5Doo7I14_&l32%Hv^oi z2ooDbhr!vgU$UX3ygi;UgDxSiJwcB(<&SJCCxr>;3KKm+K{9?R*gLnWGw%Df=1Nr6 ziy|78k|b4PZQrcqQ!QWs?~Rju;65n;#$9WW47y`h0rvsS;i__=UF~q($c({CATl^} zb@iv@Lzd~b*!@CSw|9pqPoLXtlU@bSHxGIf_Pu>-ifBsr#%U|P=KBZN3T-6jsi`E+ z+#MddANG;g0ep90P$TW5{XZV>APocHb(QiYkQ`(218FJ~j4 z-}f1b$ecI>fT#$kfOG+_j=cfg7Z%M(LKl*5L!N0=(T{W8B=vT)T`r0)W3SB2SerKd zfOVgJXYX%iX!e0g9GwsRHEBng!JY7JFj3KQ4Q{|vv3=Pw)v&_>`q&-uzR@we;GorS zofmK#OI!g1y?jDso+AeogM+i3u592~Uv%-LrkAwU%B5GiIzDD7mcZNJZM|UQG~>3I zR!uQUTM?8`#NINS{o6@!tAKONs_#aiq^eCueqO`1Eqt-X)tN3cSKBKphx_fnwR@zb zrYoIt2HLeRj!|>Tg>%tR-)RAJ(4!0pf7Umxd#(q6g}u8<6KGh{CGqj|8K$-BIe_IV zsuv1~b-lKgstQ`Zzpt)#&w03Wg59bcQb;*ha zEjL<){G5MZ=pzhk>yccGK;tAUL4by7o8`A`3S4N7xdK4*2a5w@kDRucV$J!7! zY-qb=#DD~UcVOQOBD~s+5Bi`#OF6rHh(z@`2BfVVGLI7JHe4oX4xb))swOOOikjTXdqu3zC z*OyW6Xd2B)H;PR}T(!GmrK*449Wu_8PG309imc1! z1ohBrX@P=?@su?x$db>3<@he$W?3JRocX`3j}~he+UdFZby5F5>qVH_W#uC++?Xl$ zVq3&Y;(BU@b7nSjTSM3gL-=-jD^&zA^a3fs@b_b|)1( zY|W~i0-F(J*3eoQ@>HS`L^sFfb#%@VbW9ivNIGH}6*wFkTWp#up7aVT?IuWBZ=OhD z8TI-}=NeyYFT;7i;zxkT*{79vf#1W-B{;XI_l1QEOwJvxvtlJ52L3_~zglyc7c86S zALBbwnUP)hLz!nUKPLhPnYvlzR*=3s1blU;mLnC2+?xQ%gl1mO{xv}!U;I=Wo$6Z= z5P5S~?r79mogJ~`C}!8w7fq2_lUo}5z5lvm{=L|ezA6qMILrFyFZL%gd3z99^r`8$ z@w@CBcu~dDU-bq86t)}qD2YrdXdGIImq7G&7x!%U0*$Jg zhy+$KBF4b3 z1BM#oUj$-V*8g-oP|2p);>N1kblZxU46T{6w9?`Uf`@7GS;50)c&tB$%kbG3hi%9L zxSrV9#{+@cvFA`b;D+0w_g{zBQ2U{HU15++uPPINpJB|`e(79E-`;e;?O z&;9S~1U~d67HThyLRNdi&_D9vi@^#+DzB#kPNg+ult)oc4(a{b_AfGaLRw(eIYA|C zNMVG1HYX_8R0+Okm=n|kXOw#8575i*`5>CW5PVZ#l7)BB)SI1d1W)U7er_tRJ9ms)nDdYp|{1N zW2v3qpbXX`*A8lpJWo-{bk*i<;KGug>);1rQ6`^i8?ux9s_LgB5h&j_<#kt$ko!HN zh9J#EB2b03TgD{@Iw z4-6^rsY^^B0fy3@7Y2>xUSiuo(d5aLj6LQ+?zn>@$}n10Q|2#!XJ9bf;=;yuN*L~} zOsm6)-s?H!hTJ(18aey__KOVu)%oyqQ2m#s7quByAc~ULqWQQ+5Z!R4D<0ogILg`w z$od3Qexb9E3i&M?!~nmFx@%HhtAbQO!9=Ng15|o!Y0K0oxjRybFajiz_a;DP5C9`du0P zqo6AZX`W!sjZbBvS#N4wo5$q6=risN8shXYEM!5pz*gXlz-1xpM6-0$)!azP#Q1Jk z=Fg8Twt^lZZVsH-fNgIhhxA!-$qetU31bmE`luMbOU7 zn(8~;xv4ku2m3d1O(xD~(x{!5(ISZxUUz>R-4Z7t4t$6$m22G66vaCgz)Kx^K_9kh zdY8GDZTYJyihnMGHv{*IE>~;VR}#R!QcPFVC%2_l!7v9{nj=LAY=Lhk!+i{0H_K|BLdv z(mXbca^x_Zo>kmKWl|BdfZ?Svkk!1wR9V9Lw2D2@x?vZFy@gIOU z1wj?-0fbIepvVn`u3=y6mmhSPkNWFWmAM5P1XansxGtf8P`Kq>mCG(a4RG^sNKSvO zj@*!!h;tde%W$H&DC>Y$MHVPxS10{L=ST03pGVDKF-K9=Sg6*X!N9H4xaRm(&|7=O zRd{0XKAvSLx5&Fq(CzNqa&m{VHXWpEDEKvebc_t_US=^wKAJ0_($tZu)+Y?|`s~Ql zDS3$EC-8@%0o~^P`Brp2yu(uIE&h~--dcykaTcIEyKn53 z!HRb#KAZNfE%GI(Ig0fLIJo;@`H-RQ2@v>;_%FL?I27)DI20BSWWNB}RKuZ26p@)> z{=It$0kZw~fr)4Suj0sgrB{O)0PT}Dr932w75(i!_zs@#m_l}||62k@^l;*4zEY(b z;zy-1RWIzVp}u>idUNix$r^Y$f6%Ut@JH4OhO4f&tDV)n7hvJ@gj?Bb!i*CWx!I)q z7rldT`M0MCB+`Q6gk@aKVox>g6#~il%4$C``5-A&KQV5QlupF1;P{3q6gVOspoNkq zr)#F9t&OLJa<6NFy=~$V+r+7VOu(Lye>cSIV^lCtCkw981?vs0$TI@P8x!TY91^;B znd+4SxAICK3mg4rQR_6$thFYErQTERmfY9n1g?7jb>mPlzh3?=@t$=D>kwhanjkbf zHgNr^Ic0wYEXsbVs=ltkR@~bJ>G&H@NG>bOE2XaHS>XDAya`hPO#<;0^#A$|vV$r3 zuMSP}pXaQtEiEl!tbO`1@UA8&SbwNaTETMvx%q?RtwS8anr2N-wG?YjHQWy~L@}J# zIj(73vML}^!OOVF+{@Z2*o)_=8q(cV9TA@w4=Sk$WP^bJ{R`y-r4On6AE}UU{`=_v zAE^k|K2%V6!08#a@ktfm=@}Mw0J9o9HcSG&%#il(PHB>)RxUCXKT;GFDpoL_!y$^a z6Uce%Epxt5w{|xp)A%1EM3_I68l>`nh57#{3rg)jVdZ9!7f|W7Vo*U=im*``F;GW~ zNrqc($t=UC_ne$GpX@at#`L39+cIZ#BV8%-_)WQX_>nWf9ug8Ht5 zfqrbT9!Yl$nhB9?U+OlcfoCtj8~NTUA@B+9hcvx7cg4RRcm~khi05_3IU6lQ(`+V* zT)oSyGp#H)vZySlu-ou6rxYugCZ#)YY+ssNO*vd9IqRU9<+xZw3~TRuLo-=FPFRat zWfB9$Aj-ivcOstwvcGe;ayR6O}HBzq;x#G`e*^v;D z`K=i3k^XgtAfaTUTQNlmMdLVb?`}%)k?hw`7{PlXcqgO9nOwm6H8{p9;k^);6GkN@ zE%lKR#Za1jAxok~Z^gfO%393o;)9x<A}uY2R}STx6p0yZ!ve=~B(#EPX4mot5> zpJ9epz-HAhy(g|Rm2QuCkd7_JBg~&7JoFAtEH>#+nsqBd!(o;yX&WPEBD@i9bwd^z zyoiAeX+u)4noh5 zP_-+K(DPz&(s(Y3b-dZAx=XD)uW1cfXjZp+V#MbcEVO?#e`v#R@_I54w2 z!Z|r(N4$&i;MZ!(;S0g{3Y7LGMo>gQXv%xA=iIu2`m|g*@DkH|k(IS1Sj}-mf4=&M z=N-JWmG^i~*9ZG4{``{?*++WvT8uXpM3Z9jn`6WTyCZ>Hf);5 z6C`4QX(ig3Rx~LxF~)8BU#$<>7n?hr8yqE;iTOuvgUh#~wKJ<=N5wUI3s2bLhC_T# zx>3)PPTk5SVze_8H`b3(s-~W5M0H4iV|FO=cG2fZk|=9+od{B-G#DoB5hagm4LmV~ zyRgL0RQe?mRx=N-mK6R#KS(fK@h-CTw-P~7)lCTcg;YT`wkKU`!4itOoG$=xso{C$ z(jQa7Vp%|IG5{li=D;3+-ih_ag}mI%&gwuQmwf!rzP2YA`HV2Ud#~ShXu>M7n822K znT^SYF8nnZ_2p9XeL-sNi_S$p>3-wpB*7_JAj>P#1MW7zF%Bb@v0m+VuG}!YoWHJW z%DDbywdC1FaX^Wzu0csmNTjQUcj$`7_A69SDQx>LfpTkcRR$aX+&B17u+5`VCZ33z z%U%`n8y1c9+XTXf-i1;{^}4gNAZ_v7)G!xsxKQe13*T*i{_>rgR&KQU+)*HF@tpd7Oq_Ll6)SqE3dgnP#t+0aw12r77hz>b z*1bx6I73To3rz&+bpR;s`UwNdud`Z>ymv1DPFjcXtl#)~Ym>@UI!wHILwR9R^f%+| zKFuPP_Ik!qRh3P$ipYI)_ZeuE@bN3`I<&i{IEK7$j^Q^ z&aIw~9y**0LVre|)x+Q1Ny~0mfFmQ zm2-Ur!zJh5C+3X`3z&fs&o z*~341gfCh9O14C;g*##=sw9`NFB?wPrw$=tOzT(0?2W_B7D_V0F7cr-wc9jjQE_N) z_T(N(F6=qxy2Lf0ywFjdVsydsuNvm5@|G){_PO@xkEjD0&{7E-;J1aQc9i|`18_#% z`YdOl-J7D+6hbK7m-i2^r4vR96&j>=gAnuF*>=0&{dqXIynrV`wCoFfx~MhXKD6PT zp@{LpZ zuDhdA&7(DOMVI{LwS7V$<1>N=V@}yWMF#BpnFVE%{EQ1Pg!{#fy!@jZTmy0kZvQ9p zEBVGbrojz3w90D?13Va!(gZ`F(yE~ZiTHdI=+UX3S#>GbWQ(>EA=%UXLBJB9NIZZ8 z_OX~;R;`n))S!DNqSa5rJ@1^gapftmRx@GXSO>Q)k*b4kmrh9w?gNaq0oT+X-m5UZ zTmICMKdz4Yhr%8vrv>dE4}UK5@E_GBR`Wi@g%dV|Wdvu`OGmqBfo^qC&miaet|~(d zj0qNU7k&%>67BkP`jKCI`vPP(ZT?&)_2+DD{yXdbYs)w6yW-ZboM#knHi@3LmKIGP z$ow>f+Uk-Vr@D&_C8EB~2rsehk(qpNk}YB@KDyrHAfIg(B%CREO?>|9`J#~`r0Pha zF62G*E>l>g?PxQDL>D{^9qHK4hW(fTJM42nKay;MudH>G4MRy+d=Ire3oT@JVj1BJ z#k~$4jY8ei$1jz( zq+}d%*z%RQLoFL)Ls?SZaq%1)@B*ZLsiM4}n2<2kOhG!pE^KAb5B9^n{WD@MQC|SB z?yW$tS^73p>|ahuS|&?k>`RTIGx2<}mA8&4N+t?}I_7m85sP*uZu3Ebg{K^k4qYtE z5@>Kh{Lqe7XigTGu8v-uS5n}+{OD)3HH)tg@KC70g7cC*gu+r}wvQ!lt!xCl zBrBMv^@Y&MK0||l66(fSI&LqdiNh*uUGb8sT#Ja5si?bZXL_C+1NLF-CI+kbYz;}) zex>zdC=-j2a_ne8&o8^nzvydA$=jkjG_`o3hV!g{c%kDT z*C@(bm0F#0%{_kfg^^d_qqP zY{6D!5SC@bUrgTE&CZoj$h8l*TlgCnFaC)|)s$EhFi60D=hT>Seu>G92Ebv18$)R) zRs4cS-^@}u5{K0=A?QKug`ugNKyzL?O5y0p$;)9SC_y}hrrN8UFdsrN>U!BBFeEn% zeNAFWgzE3s?rX@H=~kOgp>4@x{ZSI>iS_iYVXTZ=2<;|~)j2e6`wCN8LnMK|J~UxE-EPg1i{korqdM5b9iPra&J)^2 zx1H)bT86KTT(7iX^~yJ$(<}A7RbzOpze|^B3u-MxWe-l4#nYSY`*89H*CeRJ!(pgd zo~0cxs=_NLr4zs-MUoFUl7nD*LI248&jQp2tbuyTwlQkgHBmNP3Y%CHy2ITH%ivQT zuIAZ9(6v}&Rt^|Bjz4un(CH*?r~97Xut3ANIF>A?Z86*2u`#Wij%Q#&%I~3y+LpMF zHTo#(%sm#EOHm~b`SWWiQhq9V4aRZ@*8p|$X-!DUs>LJ*zSbx!V{JZZ@}~VBir`k^ z+ACZWY3K^6^4!R-opRS&CY(QKs6-}J+^#V@^aRYVSVaR?2ApRlcyg7a@`K>;y|~=7 zkyg3|WBk2z;lZ1n*6E_>Iyavl+?elhdt78d|In-n{&p0UCICfs$wv)K1AKE-@&N}- zqJmcH3*D1IY2gB^TqlPBcgF~|=_~2UhnaAqz-cmPM9p6t*lU;?d+?IdYBD7QvyN6g zZ51BxEG~rL_02lU(1@ha-87o9_8Cv=47HZ07*7ruhwAVSZ#`MExHe{pdXxy3)U0_} zijWskP14^PGD}4lfM>Tqe`jeU%zU*!eU?V| zAlg7uvDs_rXb*0=MsnTQK<_GuzKB(nfU5Z7{SkO3G8*e?-RB;Y{(KL)K}o<_G49YZ z34xX}*l&ljqzjXNapRWEv~?q6?2cD#B13N?8s6DM7BkcG7({M25j-U`QkokP)?IA0y?ng)C81$G0a9#`NF;GaLg`Q zr`?N~5KNY@)ac1f-&y@aPTfUGhbb(J8$$D4W=IPh^31lQ0?8>`UM=Vs5`VEJf1NRP z5b1m$%*oq46Ydx(v&0=B*Gl#gbX^d?ENDo)M#nkjwaoC^07_iUtu(FPq*eVMZl@7j ztwueVGer}osl9pLYcPfZgPm2%H`2*ZM(@-8BCc*6$POE)tYtY-Jo-AO>_rJBKj6Ul z)lbnFp(hS5ilRzO=C<=kfkLXhr{F&6i#k=`Ua^U=R;GWeME{`u&d+HRw2>QLqW6== z767y`b`_aS>Y%X`pdp9KIG)YMAu<3Ckbf=rMmaUje>P112NR z2;1!K>ZwGXTJ5~B2DJHfFuaC>1oDV{v~tC1RM#TxC}W)xW-^aNKC4y!E|bneoI1eJ zEAZpUp18eohdn)281OfxZB3kA$#~AqJSgaZ!g5+0KYW zv-JqO%k%={KNT^o>`6bM#BF+xt!Acg4vP$EAWa}z8pd-W%pJQ9;{3uZ+ZmNK1UAIW zjvgCXTZ|3xWi`1*45`LesNE0sS}tH?x_vvZ(Li2Fp%VT{?Xqy5nWW-L)43#$fPAUd zz2h{n^5#@x1Vl5i_`DI$4~SmDcpCXDyKtYkGF8__3M_v%9SI8bBn zfK=m}O{u7iD&gRn@u&}eF2gSy2bAAyk|DpO=ENSf{pP+6y@xJ~A1{Apeh3hVAS81@ zJqwgH2U@Zs4s4TgeL%PG^Hw!TP$l)A?TidWd{E(lg+)>qU_UpIH6G~!!5G0G>cqx2 zo*g0EBIaK1OD=bJlRcL%Pe*JtrLdtAG*HYC?getP3XSO7o^~g~@gTjrkfHseWA!?f z^^r=luQTc}^}8d6maJ*Q#1Jp$$BS7`r&Z$w4&)j_Bxd+3(#1^X;R6^U zWP8Y?sf>yaX{-=sJI)pjnq6&EK&1?4nRce=!OTx0=@_G?N@}IBO5{@9N|8CT(!8N} zSJuj4a5Pv!Yavr&0p5#KRRIZ2UA6#e-%zsK-k!bCcXthe2W~#GNIgay*fj@a<=f2r z&4y|JQX^J?va9;mjHIjur;@D7kE^qp){*ar#b-u5ddFzOoD=ghe*gOrrVCrb%OCNU zBjosPJQUmWGxv&6x&z9#N+fzP8(+kiYcWyUm%x$T`-|}d{!f7m&o^!cs|vM5$OWTs zAA3sKFYAucoREbVgQsM}-)P5&nh9;>ugW;7SxbJN;aDC2u9Kk}!uJlj-Lt7uMmWw9 zTveCW5&<4Ze=svk&4^$ z)%9>zYXAJGBxvtyqqSnzUoRujY!R7`y(q=KMQsh+My))|he&KAg08w=R`JDzbT7cL z&0e<;MyE^!Q+K_gf@y<}ti8l#6sfooe#obNk%qlwoeo`RdV_v*jwI(=t7OsXq* zM>=?_2zg0OQcMZymJ>4bC3uynP3DglVBB&KM*K3`;4kTcqhH%2G-rl8mL@>C89K!X ztGn#ND|!Q&ziu4VuLGb^z%Bw_y*)9WO{FGAJR)>nH0`LEUXaU z;X8*BY{NsD?L(Qs2&Ysl2VQZ&^pRg5%C5ga-_qoFe!^^ounmRAO=8uT2h>yH= zD|r@o4Bf{Y@f$bwxVn$|yzOfhh=S%J#ENV6@yo;))$7|dt&&hi(aVUzwE;5^!O#96 zOPNU~QcwK|M_^sVUNmioh1o?IsskHYB}{94l&L`SdwD-p+5c+WEs z_o`ipjID|Mx&IRMZocgg^AZQ^u@E|U`ZEkGk`neULuQsCtZq0l4FAak>cd7v`#$9d zT-xy>RDYJgYfycsSj(;-Nou)zuQyvuwh+CHC?s3pT?sTwuDOF7%=eEpUz%^PHxfhY z+h6*^Nyz;hHGs!;+}b{5RqxbSo#786K+>9zItU3j5#fkXA=A(T%rsnEoTp*K$K+T3 zUjJ?gkx&nwHvS&~qd;80^2GOo{tvdykob$Ck3cC}kMD4s@| z6Bk7(YXlsqg)zZDHn_Ney-<@ zflJdXq3J@{gp+1~1M&0oOAE`@NPo>?v2ni1!V9crV&smKyo~f~4#ira4CnVaZ`J^@lLY$)()_>_MYFf@P-`#zBEVz;e}we%&I-rN7(+;P zieOg-&igV|8rxrwobC{82e3Oh`KFx?vHWtxQ~~b_;NcF~%a~4ckg`@j7PyRqT@$tE z)bwWs8UW_4(9_w5jRc>$17_Klfvt`*Te!^ko(;MHFfOhs+P>+&$##y1lvM#T2{c{1khW`r-!7_@o?F;oS?aaf5^$&hGYxtCYp2H z^1|>K&@Va+uJi0wD+u^y0N;1OuJd8H;^VYdZyy24=Qh%9=4QKx2?FJ3viO!&+U>@LY&;CbU-yWwckl@WzRYL&?*@V{ zhorGs^+?tgf?j}&4ney7u@{V4K8|Sl^IBtI^l(P)>H=Q?=t2i>Ydnj)IQKAa*AV=L zz%F+1wwm$qjNCPa<7(h}&5>@0HZ{Q5T}$A11Ny83Z&QRYddCa?1z^2TN43eG@w>Lb z{|5MqYz>GGSZx9zir^VBK_@^v#oCSln=$yFQ0mcLzP!myNw6n$Z=xaUfi0ti0M`e6 zNZpVJ0>OJ&6h&IM7bKSw#op@Xf<6MMBSY+nXigG9Zz1U8fIMMzXy#q%t#XUlJkJB! zJvub=2AZGqG;|TjvV(SN40&%Y$U0C|iq@F5w$vCN5REI1@5fr!LjY1KBNN2+#%m5E zI2AxDWn_Z5>b4bvGXbPhMka`Bk6WMS!9@U4DM~O2$I+sq!tcrFdhrcNZY3r@tUODI zt^|NeQ6jEEvG(VG;gZkTS5~$c;9?k1DGJ;Y$L&05^F6X1g!Wp%zfMkw(l#gImV%)} z?I;Yl1Nq$$pJcG>Rep;tRW)G~vyz172cWp$p_znpZOYe-Hr`dVrg{W`AB~wPj=G(N z=t+?LWXwcy^z9-<&w+%`Em5?Nhc8+jg}VyTt016KJfd6{k6mSg?rwf-HXVh0(cJ3j z4G>T%O5{f2?gHeN7nPzwi$c$XHc_~T&~lrZd&Cr_wJ3CG5rumS!^c1_fn$m?SQI)8 z7KM8W4cDh!B&KO5;RK`5p=n0p-a^FnJr^BgBZ{MNA0gtr%eB+kh~g;RSBQ9xuqtO?zbPAN;>a*cxmd24@QgM|W>m$qhhm9a-dZRHvphpMeuBMJn?) zu{TE;Id-tOrWwb(j0n2}1Wcks9k2y%(jAMq1BKxWNFVJm__f&#wu6M@1f)+K3r8Gh z2Mb4lbkA5g;t)GTIJn7H8Vg4pU93SiFqefp#)?0QjywU!Y+(5>I`kEX!-QfsOnLK` zqGN$w#wRGypq~>g7k9^m$RyTQ)(I^B8wI>U*mbzzdw}h8@ay4Ri~IPhD#q)4*AYU% zmDZp`;5vXSJo@l?!CwmOZ4TbzskH!Dp5rs&M+(Osz~AL?*j8tP1D{^vmU_g(XttJo z7z~_4DcTIKCya!MqI#5Yya22>9^0^<@B~l#$4+Iga3uCdV>hVK$Kr-P zMksjsM5T;a8clU>bQB6pM#FoFFQV!qLt$g=NSR^Ax%vKx4?-u4zwB4IsTbDHKd0e)HDH=vR7d-=p zSd0pk$#wVW_|K_}9+75NtoW0lX*9o)C_%3f91b8S0*d-!*UAkq!VlON1UVN7j^GqU z+G0YG9P#p9a|OHrpz}g#5-dZ1RQS%$aJb}u<1A8@E-3N=^4aAhAhEXRC4U_jA2u=Fc|b7fUJdMib9m$t@H);IZI1!JG=5{=F_6wS~O?V3r0vz+`465o0m6tV}A{;@;mG;dtBh5UTM{}Sn! z9J~`;@od03$cpkiq+fT?w&g0l0_ZTfP8Rr^NWbO4{mj{~P7(BbNWbr(W#M5>VH26f z!~&sN5;r}lZG$tOSBYHMqh2E2&3aH;n*JdJspl= z++c{DEfli>Kh&YuDBSI{%(4_=Ny2A{e6603(DA-Nd6g z77Fl67;s0EqQKUSo5KaydWv3wmN=Ytct7j{q4*5a%RnhwXzKz^ipH#Wp}B%!4EYZ&6+@EWAwkfx}{RMjIC1ti3{L9!L5aho&_^AEBt!-Dt)s}2)TLY0{S;ReiDuqU=TGP^66HCOEpDi@1*a|pLE z#A{gMLb+NGE)n&%P;O_a%~N;Qg5Vb--WkH(4DtHbsiRWKb(Vs1@lt_I0$?u#GQ2-X zu2gAUCZPQQ+1~(-=oeHA^5x5gGzFCJ8l(;SAXPh#3<`}agfb0;!v{#I!$~UBuM|oL z2nB<(ULUqJN?pM(g;9cjroq^-561MM64XkC`GPqah*J#AnoSt`C~CSK{7U3Mfpo4R zU$;qKK!u>8pfG}b*Hwa;2l@F1qS@iPa&@%5q|yCaAQuC0xdCamBZatH5LZEdwSj0Z z(87$MFf(rQxtXO(@fyKg55%norn%{M1k+2ET%-0IQQryWU50wKrt%CbMQDF3+WVk; z(9kwF`*Nu=6XI({{5XV*S`xFvfcQEQzX%~CgLa;-3LC-EuFqr-nwwRqqoJ1?x$6b; zG60M|WEj3ERJ%G#m7th&-SQ10d>^6@452G=@9dRe_Kl+c49X>!YCi2c_=+9Br;a=8 zn}o0|)WZyd^Sx$5*kj);h&3VK&_HAx!CLs85H^B(8-p++GaK4>-y)=)K-tqEZQK%x z9r&$6+Yh9v25pnpXzayr6WkF%9c$o5_J@nQ^4|;UBw)_^I;f~WUm&P+fw|Z~4e!q? zcIvka=n6otF+ijG1I0c29m2X5ocj${hDXe{^pN&SF8haKBXL&G&8JvtV@&=&0g|sFpqYYAq zMX6Ef9^s4uV{3ymDkEq9P;{@bb^vE@gO$-Sx$)>eK}?2xs)1PBHYXaC?iaw}5a$g* zM$ZyPO5Bn9y5SyWj~P~isX4ux)whBXN;tso%@Tnf^byJNt^Qe>w=e0mJfLT|@+eg?RRj1f5w0*?si0;Ipt;JA658dIvH1RoXg zl@QK1#BMU=i#t0X6ZQ2_-e#z+Q`Z7?Vt*9zgAhJqh^@|8kReq7W~Lix0zcGGV) zoTXRk>B`X!f?9o%V4elyIRj(WWLODvx`SLL-xWL|kQV{?y8*FW5fj30tnV66ivDeA zKQr`JD}cUG?VfWWJcf;h4QLQihz#mT>Hg{`gusp?HmTCacdqHTkI{$$LkJr|@4^^=^n+ zBZG6zj(n|FN-xr$7Wq~{Y>&vGCu1G4k4xkj6TTC7t~~8G5IO8IIInY^N}>r}u(Miw zMoxG*1VJcEpOD^{I$_uy{YjK{q?lzW-RvhhZ8#U}X;iVRhr?$DaVq3ikM4%i6rwQ$ z`We-7@y|jy2ZVWs5lw{9!5!f_AzTFYB?iF_ZHWzDr}(@u=0pE$gW(4Agb{ViFNpLy z$Zl#$8t(7BDAHRXyUmc=d3TNU0P&gyP~R03oY$;@*X5``2 zyQh>dmyQWu6HYhM*9?wx?q*INs9gSaVKkt(+PRxJG&3-1LNAl+3f>UR(ZC#Im@*RI zWn`(29%Fi`jwwh`{HvgjgXDMvH9Qj)w*J|2u?CU?fA~Ni9=U|p!(m1 z^FyTnk-^E%TRfaas5b@0?(k9rwK2Z4Op5kOqjIX{c|~QRIPT3*F*2w(rd|DRQLtgN zeKM$mf@*l&m5V&;UP=EHzJHC05i~s~6kR!~tNTRs2LAaL6$mQA zED9pjY$rB~?-#*4_{XPAji7=d_?!sBsp$iv`W*jV5B0&Iswn@x)&HPq+L3-YL&JGX zS1wi64~cAdNVw0+pr;DwA*FhEIX~xNNzP%9(NCVP%SS}97^&A@vRSbdzCtNKDoKYU z*%%{flm*uebKUt;?J<#!g@jkb81Qv6m5OBO2b?k}{!wH*Kr-HtaZL{=bKS?|BH=}< z2Mh`Gf?8Q5NuNM6?pZUaQKYj1JLW_S5|J!Ma_$i`sAL&@j_U78Nj(B7cQjJdv*Oy0 z&+(L~_JCr4L&fTjy#A=CCHeP|w9`mVe`gcvEY<3bXGG9I;vX0SBnbuOe7*4}Nzc96 z3;IZ3!9|5V%ds83ju^BV#&ys#2(%xsJ7x#WnlCO>7nUMisC$5xs@8?A^3aK|X z6g(%U$1h0ItX;pQku)sG7bWpdVD4gY`4tQCB}qF8U+$HWmIe5-q~%`Uff;F8c&|v> zLy>Npk(Lh0+Anmxg~rVUoY9GH4aI1mp^gzz+quJ3xDcxHPb(Ow+=Ac>l&f1-TD!ZevnyYzY~z5<}!if}9NG zfrgcCI@D*?g!@Jx3+*6~rW&+O@Lj(Z9bM1+L~sW~#vN4#&F@C|+%JxsQlAQpi-yBV zLQq&sImHFfO7JhCeIFD?2Bo#+E^f{CnE-PDF)}D{GkoqhuK-4+_qh;{fRd3xiKFqk z6(S4p|Ad!^j*&rmt!6na!+#5q8{Uiz3e3nd_9$J~p#AI@LgX6g2O%#_%rG%UWRshf zFZTrh5g->GXM9Z{8(awFG?5)ByTY>&&o+P=m6Ed1xy_ft`x#j08oX9=oOsQD1$aJS z*7_);3Ht`pX}%KN<-pB1>}xTBWATO2dAP}Kp;~F=aZ6b0cCsPD z9RVhz&*hq->2|WABHR?B%?)A3kYE^uMaaqm90%BT1~8)<3}7AGro)7|D`?*^h#Age z5Tk8?RfM@0czYYn?NDB=)kD0s5TC8Ss=%`@?Qsdx8k}w0k$JDS8+(p`$u-05jDE-{ z3U0Yz7+zhV#{oFkfVS?Fghm}RZz^}jUH~Rk5VI9WDVFl;SRqrdM;}Zo_&a=R}MBuP?WkNTJ+YyRR>_O>n%kL37ted}CY{ZXgWa z$Zy@uZ+3zkitt-F{yw6g6}M|)oSap{haOx#&%p7K2Etx_y5}mwos7uCx##O(-8ild z0LEcEwlRW@5ZG)S&oN+G^~Am>hDFbL)c9bBGwnnipF9AXm=@DU3GK%?=1ui}!M9Lq z^`JH@sBI*$vvK^h0l>tx2)41nF2V7o2CN;XT1||lR>xgCxUX~$ec6>))_wL_92(^~ zqlI%Fj&CsRS*H(sO2tiuumHz*41hpOO2y5Da5s+cH3%E_VMDx4x4B>*#_^*Az|fEw z!>5E?$@0R&QwC;uAJ(9LI;wS4(Ut;w0mm;507QEd&=>)|hT}I3P*z>jXZVN-Hv_j4 z&O11MZvY$`%05CKq#_+0`^P81{SjE6(4W2BOXm@oxp{xVKdIO-)n255yP=z;NEOqcFCGetUyquL7dct7&q%lK^)EY+nPIHR#YNZBWXT%LsNBg>nfOq$UYvJ_uJCly-b4{+k#qt|axCh)*F!urRfPwKw&J-pY@b(hWB0!!rK;EPz1u7Le+os1(Kzsqw+N zZ^8Txm^Tp_TJe?MK7AjdybtvUAtO!knsF*SogBQsEfC)1V%-hxb?GSx2h4qi!5zH+ z8ish?af;E@9q%W=!C0#;hsdDi(~i%U1F}1I4!FN?RtCe`5zF!%auUy(ETFXkTGucr z%X0`S6~7|{?sknb2w9#(LhLyQ2!?xKV+>4I11BaL#}5<=H@tQ*D18|dH_U?sGZBby z85nN}Na{Tv0{F1EYf#*Knra}j^3yv6d{-#kXDSYm5)A=Uh0+DWEQ8`r0+Q^oRmMYQ z{N2GqI{~Eg4BGGv9}cfK=ou72a>bVr0<9#gWcx zT%k#a3E)9exxPwbkV zuT}6GfbR+IeULsgXd{6aW#SF;U8&Mp<^GIwa$eIMiqF1bSxzn}p>U6xn-M<6+eb_p zg!x|qH=a0eh&z-cgvtdWXTm-;%Nt7ddQXrS04GuB7yxf0GBL}Ughx1>S@Hks9fJKi zj;}Sa-oAVjHr*ik9tT$ox8nGIgP1j3)_c0T@-=Mk7h}loC?jqLGcu^ZdXQ*?MyHB_ zK(>Z>T!=_R+VP##y@Rly;hpK>T{xY>*%s-yH#lq99LyD`i+UHNVr0;)c$-( z19?rr)xos@F)}DH!#iTjlFs~SLEQqxZ6PR)S`Xh@{frwBczME^!}~#=F&G&hPY;V~ z;~0Uw0{~ZZ44S75NaXRno^Y%{xQeq@a;}dm2A%nyax!IgKGFM({c!?oU&6jXKN=@t z(PY4ASu#}pKzO`v-`Bb&gNGsOc%gh76zh7jtNRR!yZCm3pm-Jecti#*@-6YXpA4o4 zt`h}%Du6#7I5g~bQlsHX0zDVN^9`tL;~AM|RrC&Kyawi6_9DP8890zu_5yz>K(0=% zG=OfdmSJJ3Qm6^>6d_&%nzcr8x3Xjq!)HVV`6EHz4CF0_k?!(-1`_v;_huUXTpqi3 z#Z!g55X^fFZVQf-9@T#=P+o%P3m+H;;GI$Lf_?#)jG{6AG=cJ60(_|7hq?tsRtd10 z(x(1IsC=rI&u9A7e#$kfsx-EKx^Vei+q(wW4c!^m((T>M_c6i=y?wi>UjwR}F zX9|uhL*7g4frvb=m1}Lj?_+rE8 zN%zZy!a18YfpNXLZ%(QsLwC+=+?NY4Yx?2_8=uE+Jh|?WE91VL@)d%+4dz+1fh-)& z^Ke%R?it`-A3&KA7hOa6rBL|5S{ptysAG-9XR8WHVL8RG=A1966>!Xvia}9X`D1Y< z<49!LuLQ>dZxsVK9C*tz;!ueZh&Mnv=UNTN>lmOczfakf5PvO1j&K_o#8G{lmQ?1| z!fMCy#sUjqIwFw^mFrFj+0#uTt`^6W&A|^O84%x~?0)`pjb$RZuGxgX;yj z7mg1wfLq`MSXX{N<-qX?ecLL#n`u#9B=@RjF&K(3} z>Mf!!L&?261}#s|iJY3%#@;XXh3IQuji6I9OIc6$c@0H*F)Mzg`8I)_1mHYG1~o3D ze0qKA_X7Dj#8-xhG-L$6>s90oi+Js8fsl9~$&Ci7|GdT5v-2-R?P3#??-exfgV@JFdjqF4R*E*7cibl|&b4`C1VamTZEVpF&(2?)!-ot0EzNIkLj~w}NA!qHO_Fql$G-i>& zo`8vbQ=@NY229*ChCLy$7XY%JhstP*(N<|(zA^4e!MzJyxEh0T+FfLfiZO@-bvQ?R zN>HBwW!?0W(Tl~XaBvM-PYY`?SYILfMdsa(<7zf$#iM>mdq!x3a6A~%r}b@FI97+O zKM8Af9Is`tyoso18RxUl3Wb}Dn;DdLm}m^9uKt2NeyHZp!r`iB7lYGx^(7ZHpA*#n zIG$plGN!e~Qt-T}3pnmH)ZWs=6^k^*^cAregjvOL&0uC!o3xwg`s9nk;^jMD7-LXB z&tQeihq%756qw5&Gy0y`fc35pL{S{;CiVr`{S?+J>RN4_+y za;;31(flCVn`=~S?+axK9503F=gp+hpoH?SP?p8<@&?829;9p`C3>NCcePr6M=)7C zOKu^Z!r;mwt9(}Qfe_g3SX)Y&hQ#mv$6Lrh6b$!%Mj-m7;ud)+L&E&<2WbHLhd@T- zxUUYZ36d`qf^JkfIDZk&SR8MI*pe~WUv`+<8v@x8$Kwr%+ow($1Bm-Qgue-c8#@yX zhFLveL_dh|u@JcXGSwisyCHom!kczK6~G}lE*gNfP&iG^7$)$!+)qSb!g0gUXJsLJ zg8T16n1kcv4MJvvY1HCb^P56B1;?ivlo4h=N_D)sFeM?B}WzRB5!!1&@ zpV13?TR`)0e4YVvQ?OLspz$TvxEH^@Dll$lU2VY3a!wrfH6d^pkeh4_IvSa-;=J{B z0dNOsfdMePf(THUQ7ZF?rT!%tuJ8YAV9c=x?*NZ~*Xpl=SZXPIW6oV-N|kKXXg-VO z-$d?DjiX-@tK$p$eD@6Rr!4*_;&+gUkwJ^mjeD_}S3Pr`_}RY$2S-ap|kwMSt8fkn^_M6dU2QS85SM=M$e(p#6`c?6TWEjPUt%7>M z>#^?Cob2svNLNWq6w73=NLh-6XV9Qk4SlihhV!3C*)fRh}{@B|9p^}kXyD8#CM-Yw6Q{a7$k0q z_%zqITC^j1xuH?rS}ZG2(^X3Yevm|!;zMeIc_)Dlqv5pfV=Omm~ z_`-I4krp=RIMEoxte{P7qev#r*S2qVNciJy;yevN;Xcmobt}C;R~Xc!%%51#k$&hZz8`OC=y|_g{!M2c7j3WQOrI zTvQB46TZxVr{h>Y9S3#e+-@Zl7a(RK{2icNy$5TUdc9h!uOW~*0L*O#!q>#J%P9sC zWi5g5b;k1z$VRYI+FBR3fYT87PH|ovrJmXP4G!)xV5zQ|Py2_kBv)$-;vvYNHxMID zdlH1RX0cS#tH8WzpoXSVwBX4ndOmteB!l|g| z!tTbs&?EV?q|W4%q5DOwRg>K%59tH)#Ut|tzCK!fFr2B?`L+=UybQ2A44~Uzwt&6$ z&xv2XU2qG5dda}KD=2~!v!eI#C)oNFDXrH*TM?fbTxofIX7u}E;ZK1Oy1dx4DwJbm zwU*Zi&{7R8-u$bu(@Q4uD++0QPPtK{Ml)>r%Lh0Z}hFR4CIxIK!ZL4YWR|QsDb!oTHor$(@EQH9r|YzNbRrK}hI? z_u}}mSm-Ly%d-2usHn^5jjm4o9E8gYbIayc)s|sK!#KR1LS8ZHwM7KLMdmB1$C<%2kJ{~O9&0n+Yi4m^4-KG85L3^t^ zD0k-aDEfM-122l0UgtuP{?8vlc6m7lupb&WrOW^S0RRC1|9AnModzRyKt(Gd)aZ5A^7eV%mkU;9e>FT7_;_5=G zQZELmYKQFn9?0$wrTlO^UppzUd6h!0n67yNq*EBbgz#B|(V1kFE4gAV~{(?K4QW6A+{NeY^jjW6}!4p{h4YiSIpH?TZ(!gSnWqCl9t8=!>N0{T1cvH zCGzo*P4LBWxeJEM=}a}#=Vj}8Z);&>p+Cc5{0QG^0q8=eR45<_0d^aKoD1Wt4T!4} z&XIIBn~JJP^`}C(5$Zb!L7*GrmJsfT`VoV$JiZe}SJ9iYt;n9hpPw;gDOhkY2f>1ubWRx1_iW!ID%m1hT`Yyj(xh(J<` zt2{{x3cjPrw}9+OUmTaa%9E7WD(QZ&QuRg)!-KwHFxJ9%RzbX}UZ!62b_x-Dz^o(8 zq-ZHz{i~JA+0vA-E6{edvp`yf!nMK#1d-T9)Qm)$`$tk2TqzQ27l>U2(FbYS2gDJs z0VEJ%9Cix{W1;K{Ga>3kL_iSD(Au=JmnxAVol|cHlad1SAUM z0mA49W4cis*O(Iw6zD)v9}VTPgI6Pz2Z{PPC}$Yzc6`T%(7f+PFb@{a9N056X;m17 zZF0O~HdX3LdHHmAsgmaGsX4CWgm)2imk@@eyph-*m=}fkXM(#5vbo4iiW`pYfpLiT zcmZ7x!HuLK4Go0AA0nWe0Jz1+#6cV4y9IjGI0lCb@<~XUnbf!Su}viAa+Mm7LN!;Y z=UW_v2?G2bguf>ZNr9uVy#Qcz6b=*KbI`p=7?ScvV0!^P9EQV%^k*nuCI)GUBpin$ zg!Bps*0hEbjRpJEI1on)bLoZJ+L)QtyEU*)W;D~+;r)>Ce1X0DG7v8p0v5$K&llX# zBw|0v{y-r+Uj@3=jh(O5ymJI~qA1sZYOTR35yZ48*M@4Cp>(~V_>6rvg4QjJpF+>f zq(O7tu{RaNsMQ^31kwe-b_T>bl7K|DG%Jjqq2JwLxb8T?K!H3_kAZUR;ME9ZkEr*A za&JT3j-asSH1E3+%wFN7z+h(5V7lo6&Wkd}?5a-?6Cv+55N>)9Lqvhh384?_yg_i& zgBSr}JW8|$=t_gtB8ZbjTZXP;Xx;Q6x!*#AaMzl{*~hm^e#HVz5QOd3LWB+3;pRq?9mS(|F(q2Z`P46~cAyHUkC z(uDBC$gyRLIKoNycw{DZ#Enf&6ohiB=nsKzg0CK=9^srO`oo|-!qB@3gth-hNT&;F zIw;IcT9QV~G{ZST!g(~LZsP62>1t%`XhfkrS{yhD>RH@7k~-jOWQ>3?9wXXQp*h`G z4nm6%9xK{2pqp)IT}4Rlw-LVMgmNti%uMQiJGR*?l}puHt15Yz#PB-Ub4tzRVi?ux zCPoy<<7h$y_X#F2$CHY{e053WOPq9C-VihglummG>7VLeUsOF?U$skn+_?Y|My z(}gqwBxWWJX(zU$M$m+7#PvvtYX>;%hAdZgI|k%L!97D<+7O714VT;*h2JwYxr7Lx zDUeM7*lfrUgn70=HV0r!12S^JLdANCp9_xX7uGq3t9xveybIIZL8K(gdamK39EB!9 zh~j&exN#ud_?c0v)m*6(5R~U^k&lP;&>_eX({n^V0n)<_`S8|zZ`9m#1ylosnMrG| z8_VrTPLxR2p`H>FTqND_qv|M%=gIDmh42Jp_bbC4HXH>Yg6E6$M95Aaq!iJ+K%_Gv zGSvxNg*MU`lE<_q2rXG9a!9F)n;jRpul>5m5~1h$GiQ zeWT%s>qeU#K_D*@{Y}u`G88?cd9mnkh4vSQ-gTqa{u?2^L`XbYU`~cKBB4!H`|36O z7E!lE_1B>MHYB;I4hPnamPtw!*h|HorvZ2_glIK!(`5EENf2UtnIN8r{7*wfAiS3g z;zh_`G7#$yP#k#Ci0~Bx`xqc*CM}YyamnH|>TE`$`w0l2h7=cF*LISGD6&_I8~=uQ zzTt-JJd+TV=PHr^7t(KsAV);67WsFOes9Q!BPgsO#@-vzyhcE)E!@_|%%sumz;-n3 zCuxn4W+kMn1G8p`av>ct5GIQ2wc=1a2%RBC9f~Hb>`;;pA-LBGV_oP+4wZoj&lScf z=r=GJ(S)^yAB`|yAJXmu%ia6Lopu84{_TPc;xUUo`&Tb`N50XZfq4LmJFmq^~bXBNsHkk zA~KU6PuH~zft?X=FV&X7Zn!7z{p`it+RjC0QoXAWqK|bM%ZChJFc}l(BqKUkFO_0} zUs1G2LNt*=B;CJj=M`TY+x1E!KLN5+eQ{jAEWTs=3gp?GH&R4ACt1R1-SK_;XOF>6 zAul^hRh z#;#7f;-Y3{>R7z9hA^f>f3m@dJ5We*(N?Ap>nGL}@#zrGS)f=}Jgg<+%OSkm5D$+9 zoQvmDSaQ@|s^)6bQn&zF%N2X8YYXT>KwdXMZpQ7x08kjj2=gPsyamKZgTwUTo?EHX z@Mf4`J|_ZwHIw#j$zqi&B`%7@azKo|C6us|%X;ewXmLQ=hXC@|b2w0kfYt+qcXyK> zx$(xu$9WW-{d0W7k6+7qOOjVul7vot(dck-g)=Nu*6T^v^O#bwCo5*Wc46_Ho%7fv z%S|<%H#k~>BhkScE)>pgo-`=!z^X@}6w*^ulj~S>>FUl1;rtN{P6?RwXvN#FUkS5V z`S z`2C_WhBJ=2Dw|9;$3<2dFO}`Xa!E{IQr)bw<&A{$5(sY^6n4@rC_fhYdysx<$Tw(~ z7fY#Jp`6cUa3nZDibFpU;#Z_0I!t;%IEMAar{P2G4gq`t@izv* zO^d_{-fiRlzZdyJXcWt{VIXPyFlq(PSOJJiai>sLg>*B6;zoUOB3~*^s+aE)@iq`{ zZ-`sehOAev^(`Vzd>3dZ7QpO$Dq0I}=uX0(LMEB*q%DaMGWU02crI49Oc8}9+f!`DLiiaRXRz1uyI45snzbEYF z!Cl2*|737%OtiTymL9(^)HOjIW>8xMwGjm2eIUF}ur@Gwn-0!PL_olQ7w{&4ZfSrw z8XUMMm-qa_|4o?Ng14i=9JmI!IeCQqhah(YZmfY^Z$LLu?1=WE(DnmqoI%@oK$_O4 z2>X#>4+An~U=!bfSK`^>>pKz~eXF_+X zp|yHYU3&kZFiwO1OoL%HhE}Vc?-$-|u+BDkBbyIjt1C_(COEExKL@DuLma>3`HeAE zh)!}I7FRBS?jpk#BRsKHpuZHx#n4}7FpL8%iy$8r!sSq3We|+XeAv_Yml5w1#PyIf zGiev=F8|5Ujk8`pV)2luZ-A0HsWt|FV?Fx=Lb?$Y<|N5ooe)2ok8O_})tdleW^zZ> zII+S}eMEMDGZf5B3kXAaJbxwXTcBi4s*Q%`AIZl=dn`M5fbZ1CJE(U5SW!>1R_Dm3*kPfnU&Cijl@jFFG>Pa5X}8RFe}D517UF*x7v#W zcmQH%1sG?64RJ}t4?@VSVj~QRd*o#yJOnkf5{y<%|KoS|CJW?Y0GJhG1R)Nn2;dQj znH6AFisl=8Pe}WE8iYTF6zvv_Za#K{<~PE40s21~45N(%4D@ea_SZsq73$Xvf)!Oh z-Hw);&f>(O#0R;4C!h}i`O*Lx#lfsBSHu-M{{ive3gds!FaCoduo@DhhH*|F0C+{z z4ZBN2yzJlryigGUo)W;y5U*|kj8f2pAgH*+_p~rNpdV>4jDvzRZU1EfxGnPsA^aHX z%?yIOLMj6mFe$A@Bd*cclyER^#7 zUaA|nz<3LW42PKs#Ayb`=n8P0lcZ0?p$kE|!XOzl#x&k4QN|U4%D+T^EwncqdgIzo zx7Um1fVIjOBEKEd`wY3!DF$-?IwK(83gjUG9y1{AXwHdIs^DJ>uT@gnbS>SDPUXMC z`3)F!J40ARiW;fR&EC|}Ft9(dzk z!zM!c286|yZtl{Idkv1VsmParbX7ynx*j=!JDjBo?j)m2PIu?M?57fn)gT^b0E}ro zc<$a+K?#;hGwYY?1Ct$uXP)5_o zyClM@{%`SRKE%V9X+9iAU&T{_TID|?9tq)LhS-?H1cxMr8=R#IC|?UD4Z`_Dqx9o) zIw4tfGqo{}j%9V0>V3jQW92Eb4u}6vW4ne`X-;@y;EUuY~Xw z)bkC3QN!RwaA2Mh{UTV%@k1`=K++D~=raoGYBk-9>0zc+_P8JkKmH}zwV-EaQifYv z7|g0u!L{7flu8<_n<~1<>REAUU4VXKz>E%vM{?jSA3Saw^K#l|86a!)uN+3a@UKGx3Fs>Lf zCn(gPg>xMkw;LQ|{_8p?K6Hcb9<}Ht0o@Boh3jUJwElErJ8`s7b@J2PLC2x<7k9iO ztf}D4GFV2V4DNXZ73gI_%!Yi9fiT=r1Um}fomYi*IXG7uEaO6Hj5Q@!>r18U*<5W& zX=rmn`jtU5CM#&{KJYtn<~NW&XUL7V&Q`(KSmjHZbbcvu;dSWe8I1PkV-<9rnS82H z?e!Nz{6IMGg0UsvvV^3^-0&shuoqrh7+ugGV=#dQAQE=^f zSwTDr`CA5JeH1QHfpP!eufoxY5>=b!1o#199~wZTzwpVB8~#K6u|Kio<}v3I^wSX2>=T# zwi4wPP+a5d;!0!J!P1pNDeG-5fEyux$^f_)BfG{rQ2#OTZAAV&r1K29s~a&nJKf;^ zJ(uU;$B*8p`25yDxFJ4!$;Ob4ep#?n}60LT~+H>VW{+8HpTMO=pPHbd+#FN-*n_tKT=;Ym2#6c;kS z7ixj@Z*q*nXRKXzb#Xm||MIiwEqu6zR;o;@9xbd7!C4XAJdXb_mc4)(&8kL;mXsqoP%J7S4uXY;150XIN2vKl~+@y9i`k0CqDV#vut7+3zai zeIOifh>a>0E|Wh-0-1)g5UK(74~o%DWs&`EvhQh79%Jm=jc8HKy1Ll9f=#^R@>ua; zCiHxgiOG3jbX8N*SQLkPaGXfFMv|-9RN8@!!~t|tT!yUSl@Xazy;$2_O2K7Ryy3{C zbzuay6R1WhIEMJ7F+$=!HD2Xp8WPF(Y!4yv^nmllB*~~(^r=y=#tMYbVsMpf64HS$ z5(Pt3&sEF$^t48&QQcEeT(!=%{z;VK4`!Db>1&$>v+%tH!V@SCl1Yfs88>^gw}99& zvtvwxjC#Z9aNWv2B4MVX|PI}-DD;NCZVl}RrOZ#g)J9U7ds`$Nbpj=^06ecWmo-+39$KsdM4Mscu z##&}FCfC#XT+cMFJ$0OO@XOqg!w=pBcjpWy!=DEFkAt9lItUV~=+RyH~DbF1$+|}H2gyZa}W>!+CU}0;|$Dzb=3LeFH${JXyZXT%%Ba} z@<5v)jBeYaJFs`hba{H~T@hb(oU@cBhGWTC7s*#?o4iYgzz&iR$50BWdMv zXQ%=|IJ-sZL6P%yaitr5MaelYPsuVOp9<+ohTPTsggn~hkcS`tJ>awPd9J}QoX1mH zo+vMYil^92T5LD=N4x9ls(1yg4(<{0O%OfkOXFg9`Xge0kx{Q`ABXO@hSuG_jA={d zK9T+ovS$pb>j_25!G~|_?CW+<8s!AUbNiPKkel(xK*8;yRHpkVA-oFp>juHK#ux#U zINo6b;^eRau;#vj+`dr20NHNQz!_6Z+O|>K)9a8awh0mibB~Dgxw5^>sc&H*t3)bGX@Cj^)8(#AZIHUCRoVi zpU70p!rBY0{SBAgf~_e zv>lDSD>9QFVm6iDe5o5JaXQ7Sh?LW#gQ-JODLV#sMxi`>$dS`u{P+&CFPwpfLB$c~`cNPl68@BzTJR2&jJL8>b z9`issMHJWIFYL#d)KLb$kn$hS4tJL==cbDAHW)la6_N^h&9<>C|2c|jB6}2n{v$O= zDod1YO1e_Sov7)ed;yAizAmm@0pH0E{kx_|i|%9meg1-VJ!xDGI7W1fpuR6{)OpuC zx<@y|@D%W9D(>p=^anqF9am9o8ye>E;=5i>vlKVJO^BuZBHumpEmxemHqJO+- z*@dvpGHH~T!!~Pg^MAH{63_Lzjgl9vdB(K3#xktP}1H%8vb3-Q>43k94fv(h6zBw zyK?iJ=z3DIJBWvA~##@D$m*W<>?WXM~+XDRy(8mqYO5|D%HK@HrZpNkMLKH$WUK z_>j{Xg5k{R03R|W3?IfmQ!qyWkuosu6t9IhWjr59)NDcJfSELO6oW_9&jmFDn9B^5 zJGE}%6)-_>;Z@HP8b`N#4O%C@YjNlpankzJ`MP(uu%3nHHG{S8AX!yj)xwWo72d(; zdj_m?U|6kG3LdgOS6ClG^NGP4IS3Z&eHES7d4l^KGOMR_W1n#Z(EQIA!hPtvo;13z z0V3u9n+RSj_FLkbVYkDk&-pVmlQx=oU$~Yi*qqolnN$&WRBMYVYZWt-nxgw}3)UO; z|47U?!q?19YQ7k@xwxo*m>9FQGczTP`*V0d$6v*GER2|$)Hq&BJ{^fTw=phzBJYjN zq>8YVZ;B~93#-H6T*U8S3I#8lce5h%%nStyY`+avan=kQpMuf4ic4VlNV>nUAnSp+C}jVEWh*Z9V-ZL zT5#TonF?;6)`pApdzdV1v~@NKzm|^>WBx;m6%6CB5FKK=63o^xOr>RZiusx_UB@tw zTIPkJF=vqr^RKj=U8zlR6j%^hz<^5hksQjeuSyrY!P!B9WBp ziVgFt#hks`k-l-VZzr@ z-D1B}FVcFhSkJ(>Cn7Vc^-|czl$hpT_IeS_#vjh6HIfSGIhM)sj^YhselEVgfVN16 zc^Q}IZxr)6FuTMzj+@gR(CbACZW6(j_``LEfM=)i+r@lUf{W1&`0MS4iXOVPexHQ) zJQo~Xh5n}~{y!D^Q_(M=LVqTv3#ibai|HUL^uNTMl{syMDXh?6h$$=cQHH5h=r6^b zy=gq7IusL2e51sbZ($yjehQS zFhf{tYaP4qC3TO224*yX2RD|M5PwpCFbYB2 zQs3A#XD#CYwsn4A4%4d)^H^VWuL$PC_+~@UT#NY16}Ow)ps>yPYHftA&J<9^Y}?Vq#g`drjQ2#NNLVa}Hb6Xp59I&!q9<_X;dXIZ}&`&;pStMSBl9`0*`t#Hme;pTZxWrX`X(vkbP2-MA+bY8DdBOZeL>6dxCH~ zG2?iRdPfvijp8&6VNCfiF~c4T?~33c{Ba!lNUp#XFqn~mZ^Gdp>C;ijtU*j#0GPB9 z?yo|6PXz2?I7~3PJ#grbE~&al%BqWsilf9#N|1CnD`1;1Lqji;?oa3NKO*zq`=YxF ze`h6Oa(fH=Gd_RpO7Q^x{E%NTI zV^p4E)mvONpW$!+GBj)9J9ihAbLx~I)!=b4+$~u`5MRUe8v`*6-(ikusNDmA7oe~# z@2bd~hZ!HSPx>2IxckpRa)$jqd`QP>_3i0i!lc>5@M7YlDM=$M(5*N*L$JRANtX(VGYM-pvCaZWcr5)g!AyYp5y(vHP$#xqIwWuZnS~Jq zIKNV*@Hr8giQjQ(V|Uh)g9crLP4F!Cl3^uh^(=%LA2F}uo#0ZU;Jk*T7L%5P4HuQ| z2V%<3>1f&^sj2HTqotfni-;56<9*5h0RRC1|9AnMod=v$)!D`oqr{FC70WKtEGUxL zuy;+27)8Z8%+B219e2tyWdSADpeUkX!Hx=+SWsivsHicY#uPO%n)sPSKQ(IXy~M=t zdG4Gu^W3}dU6!05=eJYd=YQYR&pqedVZeaz4j3?CzgjU<&6SFS@wyyTO8K53)s`z} zbH$Fzsw)i`@Ev@ z52i6WYl`49d~pS>5mc}u-b0Wpbgw1WPvBEV2DM%p?^8V)*cWrv)Y_tW3*QV{j`mT- z@_1hirmiEVtHW%tVaf|Jhl=S!u&&q-f$au{{c6s>y_V01qV+`70>Lmt#1w?ZkS+(s z>JU+kf%P_q!n>6z6}s0K`yFAsn_=(Ws!s0?Lbo;$(S8sdV2F5;LV8-Nk~=ZjQ0xzZ z?V(BbxngKPRP2v|?Qw?vs^FuTYsI!wt%%yUk!adrpEWd6^)?psPMDrxn8T!5^)?Z~ z6c|?wL9^;@D%LY#d9q>6cFf#q5!=&XH`A~sxq_OMYi||nvtW6)Va)<7q*y6(?Wsb# z(lty(7ea8cAzIU2A{(@)Yk5eKtJ5|U;iC`@8VD-{magxon5(Yu7%t{(!}I{dd@Yz~ zgSJ{nq{A5@%EO@QF_iSMuIz4hIU_|l1EMnwq1D5U65ZL*%r$gI4>ww5^C5Z3kgWqh z3#m+Jkm*X5gM6Bud8ISgy}4*#h3*|g%hp^-rL);oK3A!3A&ReHy&*dv1kHYHnAlQu z!=c&E(6LI@tH@T)dOdt{yo>k~xk|L393zU!uw-OVzk2l=TZBivleKFkwAMJ(6pr*Z2v7Lzahwg{p3Q?cNi{b)U zauUIy3YVojiz&;O(+37M?dAS1BDxyJi$h6N)NubQR1MaS^$|C8k@k9p4e-9!Q%F_w zg;dak@p}CD=ImovcQpdWx`;m!Ks5ckiGowKMKnjyOS<&$E~YoY|SE`C_ek8v(wDtSXM1hPvPo&i}|nc@l$=6!;5{y{Arl} z&M@bdLOQ(JSL~m`=YKHl!;v*zq&NGC`SUP+u@7^2v%i@C1*R_>=JX~*I=neR?Ei+( zUp4GuGN9Cjn*;iDpa@>W7ysx}0FQnkg12D&jv-*>c2|H;KNQ7#_~t`H!8GvhpwL~N zevsIIjL$za?3tsm=LGvlV*Uj_{@O5S)u~m2?7_~K&39`TY0k_0X;8FfP7nnTU|MzNbX8jZyJE(X=_JDp+3}v3{RAeb#LP%#?LJ)W zI0DUbcA5dc=1)OikZVJCmAGu{v%Y~dpQM2w^D z;5boi1xrQ-#rLY*$BSqTj2RiM`4}4!GBqWVAK@cL2E9(yv&o`31eS~ps#p#0Bh4-? zA~reS?E3I*0cs&DB29<6h(D3*a1zOBL7{|Ih*DW61y_rlS#D(@uO5+O3VDs_#^U>N zhzy!M7Gp#gNo$)+>rhxF6kCrm!?A@815qe zMEE{Ul}xD|w3X83tmfuIAuvts%o)_fweg<0q6B24N;k&)xHOWd*EtB2 zJjzA1`hz168%nK|e)GN^hTyyq3GK_xQzUo2YA z01luKLA7iUO)cELO+*Lb%Y&&vP?7Ij>KBaod%Ke#0m%p#0Tdm?AoPR8qzLIAAr(RB2{}>HX80_@CzcQw z*Q&v^>b-)R0m;b*YIHIRQ$lg9*j~C%c;`TOZvS~qWG~+R!n+tOYej#PWdCwmtW&m^ z9uUfPu)fjoswJ7?v%dVGaBhSB9sTEM5te@;98RbnG&m#iSwaDJhfDd5jDJXIk3;oD z|7r9pMtfLjzX$1AgSHVqOYkilbXPkc5e9EB-|9bu9)*l0!uSw+-m)=hb7>6b(S8G? z1MB#sLih^G<(CTq5kd<-GEzb>8oMly31?+6)-*UAKoU6RQb}4b_j(=|;QD}VXaI-X zK=yJdvPRjLe<`e1aJZ?%pxKnbVj?5XJt3^^z}airSiYH{MpZk1C9DbH9B!}@Iu_<* z=v%-&y;P~~1Z+G0kMNEJ%i8nd7-bX!KPuN*K{4Hy53)}RY%*ZhW=+Dt)`UGJkg0%h zZ-zm0K4D~NLc;Fp*Fxg%&iMvu>1msqE*G%}{ItNhmvfZ?OBfu)t)N^km473s8-Tgv zze2UAtLgl21$8Gd_Zz4&$UM5xs7Ljw1V6%tP9~^Sd@&aA8q?q333Lg7&lynOofyz8 zR;kLlV)ge9aU{%W%E+LF*sGd)Z>E;h-Q7XtcI+A9u}@%RP+okzDyQ18nyPcAPlUqU zy4y$?r=OZIPs!#gtmCP44J&csP+tykufyX3p9=O~Agw~+t&4@_jJr1x6XUQ!JuBo7 zK>oyV*iSTl@p^|b%loIuzk>8zL%wN(V{$X{wqOPh957&0LH}fy2>p12F)YD}LaNrCO;>|(bFcEDkoExOpk*Lode;+_tK+a;hJyb{ zNZdU=+#qpoZe>g%)sC&+RIyfQ3(Bc%88=;JzctH^%Xfu+47eGC&0ATUT@E_1j|rl$ z74Hi!2h?-}7w^7&5ja;p>ODc70*tlw8y~lus9Ld?Z+{RV_k?E~zQsE+55&y2%r2*d z-L>hdbh#~8Er&b5uL|@c03SD?@wuRfc9he_Y6>@AHQce}OPQ|9d4uY!N$k&_0_iV~ zhKqy?sPSRMli2I#G0Zl~;s3;~*8yo?9s~q!OUap+=`59SYf!`;2KsC`SgFnv&+>p3 z9ngCg?`4~wO-l>%c6|$3?WJ-m-ILDc!;aEdmyadI z4+HqDgKCPoMqq;PM z&&Lt#Y+($A@W2>jSOTMwX<@rKM<^3OI5tK}YE%tMICy4D#o$j+Qp`rxh8NwAr1_FG zs3Tw6*fN9c3qt9^>oW{WQto2AHEiE=B~7!T=Xw+a|1fuxyeNcfHpSOrN^7QtYa5)! zGH6;_@ZKsT3{OR-g`C;q2`5pU0Wk0nJ@JcvzIFNLO#Qi{Wv=|WpIQ`a+l#QJIsN|| zUcBP8>VN#U&|kamJ+I~o|GI`fN$HmrI3u z4_^6;U^fGDD+8NU^()m<^QN%EWZ_?!N#j8IzClW={xbg#rsb-bJ-jKj@gVJL&{}ad ztIBlca8%JJ_9X%B4amL*D5(ac9Wm?Mg6#ha<%b{~Vo)|qa3@nM^Aw~*m0uRr5x}Gj z)bIopnU&BKLOH{ZDt{NB&IIKg!>5*nT(1O}i@F)bE5exz z#svmvr$kN_Cm*M!P7KPWR5e!!QlXzXju-TBPL;u_(dr-N0+#~*zHx!kNf)T5v33!j zlWEld=Y{tPSl=4FQHeY>@F?yx!L7aGfB`Lt4B8E~;Jwu@d4kXv$mhZt3C30iC#mMf zy0b3?H4d1a3{+wY>ocfu7ok$`5-*fGw-->SI~=YO*10VbQdbEx-=9?V>HJchn+?)@ z!?~pV^3%I6+zWjroCRP!xa^!TkzWhvQ7|4iI7$5~ZpRwsSNWHKo&w}K12i%rtLleI zdH0L1p2*5YlIbsk^pd0DB4MV-7smYPTCU-&5YL5uBksHbF(X4?_0qR#T?GkNs`p)- z6b*4P&RH2BG*8I2i-Iby88GOTF|Xwj$__DQCXb*Uf|{~BvtIc@iSx~p=uX7QenHN^#r7 zs=C_{o+!dgAiCNR(%t5@a4fLW$@y-vIz`0SLwJ`V?(HrTTo&O25d9)S=rgJAE`(JP zJ_gaR4WYVQ!C>2P_arCg+|)2;)|zQ=)) z_ghhO$a=(3$M4{s3%D0yiUwd{W==2>tV&NC1diuA@pS}NOFth>VVYPCE_Tx$!k$Nb z!NGU|-q&n_zXqt+ZB3oFoVfOPC|`Fp&EFQA7`B*lsxw{8=7ag-701Onv5F91UUA6_ zC%%`6c0P2M#6Zmio3*cKT%^=f)Lxnp7U& zfpM-=o-GZO{Nt7$`)UTwzXU)5hdr{T%jN3q5P2kCMwD>fAzpZU1Wzzw&H zj_X^Ttv84*!s4;=`wUh>8`QP3>!b}n1O#_F82E=bQN!`qsFcjGh&!#~4i8fCARL3X znHIb^SdkU+VZsS-dGVe>ISI+4Pq;%we_hOS7nUBdE^tc2oM-4iCS2&hUUFv?5IZ>x z(afEMCW}$0ajQyihC}AP*#ob+`N^Q(Bvd1psQMEcZCLNXDdZc(t3!c0(&4;U@xv*u zZ*l_>?o#Vh$EJ^tI|3ZOlckmOXad7h1Y}E)0c(ERvzR1 z)UBPc{5eiBGN^yi)x4Ra7!S)`Li?x!!zi~E&J@#q@zDgsG`=`}mS~QHecI4idj+3} zt_PYEoz52^ucKYkEU`Zw-_3INns92gVV^CUIrwg#p`qSy0=cQ1&+^KYx~HEf=6vwaS0OcLB17{6pAwY=8FBP z_?#7wLG58e`}#TMc_NsJFJ{piK?N(~eZ3#LK&)ruQ`S)iwf3vRjpgVIMKl-2j0`F= zR_8Ag*+Q5zGN>$CBQ6%jVp!fD+D8?xfIkz{dtmmAGxd$x7w~+s`y*`rY#^n8FA?*X zVEU?I9xdQYMe!P}-!K$Ru`l4u#Qsfu{2nB4qD;AXNw| z^cxpV4>*Q$!nC!h*;OABYNP74@mch;a671OBhtfR!U-iq-xtOM9NZwTj1_eURJl+a zRd0yTq8F|Y{b`)*CqcBc|{u+bP3TR?Fd|%BQ59TN{ zgu6QT8K9P>fH-a7DNxUO2#n7RPM;YIhj^GB^NF1W^>1K?4#f2lG-F%vzR$F!GhMp~ zXE+#p8JuBD;na%VAn|ysPu#Jfl>Q4+eJZn?kZPdtnGps}+bFzGOk4CRp4|m^B~S|t z-0;3|>=BUd1>C#w{?RA$I&f}SRu-F?W9=!d#o$;Q5iNcBg;ip#Zf5rq&b{E=Z}`;O z7l%F(#IwadaZ3PsY*|76%?f=j!ST=dXtnB-#d?4Ppk(bYtiOZB-<@PoR-buJ77v~rAfPt^d3#wwOdvrA3g`ns zJ~BX~;bCH<@gAk}L9wIS`2*p74%U~;%JYsn9#>s_N&bf{&hHR3$F{=zKK;XEc|p3s z-O;JJY_;sB9B=nBaB?YEJ{V)Jlo|AUycUb-ik>GEtcOgLmMvGMVHU z^KfAv0v@*$8MJhkmh1+y)Wv5ud@ddZ)G-Eb3*Zy$P&o3qREK*QTm<#RHqfRR#AV4y zK7Bh$n5TeurokN9mzT^)JV_Z8E4cYNN@#OHI^UrAEe~@-$^MaxY3qww%`OO=B5Z+I3t5*r_TpGtRJ3C&;_S1(X&frWKg|%)1DV0*KN6c z!4MbH>*j^gVED8iD*!#d5AnB%3@Y?@`%Pi=Hm@iQ9!PyJBt#iL%bE;VkNKz|9XKA5 zEt8Q!9q^5w;xtbB;pZ1L*SKHj5n~|bY>7b;>)^dld;@{>PZ8}Auwi6St(I6r3tz^y z)hcDtr=e>L)&0;zI}VJB=(+V#G4y`PD3W~kR6Gb$6+jK*sRqEzzxreKMDQ_7pMN() z&d8v7;5z~O!0x1x56$kITb&+rE98s}iZLtiR1xxYwpDqx3?kP@8BP=Zz0m)GS4GeZ zYaNd0T{&`();rH_hp$6IKN+qpPjrkFv>fpm8PpG7mKc$45YzIunY2;LlD*B@!4;%hNx2@P_cvp1&O zTu-6xzr>ci9K#IT?ot;|mUMh0w%fvP7t0n;G0^tkV*5kb`6n5?4=f<}qd2hsk65?E znh#1bsC6O7->xG2Td_VJmUCm)_}XPg0S^`6O2o7x@?oaMG2=#Nz;-#YeHeEBX(I0i zZNtof?Le{RiSpMCE+6Sg!S;G__+8k&?`*aHtV*^#rgnp9Rv3sU=nxrH!%S@UEH{c` z2&^|S6t0$@3%65ia{6aAJunmJ=ybt#==lH1*_(OgE zQJa1eFjt=`gj+$do{02kU5qTOKfiybK%NAIF`kfN_`qjK*j`driJm1S_KLqTNIHLt zlTf6y1o1n_8RO2FMS80g=^r8D6F3aM9k$?gQVM)qK3gvS7WD5J7w^k|l<7Hw_!#o9 zml1(Noh^vv&^r${dT4(G$3l(gV!c$)b(A#HJ;Fu&iBwOW$hBefD_e^Gy!;$tB=z9h zF*X?}$;GZf=)icy-FS5bUm#j9n?lTHd}-V!fCC`pt~-MVXdXlXzK6YC2$P^ZKGgSz z;761@gwP3fSB#)j`QAQ+C3CkR9)O&Y!6!reh$g~k!abt?B~*+IUai$p)IJC975TG} zF)}Pg?vsC?$X|ktk-^LD3e+4`FO&-OC3K7o+QXTx`Fs(sh$h4_DlTlr2fjr&la58* z@TV&4)5h#wl7iI$i9Z8uLmH1NWUzMC5zG~D)&XQU#JD#jOmFIsUAQT1wUa~GJb~>E z(7^_5xCsk?PAS5=Kv;)?!>556G=FUtAFGL=DnFN;IvSi~9m|)sPM(_C9aix>MVf{z zh)H!0)RZza&XWZ2e_1Rzr6$0x;^Azd9se8ZMGn!1bdPc|o(DRO3UAx=PVr&^90(;N zgZf}r((6UIH5}*NPFzTjd?j^PuAg(R-#y~gbmNz%m$+PHLY_yT&&c2lfcKs;RAVyo zsA&0vjf@OlYh*yPl|Ck7Zjv&pSWDie!FNxOi*&{1@uPu=Dz$v}ebao&zM;@E=)$GmiKGqNL zH?ji<>MmjZJ{MFL32Jp<1{)}ygSl*FdU{Iye@XNBt=j7Z!XNV-VnB=PJ8$~$;uQ%$S5?##CMA?ehBMoIMoQTySc24!x zGyI%zPdZ-Mf$(1+RISDxPqo*f}i;^*4*2hj@4dqaS)E zBGlh1dL9(u#(6*Vto@;WvFN$U%l*{2-ssngL6G$mtGflk?KF-$afHzTC)NLN;Ci1j z>3$ht*+V_(AgqR5DK_#yoRU2(x+Tzz;i+*1uQTc_Dt#z@K&1Q`zX>s^t_wAaJe_?+ zWD_B|GbS?{X}ENq&fWq4=vN!!eNb%*5`#8Uqr#$-!EB1O<`MvPdrqVh}-G5xCyndpCQ@HtyXG2_=-MbP%J6 zD4>W+mkuFx2rWPeHT2#hy;u3a?>RH)zH`oZch~EP!X8lw%3&PsPx>Rj4iZ#uSIt<{4#94;{WMoihjlu8i_SMXD%}tethN{b#h{_x&9Bruh9+y_> zC?2{W$6)`MR5e*G+-|d3F1?(FGwHg6Ntd`RBo^tUH5g4$1UEM%L z2F*KLfOzn4>1k`rb>!QN3q@pQ2&`g=WW5-RpdXhi-No{qqOltIH4F`_BXJhuB-YV= zmnaMaJ={>(07VPib8JOhr74FNfl-7rTx8JQBDW5tMj3Lo4C=@=mAWhUh{9;l6aNQ= zd~S(~IkX>K zSLcl4UBVp(W@P8XCeGdy%L-1%+n} z70cDplzqRz&jEbifU^T&LxY>M9}xUSpsyIX)yyJ1nzSDjh1Wp8ZYb0+fV1vm!QTYB z%)qT71O~*@_QOt~fc7=fMYc7qLqB|>bLr&qwxD}s=-Ss9zQ#hztvnkV+H>shoco(* z=aTV<%M^AATJR5;&&c4ri7$PWdlSlB= zdska=h+x|S+0MXZq14b2W4W36ww_{TO`&%HxvN2QnUZAM7~_r4NI?$-#K^!OT&4`g z&jd=Q$Y+IWM^Z)xPX(1zofn@I>?ovVR4lMCTFPSpd0wE?05B>PTIgrMN2DG63&ea! zX)UY@nWSu(`W_YLUZh`YFhOp~ocDx~&w^SDzcXlV0huop;!V$!LXO1e(FW-Uim0(Q zwskho$hCJCifxTw3p@#*w>03e&7i5blsh}R* z5co8J=Nj<3sQ9E=DV(dcm70qyh{XB8FEu2B{9E&tB>xo!z7imJh78)!g8b zsiROCC=%QsvF)ZMg8WrtC4rv-xH5iE!+vgwnrqZ%xT^C;JO+yWs(`o}=&^=EFnqAx zbhfnM$K!%d0QRl^pz%uXX+bvywpm{&?T*oB1>FkRR0H*kpQOn6&{AqEJ|*mS;J$CL zK?hCT#YON^q4xwi&7g#%id-&DZ|$zI{ri@@2-pJ#8Wfq{YU1#{Ovr~py>5`1OyfTAmY{C|TLH5pgAM>0 zZ($HBW9?t8{72|PAcq)qrY$@A6=8>i8)2{+qvLJMtAefvY>a_svIRQ3yI6Tm*zw>d z8f<2`YR}KgRd53My1*L&+}MCKHb;k%l{W<44A@iy&5m?D$K*ni-SvbQ1E-$ zsA)Dvy`K`5T)bOr9KVZ=OeG%tRUA6Xr{#Uy=2Qpw*dKKD3w0`q%V?vNs1U)3sGywajpw%EC)s`}0rj#OUJo_vyH(8^8~Vt&kRR8avZ8*0~ga( zvOfOc1~{C{7fR(~b9WA7c3w{qM~ToB09#Q7LF-l)erX|`Bdse6Q$aRFe5fD`zqCTM z0$Wcsb_c(|p;3>&)hJGKGBsLs4nX>xq2rIUF;b;%;c^bUtTCd}1cfd`Wg`Ao!y2k2 z2S*j|_}NKWQ)R@X5gF9Mz0_3H7ortVy$H>Pz^@~jP-p`FR>LZ;`I3F)`l59rn3D~y z`r2Ai2|22d6P;6${&$8>FRceN%y?1wJ?Jz4HwBc6XCvOhXMsM)P+%A7#V<+kK%1B- za(@MOo*_5Bww$hw_zd4$c0Q0LPKtly>Y$fi5v^ce6#fw~Z=`scxza6}lOL}S`0SSf z{jmQy=dqUs{}||h{|6ki+AD(B;jzRZ_h`ZwUKR{##eLNg32;*@iom z%SCq~Y9kSt4zLvAp@7V5UI0fJJmjS7=q?Vs95ER*Ygz6&vN@00wA4NO+d|I+Weo*c zXTfl8M18gw0KAcTBKX>r;~JM`+(tK+4DUz!#Ss;no6Qh|)+PeJ#EguVmTeZ*$>4P{ zcqrJ>spcokF=ue{H0upP*>X2Yp;`C(XH(x1qrVGkiX*jhbWDrea`ZqfxuwSAXnM5; zky9cggKC5YZ!2nl_^$9@g7c%2@}bAcqd)Kr+-E!hhOcTEA9{^ova;Ah@HK%B>le;R zH#!5|Qt)+vT4PbzrI3gDdGgKbzmlB7|w9@9H^axZQGnBHOgU*Q`-b@kx zDX^~_ye=B5WlF9BY%db;0sqjDFxpvgfs@aEd@K13{1=8sILfewqQMQjz8!?FTfVN2 zx2hPlg@hAV+|qITb4Ni}0yfA%r#bOv06B zRrt9m@b;Q@gKZ2X*_)gJxG#nW#Isdpe^J>SDvW76eEan(%`L%=m>-M8e!%mFgl|1s z6wDBN3t9lyVxaXf$Ctr-f&H)2oiFa?^;%N6R}euG|v$8 z2R^Q$MvD+W5%=0Y1u*sE1X)uepN65_w#(h?_-)7X`dS zM?+)0p_3_1*g$_I5|e;$YDoBTJE@Zv+!zsxV0?pU=(CBDjnSlEPnw0|mhJ^-ukY@sXbmr|n9L!lMPJQLMrk=9DYyXzu zX9K-4gB}5g_+6=rH5t4ESB~ z(NBQ=+@ZdwgiY5!Q{vqxU(y3W+34ipAVKEl|r z96FsQ@cLG#kb8mJ+aR;qy2UzgAToU`;=cHBfB|PaMpR-{dF2qnb3msXc-CrMzbco6 zJQ!5jAhXpKU!$BMY!A324K|xA?`|F}FHn|+{TsOR`^CoB^D4sfe*fhL>z8iT78$Yre%^aBOkV|j)Ibgeaiif~U<3Hsz;wBke6{It0eKak z*CW&Ug)Oyu8g+!Qyw=FuiD@>IDNmw~6p$AS*D%1aXC~{{dp2}2ou@}JUh8t3 zSMylqM7b+vWbnPco1{u@=S5xt9`)fhJ0QQxE$_YyR7y={zZ-iS8 z6*hpCmNM2)l95Aom3xA~D+A=xCJp;Fas+c~PL9S;5}FH};RYS}DOtmvEaWIq>ltL= zCzA0Ld8(l61Djx=K}#UY8S)eXxs2M%00V223Gy@{zXytIkv_eYTrK&n&|HXA4B8K} ziI4q*5E%YD;b(!hrr3QRU^9J< zEB8MLxCfv;4KUO2;xCJwAt=98xQ~Gb<5}Fl<1c*tUf@Q6`x|hkux`U}iLeE52OF&4 zFhq_DzbbL5;GIAZG4Q}{o)`G<99$;wOn|fcg7vEs{}%X2fWPSr=A`3uzFgpw0G`?x zOm}RWaw;orA?+3M+OwWg<3 zpe~iv)e;jsCXB5bl4UcQxUsyK4!Wlkf2oyAz6{Z z7R1OyK5QFp>#hqozire9w%>T<)(*R+o@KXBL|>4*WWaXI~cYVq^J~uGOHu2o!l+&A;f%&u3(|_g8*+26=bQkx#j= zfKJy4`ZW&RvG(&MhllL0hp3cFKJIIwDBbc?BPtGQDF9kPh-nTUp8SlX5!S^-B^7|d z5#1m)d&(cu0TE=Udb=6lKS`e$SlkcC|D``l9gTsrwWjGNzw}ZXm{fR$;s!_(}pcPLl@jgD)W6Fa6CY z(L-g1O(?vx*9v@CPD}Awbw0Zpy6yx^o{J7jqO7+g5)?B4$dz|YDr^s$FP12 zDMprbtZ|csx=-GA9qNM^AKsnWg#6A5%K-Ek{qXzUs%Ib_xi7wG-u#>bamzatZM4@9 z>{Q|42I0>NhNrEK9#GwEUOPRuC#eC|QLjx6W z0ysHDOfJD4HnjRB+u_S706U6rop3Nmbvob=SqFmYB~GyalUz?2S3rcbfY{qQ;H@Fi zdD43&Pn0^v!9;d6neh|YHwGP)PpMbv1ODaxew!I|O7L@H4Sx`~ z89;3*`^uhTY0km2q2=FQP5cU2jk#+{os^I)+hjphMUcNZkZp zdT2BXT|0_OGCqoNW8!|$k5c+bo5u%*-g7Z5mQIQVVoLNZ-~O<&=Q;W7RUpTw21rOLr9p%$tmfsj$!9@{WBk1l>5 z+jSW8_WId%mJ{kvdG?V0kWS?Qz1&g6Yt(p)4}aqP6FopNdB`V>AICs50?+=*RmUD< z5)by%bGW!AC64R)Y%jV2t#3La;cxmndnP6F|W`-2^rh7&Q(rG$V`M|7p z_oZdc&a#6diNEbfyxr{-6t`Zv;)UBNI-7^^?u)-Qs5!`z56Uwf+F4y=9}sh_kix){@2I-8|nn2$FzAr zwpr@slg+P#lpG1>eOP`B%4ge#anr22->B}&3d?b_@EIeDJ$t~PBq7?MHjQC$`sB9N zZ;f`_p?zxx@u2{n?Y_b`c^q5lpr0!!^X!zzLbC*ur=}J^pTND&;xkReOHg}d92#Ks zVS?-s$ycOGU)I!PVYFoFqkwChF>r+1rb0Q88v56f;XMW&FJ8NcWY2fAMq%yjNaccq zKWaGP=<-p>4!+sC7fSaazNv|Q4|gMP{c%G!U@&tt<|Fe9+f=MYFh&C^@`tQ4U?o^2 z0^6XSHw~+&gzVxFvkx+HdKIsX|`_c z!gJwm_T(XBM1TBc&sMA~oYk>a(rg7K?O9pdwqnUy!8ygO;`dcJg;DQ#p@zO42~6k; zRuLwvWW2aMJT74ZcyoZGkSfI($PTc>JeCYd+bI?|GrQ8m!IMn6f7}a)?c<#PgP`B; zP`4Q7Nwk8NltV=y zaKnKo4Hhwo1EwS?dbpMSj3s0AKOo^2IB%{5ip~ag4}}D zqkP^>vq`~6SbhSGS(!>zrVx?9Gg)4CEJFR%Cujg`40^@~tadSNFF0}yk zMiVBC__G4I2(iwCi64(`SD!C@tpRlB!{3{jXzJOJ3+A}gV~+~y{3Ha<=BIKa=056T zS7P!PI+?O~Nh&zvF)0nd>jX{|%8spmIevon@Y8AHKGO8NI7=MF9cJ^6@=4MTp7V>- zT!%BOL9UOiVJ7QIxQ!<@#RxO&?LQjGKZzNt=e^oUu;E95?LA{Pr0~j!CASi$_>Jf^ z3Xud@Z44n*L7rLa5R0$e)Y?^X&j* zJp_as_7vwkgYUz}af7Hjw}2x(Q6q>Owh*qdqsaJe{~HHX0OrHve7k z`N8<+0q`J+E$q3Sm93-TaHJmbmXAn$nEjD_f$;VkOrya`*pC-7PF~xIyH)$fJi&yG zPBhjJj7^3$DXK@=lPCc`!3<{X)Jy@`(AQeZ7)ttK0#_Z@`YocG*`9PzO=Hr^l4_Eo zEwOMtW3`Wh+v7&_CuhW1W=gBaAzc>!tV&^z&gxA3tx*#)V!KlpMw6C2B)yLNQlI=S zA5bRYKEZ!kb1Eyk{~*@0M0sEOu-&OiflY=;9+HiLwZ}(FBq1vaMd?F7az7-DUy8JZg?|!_OTJ z+FH&N7?7@{iVDO~I7z@ZhvCqj2#II2R@yGo5mDK2OLpDS6PXyaMmxE*IR*-^oTe0c zI%1k)E5{<}c5U~#_Ky@~+oy`9zlD|X}&euD*f%pIm=CGvlBheVoA3Jg|3>&4tt z+|{wV6nCHK7DbSn<;V;(z9h)3;`_jdK5eBWG*ZeU^0Ub%u8@*mCrt7vPo?_HqG)3p z^S2hmHyA;0^a}$~j^KZ(`vS{9paB{OSSp`ta!BGi3{Xm=i(r)&22FiQB`oQ;m zjw+eT{vgZw)uU{X(~^q?$oUmq@dt14eiz$L^Gc`?N}S)|oP{Y<`KDA7rlm9p7kAD3RoPP`aZ*VWeglO>m1n{q96!3@-a=5Luf zKkdl-Yvx!4*}J1WsZe6%_%m{-!#vNiuH<|CZV&JzNk-=@*&(N!lzzwdy?DTD?<(KXmHzdg6WqkbaIEr*VJ9H6@@nRUm zZ3yPtXuSH0C*w2D^_bQbxHK}1s0xH`{**sm8L-XWuo}_GeNUipdm+eVFD3PRA;ewW^gJx^?QF8gzHh3_VBzFx17Jl+=ixdp7D- zY`c=XO0ivo3D*+%if!OR8PtYYze9!O;;jFK^=rSePuCAldI>NB80ncYB%jaZukeig z%B-~;Ni?s+{sgc^qj0QT`wzOvm&mYVdefIlgq~3pUB%7jv}Rj-SHdKdpqn9ZBEj_|=Gb75D_z_End zg&zrYnYM|QV|64FSM};Djoq)FLTHCs`(`@Gb3rjy$|Lm=W?<4l8A*f!%f(^8Cd19v z{li$jsZPQHOcHZz>xdJ|4&=_gnWbRR7C}-&=Bv_JyEoMS0(*1T;aCL)dSm)2A9$VU zQ?PYIQ4kkDRL@|b6DS$={s7%r2re0?zZn(IZ$gO6D6suPaGZ=l<%g8mE6}6@X{7zo zy)!$tqP$W%Rl~9a46$$E!MU-;a88NIeoBi7?46L9n<}W(d*l>3d zQ+N4_ctHjDoWnFRBm#7W>QglCbPt#j>|LW@lt55F!N1exT981a)PuO68{w{bUI@LB zEs6hjrBQA|s@!maqYqbXcLVRewetv43-@9H|M&R`A_&3(Oo0By@^29Q_mSftoT-@#kpvPLI?yD?kad2>W;I|#B zolP0ys!dGC7buvU!i{Ric>BLHvA|np>@vW@zP&8C;PBx0btgSZWK#7QHis!#&WVp` z!u1!q6Yv3Jc|FXyxSIVPqo)B!D=|`1Ie%DS;l%>KaFLfS-Z9Qe`I72P0w*by=zwI+ zD+}ZP{->)u3Q@-jTjV$*Yaz@e;k4PJqIcGSA>Atna42-(IB*XpENs?|0H@a_ z^CwbVjNF!yw^%_cYDY2r;+N{0Nu?jw#t$nLK3P*>88kC1!7VaF?hmcFSV6Rp0KP2m zD}%CjOF~kDw4=_4M3`7tkM0$wb?;goL4TZV>?kE)exjc{oJK9-1#QZw0H2lNLwx!n z2*qI4_@$kkC}ff2PP8RY8DWjHgX+a)#CMmY_VQWv$4|@PQ(b8Y_NXTlHe)K@{r zB@SSv@Av6Sqvfmu@`?)WoDKDxY;#uRXbJN-V<>ne`45xqd3H!m7bIIy^GIY>#6^(p zk!OTjKiz7E^4h>7ly1v;&i6q1Objjh)tE@5phb60{GUP&nm9FvBO9`|P9#E5r3+ijNa~{fT zh1D)pr{yytxW%X{0#H8XkmQRuZw)oG^yc+os8N}9M88psT*9yKRJC?(M)!Ru4)q8V zyR5_4XKWO3&{>)2Nf|A!|!3+4y?vcH8m?7 z3V@IDoWMQd*hR8lbl?qDy6Mwe;?uYu-Tb`=`}*BEThnz0)na@JV5mBROy-ZFxM+G| z*EM2&v(`Bhdq;@=G05AZ{mcGnxV92~%=j zgrqsrpwzoJ*qu}|&5x+DI{3y+7U|GgPue7Bp+DgXoku}9#2*&a-xNYKJOMVOdtT>8 zIR445$^@?g&OwIc;WXUo(=iu)>oM|#qqe$mW@pg*+yYXn_7XGmEsN;O#!o38VX_Zc zp!|dab3z)s!LcE)*!R$Z)yJ8x19T?VW3P$iL$ar3{J;X#UIXcZ7+lZGBy?G*>5*mt zWdxr9#BnWCY#afQn#AuEY`sdVuLjqh9>PjV7<7&r;l4Y1@^wVLz{Y>j0PYHBBnW(< zaznnW+kB^dgf!F*>H3E%1G-cD0)gZwInvCH>S8uk4b_d0q3C4>A>7B4RrKLBj#jd_ zd%!zJa?>3XBPDD+gG|D(O~{qt(-hSm*=*pXJKBDqD-3s2v0g-u()0n%zGjA^9u)E1 za3;KPLYf%5&3xoJjh1?U(*7Lw`N@?Vee`;qT@q!0>^U8F7f25yU?{L(qSNxIwXIea!q0}TV)keEwvR-K4i(GXC|LDCV z|7}Y!^q?4kZO4DKB^Y}Dv?Wjs|Fk7Nxv-h$8ehk)!X-q2ZS5bPbvCOd_7+nD>>I^HAh{EDXf9=C=t zLc@x8``Z7gxa_U*`1KKChx_<-_O4Uo@ol^FaqGP|+d?j#!5fK>f&X?e+rzDCJNj3P zUY_GZwTI_nv+aWp<7q$_XF>G5L_q<4K)L|7V9~ECkF!53{;R$BGuGd7mrj4$7ZWLH z@?D=U|9r8fu4+Hsvc`ACk@&R@pXT+WuS6{MqyxTWaVz~Y&V}iLUpdMuHU){bN=E-= z3>7kte#V+Dzpg!$ZL_;!Cr(ztF<=3He@|0E5y}_&;Z->*A}x(Mj_Q+=r`#Im^hy^dz86-J25|ySFwr_a?KPZQo2@M^%%W8 zo+|flnQu)}apSdy?586wu|A$+OIs}Xmx1jDyKLuEDpCDIaxxhPCId{y%Up=QkPm4U zBW3|1?zmhyJSIwQ{8-QN9UGK&Lw$H@PjUpJUFT>a6ET~4SF9JDtHctN`TUALCXU5| z2K7||xEE%t1z#wM9?=#oI(D-w+Pk_Fw-O76`iRoJ%yo=Zs&P^YGRJU3wgSJUNJmE0 zk{Mv_fJj<7o=Ykge}j5$t{7Rw`jzY6>sX9CGt1)j2WhCG-8eu|e#8u!s@FL&{Exl0 z#^b#e9{>MF#N6JjOP z4iM2mEJ;c9Mz%oe9MM^=k`;@O=Ye>mab919hMYx07+e8;$gU+Utw1&A3-PL=zURGP zBImvQP=jBN*}qFP{((hz-C;ycIJE3DvsSTQ;m)Vx>*}EYM=}Nfd!-NgO4C5$&Y(Y9 zn%8fUlBptx35lbaNvG-Z#!|F7eHlKzX!RV-b zv;S3-$S!wZnpf)7HT`f1s zfivz`e`mNd3(Rlx23Ynk?{9Yx1k3~}LBEWFXsapPjNB`xs+9ZQ+v`-GjG79fZ*Fjb zpPU6H8K%UN&VgY=C)yU=O7MmX*l)6y?{b1ohsj=K-5;HYinR@V&8KWy%MuzO^#yEy zFeJ5RT?8R{Gj-g8Pk7_gwr^tVhlpC#C681wcFSRd^s<0#r{XElA#SOQ86sS~gO*Tr zjBa}+x~1JWLP-z%qZ}N9)~rOtj5gusU}~Kq>>GmKo`PuoGGy>fmk`rBWdF?xB1{zM zDBG&2QAL+SDhzuOZWX0(RG=EedY}MsL?YonRBT;8SFVa1CV0?HY=>^ZBb2pM7Ym@w zieJ&f>wR*T>IPrv1zi_aFq-MzuKK>kW21qmvLI8%>;`xZ6v6;uc29s%)M~N6$TW&~ zo`@I}MEk;|ULne9lpx1<7kUXV}AH#FpHRSoLN|Y7GW8df(^-P+m>OUn|)LoQNz9@Qj4nQN+ z#*fIyo~Bug+7E|qvQnH)sJ;s%Kh2%{!o7ISV@Np8Faor?Ib3N*trfj%oS8*wMLGg= zE5Y-ke$*eF?izc=qeVFD*WTnd$7}NsbMm@Mm9^2ip>)}vM``eCjjpua{SkC$Hf2IR zy6ZyY{#Qn}tbJYk$`O9sCwdMSY!R6`epKw?y5W^?NnSN=NtD_z+cJK3p>eCnMQBQy z<+eA9!%rS;icoySuV5-D$P3}$DKNg+%mv+T9I!1&tY&;iQ^K=(3h8P}Y|1;cbLLnj zHWj8p+cQBgnHv61yBK+zmnAKgR-UNU!|P74%t3SAlP6u?%WN0Ao?O+LlT#*IInr&j z!3Q(!Q%C%uL>{5SaUe&Tk7nRlSAr$^_=O(XC`3TU576Ar6tXXB!8Rw=C-?)<=;OlV2zsJsYU2i?L=d+P4UZTW#CzJ_a% zCJ(hRt2iYW1+CMJ9P9;#+Z-?J<-TeXqdEM`Yuv^F;v0?mB%Yn zm{&OPckFm&vUgs7_;w=n6ys-8^YVkSAk9%Y02BxB}y*jl%J?01{yuq1)Oe zg~OszuAH|`5hAh}6hFG+s=i15lctY^COg+IX)btyOv)z8icpkqx@uHFIx@!|`^GG$ z(y%zmBausJOrv=@ljM36cERKVVB*5RQyOj3({9!eo#wR-S~4sk)G9R$r7vX(-iQ23 zUNHjR+6e=GUr`}*TrdC1n(tdoWU(qd_Q65t&801Qf&??X9r+EL?piy~$zHZ4to+>| z_Yc^karKVO8>D8+_5u7|bzcO~EpRy}E=CK0oSELGrwcGtZS-uFe zvlf7j$T1QdCj2E+KAT#FI#b~*s*hV}TL`PR$Qi%gTcxnu_P5iqE z^h%sIle9=^i>=0TDlXoWr{=wV7kp%gvG(V1P?_0vr(bO%1IHR#f)}cdhHCh3Z1;vD z*Ary)!wJ+HsIQdz9_eVWD6uK`6Cv>3#MxEf3F2#*8KfW{4$I%m6%`7BGQH_cWG$_} z)X#C1h=+X@=5AS4H=esr)9(#p&D#PlbTvslbDr||>a}PTF|lr;l{`Cf{iBs$D=?8> zM-V4pz8!6uUQOs=cLer~`Es^-(4x&PUW@T6A-k?K^3`IQirQAOmb77KuTv0puA*oh zJnq>E%!n3M+M|nNsG?_*S{TL3`bF-SfS1n3>)mus%f0!Ub8b?u5`Ur02~u;%-m~pM zHZh{Y+H*lK0smQAoxl6xis~Kb$@_o_q}3~0snwz`Nz3^ZbdK5 z<0}wNfw55x(4k~r0M&nKP~vp_2#6-s6|LZhe)BG6_z}Sno?ZDsd_coV9YmbgLK7 znD#V(54OZF4Kiv&%KlR3{s((6~?T1X?UuMGcns-0CPYy#3K$EjvlK)#gk&CRY~dkeKF{ zdlB8}9}FtY)mS#WtDRY=1y>WIg1llKJGbj*zfZPxoHQv6$M6aV5$eKJPfharb}L+_ zT3p)O_{kW}_pFQL5BYxp?YbX?Ew=;=@`;)gq8wK6b_pCI*k!t})%D{}T=!V#MbH^M zIQ9yerSjbnf9Wqi&pf<-9zY$Xc2qattQ4Us??sV?6RQ{F-D1@*XWK)=uroQiy1GO= znLHx=${#%5XWY99yrC;!O7yg}0_^2aFVC<){ zHzVCO0Y3f^0pCQyt}#lhmqzS}7>8y$bV^_L2W}INE8zBd()}glXvfMGjK=M8QJN~``{xHH7YJF)unw)CHdUj zL)=HbXmiX^J>abSwUr|Rm*aLPntp*#XHWtsYz5>Q-i~3v?)C(19s_m-e(f#8dc`ME zGgrgu%2cuAlQ}r!xqL!%6?o|XxtyeU^U=VYr@ZKf^h zZ@kgF*_il#1Memeyj6@_PVu~HkC~t62@-~dz|)@Sgfrc*UUKzry$S^}zn;z*l7Azn z=Kt&HtMpAmQsv!$r|(Po?EKvZ#0{vIm{Tw3dWPyn3wX0rieAttA)*$y z>DMFHg6<#e2$_abM^TMJmWH22BPkvXy#IB;zVfiD8f6ui!enxoQ1s%|x+9}G{3nnx z%_}#(nUY5^fndY~8yB&SyiI-2F$YPyuPHz zU8|aJz>ByX$xjx9?6JaOMXm0=sf0H~KCy?R%sGaa=&t zEKh{vmP*oIDwHoK*LZu z*$Y@RD*Be@H2ul~bTEDGbX2ZZ1TQtqKGWxBw9c$5M_x}a5}x9bK#a(9isJuLVOxSG zmA$>QYm%yE3?!3{EjE&E^N470@=G<)0wJrm`U?Pab|X@Vg0L^uVBQ})a9<#RL>Gr(3i(`$K8=gueux9l_dTf$ zB6D5#V1?NGQ~nyoa+!KSIaU71TJVLQpH1K*kaOgD)jE)Qd>Wlj+npo+Tj9T+_J?l@ z(e<3i_U7{CRV@smJD=7E2F%8(8`gg9{byk5=5dkU@s9#gM@%p0^Qn&+=F)-#IdJPq z0$nugURH>P49Ac0&d<4MmM;ETOoyS074J8EyTwIYIe$)jG|@0qtc_)|^@cS#Z&#z0K< zm9oV;E&YuWyVOs&l3!_wvum!Si>KvRtM|T4LhmfMwL!`8hu3N8sXw1zD*v?ep#_=ypYkU97)wiOqCEkA3IL>0&X;{)!GWp(z8T#it(zD4cc$webm?DP*7( znA)~maU?_0`0Ocs5{_Z`TBILdTfixcg>YCfX5}9G))1 z{_>Ub&KU81BJ3-9d=atm>7+GDjR+#9+1iiM@9nKlQk3wTKl>9W;auny+aU?S_p{dL zNz&Z~-|OQ`rgm^iYRQxe)rZq&z+QF;{y0j%WR;9yTgSQwaz(<=t~}{HUyOfP2BP=K zd?IZU5D(Da;m;hxLl#or2k^`f2NJ=GHy8&fv%Y>tOePREo=at|yUgivOD+W%-4q~I z6qpI&!Zbqg_?fXl3}eQiQb6W5&#mbRalte;;|BJ;BhourRH6~jH4njjh(OCre-v+mWAt9)?yBHdKRX}#Zka4+(guqK z9#>0Pq$%M66Yd0yJx@9Tp6q?%t2oIb%P&;JPE)R%OaybBBgK-ya8UYFBG9Q$;5amCb*o!SCClWuMJhAX#aB)1SrVw)aRBgdN zx@dJ-pb~0(ee2GlUYrHbsB`dn>)}1J8GUCF`fY&IaA%6bL%IyZ2{||~B@&yW4zXJo zksvVxQm$Z>NVy`g;PzJWgraPe>dbSzPfc*)(&t5kHr;GHHov;PcB*^ZSp!#wtJnEe zKmPcy0d3&a7RCR~0x;(vDE@~9^F$@Pp)VLC#rH~fcGXg-Key%JqoI4ftD#4oP&99& zW9$|j+c1*+Jgszzr3rDiDPD8TJ*QMKI02tk`=bJ_gLqnE`j&Fd*i=KzSHo*KDv*X2 zLYu~poACyt*%KV4IKKi%le1e>^aN<%Bjwd(wUT-d&@NCg{6x!WA$if!5pWN6ftL{| znV2O%O3St-*_zXbz^@NdC9|05Xt#7;#BRuu7>Z}uRO5U^mO;v@Hv<`m{5IXH8JrnSe3 ze+Z*OosO3q$U(oCa&Nh6d3Oo2kDUja?(=7{-zZaUbpOs~>qs@-@|-+o@|W+LY;Mam zgQH(uoMInyJ;7@A$ziei3Vfe9#2~cJc~CW*@u@ON(TY~fZ-%Sl8+3*IL4}Myde}*NO!;{Z72lyFwCxXg2FA z`Ypk!!sXq=&LC`M!L6sxjfrL&)cQ_o-p^-3&FitK!mQ0nXuaxju`HqmqrDLEK40oe zYBuT_?5}7*H$YzhTQtC&f8h16X#So4KMwzhttH#QbCU`s{5`^cw9l4lo$pi_33GqtruXq_-n-J-QALF zbN#xcmVZU=L7X7e!|B|w^Y@%8jjnX2hBB@5I<&SVUz;k)v$woFSB(m%w<|$c2V%Ma zwzfxVBg7#i&w{GEdagp|$Qq$l2lbeY*=OQadUh2*eMKG(G;9+!jk8eM)h#DcKaUsQrbaO@EBKE$mW zBB2WkVrx_5&(V@U&5UjDy8R?Q5m&&}2n!MSpr^#PDn~OVB5#`W*qB z&mZRGy-eHv5$$yj%N8|;>nWXojlqA|Nbe)u3nr5-g+9OuHbOG&UZ7>oZ72*Ws!0Wt zgY&v&w7y|&An%?e{_rynv~x`*7o&CE^E?>*{-9fm)27VNSH03-POv-`SJZ zzFlZAlFzWMC{5;drw~iEhFv02+8XF}L9s&*=+WQQ#UsmO8}IuQt=4KbDZEl`@@~K| zzcp_kPfJH9s+!Kl#~7EP~R zQvypQp3{P=8%v3p^3xJNtWm$1JH=xb+`{BXT!cPL*^gwi#dforj9kE&hk(WgWs=4Q zioNH#&Wbu3{NO{}Hx4W9$xH_40GkqmB7TQ~evS=J&8ON7eHT_M!BvJOWzNGDdFikauB-3Lj{{dvyvCy<*rc2~ zY@EazV(FN#WV9sGU5+o`OLR6gCh@@DV0jBQ(G)SbT*(Q54R%gegtf+0TED?52-SsI zPZdZ!0o>EKDTV4<&t{nhJ2OtR5|n+KF)F}B@>WK;1P;CAdqo~IsHn;9@y+qxG$xaM0z*PYI^|1(!(JtXH!W@RZi@!ebJ5maxavmbG`}w(VEVt$pR! z4OHQl}xDW~LEW`R!RjK=m6 z_sKgUF9kzHHO?zo^BFlQ^RZF$aoPDfiP69%NGm!DYn$dX(o^!X z<6;VP64DE2=5rHsvNN-j=5ta~{`W6g>2V;c!0o?E{MY&4LRJ515jf&R{yIq#zUWrO zWim05juM-6cOaz-)RZg=qRCj@_wswsHNjKk0dJ2?(V{Pj7P@)JHVUpkVap?WOlqsl zDiv2Z?bh@j2|;G2{Z_%t!oFJwgLQ4TZ-@kYXEo5-q2$o4zM{}RWlEH$f)M~8y|yjt zx=#nha_C-$Qdx>9j&mL`f{wA3E`YFE3#awR%7`JGl9&-j0i8Wzqwec}1*?Jdft39} zxaj-K0uajna`8{{|NQ=kL;Zwqq#+WFaJ$~K!YEc3=Nnj#m5qzNBm7+DXlYqF@Rlg2 z%LJ7OB;p9S$!C)I@7AytyYZ*0-rwI%atKmxFI-QHSRuZuDW^?2Z~3Vi@;kw)mfp(S z*@n(w=nNv7(w1lH1~s&preo@}AJX)}>o)B!D`L(%Hg%y~azm;OjH_G>h#NtFh8~P@SA`hhrv`5tz*k9YK=^|RhZT(_R%vS>_(SrB znT#_U=vO(;DBX`v0VW>x%r3b=lN75JZw@2BI{phyy;=GHk(KIh#<{?TgJ{6hLK5 zgOCCf|50mzIsX9Z|AhR1Q40bC-2NNK-}Ao!^N-?9O^l5b!Az-0!a*%b#308^i~%65 zxQ&rPcZJn|`2y0R3_<}+{3jjCe-QwI;(wyU3zT8B6?A|F1f+0j%iyEGSQ)4I-WhgV}aAWCkQ|oXO?Qk$IQ*%iZbxAY#F=P2L zQ~NO!{V_8?Dq~S9Q&TDvRVp*rGGo~?Q`<5V-7+&DOJhk(Q%g$|O-nP6BV)xQQ^z9{ z!y_|)EMpNYQxhx`6)ZECbYq!xQ=4=XopdvAO=Ag7QwvQK4NbGJE5-^drVcA61}kRY zxQxZPOwG7V)VR#t+KlDeOzqlC^xDjPJ&dJ1Oszajv^>l_AB>eAOr0J~j2_GakN{th z_KcBsm67(H;{np~d)D#0+VT5diU4uNJ#)ofb;W)6S%CcPp8f2u{_MUV6F`({&y;Cb zm1*C#4j@~%XIri1uCb z0W$e}Hu<|c`TO36013lA3&ULv!~L(@0EO*6hwWVh;F6p|05PFGGof8IA>d2f0J*+B zyS`n$zI|VRfRz89mH)1m|Gwu3K0{UE!z}RgBjEp6!T+}^2z;3fL>L)B7%D^< z10W10B8<)>3^yQ*U&RhY#*R$H4pqdC{f-^Xj2&H#9qx!7f07>vl^+?AA1aX_JCGkt zl^-Y?oj#iD2|6!EhtN_;v0; zRPM-R?oeg!*h%hSR_^Fp?r>-B__O{%nEuG9{!pp@*rEPln*Qjr{&1`Q`2FTU+~&y4 z=1}eC*q_b8yv@@!Ot(n4XcTo}ucV zv9q4RoSxB*p5gAE@mIfr2)~hWzoBx!v17l%48PG|e#7m4;~+tI1)#W*LAaqJxUqw{!KAp+MY!Q6xbeHVL8!PLlE+ z1tP9R#;t`ati{f(1v9Qi*RF*-ti}IX3nE;L%3BLFSc}_Q3lUt4>0OKXwwCbD8wk!D z8NwSX#v41#8%)j{UCbM9#v8xS8-&gqmBJgQ#v8ZD8^X>T)65&;#+z{483^AQ8Pgdm z*BLw28BE_9UELXO*BO7-8HC>%mD3rf*BQ6b8N%Ng)7=^2+nMm{9SH3m8Q~o&vkuIS~0dGT}K?={a`pIhgr5 zy8b!b={f%LIf(c`WM(4F#MXCWH+qgdIYR4MU6_ zVVI3zm>rCq4UL=~u9yw4m>tTD4asu_&F z35~uPuDS`Yx*5u@3Cpe->8y$9tQi!)2^GH?HU}6$*9@uGgsInzxY0zo(G1StgwEd# z-`#}Y-3;y9gzejm{MtnP>IMSsiUREh6XA*z;RYe)iXr8OFz!k)?gmEfibm}QSMG{e z?gnM$ie=@7bnHrW>;{VMii+(9o8gL^;RdPYimBy>_{){>mm4^@D>}Cue7h@tyBoBp zE4HT_@}n#9<1GmC4GQutOu`LL!Yzc-4TjP!!rTqP+$|XM4I1+;T>TAR{VkN!4VKd_ z(&Y`&&Mmm`4Z83xeE$u8|1EUD4R*jS^5+dP zIK{77OM)>AfiWvE zDswU_OEfAAHYzK)GIP2zOT01*zA`H)OLHnqODszZE=wzE^WQmbmE_yy;eun&y<6mYA9roSIgME9Q(VmV_%7f-6?wT;}9lmgrm- z>|9pxZRYfCmiTQJ{B2gy9_G{@me?K^+#XiQ59Z7dmc$Ph!VkwFNCzZHM<_^#EJ(*N z@dq^VM>z3^Jn_d6iU$;mM;MBS9E!&Xvj+^bM+CEn0<*_pOb294M`%okY)r>+bq92H zM|gFIe09fAjt5kZM_7)BT#m;`7Y9riM?@EgLKnxNLM}*slg4@U7LI>nRN9aO_>_W%zeFyY?NBDh*{C&sJ z{s+|lN7(*{-2TVN9|z1IN5mh8!cZ_(p9m9Q5T;2Gei$Rnq99BvBh0WMOgSUW!Ng8T z$4=A4{;-an#fhENj-BC&o$`vEgOHyPm!GDP|6wjaiy=Sxf3f%HaWQw{|2W<>?fW*Z zO4?*vG)hupDp4v1$)uvDg*0{&aVv?MEESR#vfj~PCL|HXOj@S0W|C|-xn;84=ngl1 zuQT&{O|SRo&)+}qKYs7?cxd#Tb6w}0>ulG#uIrq_L)$$<+t!D6YKfXdMIvWWD_hht zO4Jf9YM&x%+al^zbZ+){7TGwrvYa~%oLlBOw@+|xTkG7Z!EK(!6;ZjZ%efuq+?GY$ zc5iOmW^ShnyLkp%9CTtES9uSm9+gK z=_HM6_8TP{GpaRiREPekmbs(aX`|ZKjOtV`YYr|GjVo(SF6%HWYl$ptpIp|qsjO2u zx;ZdfWFOs{7~MgMZdnlBJ~6s&Lv*M1)8??JBA2JFE1q^(K5dD4+V1J-l{MSD1zqV~!dZEK+`I)B0 zex?T+P0PlZ79KM#i8C#FX?jH8w4lKB@Lbb__e{%aru+G(rE5$NeKtL+UYws>TpV0{ zpryEMTyf!<;*#XzqIbnd%!&&R7axu+KGudp@qu=6nTxpa zoVa9#xM)Cp#8O;PE%0zq@j9wmo1a%(98!Crt+vdmwy>(UB&D|K zeeDs8+Je&B!%?*dduq#lYWH8OElsaI^t1M;YFa-0b(xuI2kxYmjZG{3Gp%H4TG89I zBPMADhtdu&Ogs2Et$b42{wryv8^Jo8c2svLf8S8?oS_4qLuKQK3NH+mtQso%ICNz6 zP{Glm!%Kz^J|8NdHnjidQ0cazL&K)lwZGl1$uzetH^(fRSAd&c0L|mJoAYhj6k9hN zTiS#ZZqySr?|3(dc$(*5ZmxgPrW(49F{IJ^pmem-sD12>lkG+%c&TX&lK zMK`C5G@o^DW7p9peQ_KAg*Hu-XRXP1%i}rb@x4NLb|HL^HlA}Ee~J^&#)&_nibt*D zd#CUmQuv%+74;k#esIbGxXr1Qq6 z^C$g;hkE$aR99Q8u5sJ7+Huz!ubHduX0GwLv)cL2nki#f+l*Z^;m_67Ki7CKUG1=R zjpy6du5Z^&HCa8zWDV`m>T!qGOkTL!e&L#lk5{`qUgJAywe6%e?pIbjU0LI^arM}Z zYbO1>di=j@rs;mN*8S|Z?~~)c&t7vr+0FUv(fP@_^YfJPpKQi|o^at4^}=WGRi7MI zefIqL$@SyssiQxQ8U2}d^wYSbpC>Q*WWVI|#OI$}o`3e8_Q`hIXZM?*oNj*h+4gDd zw$GD>KaC%z(baw}{lBx=|K?dN|NjmG|GNi)WB+#$_}@JU`2XKQ;D7fZu;c%K4+6tr z*&H5T;brvy_#9Sv$xNO`QlA4dk$#1LKjGiSA(_1t-UNt`jeViQGPc%9QZ=AAs0;pP zO<@X#_&Mrv`i4RqhfF(TmCFmw^NL3q(*m7Yh~e;X4}sM#jFp&x!P6nqlt#MG!q~4& z0QMD{z+T28jWhusBaoK-fdya1YQa}YL9Abl4MjmL!(%MZAlCj0d1m(A5RELl-j0`x zm2A>RUmOA`?QJ6eZ^w$}SkB`9C~C8rblsq~ zim}zHk;*d%k3ge0&x);J(dfW4W0TUewl+lYE;!E}o;)2(yn5wswL<!M$`2zeF|36|MY$*R?c~%;o4wXn|RdF&zs7* znNK#D7c^${pOYr}mUbWLpW8zke?*fqP$G8Wr?d76jxYm%^?iT`m&T7x;b*^H-nrrH ziP%*?f_b|2gLkh4#(C;qoq9U@FZZ3|d+Vxcr>|BU_+&qIZgJr+Xg){ljeNVpZNMqT z?N?R(^N$(Hy4@zIcTa$!y$x$RJjNhRX(Z~>gxDbH%~;YaR;o2gi4w%JXVCDLE{{!! zbpyu&e5--JEvM6H)_a`MV-&-~X{3^d=7!i?4Gr+-!~zLjQSEe`eyOg}d{S%X!vz74 zTu7WX=(%QA8!zWvgH4TwW0B^gDAh-r7_~S2&5Rc^>OF|MLqWJU(<6whPR03q-)U~h zZ}lp{7zCo3tq@S)3R$r==nnITwb99ifGVSPRqSEv#z>Zq~%A7#IZ!jXZ229X(7$oPJk_~SyF821UDAp|5be&Esu6Ns@7_@n8zyM{2L8&4| z=66XW8N{(-n`J^igQ{>cUvSz__%(y|ls-AX?ka<}wW8Rgq?p8THlcdzp6$>JW={hE{rY^MEDDz z!vFoSQCkZx68QK}Fk);50*n0cFMs@2dA9-KiC^;FCva8DD z*3GocgwJpEgA9rXM9yDtOrLsv9^a|x>Zuz&YbQCIT#HZVt41`}lYD|!3TE-us{}{H zbJqIu$VrQXQ@2T zA(Y1(zV#D&7YG&y2LwX;b!%_SYl)@Zwmt2OyW<91W?!VH;41~Z?t@Lj zY2r*JJ<)W7pX!v-Y`Z~Cs;5P;WKuYCq)Ug4C|D=MO^ZyQui>Kmrg@)ux;FCS9_9L8ddB6))TJk9iDz2a=M~=|?Pty?wST`Xk2yO^IAw*Q)3R&K zU>||vfKlG15bp6`82cyff(AXIbTx<`1#-|)5Qb)WREy)B`}eZuZnO-xob>Rz51k)T zxygY3#%uE}d84)BiHc;5UB&a`OjK9{+f`=_5-N#}j#X3sY9Tvq} zg=B0;o0y|Vwt&XisS3;)G#xvdOQq>p23O&oBB|TDzKB6fw%ZUfvLqG8Ct<4{%L3>($>_VcD`hl{cI?wS z>BpLCO|k|V%KE#b9)_46`9;Q!P`9)yh*g&c1zMKF$A4R$54BS22_@OH)HXm zQz?`+3F}R#r7fGkJD=`2Wl^06UAH1p)w6J!$7)&9BErY-{tQ#4KAYUIEH!MKTmUNAL2TPuEZbLM>05LgC}aaI z4=B3E5!rgT z%j=O2Tsy3D2eQ>@Wq}4gsuZ7ncBu-~RLfwAQn#%ySJSY!TFIwnp2WG0m*;%ve6@Y* zeu_9If~6ao?VC7puy6$rqFkh7XKjMK0p7b|N|qG}zK$rY|0K!3O+w#p$tVj4g{V*W zb;7Y+WwMY{!HQtij|uAM9%CH~>StH5;^61V4Cchj=?4+LO{SFIn2hL!WMS;)@`}xd z8LU9Yh7JaQx?R01gKuZI;XH$%V7FoA$T*mlDCc8^_}rJ(qeva`d83HxF%|w&a8Uo2 zW32B%{r^?4l;P({J*aU&4=V$7QzN3ERUq)MV7;O9qbo)Q#h8Y36@#5eQ6u)8oTMRN z7tSt~m$?Sfn9IuS(n4ikCJE10uyPso13~?T6)a0ohAdkcd{;YuxO^Cfw);t^dV|rH z6)WZ(XDvDQ&5rux;f$PoU&$>yUW#gh!jzoMyo-mwRnN*3-B?^W(A8Fbo1I^tbV4$r zG~r6ObNaI3=YfMIlt^sTTqL?B9gqqqO_!U&w&F}$J1-Mf^R?DvHN)5w3z@dzAI2D}57W36D+-;GmlWTL4*VH>{`3G#dmzJi+M*{* zK5V!&KQ;Y{z31JP*r1B;@IftCx=Xo!cF&7P5+%)>_W~T58pglBDbA*4HX20^@-MdN zmDnfkWF=>4E}d?8>}=SwS4Z33j*>cE6~74Q$EpItVCR44MAO9Tp(}_5Hitz1ImaKgBD@8Vc{_rJBanTf+c~U z$5_!ptVtu|%K#W)+RRVH0M-F3x^O!D^b-m|vk4FiKuN*XljlE1R!|Cx2ZEGFnwKE2 z#AC$cfNZ-PRH2^UZ8vC4J-z+pzn0;ll;Ga<%3_s5@z}~@okH;>d0*dODyJWf=(R9< zLuyhE(mRrrj6$-{{?w+p&oKB&rnvjv|7k)^;+*_2TE09@7-2DtSUAgAG&LyMn3_7n z+IEZ;LFb3VoUt?v7nuj|HlrqGojjyWP0BmDmm;4z)p9m0PX{A*aLg)@E^XR=N1 z8oICyE%O`77__B!G}p>v&BDbiD~p{9y{+VJ`6m|IB31ENQxS(FP%2t?$VP4chMM&I zPHK-VcGx*+Q8Z^57-n*^t&x`DUmy^SEM1&LIg81N#TprlqP&x{4bQrc4i2@TM&#I? z)}%PiFnF+wbI>N&%wK3;Ab`~?EQpoL;3wJ9(ym&|Qu^YP$(wig1^J|(GS8ERz9Td;35qd{vZ-q5_{{U}s&7I%XWKV2TExO%`@33b= zp>qbwvh$-NHB}*)Yao}tw@99EBFZ;gL0E_mfcn%_1IGv@O3HRldEosX6^hTUljkj| zsrtcOO=_xoFxP^bsvOKUp{8mFb4R0%m8}>qAu+h7{Wp@~kQl^zFf!mbqe5g`qx<0u zCA}#m&MC#@1pZq(-`RuzF=+Eb`nTWy22t9SpL^_TRH%cx!EE2~-I>}ii}s8x>!BU( z8rvCq!q1H-58j=ndG_F`!Pu2b&(E*8JInCWf+u$#c-&gzWzwhfpVy)Eh?I*@ME*xp zUK~2weC{9L9_^l>4||0y?V_B^;s{CRfWVtQzAIjpPx7gA`E`WZA94SCcKPdpoO1g~ zafe?&W4aDDYFv5qe0*xbQ~4nzw9`jp2SaPdOY5wvd&`Y1muMQWpI(UY9!k}m;U(NQ zMtw_J-;86COOr+)9rNkU_|%kNH#}KO-Z?lItZbV1?l;q+cl(?B=frc^w>9{dPC^~7 zfe(L?bYkD@#ZRP8_dFmV0;oQ}DrF(#IdKVEJq z==!wsMqE-Fci(01+!xV*mxc8FvK!#Ld*(_09TYmSrm?9-LElfVbLT6R<|Xp7nZ{9^ zH@t&PtEfB*Zx2(yhjWlu9yw)|Sx-oevQN$e{t2eGPwpc=gPR%13X?c@-EvJ@vu%Zh z+SRzSaDcUuZ#9_D9-Yq7<{j$p8GO5Os^B*^#?HpY4u)`QeC13|I%#MKV!!RoX z#=$T%0=5&wj0o6v4AUiGe_)sf0o#mW$^Mz+RCk~wm#U}U-sbp*Yy4~55|oTD`Xei+4H;R@dFp^2ooN@ zxPd!?ZQj#x_TjbMM~+vrAGOx-y}NZH#`g%#6VjRS%s*~yu_VCDD4X)z-Oj?}l+3GdHxk@~yflT~61Uek4p{E=tWyOxVc0DY3H1_l?b} zp2-dx+@alegsk#rhKW{fcwz z)|^V^NLo$hGou=#2+QwJFKb1)#yZ6v-gfno;?-&Rn%vldugTl3rKf8!>E%?Z%QcJ5 z0>~g+CzBw<5wfs4!y_j}nUbDqm!wTe*EMp_Ji88TZGjUy)v1HzU=f8nXc#QgrVd&J zi^$Z$(ZM1MYEwhz>WjMJyVb!sc5!t0ZZpRS%?(GV$@lG7FJf`KWvm`aNl`laUhVV~ z?#N(8GWcrpeKoWL3>J-8L#lV+^6%C0%3fO%p9aDayq4bg<)+Pqc zrGjb9&=Iun;w0PVQsfH-ggfIycO#nrkYMq68H=qtudIMUeGm(5k-1X)`Tl%?!^i=@ zq@xj+<^w;lswkjMM{lD_INO2Y43-r`s;6c!+c=!>jb;&wWXX#x=>$%)Bu^+cTUg z)EX^njd}3LwUuH}&nX15HaVFSu;-Fx>?z@Fa$()0k$g{@<@r*8JzG(h&n29#Sy;D$ zkgpN)$%b1gXL+`d|+(J$X8YYmq^odkn+yNqn+S0`dry6WWl{_80(ajVrv3NMEsbZB7IIyk zHg33Mo}|l79uyc{oYYlx@Y;`9d{TvWO0P_3ztmqpX8R(gkJ(S^J}q|aC#&}wZ5cjw zq+y9yrrqm!9ru>>_Uxh< z*3zn|IFs&~?x((Yi5GOSEF?+YE~%4x;&da2pZi8c#q6C}U1X&^c!`}`FQQ6Tq{{ch z>Q@Q)eyAM*+gg`wKTJ|Z`yp)bGV_ZFpHyF8EPQ%JSF5A;=JZd)3vFNL@0=Z=?`_mT zw*ISVa@NJWi=REGMtW2wwr~s14PH37qU(LawaD>4B9-oB&sNuq!KtO~)tF5u`raLl zTMWG!FC+iHWwQ2VhoZ6DJ;&4&+vnyqaeloi7wZ3^WoI(ALo3t5NHoE{?R%8y=bfW> zT|UouSsOCUcD!hx6#uSO?9biqB0MXe#oc+X_mAO)cMSL{H3i)cH3!dUpJJ_Gk2Q`> z?>1o_UT-O}jxq7!1d4;XyUG)njJ`H&Qp3vodwAE@$4kcaDy4J6_=iLtyemD~Kg;7s zzhge9>Co zhs*Yr2cjLI)0P5l+YU@kfOX-uJO>-)h{3cG%@OG*502RRcY~IW5;$sFTJUL*$ORQR zi6oSslcQl#Dv_BpV}KA}iL&K{i<;zwml0u+ZSEsKVT5(=qY-!5qIP+nFqEfDLB@PD zVlJLxSKrR4FU=P$s$i8C2o{Z~%uhymTOzs|vW(7EN@rzPU(TpcFA&sKuzuzX>PG0i zo8{$gMRYo2a=!%#b!>CPGB}og2Bm5g9}S~6#S+qlRR-Y_)51EiL;Qs#?p-V%XIJkg z_ilyWovg8)W)%2x;j)*W$`*}H^*IMUJH8C1{AGTr`hM%CBbWJ~DKl#`?LMth3~tiD zxZ`m4meaRx3>DW3w=683b?9{IRpGk{;^;c(ntaz?F2aArvpS4%dv0M+LD)~&hsp8ufD}DIG9w$Js$W=47-dZTv%h$~v7&>%NR)WAepupPrjHUUxgj>UgI;>ZS4e@l!kApI!O) z_KI~D9aqz?c^XQRsu*ldCxf0Qjxd#Hz>ncR6!ok-kuHf#ygv~CCP}}J%)RBj+mdx~ zLi&ycEfQLUORsu_ZSS~ew&-%Y_>p;Z{b=UR^+&}S zViQlt!9Ci!zhZB*Zi;i5p$$5#Qo@~$Ee!K+HwhKRJD4_}|I zj!8OTW}ZIRBxk!t{+FvcA#SgJyJUF?xd~C5e+Xyi9xjdC5ghM)-E8pH@1lFg@4$`| zS74&p93k&i|eT1Us(Wmo0;g=y#;_Hy>bRyEGlQ2)$dK6W){ z+l!9ueYe*ii;Pdoy!l>uXwJv3AH!GF((`(Gjpt71@#oelm7WaYN7nfa>Zix%F?Vi# zvv}_>fd-qB&MJMH9(QfMeQM4EvGmOd)Ch1V#%g8F9qq5v?NqPzPk=-4c=F85kJed< zX=>Mv^vBsuSaI0Nql(@2>)b8Ri{?G;<`TCQ{sZo9*T`2h_w{yJ@b0h$i!Z8=h^1Q{ z>1=nm7s-dl_0b4$9@}G`%c3F1Es|T>=cGUYwj-8Q1k=cV3XeH>nA2xRHe+g z_vn3bpvX+P-Zg3{+q`(wkR#`V+4Qn6bm`Lm@rn{I0EnA7ZJqA8L9((n*P7+VnZT zCHs3(Yx{xmG}HODj6#=qhv?nq?4yl~(JxW8)=kD2e$4s(XUEl{Z`V7|Jm_Z6KI3xg zp{dVfqnTWnNS_*)_YJ8D<>Hl0Pw(G9{t+Kp8za8K&9H2)oZ+JQZ>3**i`_2I-gP1@Pp%n_eskGi)1ATK@AEImIg zc3*X{B-k_Cw~Z>!W1FWRY>!=49m%DZ-hP(&MZAkm`gy>GwWQi!;=>*6XcO;aD~B8& zeoBoniTL?%3bTIgduA4!{8JgtmY)yZ0xm}H_+&C0^ zKUh_9wO-T9;W3u|2Im&7($^m-=xA@B_pHuL^cM6i;dej1H3Y^Ra>4VZ@XCEiBrxi6q`Ba#qc;{N>$0Xp&sq3++Kd2n4FU1%Rk*c zO?5oiez56D(y-Q6-d?eZ+^haTJw$3jITjky(>7R{liyl2e0Tf6-Q$T>B?pTVrccj% zv~A_F`n{hP52<``o3dq{*T2sWpUGdR{I;y~$kLl(o~MP2d1m~D>%nXn&er%4)--W-7txTuEZf0ws1_d|3Yi)_c}ecEDgn+#1@n zB0bI6(s>umdkUkqiw;EV^%!f$`LHgF&oeD+#zyQL$eS3e%G$%6#Z?c>6vdIX7#sh(!RA|3hQD!C=kH;D3` zR+QxTIH0MuF3t|W&Z5PUv4LC zj_CSS;lLmD@J(WQ<#~k&C8x}TjVcW)jXN8tvA1Iv@br0ec{JV{o;oj>H;$LgGvk?y zoyDWXQ^bm58>S^&olELcic*OpMJY#-Q!>wGt;krheMO5z>qk(>ObfOJTa|6X)@6_8 z+FtwbdG53-)#|)voy3I&mW`WZc=0?#-h7@rFKR14_0pk@dz@xnyYS&ZVUqFlfYH_K zPCfdzrT5PtpEob5wm7x#^=MzEe^0hwNz-!PU-&a3YW~iTL-&TftN)B$8PVzd$H4`O zUb2Ut(nxh6;R*$L+?S5tTLoIRsjV^1p`zHc#2dC@={~%u0?8qyBj<0TU)DSs#P!zz+Il50q$;2R%Rn5C`&L4jY{0YsxFe4-;DF1&3vyHS9CDQYF*RjpoOI10kz#34 z*2yY{nP-1$dW@$ys!W&zL0!87ErA14B3N<@=Bsm|Fp?ybO-;kCL-o{O)8IC@ERV)g zxs@{&)oDx+%b7tNt)rqs$?=euwS}Maog_R!FVs_rc&lsI9&5v>Cou&w2H#=5#bb+t zFmJ$D0u~_m5NIUI3yMGmHPZPG$Puy=JK~@V-{r9RBC|v<+JzzM!eJyEJ`uJU<8&Ns z72WSl(_L87A7x4qzH-ZeN%WK2|*BCYck`dJzI^PDU z=HpaG(B3sz+u|{*b08O720Zu5-XqS7%tJwx6E)z(tNm3nWC9>HeQjFeLR;&7INTk%P1{^eCVYLgk8b%rID)Rm*QrHcoB@n@J!Yj zG#0@Ls%2EQopWv{=Zy84C^BZlS_@1zVN9CkOcvden_jP5E2?q9=}0#h_zJWP;$meJ z*%GD|8>4eZHGs4qc;S-%3*#k#%Hr4*46^`Qyn#*_EmS;iDZV(`qKX?~lL+_D4FPw2 zz|O?_Y#9L)V%+Btu!|UW0Wf@`;4ei46Y`<4gi-(jJA+}q1neY+c@eM*44Xi}N-@lt zfE8hw0|6_*FxX!@oA6y+h+6+6gOvn}_iF|HUra%bg7Ds>u!iF+ZH)P_>^Q{hmu?S~ zMZqNlw@pxUB*rF;TQtZVZ|y&rd?&$oxb$YArb5yn%#61(2u6GzL;&4|C}&s(RUs?~ z0%=>p1uAh1l0GW}<1-Ri;awVsk!>Mh+vTjDj97LpU|$=hz*#^7OYvCDj#ULj_&B(L z5xoW55?=T3FiTMy1LNf^e4?h{W331B;j{x-zN-XTsAD4pEMc&V2FcbR!z5ULUgEo` zvQ36;EYBwNNHx?6rAvU}{FN|X5~v3*!_$~ts|ooOv3wf=!v!S5_yrNlKua`A{sgQN z!=@0h6Bss$fE~p!8UZW8Fed_b5X0;VSU!f0CSZ9Owj8ibs1s_|9SnnwO}+`g+8PR@ zawuOgh+FewV3gq!!be#e$-6@y_vHhzVY6*=2Oxe-Cg(KLlUDdv7R2ZT-=@mk@N7_( zq)*fg4|{@$%du+sVj@l>apL4>Gd0kgR?ET!m9rqsP}i|f6~Y8NtVz~*A>PO_AXA9% zfFVDcZs0TGRGRl!LhLfQ@eoU5=_9-7Jek!M{*qLS0t!ot#x_;|cwG&Ia%n|%d}Sp`#{p>y(s zu3-?AC@m&|z_Jk|4<)eVVb}};hGr^CbOLr7!=efNu40%sA>T0!n@GUQF>E{mE5@*K z1Z+Qs*%Gk57-j(&J{xl|%$R`fz%YFRmVsef1Pm=*D5(;#Gz?QDVCymL7mRzn+|?L1 zM8Mb>_Jx2g!>|toECIvb5wKVcdriQiF)WR=xwEx_AyZH_i!+q;wn$xG`Qo*hyDko> z@O6?fBhj3bQT4!jK6{0!b9c4GrW=Czv%YkOIMfsc;dFE;NDepQ4Ohi2I;I^Pp1 z@7b90ejMZ~Upuh&X~2Zr1pR>Knz?-Hmw*!y+l}@^F%lBX-c2)9VS$_5Lvl;3wf4OG zDhsYyG|yQ0SS5Uyt86000e5_Y+`zae60lns7DK@5Fw7h# z0}j2QEi6Tgt&-Hc`mYhT#T!A9ct)ZXL^DET z^^!vHdTbN4gx2GQ=VGllC1BYYW=Oy?F-(VmZN)Hk0=5amlnB@c3>$`?z{_2OVLu4i z3Jm*3z>+cS69G%au=fNk4#WBgSPX{cLNDRUQ2Q_Fc1Rx30r)=ZgLOa-u_+S2iD3bC>X9`A{RCda!(71dHriwD zegog&Sj9*wtsVHPX$++-CJBF_m==6wz6T<_lTKko&w)8!-GvzQse~p3V)@h|-&Uv$ z`t>@7^+P^ft2>Ed=LnT`z&nmm*<)BfxQmvM5UVHEm0r0agQy~%wh|&q9dwKy%rG=0 zbJ_z8HB~ubS)A;EcBk0*SjQ~RI5)BxU5^z0SmUH$YQw`o#YdO@gkHz`Ws-;Ev=G`a z+l)Ry`;BQ6+(b(Etyj7*tdwMVxNy?NH?c~nDoW9SZGul!v+WpG1=x8E!}Hm|cewaO z@@Al$qqpc<8836@7zOh4x;Qpp6;}3cA}!qhetRu-@rjv^56NHsH!FX9IZZE4O+6uL z$RYIBmcZA+I?eBkv(?^S`>;)OVb^J9a(~}m7t1IgPr=@VDQyD&;dsqE5qs_Tp7Jd) zwEFSvtL_8|OR}f@(eiUYW0gX$gHN`x^_ zPc*QfTXvPy)yLzp8$a&I58aDFHoq05W8?jZ?#h1P8{$6~9w)O+_SDn}etA1Tci;B| z8WAPdf9Gcn>WngO4<(-pD?8(#P%ETT`!_y;i+*>s)K}esG@j07Wr_JFgQmp#@Wc<$FMvCwhzOK30N71aR}I63_C=?N-->#fbGFBh~m2kRNevI7^| zmGSQ11}hdyX{6<>|9M~fo6OrJ(_mqt1f42h6Z{RMT6OH`83mb*NS~aP=OLk#9hE6ks)%~>6>$erT zzCfeEcbptMp}`B?a%8#82F^zDHldR2xFP-CO8#Mhy58W2bh%H(T)*2 z9J(}(s-_#3YNI`MK|p&c+33BWVU(ItDTz{*34c)>&Gj7w8RuYZClIhL@}f#^H8)tx zHf`yxatE@o7G3HItq?1D@WEU@+kLAcJm}Z!&2pkReKyf zP0+pWs-1P4tQcB-y2WC}3Z_{}-Kn7d<;ON3ir0_I#q4ZwORo)Xu*=H&hSr)0vhXZi z2gPrAo&|9_$`*lnsMQN(h6N{l5r7Eb`#u~92x$6jAfRZ8rex*qWW^>VIjUtHa+~0$ zCr~Zktk7vqb=+S2p8R|z?^?m*&(9g!^VZ)EeK76D*&{~|M~2$j+N?SML3L7-Q3&m3 zkD^88H;+TJIhP*Um?YWpTrWm-J?wh8_tB3vmQBYV+%< zk#9pk`0zezRF#%hT}*#X{+0Uh$G>koYlPI(&!^`5#0Bp6iTyq5#&d^Q6VZ`@-3!8Q zI`!Qw__*=*42O*Z$qije^4g8u1c_>j*-v5X@_=7`+lqZI==?S+7|y7dcHCy@BxDgp zV{8}JNt*D9AE<6%gD(s6;hH_3PZ#px`#zozj=X~A20fXKf#Bh5N?84Zd# zVVS0p5;I$JmS;Fu_v{CIMyM9GOP7*PGRiuvYUoZn8%&?CIsrKrEE%gthqDbHUe~45 z^8d(>ie;_JWCbo`$&{%3FhOwvv#^eEfhyn~;UTXA`<^d~VBPt$W#5qI@$5rEtKxKG z#~E7*n*x}oAIB}HKb*cbn^M%NZWlK?>EHOI@wwe1(b{JLhjcs5EdJRykl8LgFP_b9 z8f0m9Mez+cSnvxsj2T?Nc2&nS;|EjC+4gVi%j!ISeH<7_cxu_!U3Jy$^i|)nC$ipY z8Qf7R?l`s2<X{+yvteIo3f_^^ zv8MDulW~VcGS=h($cKwz7M8CQdIw(&U&;poHEC&VCG-ZoUa>?3@4tdy80+ylqPQOYvg_~>wnT47y1ZiVDP z8F&lGSQ)v%7st-ROhPFG^5GitcdXorP!|q-hkl)cVRr~qpc`ww3e>Lz%l8+2q6Dvf z!1Yx$E#TUx-)@k|a&(1L+aM43zor03yhNl_$f%wO;X)zISGZ;A;06~8#ppvW;S^wW8X2K5AOB-eU!7jbU?yBFj;spA}oH zEH_u7*CynyuYb|#n~=#m1wOk$66QZf(-p7gVyv160K=Po8N=2ChBpx@Lnw8CEg%&> z;j3Xw=xLOoj&MO2>)pescTbZie?yT4YM2S{9D#ci#%U*D_-_9vhM`A%;_;LBE+mfG zYu(*Uk$uq`?2EHWLM}LuLe=aPly+q>)kTViqpbh2@gZl8&R03}Kvkc;~2jeOF4tKn{ov>%^H`%!W2 z6Lg!8EO*P7w90$>AL*rFC3Gn`h-;4J2!h(+eAi;NIZqJH9eEAc!YymG{lj!oK>{ty zC_~ZQ53)H|t#jYuJ`?nIN1W8l3HfL!pDT=xVlb>0lBbs`vF!E8iVduVnf-T#vPl30 zv2x!B3w$3&6-+9`jMyvCFpmkiTu>uqb1n&8;`LH_ZHF%Lj;a)^SpTU(?NXuizy|Ve z09QqpLJRQa6Y1M1Au|j<#1b*=CZVMdG3+v6_z-IyX??uBRXb6ursNBDA7gz8V$ERC z=GegtIZSI+a+cFwt^7>~ts$@^b3FvUthrp#zdfTr97T#igo#qTz9xw?&W}PG$E#yl zC+~DH-YHkU3L)TKwn?*QM}T(KAF8Dl3?sm^+|uq=gSC!Pit>GHelosno`6A*uM=Oe z`QHVDAFp{5tPd!?hvg7&^{*c?%$Tt5+=kYo1Qv355GF{bC74N|g_kl|b5Z%4REJiG zG1s8PCn-1N}GMzgaRZrk$W(t<2pxxON zBp-F7Hjoc36>}7v1v-3rL^c$Zkj)K`CRl=r=O>5<7n!D96cgb2nZFaHdu28%3!(#9 zAc`6#YLdFq`x%B8RXDx)4UY!s2)ra+C;=r{LV-94Jvp}c7Sen4bF?!|BSkxNGySx} zL^_Ue7pcbRtjy664n%oCgi8Mg;y`IRq1X0cy%q}jw!(MBd~JZ?qhK-CB;-W__n=Ir z{1-Nxr%5of6u|^#;uEwZ(@!_Y5YoazFEwBjut#yB-Xo`?TWK3Eew1Pv83Yqj)I8@LHAebmMZe5xg4 z{R;6E4_e?#m7UZ|xNU7sM&ToYbn}E~3o45#3@%)))1ap6EnDmuuGME8VE4t!A3KhY z`8G0CkS`gfPC~7a&l#mILOu(u?;z%&1Lly-ndBY`JML3Oc-KU>goWAhyYXoaYn?!V zyMtuaR}}V{?N*ULtm=U{1uWJ8=00 z>VYX`_FRztX=yBF5LXY|50C*H-vaST;sC2)G8BYHUMePgQ^4>su^Pj^5GE5@KAF6s zKNz>97Obb}S)UHFkctjC$`#D9CE~yev5f~m9-UqJA#}d02fvL@Tj9a)fmg>o_!dl2 zx`I*ZuG1e}8O}yw5-SE*H%#JST)&X_cReTY!2J|<^%KtQW`0G zFAW{{0#%KUJE-#beE!}0vp%V*Tlt5i2xstkmo%6CMVXT6sp8-9%JSjAf2qYy6K&}m zINEC&nB?T1GXILP_KMh<(UJj)LX^+@eg$D!`|3T0q)Qp?Yxv;`F5SH^S>tc6((Lj1 z>6PxLJ8t33-*$&yKC!Gi=0oYDt{u}Bx3GHA`|lsjQr8;$T=QVwkNrhzJlcQL==JGs ztcZ(+leb*4GpWX1izT;Up_VOG^WlUPt{@x*zLFj#xtF=5xkEm&L4Y-6{#dCZo}iL{ z3AQ`?%Zv@(blRj!re!!=HGkiE+;wd*60RA5#=wqzflh!B-W`EQ;_Rf)z`?6~@Z3qq zYNX1xD|e4ksa8;y%od6nXY6->C6 z3Z?|z!n(^0{>`BNE1Q3@t=YYk-u!du^Il&3jR##n9Zr$V*Tx zoa`u94k5u1;BTonW@mN~>mvSGN(7-gOR(x7FC9J|V=)Z5b#V+G%25LT&2s)Rz+Y1u zXKCkY2*;)U`42Y)>@Wq3=8p_^ew|gN*a+FY_>u6=Bz~MgPJCRB@iLx>DSDnu7XM@j z0Uv!*A7c>P8_r`%aPu)!jV1!G9l6@CKZ!1cdj?M`Sk~@zSr4N33UH3B5svVf7bb>Z zP|XJg0tfmIQ@Chw7FF#GzCEKz6}*i|l|(6xWYkQ9d-Dq9#*7=`Jbm{0uYOBURP5Tb z{YHClwq9{nNRgdy)ZvNj7niAb_fQu1DE;6aempzViv9X>Ood5l+JN5Q5EeT;jE?bm z4~~-Y2Ihq@BC#ISgy01~Swy{A2Hx*rNQcMOusD?qAdTIbtPW6C`!wJoGDA=RWT6h@ zAV5oiLLJPEH}v6Cnhqu68&?=s;v7N~7s+ekEdYtj)R!nI10D(l%Wx3eoe*K;EtHG! z8f0;mZ95Vagwuhpl`x(-6)Z0+6y2C+NFS_wO#b`UsY&x2Ge7z( ztA3?A6bF)zy_s8=NLRLA>Jt%}toF-)%bP0;18bv!^w2H z%WBrhyKvIC=&EH!4rWno`qUT5!r#F)HuA2X^!2z!Be{%q7d%!Echf)XI&uMO5wd^4 zdI$2CzU;=GZcw!gbG@zsdE%oQx${tp0SsTJkS7AAwFC^g2T=kIt?`z0e8@Q~tc3;% z`YTvf`41<`ALbyHfYlQ-G|e)F<4Y)ct@PO_1?<=`54hv=V~d=79Z+HQ?xKO+rgoQI zjTJ`0Ak-UqRZwyyVDm6+E@1c$nT}x}q0jL4-Ib9-Tk6{6ZIP9>&kpp*L4{zpZMbAi zq1d5PY-`7FXYdaNv95tPqd-stPmqqu9oWg~p5X50MebEPz*?<3Sw~F*rVm+Y`XHS~ zk*YyDOu;Dr-&-|2t{YbBCfxC?tnbVi#jIuT;?yf}D1MIyc5oJuS5$B3Ow7_!P?XP# zCb{6l)5nSZ^&-PNz~=j5XC#6R5tpFH) zT6e>QbC|F!At9lJF7V*jb6#1XsbHGPS^;x7RiE0VU=*^Q6O+MN11oj~>q-S{3V0PO z#VasV3i2c2!nVcAK#?q3vF1NL7&|~$oUj{!_ zDLiPWdDZgU_h1fuk~a=GKNE(whx(Sg+a+B)EPUc||DIBJ)yEYFwlZg|EL_3d>(ZgY z-VnjM;Cy$0AL1FWoq8=g&$q){vbtBpC+2+9A23dlU}k}CiP^iRgKimKA&!Vpvj|$- zAeh(a%=0RiITvBs0`XwFhy}Xn1Ri}9^a?L{$&LufQ-!ZZE3u)H2@S;?FT@(^1^G&# zfv9^}SiV$3zIrU*Ujz&p98lT>SUG$m+8bEDH-O<&!%RLz`$q=TQdHaCAQn6zy4Vh# zmqr&B`-F4h`6M#clN2n1r-Af?Mes(DI(kq^1rrN;4{3*j*7SSU1A)rPyHclSA6m0) z>71oIZdTIgeX0!naF;vj;=WZTL!T!O-4ceOLv{z;8^88o58<0A!h1`&VFO zMTGW0!7v5DaJkQsvtQKMitD+#Xn;p32pxESVtOIj;dDigyyf%28__JAUu`JcYj_qs zKxmXNaP=3yhUYKoLL>Nzt8pm)9q;y2P&Jeypm-cB$BIYKui>iqX<0THe2W_3u|QeC zTmdS}SayHd*&IxyjuFa~yey6jnF9~4yc$_a3}WRjwk$+5UHb4jzUn?{1CBELXCnG` z&^q_vi2hI~Y;ADcC$(FSbtl25q--?bU(dQN z;f*pSqYy>-;|A>+oO)#r1VQ%s2|?TCRIr?mv8KVo$>1+w@J(O^uQU}sTNu>WzVmE4 zG%@>ZDIN@p{^ElC0`LZOr-LGkDS42Attcq)2=8i^^wB|hezT6jUk=A0xb*=Kb$6+u zK%2&|XwO9gS}ym#vTPAYFh`?znceY|Ih-DEH{ll6K@kj(K1Fz09xklowkF>9k=02- zE`&u5a;gqQWsFhbTMl$hOB4PFAda9MMI<3d9zJ6M5=Vyw)R8DY6(t~PZ^EN{q$Cj} zS#*!N)K;xg!F0q7w{xLR_-wue(?~{sANllU)3hCxxIQ1LG_q;HghKE&@gp{T_<-TM zE*0Z47Ctk89m?V<cmJqAnd-+W0j?s%Xe>9;5dyaLFLA4@&5|hn#8vQE6dRxMOr0f$Ef; zYC?jXsvJ@6#;7#0h0%jRRW?Eey&&5aU-crYP>c$HSHqP+b!dcYq+RuhN`z7AVr4lJ zs0v4@M%wiYQ8~+~;Hh)jre#B*+9Ri`hIR$X0^za{)pB&aGPi3|w8^N@3EoYicIg<+ zS7^P!!>9@m z!GpnkTORp++!{>8B;j(nCg3!3XQl-1+*-?QP0^463_o1t2w+zfQ^I$foL#BI;KD=I zu8dHNF!of2NGptO46pHrvByB*nsKou*p8kqlgC+0H)pz*@?B>o-HOtPp6@$ceKXpo z=Bym`p zX~||A(v9RVA5cMua^mGRK%u`!p~AHHHy0I$UH#O@**VC5k)#Kr5UjUj2^;B_(ve0x0P< zxdn)_t3hWT7>ZQG7wVq$>-VqNya}AA3|jG~rx3j9LG!CH6r9gst!F zBz*9S!TX6z1I4_c^p@ZtK8|7CgnTGS1SL3;yoD~3ErzDhMc#B8TyA+~>@NfrJ&8Wm zNMX}RXR+^I1t)=#^4*2ZWiRLCoNJo6e3EN!hy|P7Yi`1rtJk>X-@Q-irXR9Gi*NT7 zFYlJDNV4B@c$ywXY(9K^V%*-;_{B;+4W_k+FR$)eOZypRv2+x3Cex%Z|839n$tP4b zmwpXg5nZ{Jmumgb&Ra8X_3?IGyDnZus=5s%F4^aHOlCg&*z!j_)AnQ2m6Dt%XLx4L zTiz-e-&jXwYpyGP|9EKiq4MS)O&>KM4WGt&9YbrI%#0g>HfH+30{kJgwXhUmi~l+_ zsWrSzO`n40hD(~R;5P=Q&n=|_bRSbcKU|S!t>7H7J1|Dwm^P=Peg%E2^Xsh0|29(9yN*+nR7VPZj3@RTz$A>BU@tNlol&5`HOTpfs&LlHXQ+0A7wzWu*D&ZKQ^?VZy6XJ@IwYjnLKb44O2-slG*i3(o|o zqg6SNfcoDl;SyLPl&Gm@=r0#V>~WA)jtV^Ri9(a}*bEP3Vhhh9aEMP)fU_<^CIMCZ#$eNf;y`1C7FRn>SzyQ|wNNj;|OaMK9DGOX6%yHj#@ z!uk(Jg5L7@o5D(>=tGe4CeIf1fp3>e++^#F9I;h#H!=Cc$k7bsjJ&2|j=lq%Y)*O{ zb5U|-58pssHuVzZXtBI@5})Y#pGPTQWCPIjl&TfNcR7CEB3un5V|Pcn~f; zVN=?TNGo1Uzg$d5{<|ayTcC8Y^`2$~i>gl<-9>B_rDs%SK!?!YVwb zBWE<0F?maz5q4QU*xG88B%`!8A{Qaa)I1S3S^}Fd!UhAzMya_P#;%oXQWNZV+Gp(v zs(@LGd=)M)niN)YlV1o8V4r@y8}OHrK1geSizf@Rf7L_Z2pv%@GB?9G2!_pZtnD2* zqDf#FMHmC)p0LtyBrL)Pre%-S%^n_rJ5#pXJz6UhcbcnzYv8rL$m>E0tP*vc9KJii zj;MIbH763}(MSG9^*T`81+0VO39A}@Vyr8mvhy`Ob&Z8bg;SA+7M@DzlZB{p11p}YsBFkxb=MU}Y<-?;-TK1}WA>Of+Ig@Q=cV{B@=mbDH|HEY;E9Cf0C zRn_l^M-SmEjWgTD+a^)7`G8S?9OgZDm29HFj8em%XLI_R(jq*!m1BXnrH`)V-2mw! zaSKEc*~_5__^d(Oi5f*GY>8GYMVkgSX9zVQ=2M0yG4JF^dUf5Jo$GriGg^Xp0K_-DLq5qm3;O8q@y96I_a@O*&T1}I?2t3ws?L?R#@S+h$^=FX?!@>LS*$WLN18`(lIX zMy*Cu_YBD)T*a>=XEf}A95@D93R`c>u40?(2Cjb8ww$AK@W5RnZTf9xoj?FMU|mPH zhJ*ZjtgLI65>8!oTxHY{Kjrzsd^JF0DMgc*_h!t5UW3}+#%ok(XytIjkwO=xAGwLho=7Nz-a zAA=iIF0lV4cKgpI9Fd_Ba-#t|j3<=^Qyv>7ft{uRxRDYVQ_7B%zz&I1nGq5g)0&Tv zz*HjMa0x6-goR6B_rxjBFbOP9gbkIzm}Rvg5*R6vlM9o;E{V(5!4epo7!Q=dPKqVk zUjkbu!a^l5I!#ZmuLPDX!a^jlFGX0e1U5^AVRZr1l2O7Z^lJ(njY8*OW2f}S4Q&I= z-NrhUL=_j>=2s;Y7y9KFy1Vz;F3YcqDK50jk95y7sVTL~8oMxiPrIy&?0}!`4!*Sy zH@-S|TaEejlSx+%EHke9m_585UbI$m_huDFIbk4A1skitHc9BL*bRoD3uUmGB5Ok= zFgDBZkiZf}ych|L3=xofDM^D>vlI#5auM$@Nu%j4!dxVH6GhlI3G9>zJ1T))5n(D+ z=~YOd^7fNh+`AFRU@ye#V03jB4h*(8ON?_?CY=s22SA>x*02cR% z2rH4mPKmG?5}xmiJj+ooEN&^{kee%sOQ)F0p)-lmY^<%XL}zkCrEG(WFiq^Q&cdTFian@6o7ui!YoE$g? zjaN0N*IIGeR}qnBAB=TfiU_Ab9hreA zW$`m)m)v`JShh_CQ2l+t7~We^w$CLnEfKFqA|AB}qjfn;*Fc2*CP_n2gxP}^h8HFB zLMMg4MmQezh9X`wNgDPd>=h8$R}mc%whyvmarMQn{H6rfMTF4?1||<@`DIb_DjE{G z>^+$6Y7UHXE%0}e^W>lO?#Q*`V!1A|N-l+mg>hX0vxR>Z@5ej*ZMj^|4^Mk8jB_Kn zES$>#ozd_+@pwe*1~-8J6>)(C2Tlq%i}T@pIR`vFIGAnW;nv(|h&zB2@VWx#3LZRw z;}2XHgoWcbl=$FjQ~WTl8&8AY>F3C`L!7R%*IYi2my5VXTqyYT2fRC;0X&UZ&Q0de z&6HVllK>0i;ju`M_$6Ec))&t}#1BH8K@=t%&rL=;j@)xD4)74 ztUbk*yK>NG3g|28cak7P>kzLLI<{Qp#@EM5^e3^;$Q zTXKE0F~rphjQT_|U9_1*9v8gH zmvi?RT=OjA2J`Q^$SdQO#s%>m{mD1%z0vbyd8K}X(P;W)`1JgD^;c*McF0#ZQ2TqI zA%*2~L-_OR6nR5F*(O#Os98o{-a5Y=uv(e`2X8DgSo*no|d$~ zr+BzjnWqzm`3S{tOh>3|j4tDwp3hN-q`1;B>3b0uAfqvwU`^BZ#hAuZELs?UGK zXEbuTK8}u`x^LTye#oc9{I< z#IMMVT@w4LeeC(22`jJm365RVZQiwvgDZ^mXSH~!(DPAmFiqLluJt3wzVEVPT0iM| z!lyiI(92=HQ+BTRsrc^8JKZ6Pb_OH%Fghanj4ao(C&_NJ=^AbK{ZA%!4P&>Rxr6H{-CL??XGY zIqGk0U+wGY`>4~r7b6DGIlgP%s~P{_%bg>BeB5LEoMWp$)ODHsZqd9ySIqqJ z$?aRO4%Pp0WRc&?lEGg*cCY_!f7dS_&HM1I^Jnjl?>+RYOt@84I|?0gBUu3=xaWen zE#jl1%sHipB3@T%Zmz3zhe_Qjs?cVN0zGBybVZ3$u~5Fldx%1BY@1Nyv1_fv1{h{8 zJ*$;9n{#WS5*0b=>=mpR=_oxMb%z=c^Qb*+ zd7Jc{9D>{E4z*Wm_j<@}R<)lz+t|1Bg|7x3{AJp-Ym+v8^HY*%o14amPW@%-JFU0( zuY-QNIAP{W1YbvRF9g>uSbe0vcS}(c-A|Vrs9eo;E|xjES?YT^bgBqy*(_zZ<>xbW zUmuH!uCi$6dD*O^(}x1%#1jVfR{OrvD>c628hyRm#kk6JAM_k~al+zgD3zLrj?$ca zp`)^PIBu*~a2C6`T}QO_GPU`{uA_6I4>z=t^iu3-uo;M=c&J69M%?kbf&@_%yGer0 zA;B=cjmuQl8%;Z~&s&$oN-R`Cof>GTWf`Dwie*zR%rn$~pz;~3tYN!DQckPNj~uz6 zM}jwkwA%=R*TQQn2!0&|zp$UbH|p!-C?zX_Zb+t>7_)*o;bLv&2qd!~hs9WUHi~{c zg_p_^E?3GB?uKxc+WCmHYq`w+`!s95F^)CHow%6+wIdOzmQS?>kBQj1WOR12?C@1y zM_E|t963L&+&HwO%q?_n_wY*F&?`X|_n5BuHAs&37D&D6Jz05Nh(}u`=u^!U% zKm*a2*Ek^aHYfuYPUb=&6AwiMx{2p{e5pP{{+G*2IwSu#B>B%)q5^1>9MPH`_5LnL zTsr_cIvY9|$fBle5_3lBEntQl&^H3xIio&I8=b}VKg-jVQ zb35RjSLhdNAwTkXXIkR4>6EkN9mQH%8&(Ua*hqtal_=R08p$S83n$4&Ag5EPc$}zs zjQR~Qaw^c>*alVe3M!7GP3GUK{WL9QVVj9j(Ym^34sPsY9}a~t_QB~|V;!yZ$kf(8 zd#jG7RK4?3jf+12w6dUFuldpEfp0R$yB;;HRml$+*3P$?re%He(q6v~4|Mi#K2!E? zGyds~IC8jE;U_Q6#vb|$5)t%zSliko@=mp+DGbVMmAsWov;-ZsVuy8RJ9I&OW98}K z=nSilrKl>AaP+#rp zHW*Zb^zsJDm3g@Qb)sUlrPFcajR8?M=Bu^bLfZ^T^vdg^3a&K9Jr1oEsXFH0e2>EZ zel;#3M~pVk7@2S%*VwKYF9jtQOmagonGeLG;xjA|5--7eOd0B;p{R)?#DqPdaTD^Qg#m@td?KY10-jtvl zAKM{5-YP!MBR;{jHr8dKtLH1%uCLsB8|_d8*&GivPKdUO9%22;l-Jkq^?Y!rMKU5rkYgT`M-uv}~-{ziw zo8I+p_V%|6C)=3+@ul2m(NvoyFIK7yR%T|coZoL{?x~gO0Rv8j4>;R>z?rB`F{$xU zA@R!i_~?lEm>IQEgKCxfDeh*ky0v|^i!Z%9T)g;y38CQ4rOWW!I+nQ+GJ40;mPF+{sZ(YrVb$3Rt zyE}Vab?`W)am?(MBW8VlW!75HS+m93YnZBpmLjhc=FeNu zd$=6`d4DoHpK!Hh97Cd@G4Y!G_1rZRB0bp(m7OV5mxejs4_pSWOb-=XTyZ{PZl}R zK&^PLl{t*eg?i?#U(n%=-pTDp?Mw4a{&mIBQBOV~SHEnAxt(sjgWDWYF9a&!uoukh zTj|jhRW^hy$%R-g$&WPdlSyL4Swwueq~U|U>959*98{$n zXudhmpW1|G+0WzEc*ee8%51ehW8=+hxsNnB6AC;+C* zmFeZUy7~qTJz+Rp&*J;}TVh}%SLc%JE5D%M($%Gbzszm0Jd|3|h!ze<@U$9n^6Vcu zNxbNplpbKNQ{6r@*2pkN?z*6hlj)K6`W;qAO_8U3YObH9a4Zhhnfc;c>?_1y#WtrH^xOFP$AtlHrj zJw4hadSG;y=twWaV(bS`V9`&1VGT&suT*G%3Z@N6~TeW>;`R})uK5Vsm z&)m85;^Wp2th^Ne6&1p0 zW>y#72Jp<5=CO#AZF+M_+FYa!rv>;`?EZI#UEJ? z9?k647jk27*%w!JEh=o5XL8AhS-Hh@a>;1iBW|pHcSKIA{u8Zd5A9O>SiVr48})L< z0)x27z&{JG$_zZhh9-FI-5h^;R{5NR+NT1xo82(q=QgEz(xmU}e{0$P;n-P+E1vmI zwS2uqe%hqY%HSpX0O%2*3m_c>>H-eqM~=S#pA?h_P1Vj=i{H?`WKn5eve(>hM`VxA zeV(?-ubSqPSv9A)%B1Ml7)7gCTlb%R^CG*T4UDt{wOZK(@lk(!bs{{b=8U^Cr%zI(vD6KH8r zS+WKYD;y^@Rr_IX>7KUFnm3-BY-RpUj?kxiL4Y)~C4v5iA35x)X!=Jb_0KS$$7Pyl zSm3DGN!{;WWn@3%4gT1LmHg&^z?wgX*g=$%H_XrpbyKERT#&0PqO1xzBY~lxPQ@E_z*G6npbgeBVNnG9W_a;QCLa^DqACemHC&%#B!nZRFn#AdR1 zF47{qwOM#4`b8oPJhK+)jJmL+b>^{hetmr&n=xz6BMZ}_E%V+i+?m!Qa;+uoaKk2| zfuilE6?#qyCgGdYH`TSs_x3ApElR8#_APm3ZoL}ZODAhC*ux4=(^eg6(a*W1z1r0z zbVT4)|7yH(g^r#!Put@OVJRfxqItg@^; z)Ue$Rq7;VRnn)yNBqUWq(eLVk9DA3Co?{CuV1ClyskXp%IQX@M@ZC3T?>LY1S!O0I+|Q`va73(?uk zfodQ*cl?-$p79Xe1tUp@k|RedR4gvDGlo+Vs9_JW#Sx`f(;vAB6`HzagM;pT6}oq1 z=lR#Td%+MlGp7c~{Vy1{NYR3!+4c$-I|A1fd4SU7nZAm6pqUBOdMyLi*C+Mt?Lc$CqO1DvCO+`3g zB8jLW7l^hHelachsa{y6zN6kHAK6BI{Q|DyJ=Bg9J7X4u<1v$9$jBKzt{gU-uCsye zAhJYtkFr!q`GKR2QZyIr2te>dA^0%dO^81BYfYBQ5Q{X)C>C`L(b4?HysI7G?sPkKX26##PyJqIuMD`h z@ze0t5$gFa2Mvq6c>L>(;lEBd@BDdowqM6 zy?vj}-ZZ^?{Kg4=lQ!@B@KUj*{e-$7K2&wuKlgFowT|=l|I)(7Bj$3egzMr?W#Yv$ zQ(1udkrzW=C{nWmyVp3iKd-u`HH*`+XxnUz`G)5g9cT5v(|b+3mOP>HSwy%Y*4<-SVwA)qncr&-gjcb?v7=H510f0p|{y%=b6fx?qM+)t=H$`jB{e_Mwc3QkSwD+XnxrmYX6PNvRZpKKXPcOV(G3;`=a(W;2wS#k3oJ&G&<%bIF znF_TJ8>Lg)puJzBrqeA17l%8x3Z1Gdyw4v=z2x&rW!Y=I$Y^M211^ou37FbK z=lNpeu7-=xWQ;kKexg&`Q#pe@Tuyaak-2#Y z&C6!42o31-Me3y2J6{|KzV*8M6Pt%EdaSteQ{v}E&3_&fF?d&2+MujAzqZad|Mi{f z>j}4Q3pUQ}9JwG_FEabh-1052Z?tnBxW9+gBBF!}DCO2^T2;bO<%^-_jyGkJ%-%li5r5EJV)nL;NW z7{f|{jwP9@$$(vfa8M*sPh)`z!vJn$5mC+qWgAyfAa@IWs)H>~Hj*5`Bte2wC;K}} zYQrjxsEm_P30RbsR^IxgZq}q=i z(0ilW(cImiUqcvWe&QaePAs=?IE8J25kC|g4N<~!eQHsa5^J}&Dttv_BfwCMD*`+G zT(3t_)g++kqy;OKLk5_am^+kYgq4IjxLL(#9vJW7rVEBz=U0vJ$c*&OFSNTbG_TBn zG31E+ve~(nm|xqEz|daKo)k`pnX1W_-L#d~)}ry!*E=#F#WZt2VjrIwT&bVKZpQJZ z*#W=hf#Ec&Qq2Yyp-#9V5mwunDl-yMYwAfQmIc1@OM9?&JV(bWE%T;wL1q~{`_n}d zn<-Y4jUz^}9`EFO<420sG_;UHGdT~0F&K-BN=)?}69Ck6W2C&#&CRtKH&|GcF-KUT zsHN-0T06$;7UX{-OyZq zM{ztwnqqN0#mRn!u6BdA`%Ai~jIU8OiI`IK?Vzjc&R>$K-_cpKra3_MlAk8vQ(|?~ z(jrT%8X_%0Lan5u2u!L9LD2Pd?0`)HY2HSTu2N&L3;|S+J#HWDd-uZ655ExX zj|&PRvv>t*K{2}s%3Gv7LdsXUP|C(rYYJC|r#JS}Nr8$KOorkc_*i~V{3H~j1;xQ0 zyn+xZ8PlFP;WGsm+B2u00B=x2DdLsBNAPf>P2sb^pMc+3p@{9X)032eNLi23B%YxB z&HHl&g>Ag^N<~n{S_P%>GVnWDmd7pR(?FQ~M~$*_gF@IzPEej=Y2|XC@@bJW70IOm zPD+46ewr$Q5)LV+g(3ff%t)!MJ&!N)q@lwBL*v>f3a`ya{ip8*VsZX26h9L4N5a&E zd7r+y2lpMf{G`RtW{0)b1*%hKY4=X*JO7$D)G1{b};V{B`Y9&h;vqVV+-> zGu^;^bg|aO6O~l~ovvq0NLtcC-E36Qn(K?Kr;pdJxZQ3}pk2>%%y-Dr9If=h{E!UuRXidLW^Aq$A$S_vE1q-V23 zt{lWGeniQYh1N!z!5I~ROs`2}JBqPw|F295U)Mp=>K`at3H&5}C2TioIURB;j+*zr z&hqiaAJ;5Ov2tEseKc%_{)F_EH(djaPV36Y5 zInYgls1cfg$a@9EA%Uq85=4zS1w`JbB^nXA3Kaiekc6s z8?+97)c(5QN4o&7_|crq8Nbf>(F~#!e$;umh_t8&u+eni9ELHDqunmvq$-{c_;sW3 z(ZOF(U&D9^p!WtRz={z>sKR<@;1%^N@Bf$>i=Cige~ z?H@fO{gwVr=o1aN@v-z@N?+-+J`|u)P4G#X1WrcjHo@Oc_+&GH%AD~-X_A{k{~FUj zO!%{!;L}blxk>b|G5&eNUqo;?M&D5IZYic`WBl8MUw|LUoZ%bcom@EmYmEPl@V{-s z5C55w<8%l79lxINcQ?T|Av}(=n&4xzgm=g2 zzZBp8(QSQz?li&Ym84K!HcjzI_vY){3&5#NnS7cN9^4iBC#64XL?YGa_xKUd4BrCp z-rC-|WyB=0=S*KYfgzIgr5tW4@KeJPKh6l3N$`2OpbO^vIVt@RpB3l} zPD(!{$cT?r5FZ~WY{dEpEEVuX<_7=1TFhV!6*Qu-ld zeoX=XK7gqWGW>3Y2RE1gN%6_g$=sjdUn`L(y!N1SZ7uPm{pb`m_`Q!JJh{Q|(;fcf z691o#;&Zf~93QI*=fE0>{BBxE&$z+gjruA!#v`cPCH&*(uYlv#m)hBt27KRN;qTYr??rN#$fwuP zCVuq)vd9K}zvGR={c7M3XbA63ZAC(_cW?L|CE*G1_mTL&Z-RdU{?QHL{?y+|O6i{N5^$FPCj=gfEw!hQEOyx$GqpVRL>|e=Tb34fN$& z9pLxT(3fjb{qd9d*EhjG2)~CU{001THGX(_C)XyqB0cfR$&U$sJ=Q+I5dA;!PtG7u M@Hguv__bXB2jf1dq5uE@ literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/rmapats.dat b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/rmapats.dat new file mode 100644 index 0000000000000000000000000000000000000000..dd2e722809787ec7fc42dfb51de1ff7c9a19b521 GIT binary patch literal 748 zcmZQ$U|?VaVi-_S$S-ICauQ$yAa+t_$rB)*f+WVFprGKvh$^OG&84sgD3*YvE~BJa zhlh!Qp#VutA*Cd@22G5ijDbO&14$8-2{ev@mw|-=ME?hZY9I|#2E#xmSez9|3j-Ay zfoLG+0%D*Q2mmp^5h1|90MY|8*OyrHfoehKivzJ75H|oZNPh!AkN^RQ9SvYMlmNMd r)^>obC&><=VwgW*?t{A-OE`&;Xudd-`RL(;dMRd*}3B-F~8^WJ^W zxBcbZG4@Zm-|x70=bZCC|G?hdXU_SowW=C5M%ZuI=hz<9>Qyt=tXXqa&8oFjFm>Vo zT@d^?`@R36KW88MWl+WYKf(1f{=HmKFr^yTg2Lj4`s?*qPF=3QapU@#hHE!2+`M-E z-j+mFtSlBUt0*roSTYepLE*F;H%}K#nU8WyNmZh>tTdJ=tt=>V3Z^dN zuPyPa3j7atB?aI8UuV0P487jAtn$0A#g86+-*{_pb>s5yP!vhAREn8WER$k}6fa1z zM2hF6m@UQgQp}ZNjui8xm@35rDHcjGUy4OiyeP$DDGH@1kYb7yv!s}g;#>JK4Mo={ zQXG`xJt+=I@wOEEq-Rf;`QT#@346#J#v zD8*SRPD*h}ic?aYk>a!z=cKqO#d#@?OR?#@)?fSr*L8ApO^WqWY>;BD6f31zCBVQpBZrU5c0#B~ombVv7`s?^=KNm(zzZ>2}Hu$;j>HX@r%~r>Xzt28TKc9?l6VSE(LPpEu zWjOAH#+BjR8q%{R3Y?$&h5r9r)-S;b3a&{V$5x`xpsoA+@tS~6t_DR3 zUqMMvGy2T${twi;yo9$=1ehL=5E^m~ESKYLA)HTnlbo*<8Lk%Y@5l9Up`jdWDO)#j ztxMvU^fnKmfXkWI&^a^!$FlZTT zwUrJu?4x{eueCwXk!N7-+$(7UOSv+_UI}y%+#JgXQo{NG?pu~EM-MLfWkKFbI77Mk@LFK!^K)J^NPfr!}h_G^h2dw4latm|{)Ck}%PQWL?b+6fGM}j$Ctj zx%>jSixcpXy4-&OU&Sv*q`<#i`~XL}@QUJPXxTsu!4zq(#DG&!?_LAWieGH4$;A(F*9+4inKn3tZ$2 zub>Tqe5rR~`RZMnPogQL9O2{s4*1H|t4y%i%v3c%cXJU=fa-^ zbM+9sT-s-W2W<`75y%(bE-YWYEAvS-fenOD@H^lyb)J044&=F4(AlU7ONT0~14Z~7 z67U;X;i>|^L#T`S8E|?(bl>kOL%xoLVEC zRAY5AGa(ye_Umu4G!1sk(dnmaFgWG#cJ2Km+pE1zUCj%A8+{n<&*zcmJg|sq?_AL* zT1U#sv^3=9S;pZlJOw6lgR7&%PoZzv=b;WiIQGOBf>sBbnrm#H$YO4t)6!6$ z3lB9G3qdCrKlojkZ^Q_8*mn0fB%mI=e?u`b)HrNUu5E+11y@&BsSEIZPEsp9Cm4X} z6?JH9Q0rjyJmqh*pu-E?L#ql8N^|g}M*ayj&?C5qCcqR3{s~QSgyXj+pa{54bOn#F z4_*8Tqy~D1n*9iyC=ZiY@Lh1jW=XXxK2ST4F#=PBH2-Cc;B+{<^1p^-_W<69uwi@K75`CaYl#vXjqEuU;Aj9VlwyIRUSW6_&}6j`Y*B$Yohjrao(r` zn|XJ?*CS*E=}kmGA?%qf#C8OJEIgvVRLfes-~|DW(=J**=z&0k+$-R};RiH(OP@`F zwn2MbZ3X7m%YESSE^P8PfE3RLfz-!21>WH35%s)ydUV$#T!=5fp9l#gq8-39Y%8T@ z0)1SUfX2}!*FTI~ab&m0xdi+JZn;;$J=+BM6G#p8)GP3!76*C>Bsa2zHWJQ(y^K20 zCAy`uz`86Y8%LN^+O#nf(YYa{1%QF*N$w1wa&47fa6}JRk+U` zJG4yDBZ3wD7V6ylpiZv9`&qJCH5>0<0kr>?qGc30(dP-E)F_s$pi4 z2CxyK`lg`PN4UXb$!UyZEC-JqQRBb^898_XMxaG-4YUs6xX1Y)xx+v32;hOHaxYN# zUU&ucE-dG_qY)r>7nTA2<2?oLz;fWyLZ4Su!}^emPZ?3!ugA-f%b>ueg6geI}Csa~q;Q{IA>(@F|Ymphv~~tVM{m0t+H?@)x?03}F_hfgv$D zh|{^$#$&<~Pc_tANiO1P!ioQ!T7d-qAL$%;CZ)=#G8&XpXZI79?x+N|a3X)+X*$Mu zC@YR|6t8;w>7Mfm^PID60PMQMv&Wo zS{L-Ez=Pkx)vGIxd|Tnp{RDmy@dBQXPY2((sc;K4#BUo%C@I~n|9GhqI36DRohN=o zT2bbNEd?ylDS$6Qoh!?||6jlLZd?xP#PaJT;T5gWDVi+kAhwx z4c7^D6R5}xXx(c->DIZrO1?`z z)vb%L@k{jxpuxS!%k$gu9~Z-+h}t*NFKLt26Y6q#wl+gHc-2JK_T;jD0%@*f=Ql6| z%Q>}br`&tu`O+uE6#K|Op&%F2$SoBkz;tA}IN|S_sJ%jG^#KRm!ItJPAw^E4G8`)d zI@QnZA)#?JiMR$7pob=*4ATTuVU43_uK$|s5Hq9_iB{`K3EK#WtD)6fjg`hHdN@5*xPbK4QxAk^u}HOe=zPwq948`v#?qn-(&peDFRkbJZbX4s}0$L}VPrFi-3 zMGojE>c7x?LHm0>A|w#*3JmH3g?Wt(>kScsx)kCDfocN7@7$mg!a+x_B47Rq1+Ej< zdU8M;n#=hO%0RkPtC+d>zm9f61~jS(TV(fP8Maw;arMjd`zcxnS)pBm-@#R+1iyo8 zzPenEw@2|99Pc(?#g%Tg5UY*K}T;4{7N`kZBP^NASCEX zKP2!jkdu3Lc>FBS>2t9uZSxb^QzBIGPq^#v|8^qi)TnFGy*A*&5-U>s?K=QF%qWGW z{G)RKCISq`U%?;*jBb$USAY)#P=+dN`~tHm{}99Qa!S!Oe+0!7Cvwsp8A~I}F6U=~NDT>o1sAJ;{DhfqVYc}dku00mD zF@k4MNi>9bp-CcH(oB&OG*zzQ{Zo66h=`h}41`G}M(!dU3PB?SaWxzkIagq?#=(sY z5GXC&Q5z~A{H6|CAJhdj?iHFj{hP~8Fh%!(0&oXA;EUSHIzwO)*It3H|HT{t>PFog z{bC3Bjgcls-5LFIy1K?X6R?SXNk<50n4X-SuE})-!i%WSu3{60{SxhME{m^|4kNzUUL#%OI%xIdb@&?R1cukVf^o zH|Scq{)U_(7;K@AqUUeQ0nEX~=|zJYXMz*_cC1SG46uK-w1IqAuOFk!kKy;2Tv&pG zGU&x8+U6&u55t>TU#v56e>gIqg~rjp+wOC-Spe|@vph0l& zYU0*8zjOM@^!+X7>)9f(ja<>D$Gq!@+DW=_tUd#NUOzHR=9J+$70L3iCeS+6A%FyZ z9O_gI3i(G$z2g39@j^bJcYafU)I=>&84k`5-A6n^?L2;j2VMout;Q`~os>(BGj`oJ zKW%%T7SC;`^E+x2e+4=?I6q{U$KbiN0ngAP;V6%4a%iAUV817C-qKz@yyP%?E1+YsnJsn+GRf;^ns z9B!U<#oGdl&^pmpOm5GGJ^HxqeoazC4XCT$J023kol)eqmwF1`lPwhXNnjmU%ClMR zXW2j7Tt{2zTjCMnSVHa3z7{<-eja0H3;4uSMC6}i%daiAIM0p$vQ~H6kawH`2e4-@ zVVfLUtEtg>mvOe55HpN_s0n|&&s~fKo142QS4@gq<2~^~1B|^b0jZ(ekO~zfk_@$; zy^RI_3^bIQk2D|>wSWHiU&aRLPIN7&f|LIxjyYWi2+HjA>hJN_Dof&tSiC$@QC?C} zS(hj)D178%pSpIX;o8+RS8vu`t-o@n?$r4+r!GY-;&m}fSyNO!!=<}^=Ek*K*H4|P zJAJY)UJ;9xl*db}O5=%&s;bhe@``v}qQodyR#z9~BP=PaEKih|l*Fn^V<4=Im&MEL zN)kCLdZJ=w<-jhf!e8yHh?gYFDq?jNPrxsMYO$)gkW?mO}tEj95QLH3UQdXWo*P=~NBB+3cE6VXF6H5{mWn~qxT3souCZ74Ew!o34 zEoHHacwGM4B76yc2M?Nv@YJ=dXD^(4vO7#En3ep+FV<977wYvu^%U1LA`W@|;`@+; zaXL_4I5CI##D4PB^!fcJ)Zho&kk^5pmO;L5o%Jb{3S(Onmx$neLC ziXS&To+~Al6$q#C@>oS_q6(c?S3Z&9_7+WZzy`Wg-`mJ{E`ah>Zz( zqT*5VbmVb7zU48DM(C|h)fM>0&&3%ps zQ_7H2S!En%EQwXdV-@;vN1xyk9d=3{PW0=L4W_c?i7Lz(D=XsVc)nno=|qU9WP%`t zj!j^;8OKDm9AhnBS0-L<{_&JtO3LDx8C4?AU}#h(%Hr|Ty2_}Ro{~!f|Dls%6wKBU zu`p5-b!Ac1dP+9nP+D4!SdS-Gtg0Mc1;a!x_mo^pO3TVCF}CF0OCutAha zlvdymbmPHaS|(!vPv1!^lR!j8$iR~@UaE6rM3K76=yC9rZNlKM!mJgo!BB*C%h94q zn->PbYz{o|EUqjquf&LO=R!}ZN=ZD1mc--b@SIo-{#TBHJ$YryrA1{`n8m|~%kZ?v zO6QX!oX&g4jbg-nf6und-QPZA*#>RaFJ{B-5VO4~2tR>JG zXi^D9CLdq0j)h4ou!_bYz|<@bt4zK*afAi-3vC^t4JnWGjnY?90KGqqS^M(y~~2 z8CrwDR|b}K@WRRTH1ZB*GWkV%sI08K3Ue+__$KB4nE6O6kT5ApAb*5>R;;dc(s2Qy z8>0wA5D!NzqqK|4Cv6m+JYgYNF6k7>5yjC%lh!3(8i$u4CX~sF1V2j><|u~sRmcm{`)Ibs}( zdzqeMW`^st%oyTj3ACp|W~vqF=~67;Fr+8l(HJBl2-|lXu-jrLIKdvWCZE0Mnot3^VCA!AB~PwZxi9 z`WuhMk}5n$CT-tRoxY%H71AKgeld31`mM}JfTaG+Qif)fuvue>RFy82y(CvR&JQwRY-(* zrfDV;O%fU~3x`#(Ov0QV^UO*2b^_+8C_}hJN5PTBdytEe2jo-F8Zbe{LjVJz0-Fw1 zSRuupqNi^(oVk1%8wi)K*J147QC5maD;%y=W||m`n!iKFd2e;`#2W(nm;88yA@3ne z{#f<%lKq(HPI|)J_!HB{#$ZY8mjzzZAS8Z5#@I+#LiWUB^X@S`rQUf{#ZO6}ch7-2 z78q{t=HiK!$7T{nbQ!h~@OZ04M`3fJu0*1PY(zw)$MT8AP(x-J!wruh z3_ygI(nOu67DBOkRn`SOh%m<~#Y_WPC9KCvWt@bxHJb}3Zmv!wCfW*lN>k_G5_w7n zm?}=V+mm1S3&@6Dzj6H(QZKR_=WPoyZI};?HC8E@p0A-Kt|02UQk;bVyuD@V3gl3Y)gmd|)krpmY1 z>Qh~~(s21q-Q{c8{uGnsv4(V07OArtv$8eI3TL|UKpJ`S%-L(#&xCf(Yn|_>gN)NZYx+MR%FUgJGISIe=Us=s{sWIY<3H}ZNWx_PhR%!Sj~%*>Y{MQ}%` zoa!2dG$lyqW8RC6N4P)c56E0#hgjzF`DyU*K-MuKTS?f3#bTfwyOuIl&QFrBr=LKA z9a>#t!*ONr16c?tCJX-jQm`=vUE)X$AlHw_Y(;srE1IYMn3iH&1uGaVS}|Eh(1p7{ zSqc)bF{Cgs5s(KlHnKqdLo^AjaFCypRhO*xF@u1aOMbx2(wi8*SOcJEux(ONWg8#) z4S;D|6-K+{$1zdC@=W%|Ws@mCNdk#8*@>?x!^#JndZozU{-9=JXRNv~^{ql89Eo@f zEl(1IIhgD=NZJ~S3OO=?zLDLA{Hnp9v4oeDJ@koS!edKSknl3udi6UcGSE$`jR#X0172y?EA|hUz7FvaK(C z!F?7)j1keXIf(=*f*Upnuw}s%-jC)iQ#<5U5(uUiqlNCfr@eiF`-8<%}@N!%l< z;kTrwe-hS`>z0iPY`0(^7vTz-+PZQ%zVV|wQ6`*NYGBHW9jPxkV}s zhq16}UM73yNLK0|#*b!=>=7O`*dM_j3l`*<5+v#>eiC!Y9xCQm@MUZ)V5bcoiuBS? z!nzDA|2Pf}A^%kYtz~n^c7}emIphfj=PSe123suH3BXLBQe%>b~&)P!*H)Fm-)(%=8TmPjXL={LIx`SL*IuuWx98#QfE<;j zlk$X&SGMN4Ldk*5^HV9gEb^}FB^wi^QQXPq&C6HnE}XT@7Ph@*A03H#EF_Vv#leSo zT?H1betN^DK;m2W#4z>1kpj%ha9BtmG9JZ^FRo8ghDY&KG8(R3zgZWZ&63Fg=82fP z;FuT^DR`JvAS1&SZgx#$arFw<3_0YOZi6x|hcmGl#Yz`T<;jubI0B{&*jd0144%AY z$VxuF@KP-Q%dxY8jENjA#KHIH77g>SyQ}XBio5F zBomR@v*Vi+*)xZgAPL4=IUG-p(#yHT{CyG9p1J!hEhN>`wNV25*$Zc{anmB7 zEx(^9J|p2!F6*mT$|Y94N-mYvtL0K%y+$qvs@KY;wtAghj#saj%Zcg@ayehUQ7#SD zo8)r0dNVG`g6h}hGOK!v>yN%(dE4@v(<`sreLqc4RKFpODyohlIwqGD$K~>Jamj>D z%-_1FWp(4s7cyDDQrB<`o5t!id7sic{A&&rdc)smAU=!ZBl^++RxR=(9!lhXkp|8a zKPF&+=USN@)x(($Y+mEAggj^Th{Hq!=JfRow`D?>Z*uYdjHmKIZ2hctjSRhRow<4n zoWoJ2?w-Hl`xZn>N;-XoXu#e1b04b}VPa<_UvE~$d*0~0sORU_YY>erw~ejku^ zLrrQ{;ahT1X5v|=98G^(HcxO!;UYtPi(Hmf>wqt=j!mQtM$?mY z`2jB1>#v@^cBL+GWjuBCJyO~9+?!l4v1M;^b8(4WV#RRVy~)zzQnWBxSy<+3bLQ^( z`dc?{Vw_Ei*PMxX-9U`M25+uTIq< zxI!!jja8#TYm${R4v!_Pr9tBQUV}Ww*f}c0VK+(cA%%k@s5n(sSM|(%&tAWF_2%ho z*RBWVMl6N~wbkWvIbL0XjqBuz>Pj1BRk`iGaP{+&kn*_)@YOX~wR<~0q~TZPTt z>TRG;6%@ajOMm`O9nR8VdgYM9xCn3Mxu`ersuQ~6IxCz6_%I?j%+s?7Bt;c)WSh09oPT+QhzbBDbcE}lCC za?hW}ET#VDd9Q;D@$M76RGw`sWto)BaS->HjP>Gyb#)<;;JSnpyuKwhWrFaD{NTmD1pm;Z^BFa4pEZ~V2?$NnRRGX4)zmi&#>y!^*fCjLOm(toQ( zS@y3|mjAodRQ#)ymH!q)S@my4D7XHb)NK3rT9j}8yVPv|rx?l|e<;6q{(+R$|5M7E zKal!ee^85Z_wP5L-1GlQx%c;rQ11Kv7|Q*>UyJg&5z5+smYVneT7Dn-JNbR|FQk0`uVW~W{gc#x@Q?EQ!+(D5z0^gDu(jYzm(sf{k@c*|Etv0{j2;w@h=T1>;I(|<;j1S`cr=@<>@~u zLV4zou0?t7PvrOcKa}#qA2p!7_$LkD6+U|OeerkOF8#m7kK||JciS%iKPMgy z94w@F{^yiwbGBV;m{K_KwpZ04RquFJUr5y*ST0{BV{-YrQDOI!)pGYuvOq51CX2x1{ebNw zx`<}q)aq8u8h8vDj+#=mj8322;Q5*lZkh{jnaqj9zh`CuX; zkny1}<2jS@JY~$IjQNyNL>UVxV@9)K+17Ya+Ba~{ zr+?dU-ckDVKJ1Xe4lC?}4|~^OwF%zi&9ll>V|0`@moyD(p&N zca30;#{nC->f?W8_#Z3&H6Qkg!9G=3gAe=6V4o}O3m;ZzuoDWq?!)R0c2Z$CeEm)V zHgMC&KW+GD6#teFJ8Q6W3cKyY&KvB4!tVI6iw3)-u)99&vcaw>?4A$1YOrex`_hNW zvjBebg~Gn_Ve$k3?1sX=_F)qD0lTHJ`#wyfJz#ef_Kgp-7~iNte&Aal_NCE%C3J%Y zKJ06Q-B(zl5BtVo-zscM2%9#2&bDNM!jgpwn;OF8$(Xb!LQ*7*bE;w%V4t=Hq(dAG1y#%%?e?KFjn%phC<9Glg~F57Zwc84q>Y2JfoYhbaQ-I zk--)yY_8{*$%TLoKIh{vGW^Ag|GW=dVz3t!HqVDGHP|wR&G%t18f>}3ihS5h276gy z3w-@v0c>!gkN>LSzoz($d|0u;^r1Ak*oUn&*ea!4;=@)OY>mQR@L_8WwoYM7ef`!0 zHn_~k-(dI~75_yaw#i_d6}H@my>75A3VX?moyj*0784kJATF_U@a5@lTu+u5P9j;P zIHkz~z4E!1)aTmZE56JMqp4JxullemgKbsVYd&n7!QNC@v9IfPgY6I)bls`CuJCoW zXI`>~y6#GvuKJt<%^rCM53clO?lr#qROYG>rekBjjg133Hdgzvw+wbrVQZ#&HnZnk z@*U9;at~4G!=lgNS|8V*ZOK~VzDL|6in}iI3mK$G8wv^s*N1hgH185!C&s(w-K)(x6Uh z&opBbf3PglDMUTwUq z@N3DKUK?zFmHa~OS>f}RWd+)Gle}+{_G`gK{cXy)L*92A&ujfX^8S*%zY-k=E4}$& z^1kuriXr)}@lH)Mo~Z)knJP5dsVT-YHC1_4dAw57l~-zp>6MykdZeBc+&SA)v&c(V z47i^|UKa1S&UWpYdfsT}k=K0cRYbiOP@jdAv534EljjoEZ<}Ywlr0QWOG&$oyk8{G z<>d7edA&@%Um?#|&5o(pM3=!g=RPX25!YfF;GPz6}y@AX(lG!Q>T}`Ig zK2yB4WWFw0tM}_g$-(V&J)>9vO>rTRdY!bTlu^cpabb{pgEC??UYs&YC?g@d4DRp^ zUvBNFAg@Zw*iIQc*p4dl-pclFBkwnr=T4vJPUBfko;B1*gBcuQztG^ii#&Ie=N|Ih zD>4VGgMq4pH+4V;Z%t4qgE#e-4&Ghf;7uLW!JB&925;&e9lX1J$FNC#>M-?tmu>!l zZD!C*y+^%{Q2(RU_kHSlOxwQ4=l!9z{Wy7lMA{QV`zPf6X`>F_)Mw=VIeFKq z4fgs2uHJZ`B(GECeV%%sCeJgJeU?1WDX)D#FAHF)i{y2QdNc?w^t()6SIFxsdFiBi zaKGQ*k}Uzvb@IAFy>3#kTjX(@G8nj0cggdf>UY4mqfLHOUy+uhc8y_TfK2w`?j zf1CX@em(*dP> zr>Pb=PR0s!hnm5A@UzIjr6GsGAD4I8u3GxVdmfS zco8zfB$mef^hXl&>!u)yX%AkRouxn40H6NE0(|;Y4e(B83MilHY_i_(*3Z+m;C9mM z);cA2(oiDcgteevy%lMwK)QD8Ndum0w(IG3blcz=kLj6~BGjD?=F@8Cvn|#w=UQTF zp7Skc_6sewz&+>DUu*&I!Sg|#nc|X|0{N#v88yXaGsP8};;OdhVnC>Zuc;|6`KD-4 zQ(X2Czfj^UzK2`JBdr;a!K+h!={HUKEnx<;-A>#6owQ7;uX)Vxsum5NN$xcP4|HD& z4A-yHC-nMtQvv9|@aXRg?%?&H&P?(RtI z^tD&_T&fbZ_q{qxjeO&d=B9Z~rojBBTCrtQk+$I5fKKh!v;a6mNGG|j)U?nD7twBu zg>a}aAXK|85xWgd@muwR*llR4hqzRUodlBDZ5djRi%!0WrU&?@(Q?sfMo_21UJ`E@ zn(5WOqIFTCNEyCne2b+&hGtIgERHK$Th71oMM#y#&jl=REukO(OVtuWne`3mjPDf z;csb%%%KHd_rB4rLAoi{T&r~PW|6(nqbq3z&!I3=q;e9i#fo3r8UqZOqM+;5NM#KQ zEKeI!MQb&XmUv7nC7KVt;MG-iNL`pBQbAkOCs4P{!`mjtA9~Si$eZ#cLc_P))2C^N zJavYadvrS`WDdRLbwss=&!(Cdk^ORjZ(g)Zya*|uU?w3UvS~LeaoN)ZcfxgVbCF*6 zH5UN+)qsI2zhC|PHLp%{EJMY<&%dQUzrsU2s6Ou`aYVQ!T$*%aVQ5u=Z`vLbZC3|% zs=#68yT+?~SL@b#b+uX-CSjEJJ*^9qFj{v+>o$0_N40KaQ1_qe0kRP>6eeqw76*Ay z7bR;X@!DjY5>2`(5#^P&Cy&ec1B&cJObz<_Keg)PWPx678=~pcMxZ#sK^T8V>dyi4 zlgmwY1j$p`&n-9U6B^X=O!iaDO{Yk88laqjp<583Itviug&kZlP0vwN+jMBUAezpZ zQt&94nu@9y$@vmsVU*C6K+|P{t^nkxnVYT>bPXUs%iPpJkSwB{B(oTk*`y{(2+j9Z zy_qzl%H}dKZ;Psas<}z`8vv6nh6TYeGEKRs+$4)EKjGY@yA7a{4Tdm^7!}uEO|rk> zq?<*)?lsKW)?5J4lHf6~Mr|%sD{gC^0uV5!CX4hs4YyA28Owxb-CV$R20%+Ag#|Rz zjMOaK3Cki&2uQaUaJAip=D8xyNkogx=SVF{CMORqpm_wza_uEwaos}zifkL;qAN5x zS5W=kw&tS$8G96l9~m&siyKc)DRdIfLb8O+B@y+i&wMGZVvogUE(e=sMfY0NK4J0_ znY?Ujydo3n;>bFJ@+wi}S-2tsiCX$x#B~KgPIM9wmxs-=;#=j*Tup{+Oy*jVxjI;X zShucY17uSG%r+#8^t#dJ`OTYz!y2DtGf7@Ik}b9rXy$%)b1Vs$Ui+LoBAZL7NWw@; zZMD!`Ch1*BEl*l~MGB<2uS}U1Rn%fDMKD{{{AQ|H@wSVobu&FtOyM_M@~XMo7AVa% z6uFDa?-o*s+9M5KKf`0e1XuHZ)9L_OyhYN3Bz;>*H~7+-jBmDORr9-)TFZvMN75rg z3hBC>+UT=5)_6i;7NwiH!fNIUtN9~p!EoICiLlz_vtS%B>h52xA@Yp zH=b4+OQAR4WdGkH3k{QCd50|S3X3;<>G#OO5>U-NaMR2aH_i7+`i+pr{9(f=*ixX1 zw`k;xd*iWXN~3mC%T%D0_#o!sTc!h)h(LJgwCHLB*O>s7`k+~4I2)j7A44A3EprH( z3lMHKFJB%FNkMtuY}#U5sx9-aaV&rc6lUI6-<*U5~TK`&4v0jUNVtQpRy=6sjk>0QD0bW()0MZ~v zt`>`2Eo*xWbsbr4Vtdzjo2U)KVr%38!b0~eakVhjvV|;4NcsjzV?w&km!6<)?37JQ z8A;12eJfd1kVU1ic++RGjV#_Y1-FyM4zgevYS~E^)xu)C&tf-O>@gO5$zmT_=ouKa zZ$DWa5EeUp7H^ZqJI3M=SsW&d_t=nk$)Z+R?DWlege;C4i}%UmLy{gN=?6ku?Mvrm zvPCA7Q1oMxenRP=lf|cG@tLrw@uhRx*mA;H)RV<&lAa{#DItw^5OmmZg4kjatVOfu zyMsZ8m4F`ILWfW|cCQ=FEEg7seHO1Yo={pl)Y1AHSrk(`_Xt~8ki|-2 z@vhHe4Oy%;E%bmPv{_FUo2kVHve+mrYJC=(h6jyg-f?+@TExgAK^AecC=nL#`DQGo z7M40_Ehh_3yILzqS}CMQeCbHFTWY28oK14gPdvtz-ad*av*bN?=u9{}b*dgPxNYduJDZ$q4aV662Wf(`+6 z-&gW5LGPN9x*hqAH&9y{fLo6k%F!g6ioSfGrqHS2w>}AXH(PCkvh_GgJ|fA-VztJC zXmBISw0=rW?37^Z=d^GgJL!ZC_SSkE?5!tlu(zHf@6+Uc&Nh)+&oBs_Rau3;V=xZ3 zN*sjfi6Zh-6NW8(~;haQas&`!g(EwHw_{>!A56Kzm~8;@jEJj$|G}TFFV^K76#e4}i*=Ch(WnwK<^Xzt8g|KK`5YY(VO7gWm z%C@aZ4G?YHNc*O+Y+UG#_BO77+iV5gR!xi7u-A6kXm8tXqrGj9jrKN;vkoV3SC z`+?Ff@#TL+`+RKtbukP%pHlt_^7xEAK35(u_&k_@Y&&T@bTtefJciMBo;=Qw$64jE z)aP-5dR#Ofx*P@%9=~X7Adf5LaaDON^LczhB-^cPyOAtXo84qv7}(lwk%!Le8ejBn zd6zuynI2z~$9<~z6>0StM&oi{{x_ukR%tT@Mw?;u%Wz$rnPNOL8vPny^5kcx8;{Hk z<1v#w=9v7x+JzxAgP9dZv(iR)W|fWZ%xW9m8N8CN^4F$J4<7i)thcC; z*<^=KG8d@E)%2PacOJgTHixB=giogGsEbY z;hH*ALE1{Cb#i;sCtOr#Y*C%zWHYmaZQ!Chqmxab>%q0g^?n<6)5f-{&g^9y_K^oy z)tUX&rMVi%0I<6=-duyr^!PP zqcu7iKH+hWJkA@B3*>RR(e5vjR-<9#7Jm#~A?;Pe)p=p#8xzgpA0NiCA4c1W(H^b{ zbcLSbtTH3B%Ek|(Q6w$7PHk?OHaDsHEsmPoDH}ChL1%OY4KmIyGn`#!zT)WnnxpT& zjK0PX=ez0U%r}%}akjl6RV^OSUf5i#*D1}Q!Tr>f-A_x|{q&UT);=R$pzxWEH}yKJ z+3IJfi}Zd@i^1oni}n7wbPPIpN0HhW$ZmJzN5S@??0mE@l%4HH@2FAxq7-;E`Y+M7 z>lO(JmY7`46ylaE>2}UA+cmr_CeAE94`o=o1yV|+VZnt%I`y0K+BQ{{y_<-@+&SsYM+I^zec$fAX?=p5*`GD0| z^cAS=$^nB{^%d#;*1lrs;2nf&ukHuW#(J;2YWhLf=$(UV-_uCvtj97orA>U=?TZVXR(=}5ZPRExR` zUUxM#iCw%yUF~0_g!Yo(UDs(Vi$v`=>B6^?MXLX8b{A)Z?RVK-_oTZn``z^=O(8kG zM(0SFbR}nm?Xn5ncs1%SfpPiUQ2^MSZ5@RsqGO7gy<@7F9re2G#dW%=*fGOY>zHZX z+cC?!uVc1#U&kEjzQ$`_cXe>m*I|>sj_0krI_6n7bL{{q>R4di)UnXIsbi6K zOUGjCu8t+vT^%o2_jD|^?&?@(uGR6P2EzuoYdT)lVCbDx>v&CrVR%way0xQNyX!{O zU19`AqK=hjt5vkcYPKEUFHu{qwXWz`M_aC!?z-u9R|i+S9kSYO^xv)Q;LNK-*QK|; z?&{#Wv_saV(1^3IjySukgx$q=tU5}mVi~)uoZVGHl`E-om3G&isJq}A9b4HBOZ#=` z`V-aLDQgF1aR%0*GcdqwC~FsGF(!5FNfoKidntRLm>Br`slx%)0mt)#?^0;iUeok4 zoxe8`0QJu4Jn!B4#;^R&d)vlZht1 zI4Op0{MPTh(`?5X=m8pC$l`jAwC737kkfG?2_Aqmh1bCpUI+JeJFc+vxUt)Djh)v( z-M$drlGs^!yz?GCN@7;`cs)8bIVA_6A3k{WeR68lcjAe+*mt+BF?VR7yHuJpvku0< z4(9thzDD0A{bNfVjD;N%3zPo2rOpDgS!bcZW<-4l6*{>I+&NWM=+wOqR8Kd1cFr(+ zc5)Hi$;EFcQ-PgK1$NH0KJR?a?AH0b+HGdkcj$ypE>$~asfyd8RJC3gSe)!!XmPT0 zQL0w!7pK%OI+vsj{{@Saol7lFb}q9x+4-XRS?6+#lbtV_zjeMWaWXk8>I%qQA#pM} z+pAkCaWd&0z3f~ievq8&byw$V>#k1Q(Cu7n-PNgSKk(+pM<-)or!GHHze&0)Oy%jM zv6Hc|Q(|G#$=``x-e7md1m@)L1QsW(L}2s1b3C0~x^`M_uCvU%sIy#9{qtCz6=YK> zumuqt@d$>;P79BnoD+6xm`pB=7C@rTjwDQntEK5WHBFaX{pTb-rbMbm5y^8sP|YPWU=4Kz3??Ogaa*gbycv zoig#mZEfQhkQJU2wJv*)de}Zk=TXI39?23u?-S>k@%cb;{8x%QKP1j^!}&;YUiR(t zF>!2B+{s08r=|dtVLC}qKXuj-_XPMQUyT;m(h#NvJ5QRdQ}zh!Je`bbJI`{|N-#~n z7UdX(lc@(&TCXxwt|z+F))$& z1!0msOsZ#CNWwhXS--m|Kc^U6-yK1up79(OX9cFKGbZ0@reUkkhB z+Nd`%S?c_TKwIQKDA4A3XI&r2$SO>(k5q*hJYYfU*{vtVN3B zr#l`jCXOwdAH1MAZ}@zc5@(t5c~NmCBtEg@WCr;ALoz~I_Lpc&<~1% zn=FaETllOXpOwak^VSEelj@oe)=J+1ZH>4{BJyq_T}RUOM!JC{8%e^mIS=@{>x0*2 zz$BgbwWBE+p6X>$mx?^jWgo~~Hd*e&Vw5X;EXj(;R6V%Fxjd(^m z*;ELuDqX3CZED@EQE!Pz2KNU$40oq`_%?SCKhWuK@=aex4Q1>yI*r?4 zw_9aw_jTP%+d^p2$8@$t|4+wx#oc zZ`eNgRCRX_-3adIY+oJtCHF-hE-)rIAJm&HCO983!TI2f#qS5Fg>--9;X-$yUM1gaBJ-dRYamRrlgYPzlU$d! zgWhri4{jzQ54X1j^__@~c*Sk9xg)Sc5gUQsBg~FOKKMFmV_i3el82+765b5%4`fb^ zTYWz_8Ga*8*27)8L6oeGWB{?N&}4K?QMxYX2)cB$DEXeJYu9w*&JbOXMDn3)*G$4@ z8K2qO`$r=jku`@na}DP?#qm#ybUja;d4@AzJ?>b!6l*BwgsrH{ z*xjYE`*Xi3jNM%tyX$#b}J(T^hTu`rggh-BoWc z%Glk-*xkk0-KDYnn(y6=-CY*DyBNE>7`wX|ySo^>yDWBl3BfLl-CsnmC+aeGcWLZ) zzM>{D#_leS-8X!bFm`uY?CxUh?$X%pCjh$`ySp@Y--_5sPcwFRS?um&?C!GIeLLzY zQHQa+%VKwz#qK+ zS&Q9YMK~ghu{&$AJ8QA~>j+2qFm`7xc4sYi`-kkZjNMs_-C2v>;oG#j`phzRXDxPT zEp~tF%VO-#TI|kh>`oQ<_F?SKTI|j;c4swqr<{+sN&6VPvl6>g&ha*ZF?MGycH`T- zSn8pgu^Zo9uGV{r-6`jEo8T~ZXDxPT8N0KL-C4%&EMs?8V|Qwr*Yz5^Q%;&o_%e29 zC3dHr_wxkC*qxQwoto*Jgt0qou{+Dyot4;~a$eYz-el~~O6*R}j@Sr{8~a&1=9FdZ z&g!9nlyfLd)Y)N=uk3d4!R=0o!Kv^QaWXT>RyT@_=OP(`$k?5=*qz-ibg15=xPBTb z%h;Wj*qxf^dkSNB)?#;7V|U6q4kk<)yR#O%vl_coMG+stVeHOY?9OWJPK7TDo98li zXDxPTHFl@`JW`giJ8Q8!tFb$^D3T@iW$eyc?9OWJPWgGIEMs@pVt1CYJFBrf<>Zw_ zcgF6l#O~A!UhK{?c4zAW11e*8ma#j_*qxQwopMf52`*!I)?#;-u{(RglH6Iw?(8L- zsbn>OlJZ_t&T8yV`7aJ;8N0I*yHoy~gIUJztiM1e7eM|6XEp}(WRUGeS=I#PHs*@^? zWPq-lvAf%1clT7GL-jOamvYibQpwoeEwMYbGLjEnyBWK?Ep~Tn>`wWw6?V@t9lI@d zcWdlUt&aGJ3{9rsYO%X}K2lkQsWlOf$YSj7w%FaRu{-7Dk%Z48HpF6g_Y&o^&ga9} z-EFbE+hVtqR}wyq-Q5Ep~UWH!tqqVDs#5zH-^UN#@(oVsmmmcx-lKclYbb1E_mFay{f@ zx*5B>HFj@_V8Vp4yIW)T8w}(#_n#7-Q|&dfiZS>TkP((*j?e{Fm`ua?C!SMUFqX6c6VFs?zY%n<>N4RcU$c4 zw%G0DkVGHG?rw|S-4?sI`Ft3=yDfHiTkLjnO45~#-Q5#!MoJ-HD%m4k>7|NQv0KJ0!1g}sRNM= z(Df9U{GLLk>zN{SsGch9QcfNT`t(dA?sU=hU?g8)GYFe$e00+>^>&0KOlK3v-nH+U z+bDeA@o}Cb&hy4+o+L9;haw!2HJ>;|hOu|>8Ub`kPXe$M-dx=@g0L6n|$|dOPc4|>=)6(e?94zQFbo zw%_<1(B7|$a74$qh;z_z-d3Cw5svVAhd74}=dgNQy^r%QacT|cJ;iYnNy6s{agG|! z`-*eQ=c8|N0rvyL`A~8E#8S_3^7+VcKBiZGqPjbYC28Mh#Qhw&sk6R!b3?P|gz>2- zpOX@8L3-MzDLtoz^qlYAXUO)fk)E@(V9$A*ru1A$np<3?w_g%3Pk9I5dM>LwT!>sx zWHNU5Xzae|!x+1JG`qUKdI~e^UdHZTi`~5%yVKq$-+DE6r>FTc z7`uCGOhv}-UX9&pCyylhFn0G!>`u>!UW?tm8oSd@VoAD^ zvAfq|ckjm*D0?+_r~SlIFJpJF#P0OG$h(CPV|TB`?%sO3#7Xiyoh(upIVCPKKk{xN zW$f;?*xh@MBb%K!dO_rW;**yxg7#h!ot@8235=PQUdgPa z7e#&}um(z%%nEL=Q<)or>U?)fy5c59NM1DpE-ru6B_l(hdUTpv%S^x4UjzUO7ikbcFNHIK69n=Cz( zdS&F|Lcq*QpJY~W%P%JMtq_02JtyCND}{7bq;Gvvrzd^P zru69~Jnem$uWysO!%gqB6= zdnZ|>{3K?lo#c|B9wwW21s3;B$0SOhBvI1NhnB=VjNg3{ztf4R83JS6?z6bv_aUuw zTuoOR4FHk<5rLLG>H9=Y=YNB#k8!(C;x7u)zA-Z2eblT4o z_0KU?`z86E_VYyj&l5HeFlaG9sWGX)NSo~EiTW21XrZ}ZKTDYQzYf&Dg#2DGeoK{) zpEv5~+`8Z9*8QAY_v@d@OFMZZQHY_rUqiEh{-~d!xnDwa+CP8PUo3(_zrrpnlSO(f z^X&9Heh)BA_gk3mU)y9RSSRPz)8SuYluU2``cy6I!tcmy-G(NxPJ8E!`ZuOTPOY0{ z=-<>7L!FcMfv5E|l=o{WKjM20LwUc1^7PS2XQ5+~ykC;!xaFQ^e?pF|r=9#wG)ux? z{Y(3Yi251i`z6SONxAk_p1-e{_7ge%73}9qqphNANZd~!k6sZMzT1duuR!;2w*`Iw z4tpH-?-UXzzau1^zxUS|_g(hz?ziy&v2UimWWP`NeB#5HJnxs}dD_YEhz!l7<7)Hv z{e{87#eeyYGBp;CULw(3Q z=Z1tIr|A7MMNd2Dh6MI8n{4OB`#%*~sMf#ak#^EOQpwzTzvRwwdqPx5JKvobx2~sN zCq>q2--M?Klg-ccnJ7*QGtS}r&l+!?!>7;sIOnOWJPSag8=~oRz6~!C=d$7GhA43L z(_;8*1L4wA7&H)9rs(MlzNcL$Oy=uAH)Q z80eSBK$G(w2I2h`Mai_i$)9@m-)Fym0~k;>|1xLWK!Kn-Gf#};0q%hgOcB`1$SMMx znbd9_kXbu!IZ+>&A>5ms=^U95UJb4$)W1;)p~fb4-bZSZ-&NZ!kh zx29d1Hu^YxGz`e20qpcs6HS{U8%ib9F9XGf!}QC*O4W6<=UD@M4h+b1py_qbvj)~u zpLNEE@BR#IFwYv;C?x(=b6^umHVZ8LN`QLS08=mnl7eZ9`J&!n-$@DvEr?S@3E3r* z@QNm9&WQm$P)1<6@N?#z!hn%_Kq7UM^L0;wRgq4@c9ZjYPl0W-pgSO8yD8kTlt~3fPZRfV2?aPQNPz70|Wc)F)*-SwjP=)+(+iX zft1u$dJD1v=353VZVza_1^nKzkEaeC68qr(aIy%r&fg7_O6FJwEXOkN9!-5DX}W6A z2HN{6<8e%Q;Qj+0M*d`V;6oB02W`_{-<24k2P8l@?ekqycR0cHGn2{JA_wZUU-w6R z#Ly?%Kc|Gxfe0o_aXvmE^YJEs)--UIbTS`r@@Gv0=h?3kkel8Pcxu01WWQe0etpO9 z*DC~G1s%j+W4|_#-52cF>+IJX?AM#xuii9j;Fk95VZUE*Q|CLzpBtD1{3z}KlPCjU zX}`Yf>&D6WfKA2+zG2t!(~pA%V%s^}1`DlU2bnAxoT~j=8`wa{=HN6V9+Vk)v-4Fw zVKCEl9F#EI{I%DwgR@03;LK6|2j`kk4L&C_nw=D^;LInVBH`nwUT8d_Zqz!?kl*Sv>S|eBWX9WQJYEox;ft97Ap9L*rUZi7&sUsvCNxW{3X<2f^uXtvc-SH zW3Y^L5@4Z!g*o?NrO0ma2mc`FyMq!qTf*+S}3)z?t+IJfy~ZJBr3p?_wd* z;(yz8km-;?Nr!+5r^JK&l-l4CGCwLk({jjH@O?Jg=EQ@X6Ayk!MURvABP#kadsol; zwHyxI+=ebg>!5_z*6^cy2CJi-69RM6;i53})`KTa|5NPU(;~aoPlpelBcJoaXPz(r z0$~>g7XHB%lg~Nspv-Ywo%eG^J}10`5?WisRJL|5|7_=={@Kpfg}&{0*l*D0yMz4Z z_~0$7aho=~Bkh0~zGyzEFPgVH@9jpN`{?^t|5JB^Uy|8Z&<#Ak=0Ld5k*kMAP_L8R z)+L^PL(F>&6)NozQyoK7t#5~>nO;NFP0yhj*0)15#rayDKhXfeL%Oqwt0Xwuoa1By zn`=4_Jtwd&URWODslK6kro(&-%R@yXyUm}L4Kch9NqBAZQ+`8CYz#?wZF8nyB7Z66 zFB6zQ{TgE8c}QlmZQ=Aw`gZ6g+Tmqse_N?;%;2J76Rjby$_n738<3Nm#uB&iE1u!Xezvf5CL zwER<`LnTx&A)VXiyx=IrJjgdxCPs3?G9Dd6oWBmq{I$)=YzZCbuR}6_ZQDCD{Odxh z(KeeA4q4t|XcxA%g=TyDgkE=~O>xaTqrMt%T?)J=ExoZXvLNbqOHQb5Kfcswe~?AH zZ*RI5mEJpaL;I3AyxN98`WXD3BW1b2$wWyS0^Htejp_BE{JkUGzug2PT;Gu|6X1HN z8K-4&J=|QR*LUTQG~vFsxmd67HRG5i?vKbHS86*Lb*WSywXfn0y>GIPv0FZ{1F%CM zvRjU8mxj|nJrpqXQR4|^{4r?T!ngFE_F|1*{%}>P*&u&zu zhi&RS%&&_K>(@ovKJ#oi%!Ku@#q;6$jmFpF`R88Sh8M_ChHOh%4==I^FuYg>E~=MU zBp80dY&*O(rQ>XPnb@GM&h?|=7gL~V^K*2=%c-|ao!ja?Um0e0Vpy^hZ6`f99)6Vq zEw&FAi!Gtg3UlM(l|tgZ+BdvPqo$vl8)m{{c#Ytn@x`x|z5q{&>}_X#*aoV-QDDw1 ze2BKg%vuaf)&jS$i+S75`(41q^spqRfx|O>!y571E<|oD{Fs>@P8e<}-KxyIZkT(C z!xc&Ml1i#wCG7?IR&l>J=Nn{RLc7iX5ZUlH(H2w|@rRkF7~aA5?xc`v3gMi3SU)e^ z=KN90NXVn_+phTjyq7X|o2WhAxVByO4YZE}ZPGo=O!M#o`707_*CJf(UJf55?%T#s z&u*hy(->_HzCRzPKifQexR(Bmzrd!=K0<#!O80u7{(LMYa=ovG4S%5ieBF1952=f! zG}>d#F7ZF6pNB2|JbY1lukFjI3q)T|&4*=bj$2JT<9a0tpKSZe z_h_`;~ejTKaVg)G9oDw+%gkAGDmdHIH?B#&NY7?k$F3ApErLVnP>hyGT;1p zq{!TBWP$nf$ikG!by5$Y)vripiao~|S=@L+8B6v#<7D1N7&Fr&l6}rNA3_$`G77W} z%8})%n6i1v;`7MMLb56{FDUeHqhCb&!T0*KkCRipnm@hd4kL)&!0z_MH#Uy%18zMsFFgeC}eB0NQE&2 zJ@TeJEHch(MXo<*{4d*#Fo`m<-5R|^4dko|#X#I%9H}uJ-Bv{PE|H&cPKAg+@1b&g z4VU?pk^PDr^V@g8CQBo48ScSkp|;~~n>&rXleGFnWO>+LvKx69P) zdqh&(8UKjL$fwC7jK9PiVg6<0guwjwjz$>xMlvuS4x=bYdG z_iR%7Y@6@Y=Lxi+Kf<*3h^Dnc!>Rg+26)_GrP|kQ(lMf61I)ZRQGcL2w)=g~Gv6b2 z_GE<9_K}-Z_m=1f8Mi6p4rS=PJ+osX8PexFqomTap<+ojS@b$x+#o% zM_&aUZeLS#I44g8r!d&P ze&o|4tlB0Xqcz5tsiD!`=~`v6$0i=5do5&+?z4%<=>AkOjCd^aX|Otw0!_w$1#0vy z>T(eLGaq_S_tCcrdq-gYQJK+06nGdg@P0QH(`zmLSwp_}3G~q;8l(LqF{4K*LUKSE z|47W}F*?Qv0&~7?F7l3(?jwPH7Il~S1mpgw#C_a;D!rF+&cX-|Q#YfMy20%U!NIjI z37^c=`ToqD`>4hIQNC+G%GZ5HnW7oh_zoUS%ZzgOa#TN1m~p;29{Czvtv>2*(MxCj zxJupz7k!Zv*DGwU=4x<%ji%w2=I9sd&(8PCBN^h)&O1}WRZkn@Y7c_Z8`@~+ohea- znfcM%rU>WvqjwbdOyv9G&-bX^mxjwnz^G38GiUt<@^9XaS`ugUTZ_?S1s0>n3N1#D zO)-{ZQ!Pf1P18<17x{D4K(z0?=eJ`#e=;@$`eZKnFi!f%WYV9x=*8%<+2+$@mfRVe zn~F&%j6G*QJ*FZ5QltVv^VFxE7p4TCTc%^OWtzF-i(g@X7p2Btq|KHa&dY)W+?TY=zVLi{>=gnn=8y4@PK^~?j2>g|eoW&# zc&wt@t8Gp&reEI9IA8FNx2WpNrnfv{HrKqOzw>7Y#;TSyT?^u1;SOg zNi#PigGWLj!+GOMm~OEw7r{rtm^=#F zU-Dr*b2uiCf_CT29nvja0*uM?pxuARVvI|GF0n=?tLhB>}0YCI<4@# zTPOWsCwJdRZ{YgKYee~PsL;0%(!L>bTENCR=^rmt1;#n)AJ<7gz|-)G zNW1eo2dq3k-K;(?F(0=xlSO)+B~se`#r-%lJ>wSV$MxtTXr8l<9Dm+48=ps(^U147 z#QJ~eXMBOQ8MG45aam;Xah#!idQKW{(2jU3-3i|uUO4q;X1H*O~zS8c4AeIMUN7Q4w}4_WLL7Jl+! zd>?TovEJ@~Pj~zP*<12u{2$7>DeJ;ia3 z016+@v&WAJz5flA@%Jgi(kuSZ z+nv`{1eMe7ahrCJ*Qu(024VaJ)v34UoK&1@U!7CLkr3SOd`?$na6UeM*67bEy}yhe zXRdu*(k|_9`OV=JeB2`M_~m4g`qLFbbv_3oR%HMlw*Wk@e~7T%UtNzgDvw_`bu{hX z9`0EG=M>>{i_MW8)OPe~yZ@bxhn#3XTyFF)DSe&ip$}iC z9(cJDafrW1{8Z3W51ANIYCgo~ummYOzYYzqWhG82)gr;jB}f z(^31S!OXxs++a95|8DnpWgl{P_2FjG1o`3FE`*1#?!!9LY%xV9q%w1VKf`ggHLb-4l9xW}H0xKIc3NlCyrAbMAMU z-@D&hT??mc-|N2ny8m%(R;<<4t5*H0x>}v4eJ*1)8BEd*(j7E_NJ% zL808Q$u2I->(BhXug|o7#!}C}_{>PlXF^(pBG&h&hyij-6?wO8rFzAn*?mx?P|M>!`v($4iK6ASNOzB>TT()@}=U~tu z8D{w`VV2L=Y@X+;ubl5cQ@*zxvoVrqnEmrjX`_)J>Lzg+aO{Pphl11nXG-@0$03Pn|GAxb1L~&E&(E0WInidQQDVgXGo|>zOqFK8i7#wWY7gvEY42Dk;plIzG zA8_F3;%|0Z52#y{zsz7m1f&R_S@OaCl1Huv_A5H`HL<}ZpdLE^g~5|AGPi%3E1URb zo}7<-nXilcDD*C%hdQ8u>0cJw`VJ`*#xI=Xzfk2Z9Xq&zA!F>`&mZ;&-7gHoOInWXefWu*P-URv-cKFY8!8zg_=j1N+TihyAk2 zj>bUpAz| zEVi#J%{u$H$}i=rEh$%HNV)BXz02s!PM?(9aI4anP|0PJg_{59D>pbAz9g2I>gGjhV?g@p5_mrBU)W$%TL~ zx422oda-S%h1wz5Z+qmg{b|MErtuh2?e(SAE#fVH?@*6$9VP}KvARQZ7^(N90be!=?OkAdRP?;(&?d8B=?RYP@J6^{&mn2e_ z{G?*;9bBJwP<{GIEyg;^xQ9@EDs)#+$NZ!gV;z;US9Mg8{1eq~HPdQ{rtV+s;Ef|4 zwM0|*uXXHTIjUJdJ%FMo@g&rIfgDe_6k~9EfqTUvD|*5 zsii^(ZzAb9C}_gOA$E-tZdhHZ@8E>nK?zr|9^>cgI32GOR?mm%IKd`Qk{-F=N3bYuSzq?A}FEt1ixWTw;@ykHe0_LKJWvT*`LHQntfh zF%*Bku4UOSa6ay6pfnvms6L&%blA}--L(;8A$yC=sHj6nGrQ12G!=E|xb2eicjOO) z#~rQ2s+JQS_gIYtC^{Z+fZDWEwzm&udC~Dme6f}S_?WtJSScquo={&7J5UHwNp~dY22!8%zciq0vT=r7?5N_OkC5op0QUGnBIZ!YnQKl67fJEdS0aB#YusMxZ-s00>`JW`~{gxk(G8D+}qZld$6qQW28QMY0s> zTr7E(kVsfvnD1n4qmxkSu!^m8E@vAnr2IvfwhnxUS#atBzVH=;S`-bcy!9aqjM{TSBMa-5pGg?QD+gG zB8(&KIFo`x#$!k)jUi#j#&_yPjB#|*7!r0Yo0A>hW7sK|7(027VQ0174E5;I&h2cU z#tR|3gL~0V;uY6?LP;kL3t<7lID1hY_o904MfMRTVRfT?Cqvqu`=tK;9Et;^&+*9{ zvUQMMA&f&@9wt}9_tZPXV?n1J3p$V49rwN((&Owq72)9r8F>mDL)x8$afnMB(hjTJ z+dFyruv0D{cAg`3VHI=fJkP$$<-<;U*)XgQ^PM~}bke{eY+bSSsKb2cRn~Kje0`!m zfY+Hu7>AIzi+1sQlUUVJzmseP0=6`b}WDs z?Jm=3#1NM@iXC=T0mO5kc^;6wdemqq10J0c@aVJwkFaC=I>~bh-$^BW_;n@>MB~w; zQ!X%e@&aS$bB%7xo6PMc=NQxOB)~&lzOs4Vs@;Quk4^$U!tcguf1dh(yu0pXQ^yhU z5#+G?8ha;?MVV$6lSU75X@laCtZ{GbchSCP$*6vvAb27ZGA$MIUneqc zk}dx=o9=34qAEX`d8QC;k}5xyX|!EMsIyltBiX8a4)f%a{AA^>eC6$mUnS)7br#td zdh#@JS0auhMCp(DtY^05nPc-z&DbKIxvYSOm&mkn+Mk9yGX1Zy<&3l0V`u+FFod2F{0t?w+WEX66$Ju0n*0O^%ZHmlO z8@Qfn8w5@GWG~i6=8wyZ7yPLeo0w@cDR7+iDH8BkUdR2qRVuKjP!Y$r6O!jK@hgpq zkwxRmF*D{8diIz8lr~4YR+;P&uPW>^?42>4Zx8NnQ#y< zFEV_kMTW>mm3Eokxk9ubsFmznJQ#na!8qbLsgs()gYj267=LZBL*zKAlk75r_O(&S zi_0dPN8Ob1l}F>RG#W>?WqczWyyEcnHqjirFNk)B{k}`I;*1;_;^l|0_lQ=ahVed! zkw#|0+Qwmg$YFfMVSG%YrK;K|Z1O45)VD0Y+N;e%-!r0>XXMD$=PdVvXcd{BMzohq zdnIV%^0gfz$LC(?k8hagt>k%U^Hixec=-HE!)L_tng!Y5$yZkv(Huu_3R)LWzPct7 z&9Rk1*zKAm?RI4otyT$R*JK&SE*eS&>r@%Wu4yuiUDIV4yK+cW-Qm!c%W7v3ZD*z# zq-Q46W)aP?2t%&sF)g2Hj;#zto6WR2f+jBQ6M`d-F9g#c=P}QG$zz`o9I4OPCp|pg zb`KQ#i z^`Fwzwtq_5qJI=>MQ?!T!4I!P3+;!Cx?FSlS z5A$7&+;#0G+7Ads(ipkxBIGWj;vQW*Sai`~5jmTAyvZJ~n{*M3CoYfBfE;n)ALK6& z7G3sW;W$BnXgpYS(O@AiPulVqlr@tshVi;iOP(`&vCVr{m-qdgeoEN9I4)!Njq~n& zo9BXC^Z}vwqFc&blIs**mvuppT+02?SIL(v9P_J>Z5hVyx~_BIyrE^;e{bMEc~g@% z8Yv}+4T_kMuI*~l|6Tn1_{A;#-*vwW#rAm&5yv|W_I5`5*eJ2bIdWzE_NrBy%`{%I zIlkSZ3SY}SZ`1}JQMzbE5tqD%-F27Sz&l90?r~f0b6f1KN#ky}>Hj|UqYV%Bf8YE) zay_$%kufh^zoE<#x*l-^X>bwNpHde=W2--{P3XvvdEw<}5w~3~Eg6AzK@^`wtelNj`t`D5iKkD{v?arbukyG0} zK^I*na%;Rh*^fszPrkY***dzjW%lo$EOm5GAsdlqr*GX;se44!y)fMj;dK*)7rCwQ z=h$s=yq6$+nPGQR6=3O}$wp}i5j=U+{lsrRwIkB1=$vi)lKD6yD8~lkQ@osS7OKJUQvMCnpYwh2qA5UAH|saV)Tq zFFc*-wx<&+w9;M0zR+|c@-ovtlB;3bcA_~T6|z^$G<%-$IwMCko@aFLA~_X8>E?Mx zcb%XK)_S_15eI}qc6sRN-b*y~^S|9Zbad0uAz1Bop2++0`)n85-3QsXLz3q(_n9M_ zeD;poedEKp4Cg^RL5j5cy8t1_lV#?@N#OsFzk(h4s3i7o-ul{oTw1sHLJ3b#a*sX5 zUYzEhdWO6a^t1G234@f_yU*$WemtHOyU*+YnI#f?w|z!tG%NGWIo*p+7{3S3ye?29 zn~4*9bmD&}EH-^aCuN>Ox&u7L>82@;xa6I(-B-8`_R(5xp@bBjmQf;DTXhlo==4ltrx4s{C*;hgyNx^1LrQXj{D_0hDX~ZMo$@`CY`22_VrAW?dzFJ ze$CBvDKaokCiWh=p45{g6MIjtOzb@~WPE#O$}ZM3OUAb+PbT)BeA&f%?7dXcdE;@V z#NIPcCib5BGO_n8kcqu#p-k*Oizu;2f51r6SDt_LEFoVPj6Xb*#`BLJntwzc=n=Jp z=N~;Qh~_|#h{o7kPXW;!=#fb5J*zl2g+%*-9!YzvnMT-K^ao@lX=|Cbj%W^?Nc7Pj z9-Mk;aEdNh+rWcU4-HOH6$t9#!KsG^r>F`9_3+@-LxYnzP3K3=O6}vpsfPxqr~?Qh z8V^oAG&qS%8!U?!X5`6Vp4aryye6u?IMl=QnjV_h2-a%q579N__gTh*2c#YvkOWUH z^>y*PL)PX!JM~jSX6?9)-8Xj0+Pr7Ctj&AsWNqG4FKhFjJ$64{r=;*62DN%5sMW(; zxq1$8-#o~D^APvR!&<)W#}Q5V!|AW*sQ#}XwRz7m{a;j@_t=MiM*sM#5f44lA2`#W zrSOfJ$1syaDgSNCJZ}_h-m1_;TNT75pBUP6n%iK%)hzygmfLcU+hV`GJl=*2`oDhE z<~ey|SF)yrd$z&I@&Wlq$a&fBXs!ZWMSM03l*omd+aGW7RE??uGe_ghq zL1yrt8}=P5%7j6%-lU!oEzV?cl5gTB-IDUnGIRH|=<@ouEX{lF$n4v5SC-~It)yRw z-_t2;l#KT#*#mi0=#isBPn#^wdmgfmM|P%EHevPHBScgMxOy1;>LKtes_doeX}8;= zoDYE~;Jq_s2Jf9IGkEVTnZbMW>n$XD+i*>`&95=}+EdU^WM zOVf|2x~Hgj0n5?!BU+cqULu3{E@B#OF^D>lE(*pHrY$9!1L-2#GNvsjnu>Jw@+%d+ zD~aYnx~P4;-Jo|B(Hy&ih*rotR}<|(#!s@hhG}bwrXo_k>zKBlXonPZrFR3GL-YMB&!YuJtL+*NDI z9l>XBTM!nhHt*f3|LaF>-n&cxH`V67yERG{j_t!4|3q^90h>7!yR$SGb$ZmXr&tj_ zop}l=%8a@7*2^aB;Rx>KCfIL#2)6y)lmpz9gVdDqCLGfL{i8JRJ*@v*l;&qLxgld+ z^pB|H3=lGZggc4cA<=8^k`sTncg;or_*tCsflb|p)XPItuN<0sPs_yKd&*8oj<4eg zy*B(7bsXGDKAdA8&dU&7;1Jl`nFaY0H~lg-SzPmXb9=AK1lfDd9)#3$L3*#V&Kpu^ zgG}tbH)W3PZL|}p15^`w?JaZBA9$KQK=kqgOmDN$6LsKdg1<#C7Js{z3AFf|oQ|jm zaQ5D1qpi{xK3u8yzMa@_DDn?%zZ_tf(Aj3k@ur#-7%b~0uq@g*{(woJpU9E0mj)vt z-!2Ej-e;s+T+?hN+N7%Eoe;e=_Cy`8a?$O1#i4vH)xDwH6K&4O6VF@bc}FxAw(8|E zsMj8YZmX_-l&<#4F{p2XbhU4ybhU31sT2E%VEiBL|LnF~*Ox6#^-U()-Au|8ZuIel zrH>{o;&PhpYHLQGc&4*FExttWWoRUj%d{CpyRW#~HCqn5Z_V7sbR0Xa2c%f@2B&mO6ss!c86rmm8@3%RMQxvBQFM#ZxFcv{nEPiq`+XpyTtt?8p_jkvUT z0Yp_yt8WuWo;CqQ)h`tH@g{&ixe1`p-UJX;v8_Iy%JkV&85P^=OR8Rgl`qx#7a%%e{oH-m*^Yyu2!?Fv?>#Qo2iqDpI2r2XjMjB^8UC!`wL!C$03%2 zwoA(w@;|l;$ z$yU|zAkasHKx}g6v8FIy;D}$8>Mqf<5_7zpM?9CA=L*rLs_tB6cdkkK>+BAHNT#oW zM1E`{lELQ1`MyS?IlengrkdE)Evc^Ac1PVd)Yrm1w@E%%b>|M>$h%VBKA1_Ue`4lQ@xQ7nf6Gkv-epGb@sYROhJzNp4vAuPmMe;ne@?;Ni1J=l|Ka5 zM~m}9r+pZ!_BKCh5d+n;5- zI!|%6pV#C2Cz9^@imUyTy!Ip8pKa^zpDbSv>!0GyC)fLFNQgOh7t!BlNO$^YN)dZk zTFikDiR9Iv$2|EY@4$zMHk)a4h_*P>XT|KIe=gJJN!|19PO>D!L-IU3>8II=xLjoO zIKCuIJe=qIWuEW1^L$Ljjruvy_fwvaIdCJA=RDs}c|PXAjfloGlKxdhbKpiq;~7c+ zYN9!CBckz)q@QLau~nH{L^Pg}^wW$ars75YJR|9+8A(jtFx1ZjKtBxtu{Ekr9sv4j z0Ejs@3{lXwah!{YroJ!NU(8)X&QAJExl5FBm!O;S|csc0m)Dwe~If0p!?| zp8g%ovy*fD@vfXW9j#sXK%EdDWtyRxM%`sy$Bk{dT&q%+M$xS6L^e`&gAq z<9R_p%?o1c%VYfwa+v79DQH4Xqb?IllN`1BZ&94Z-$9qVXUxK!ZfgaVQHlpWl)hAP7rbF45=~sjoo|@Z!h7GRb3~xEDK_ z$xI};Lf+~D8YIMJfvv}}0f_wI1&@J3^5eA9jR#h9Ox6(1u>pwWcxEs_GlQ6lJ`C{8 zU_j0c2JD%E5ZOfT#MF&I0}NFS5L6XApLw&LY-}Zm#jk{_28y(N`?8pCBToPZN?mvD zzn6(a8DbYQO%RE9pq!gfLFzANXr#W9X;nnKq|$gcFhH|`nBzq!lH=LHfSe5s>>zhy z4uFApcCzkWL~|S>Lo}WZ4AcplxU?77W7jhF>5sg)KCqYMuPY_dz&@GK254#^SP#g$ zcinroj}2G0csK9V~1 zsF*=6`vmMkRVx?wgR;0E zFp5Z*Z5+UbsB4(E?K$49?B)wB`PcD+##8BhwMYGg?4+7YTN;f zkV&qzhp5sPmvij??0`k+j|{;K%_CbXEHX5o-C9622P`5=!J&mrTST;#N?spYEXRx? znWTr7YSR8P4i+IW@q$dV#C;y(T|`3#l9dlX9V!&#ZQKE#kjI>&hbTqI)sNc`af%+2 zDSF6G(L!W{Mo+uC`DSP%w_uYrxtZ=yTm@Bz>>Mo=Y$e*-N#pNo+qJ^bHdat16%>;Z z!7KCfx=cT&KXP6kvh(u#42{~(RrL_%<+uYzp)hk*Jw#P?+;Q?Q>8$23Q&lZ4w{w{J z`!+*6NW^jSE}7zVJS5ZcP#yQldJ6OAj8~+N)A10c(tGWN-QreOWq1PbVbephpv(faTTN)y2kCK zN;zJxn&wJ*h$>~F?cq5@g)yyn(Yx{f=b9-=W+GDJ0TT!ngu7%CYes3g8iHNl6Y4rkdW zh9}5K3{#yP->t9?Pm+-s&X%lvR>-hj8prFDs0~kL-f5C|x{UX5j%>kjE}4nfXPyPo zgketJ!!mgf&$4;;s61RS4^zP`MC`qvarM}pVTMVD=SrS=GO-TZ_<4Mv@*EFyq8_#r zwF4OvcX61j>0wILaR)La?&2^*BE!pwrtYX2Ue0Y_L9|0^3|Dd>WF{Y8MQs%73W-%c zM`xHb`7mX2!LnB7v*C62ICVq~H=`iK8ze8IAj6wD+?(xC9#uoh*?X9>x6r@M<~gSF za8W%hi|XMLo5yjW4heGd9;W0iF3UOG6)tfdKcR-ZibGRPw38Vcg}a7n+ll5tjwsx< zOtZ7L134mt41Ww0{1JD2%Z6z7CLHlwCurh_4-OgLL#z%UiD;a)hh^3tKETa5Xg9+F zBoQw|9K!^0#LuhE;A}l?XKNK98s=m@OvyU#K!`}^Np9jPqNxbcFemO|J8@sm*rU)e zG(Jp;JFcEKJba#<7d({3#SeeqefW|_8TzVHYY$&x`&T9JHSTWLiC4(-O6M>o>9_!p z9OtqO-=r=ncp4>2GTcP5i>q5$h8g=FZkGC6s4EE>yYyC1%o*m=dsvpFjgZlRt(4Loe8Y5_Dk!^QB$@H-CIdplg}kvhX4*q4vC{tXXe+ZzWz1BW%h_&W~+GA2$$I-3&>=fax+Ibdym-J zTm2sF$YQon*;|-hDsRxpGIArX0#PF?xLGU7h6+TDFfKB(ifB(VO(vy|MmET2W@Mx61S9rQsquEDLyp*0x3IHC>fg!{-bVVKWkNx& zaHbxiOdVHu#*CD(o25i^AW$NGjFd60oMGe| z^S4lRNa{b#A+$61d(}&fFt*=5}n6p%8NB9-+*gP`Aj8oaSCgnOm^h zuXKsubJV=V1l9fp4ih0E33aQ?$R(y-CfX!5gq*oYWab{>%ss-HdxSIh2xaa>wi-gt z+#{U1N9@dqJ zJs_HTe)R~yXEpLr&;;uv?jd&Joly78j67vM?W89+V}k@4EEyrNBr!t`;R}|NV95w? zoFCzWd&J%>FI2oGQGp>jd*M6oh5TOch_mR12_l4}oW(~eizggdk_h1_XYog$_)K zh_hrUM)OF|yo`OKaV{Sv$Rsge3E?PL-J`PV9-YTF=93K}Y9D1SeixGH0wsi_i)08# z7t05EbO{ZJ2^Cu!wYRMZ1ypg1pXKsF9;G5X;n-9|G=@t?3y8Kj(*z13uR)Gd#hqA^ zp-~9A;vS`nJF!%`gQIKZgFL!U&;;vxZk~;uBvjOBbQ6bgGwE@lMkL5p_b64}i4|%H z88R7_kjZE<+bH1>mdac>N`-bp?6q<9!cqG(kV1V0htS@Am~g;IWScj)k5ct5E^9c1 zRB$I$7-^IX?oleZ6Y3tEQ7*Vgso+jH_TW$mdA)J8j%aJt5Y}@DsrnYId%1c0sCfx> zPtGV~*rSADCsed(lrfW0!b}qCAwHu=IP^!Qjbm)X2DF9E6CA>mBr3opX9#UTTkxFb z5ZdoUB{r&UV3=f-s%^o0oyv(#KMB9>)qYz$Y+BKqW zRn1@L5Z(|p!P>yhyQxtK9k3A*87djQMS6-dHi*{DHV8LK6sx_Eag$NPO$6&*w$Vy9 z#PvN6Ar;<<5;cT;fa_=*hw!2OAeX9bc*JdZEcHL(5E53BaG*wHtDW6^Mzr!w6DWjS zfRDZ)nqzAZh43ZIy&{_Wj@0ODHcyqgV13KYdq>Sns9SwTKd>Gu!V}dQ`$BMxvF@=6 zL{ra!9^;C9Y?5tbEL++bn@l!@sC`_6_?=3kVylm%7miJnxo~W{4B=Rg9YPfs8q1Y! z7@HyWbLBlom3KnLg~sw^6UOq1rs6_lvza!BXuC4a6CoVql6-6)(NrL4Y(AS`AZUVh zAvbRkH7`+@(L=-~Y-1_usaI*sm`0FyVvo`b$G9XPqmo>xDPS9`$cDHsl)Z3lHHnIy zLCz4a;SjFn5U#UB=-47e3K;wz+aUFC)bee;n@FDn2O`$Z?B*7tIdCA+3&*xHjY{%_ z0|%lIa!Ec$C3!-{fyPQWgjA9XR^CHCR!+@JIJN{4kx}k3Lb(%;EkQ)9X8VM4CyuHi z_DTKwIfMsD zpNjj89b`AD3QwrG&lrO#V^oqS1n!eH{#^))A=l(%$B1}ZNhD*(**?|eg7qYKkyCaI z9b1Tqc!nJ~OM1?#-S8aK&J*pN8bhwh$EYS3YA&&j%Va}bU*T>@rFTLALCzT3FBJ)% z>)Z`**fG4IwxNOBa8v4Uc=C>@OIe;PY zB!8R0G`l8uY%LPK@Eh0U-|U+FmSX;&+ zN}Iv7nM701O#a3-`L{f2BcE+Br1EW!?1kT`^iBxi$I%PF&6COS+kDvzzb&vs=s4n?|!coNKVj0431f3)t2oTX2`2I#^ctYKJ^ldpe!LG?25D$frYw~XeB=%)#O6Wmd`is->tr4`*+)y zI{UYXLz!a;%~2%zit0VwfNhQ?LGAV z%{TG+b=&tH|2Sm-?);J^c-|;-yZ%A#daL|x_dm&xcjIxkb=7P3_eH+#`G2J22Z&!w6*@^#S_U{wN6F(6-@qeSlFD{SkQtt^P-@ZPn z7u&z5bP$4yMq0_$8QYWe0x9*cOV(`$hWb71l%MYNCwd!F^whxNfpWX_JnCP zBS_9s?eUD@8_fuk4&;J#@{Hgc%?OeX-0A(Hszjpnd0&=KDmVsSw0>9%;VQNRxD&`$BSz{(PsA zCh342h{ov8_i03PKn_HkF8%zTLo{`x%J*ER%^;e<6dY~;K9gxQtwO7%RcU2RD<_&`wodJ79J6(zRWYraXpY%B*{fmNcA{0P z_G+27gJ|mP{QFL(?IM~wJO93$X>~-aQFYccZ4c4J^xN6?y-eFjG{>7ZdSGqNAsJcI<5YGl4+-ircSHB zpJv(_qU}+2o@LrOqB-8EAzyfq`%Z&ga-S-9k>xHCO`RWqzs$5NM03oKN#|9jT_c)f zeoVCMOuIp}L#n+7rrji(Iv@VdaNAl_%B(KjyvS9&|jf;?MT8!ka zB}g_eMY3fXlDC&5d1nQZcUL0WT7cxeRY=}1MDoFEB-_>?`EV_gkJcgics-I&HX!+Q zBa-c#kbJfo$>&>;e6bbDm)nqhRfOd0VkF;`Ao;cw$#-Q)zAs1eLj{r_E0M~oLTW-a zQWI;CnzS9M>{_HI??7tGPNb&pLTcJ>q^8#)l~a#Y?jEFO>_uwkKBQ*tM=I|CQuzmw zntceVIfs#&djzR@N0FL;45~HsYNG|T6_wrC8v>EdIqUwXOUWd4yhIAky?2H zse+40t-6F%;bo*&UqNckRixHlLu%c1q}Ja+YC{83n{Ohur4gxZO-L2pLaOXGQWbZR zs%%B7>K;j_f3pCVP)j?|v#NbP-r)V`NU?SF;T zf!9bKe1p`Xw@4j+ht!exNFDuv)Ul699d~6Rb;30PsgtgWNL_GEN9v+02dV3>e57u; zW+T<$nuF9W*8-%PT?>)A>spFbt7{ojZLR{O9=cW`_0+W%sdm>oq+YrZ5Wg*Roy2d;U8nF{q3b+;TkX1l-!{3f zlUWCnlaVYf@!YXnC`lR9M@gsx>_;Abq_OL_c6=$0C}!9 zXv5r7PU zW};Y|g%T|frCL79wAm=v=Ac5Gi%M-CsR|uc&}CAgI0}?S`A!T+IF}mXti)n z)ONr%N!tlmwzdnd$=YtX#NIQwrfT(YP1E+kHC@{aSB|z1u3T+DTr;!-aLv>X!Zk}f z1XrGR7_NNn2wbzZqj1g9j=?ooI}X=8?F3x&wUcm(RVug^YNz2^q@96lv33@&CE7W- zmTKqWTBcoqYq@q2t`*uPxK?VH;VRIsz_m)d3Rj_a4X)MNb-31OH{e>UHNYi4kP6p& ztr4ybS`%CwwOep)(wgDgthKIn4Iw@+Gu8Ue5 zTvxRxa9z`$!gXD1hwFy+46X+4Ib652*Kjp!Z{TXt-okZTdk5DY?LA!g^$Bo2&?myx zrcZ+Fp`H!bBYiSl?fP`Mp6NMoJ=b&LdZEvN>!m&uuDAMZxZde=;Cipmh3kVp53Y~; zd}x#O#n7_#CD118OQB8CmqDAVFNc<^uYxv1FN8KzUkz=Rz6M&Jz7|@(z7E=KeLb`} z`UYt8^i9y_>zko1(6>NasBeX~NZ$r+v0emiiCzp%e4r89GQAYqa=i@N3cVcKO1%Qw zD!mF?p zR;2HTR;(X@R-zw-R;nL@R;C|@R-qq-R;eF@R;3?@R;{0aR->PUwp~93ZHIma+D`o} zv|ai+XuI|E(CYLH(CYPz(Dvw;pzYN!L))icfwo`23hjV?4cbBdI<&)j1GFRhO=w5; zMrgK*r zG4#pCQs`5RWzeS@%b`y*RzRO_tc0Fp6hNP06hfbAtcE_zSOY!JSPMPhSOqDR1pT;i3;GG88Tv`11^OxDHuTfR9q4C_yU@=Xt@7@e!fqM&#Mec1d7Q2gJEO8gZSn4i;vCLfxW4XHw#tL^i zjFs*R7zOT17^~b>Fbdt(Fjl*3V61i5!dU0t0b{*;CyWj5T`)Gfcf;7^u7k1JT@Pc6 zdk>7Q?!7R!x%a^+a_@&x>^=aa#C;G(srwL&GWTH^JJKZbGD{RGA}_fr_x-R&@LxSzpja6gA})BOTQqx&U{Cig2Cx7@E` zG`ruxXmP)Vaohb4#vS*27#xoV}wVr8kuk%cYd%Y(I?hT$?xHo!cz`e;c6YkBPS#WRhQqO$2%RCFFPI zQvmlN&nmbNdkWz`;#m#%G0$4KPk7eDebTc5?o*zP@VxbGg6ExQGd%A-Tj2TN*$U4` z&o+3oJVo$M^pwDx?J0wIvZoy0DV_>=_j)Sf-RG%-cfY3^-UFT*cn^BE!+Xe63-1xn zPI!-bcEfwzQwQ$}Pd$9Oo;~o*@a%Sy+ z7<>yn$6;>uoPfE_a}s8e=M>Ch&uN$?o-;5@J!fH-d(OkG^jw5l<+%j2+H)D^Ue6Vn z`#e`+?)O}SdDwFk<`GXL%%h$rn8!S~U>^50!#v?>fqBw%8|Ep`9hhf4tuW7e?!i3g zxexQa=K;(Mo;H{lJr7}C_B@7p)$z-#YZ+M==yywJi7?x|*)Sh^C&PT?odWZ*cPh-M-sv#gy*V(S zd2?aD@Xmz!(mM<0D{mgm*WP@XZ@jZ%zV*(5`QAGZ=11=W__MqV;h*4L1ph?uV)zTZ zOW|MTT?T)lcRBoPyer{f>n(tPop%-d>%E2WZ}6^$f1`H|{F}Y&;NR-q0RJ}cM)-@o zo8T|@Zic_qyA}R2?>6|$y+!a>dQ0H1@|MD1?Ja}9##;{mc5emzwcbkjcY3Sg-|gKF zf1S4${(A2Y`1g2s!oSbE8~**?I`|KG>)}7--3$L=?>_jCc=yA9)O!H_W8Q=CANL-D z|D^W_{HMLg;6LL%4*yy23HZ->Pr`q}dm8?W-ZSuD@}7nNiuXMHSG^bDzvjIN|8?&r z_-}YG!{6Y&0)M0T8vM7sH{fsfHo)KFy$SzqZzKG7y|>_R^)|zQ&)Wk31MeO9+q`$- zf9P$6|B?3|{Exl&;eXHx<@K z-!xd8eA8iV_T|9Z;>(4#)i(pyHs4HGMZQ_EihX&oN__dSN`13omHFntD)-HWRpFZl ztI{_gR+Vo7tZLsvST(*yu(taa!>aWyfwjZ86xL4PGFZEO%VF*It$e5+v{^{s(*%(oWSao;*v=X~p7o%d~kb-}k0)}`p z4c0YZ5v=RJVpunPC9oQNrLb=L%3wA6%3(G6Dq!96Rl;iaRl#cURl~aNtATaLw;k49 zUoEUw-ws&!d^=&?_w9n!=BtDC&{q%Zk#7&I$G*L=p7{2`dg|K`tKD}1)-&HhSkHZj zV7>4iMqrBX2m(`mM-iCjJBGk?-*E(Td?ygd^_@guhVK*tGkvEK*yTHez;54J1nPX} z5UBT^M_`}t5&{Q&R}eVlyN19K-wgzg`EDX`+Sh_$q3C$?=^yzzBdR~`Q9Q}?R$q{jqg2z+kGDpto41& zN`HE2X5rH#a{@j+HYeiK6LS(iJvFoOsok86PtVLL`1IVIicc@hX$bE&rz2cv<{(^e z<|4euoPqFOb0)(3%vlKUH}eoaVCExy(439%A#)DGht0VNA2H`4e9T;c@Nsh?!Y9l{ z2%j_;BYetSg79f`DZ*#WWeA@&mm_@6T!HX;b0xwT%mRcjnS}^nHdiBj#ax5%RdX%E z*UWVYUpLnye8b#;aD%xK;hW|rgd5Gx2sfEq5WZz@MY!4AhH#5ngz#;%7~#8SDZ;I0 z8N&C>a)j@j6$n2tD-mups}O!@RwMk#tU>s(xgFsrW-Y=`%^e80n>!JHX6{1xxw#wR z7iJy8FU@*{UzvLler@hW_>H*_;kV{~gx{G55PokSMEHYw2;qpNGg%|9nJ_`4=E^ z+`kZ!6aGbrob)e7uhR9j}azxJgS0HlUzY>uP{sKfU`3n)b=3gg1 zaOB@0K2YS}gh-Qr3nI7tTM=pT7a?-jUy8_me+42B{FR72^j9PD$X|oVWB+zUp7?7K zdFtPRNV|V0BG3H05P9z3jmQgs9U?FN^@zOk??L3Xe=j0${QD4j>)(&aJO2Sh-un+C z^3i`-d;-LO9MQ@Clj2Jn{?mv~_n$>H$A1pd8U721=J_upI>&zv(YgNXh|c#nAiBVR z6VZkKMno6+n-E>>zlG=$e>0*>{Vj+t^WR2vx&IELEBtp6UFmN{w7`E4(N+HYh!*-E zAiCP$hUgmqLqym4ABz+0{m;Zf^8OcyZu7rFwAlX!(Gve#M9ci|5v{bc5UsH$A-dhl zMs$ZY1<{?>R77`K(-7TlO-HoO%0aZ=%0+aKH3QMT)=WhAS+fw`Z{;C+z{*GTpfwxO zL)IKb4_k8)Jz~v6^r$r-(c{)aL{D2w#fit(azxKtD-peDtwQvYRfy;nYYn2;t@ViB zv^F8yXl+Kc$=ZVGEo&>H&DJ(VTdX2PZ(GHP-myv$y=#>s+G>>{de16H^uASr=mV<~ z(Kf3J(T7$wqK~W^L?2t*5q)CSBKp+YfoQw66VYeZE<~SOyAge1)gk)Qsz>ydwFlAH z)?P&4So;utYwbt$y>$@L57r?>KU#+o%d(CjHqknU*d*&XV%gRS#3oxO5u0M2LTsva z8nJ2C8N{YrXA#S>&LNg-okwhjbpf%N)TUddx)*F?ju%c zJwR-=)rQy_>mg!mtw)HhvmPV1-g<)A2J0ze8?AQ4Hd)UQ+iX2YY>V{*v8~oi#I{+l z5G%4?BUWs^L9E1ji&&}k4zV)pJ!0k72gE9@kBC(UvJk5ZOhBwUFcGnuz$C=B2eJ{X z4NOLCM_>wKI|EY@+ZC9G*zUk|#OeY$h}8#j5!(}(f!N-_OvLsDW+ApekcZfTKt5sz z1G5o36qtk9;lNzPjs)f*b~G>_v15S+h#e0sMC?Rh5n?9;ixE2&Sc2H;z*5A{1ePIo zHn1GAbAc6zoe!);>_VUbv5SFKh+PU4B6c~j8nG*ZHHcjetVQfvU>#!D1M3mH5!irO zLtrCfHv^jxYYc2gtSPVsv0H(yh&2bcA=VNoLhN>+7_mEn62$HXN)c-flp%I6P>$IB zKm}qC0+ooh1*#Bx7^p_cTE z>JfVt*n`;Xz+S}O1ok2JHn1PDcYy8j>_gxXVjlyC5zh)7L3~2sDB=?X#}J+`x6j=LK#cK0nZa_=3Pq#1{q{5nmK&LVR)H7UD|+&4@1z zv>?7La2xUEfjfw=2;4<{WuO)Dg1|k*R|W1PUKn_Q`079#;%fpA5nmg4g!sC^W5m}7 zo*=#<@D%Zlfp)|<1)d?kIq)3uErAz^Zwx;Vs}a8vT!Z-4;9A752iGHhBe((ahTulTZw5CZ-Wc4BcvEl-;nq=3|1rlC|HB|D%m`jU zVpi}H5_!SPNaP2vATc|56^S{)Ye>uuUPoeH@CFj|gAGV53^pRMDA0WVp;Gu63c^kkXRACi$p>29ulj9_mLw`~_ z*cfa_VpH%L5}Sk1k=PP^fyCC}OC+`hUm;Nxe2qkL@C_2B!FNcM1>Yl49{hkrMerjM zm7y#oszMWxs18j;q9!y6iQ3R)BzAM!6p&3Z* z3(Z1ee<%-$1EG8*4u)nUaVRtgiNm3}NE`{xL*i&?J`%@63z0YxT7<;O&|)M`g_a<3 zIT=~QY2bKWk}o$l_POKRDr~U zP$d#=p(-REhN_Wx6skeuL+B6^A47+c%nqGEa(d`2lCwgWkjx8RMlwHi1#lIufHklYY@isZ&nJCd71 z&yd_4dXD6l&rkB3wA0&i<>cC(@JuD(lJgls~YRRn}CL)RY#Nl$8}%SBrp@ z7MC}al?x9}rKkR7-qY!6f0_48db;3!{-Ukn@%=ju^n2^k!`rQQ8k(CLN~(&BODjvt zYsyQ?s%mP=YbvWs8p=wAH)qp1*%L(fIG3CCzm`2G#B-i=r1-Raci*Ruq?( zl~z=il~q-WR*FVmPtUV0-kAS0i$4{;A*AR3++vmJHdU3yWU;EEqDtJzhH|=*4e158 z#hVL%YVl{HH-+@VKUi#e(em)wpFACn=|z8drv4|1ru5=JNIZMc*3f#pp{cRGrJ=OE zy1cxkqNci{v_|yJ;_7k{$*OA6uv_URza-b3UiwRNE$L;yBzHT#{Lkd7imOX1%S+13 z%gSo1N^7dBOG+9lseO0SD}G7tZhGY}$+e~neo5|LdetA~+MhiA3-|ASy6~6e9;8?Q zl3ZJQ%`eG4Ot1YVxku@Bza;lKz5dVSe%fE2q&NJM+|%^NUy^H2Z~7&Sk>tx7YDnf)y66uwcUqn`JZ@>Z|34Ueoi6@0!8hrWKNI}9t8de#zb5!D zUG@h-%GI}9Z$FaR`sao0`*is)N`6RJ{G#N?bmgB){crQF21M`WGc9rfYsC z`O~nbCZ)Ik?}f6{wf}pe$>|+G6Z&}^r=)lO?}etOcm3~$rloiPL8!guNki+i7E#~df(p>pOxPKr{a`pORK6X z%PT~(tSO~Ap7^({QWTM5hMUSuANV`s`RRjyM|^hr(BBcClRo@+#OJ1u{2lRm>7)O% zc%_&|ifL9&Nm)&Gc}-P`n0PgadRjz&e)`zo5nqr#{&&O|rceAG@kQyAe@A?A`qbYM zUy?rkU&WudJiOKN^iPt^()5{MkXn{L`wLRb)8~FcYDN0|FG#ISU--Gy&+64wLHgn^ zNUcg=`UR=N^yOcWTAjY~3sP&+SAQb)CtYK0`r1#0ej34b>Fa+GdfND~`B7Vg82aqa zD1gNV0j;Fgr*Hf<#trF)?5v3@P|)(~ZsYUzXRR+<{)^tt>6?Gh`zOp>(v8_!6I9*p zVsLoyU-fQFH~m5HPZ*2Rw+P%w6*vDSy~SCnqUI?R#0xAXEq_U%Br8?i@&^RuY0I5V zAj*o%1Zr6#P}{QVGBL0b$Ry?v6!fz6ZQHl>a+VsO;l-!Yi^ox=^(~o~qa##AvFG}uCKm9XF z0Zvy`)D)Lh2;52_$O4|LscER8j$fBy6Q|Iglk^+$2EZ@X{{kxULIV-PvRM9qj` za?Uw32q8cu2}$JSndzKtWP{mUA7i~+@9*7tKh63C>v!q)sVa zI=ZT>kDkzHSM^{6@#Xk#v4z1#-pkQF^t8Dy@1 zhv;>4;9+{x9C(D@HU}Q1cg=yv=zR>>^Z0g8e|Ohl<<8*ke!S^_`#?QTRV}9ez2}ww z?g4St=ZC5%sEP>h$CL^0#c@?nQgzJOG2+wdRJC>Z?{n1&qtBl>?35-ZWwwsT=iuq8 z_=r_$>a->r85=QYs^ip{Gn&}`xQIDh9k0fm)&4$H9UpTx$L+{D?8tKVjUtb0Emy^B{MgCSzFe+O z8NDw9@-{DPqO~dGA;OjF)ZxFcRapj?(DW7U@5|MxQPWqe(^55kRTE84iy6%Z-Mxn9 zc;J`N#p_g^5pz<+9f2EEovB#0Le*K(`E#>6d-(6psz}-Sxe(XFO-;;Q=2;$gAg*#J zD*qe}?yV{d@np;`O>~Awce2V|RGrKA_mQ}W_4NQe?|k^+M?Y^yTzTBfKPv+vP^-y(jbd>K` ze;NM!L3PRKp71T-*ThWpUlh9V02P-+yZErWGaDY0yy1EAj zemt&^sk$sWuD#Xeqr34{hS6BBCMMc1kNV$NU6BIp)Bf(Qu86=V)s-p0C)(eA)s-Jw6ia(8;AdXTg{B@Peb;lCe~2c812Zb>ZTOnEA8)> z)lCujy1F?9_*(n>RdsU&zNv0W0lv}xeqG(dMzG<|y~WODqNd(evniT-r-@K*sEVI8s^)Q&KDSg0!=J}gi=+E98fwwR!WIRUj(i@2szvPV=hkYw%EntY z(T#ScjgO@&kF&6su_xc>aa1iwdpf?_G5mQ#b?fNP#Dn8Cv3N&EOo!sDPeApp%GD=Q zm4{Z5J@-2MyKl!Fu%D>9eQay%@aIX@9mAieRCf-4o>AR9dS3=)8 zIubQCzj`!9 zQ}Z>kQ%56kLG@S)aDn!De)U)cF039;0WQ=&FQ^`mz(v&)DZoYA=Y`c1Y*fCz%}Ed7 zVyf~SDUtbws;8p2mL=8G!=IN{&kTQVtDYU%)x?b~(Zq^Ir_*+KDX24PsWwn&qcL4p zJ(seh%QUff=h8O0oT@xY`ZgrDp7uNx_uoAJ;p^__6;!=2R$M0dys~<6`17jjrQy%3 ztCxpAuc=-c{=Bw&b@=nT>b3Ze$8BztW3dgISbgh8nuaz~wIY7gD&6uv zhN?HmDb{VJYNu*l@g?Y%YF+Uis7tl(c&gr3tvi9L-K?(cwtMk?=Z<1k@m1%pV%14h zy{A}pGF5vNt4^WneZ{I%srrCbmEJuxjj9h->rSWYBh|VysQOs7?o6uos@9!F)jrj_ zv#I(-)cx`D)U%#}p26P!N>pz8DV)IzGhNKY-I z>dSHB4RUey)yVfpg?=v97FA!dH%SFde7Sg?zN1U1`X)WKl&WvjQ*Bgz$0!kc^giwH z>TWo3W*JrAH^VKbn$`@rf@&?za4V@crWtM()moe3R#RZJ^pu&2Ss3HmMnI6V)a+!)>P8lxDasRGZoim!aCUX1FZXrZ>aos5YYk zC!Khfr`pVBxB}H?HNzFDHoF-9irOm^weRhtw~QEq1xK?)KRLfOHUo6+WPd=ajI=d zPo1FJ#`M%ls%=V7oub<2^weppZAnj^p;{(Ab(U(`^wc@3<;MMUo@)7V|6HJ2p}`gk z8Qed&lhI>b~ax>g}s&zEORW+(@ zZ3=9m+P0>^F;v^$6xd3&9Zi8_srGAA;5e%7YziDtwOvht6R5VkDR3gy_A~|lM76z5 zfs?4VZ`?oC$yD1f{+L3w0}VEl&5C*V`En^EW_ODJ%az3<4w!piol3QX&2ZDGcBmO{ zI@Jz0!_A=Dk!HA=R6E)XH;Zb=n&DmJ*PG$Q<)<6XaN_b)r5R3KenQ^z?JiP zE$7@|o0}xcr2yCN(E6KOwkD&56rTNO4kg;_}lyaT5Z zad8My%#T}9SktH~zVRZKNsEcE$Vh0?Vi&3QXq>p2s(PvRc({7G*2^!hCoaNNy`+hU zvOFGjc|94t46qkjc=bxHFMY#TG|}O{CK|pURIm!wo<;}sX6@Npx-_*pzbe>7aRStFnd>$RpTeTPI8@{E94!>xk z;V!DZl*%vf?`^8Rl46nzIMr^djZTHW%O1akSM?6nMu$R4&|Rv%jSl6#+PmRuPwl;I zL}gvQr-_Fjy_1fo4C?{*K01u|>ss1I?`zebx|X`p2UO<~(Z9OOdis#+JRkZOk}gqJ zeMEI052;{}sm{|O6|9%)=wD2>09^Gc)p=Z`Ks}>64~rD2=Tx5>9nBZ@X~Wf* zbv7SJVGA!b@qjTtu}6V>32u6HTE42!ke!y&L3*W$-p-Joms0LE)p>TL(AhUs=gHB( zl=O@2TdMQiNP&7sb)Fh2Q17We2M4mI)#nb^TI%vO`6LSn*2Hy;_w~8b5tZ#_tp(gX z9LU<3I-4q#-0|8NP4sqt`es|H&O;=H*2YquM@TlRKuw_f zFVTUVSYI++`>D?63Mp)1q9z{Tw?saovc3EX+|uYkPO7)b&d8h$pQMT2wxw@&GS!z! zzqUwQn?iM-SM~MwJ`@Y7mc_a>*-W`Tn=9n9#Zs}Hue6KRW@}Ta&a%n@S*NQGG3LHmc38vpGo#;A~Ai;*n2IN??AbIuE82h&fc} zp;Q7fm+Cx_N+9M@orh5g#C)prAS!`aKy@BMB@hd#&I70fViDDO_>@2_rh1N@UJ1l6 zRL`d)mQbAsS_y3|rFt<^vaQbMBqe}tns{<3pPUpi1+hG>Sbj<@!<#GQOF6OH@z?c# zYs;w4bF2hnIn{ZBl|ZbZI?t{Wh?P|5p;ZF0it0SAN+4EKeS0*_Yw9~jzM&_8Yc%l) z)*bB4Jb_tDb)HZq5bLPUqp1X9J=J+8l|XEuIuD}~h>cX=6X(BNuuW9on~vB_^?m7x zEmYs1j>u4*r&$Smo~1g^u@Z%IK;)@@I8w4uXLFhoz=9^8?0Y0mNv!JL-XT`t z7R#r06!TcFx=<;Km5ysgs`KC~YDG@8Q=Lav2}FtNJgiC}%2ekuRRYmLbskV95L>B! zDjMc(_0utDzI*_-i6?T``ShWL#O6}|Aa+unr%(yRE~;OM zJICaswVUb}(-C{9&Qq;~UhSni&$AMUeN^X3Rsyk~>O8|rAP!KSr&kHYL8@Pmlsr^t zlY$b!Lz;Nr+HJgjOA9Hlx> zsTu>vsD3L>73n@4r+QZ^-~`ogM+1Da&K99-+_RIKcy>8ojIJ?qit0RzY7CsFIuD^5 z181myFV)_krFu^);2hO?qSd%d=c&%Ktj53vs`C`9F>sOUk5cW!C8|G81ze_jZz|vl z)%#KbSE>FavhZ5HKjxE1s{1vqcD3Fg_xUassTPl~5fAhb-|Wjp@hpRKSC@4SSf+HLv@}hB@lP1&LN!P|B(p%FJk4fk8P(rVINgo#}*{8EL+!DY(t=6maJSbxDD4#+`Jak5U zz-Mx$La~@HRocZ8)3qn0Pl($gInhu0#B{^}={)sG=+z+UJn%^%o|4YPo&@3<=~JR% zey+1;HYI@1wc0bCKd&i)c|rQLbc6Pi^y%q{SESEKN4zGThd2rQ@rHCB;3N=lN$25B z0`ZP?9^51l?@6DNYR~H$>3oV$<2LFoq|Zy&#u(D)rz2WPUjQZRV|BKATSDi?YV}r~ zuizFj;xfK?x>d1Q$mL4eyjYl3Ojj0bWNKP{9O*oaNg&3P&V!f)Vgl)W22TPpk@O{T zgC^gPpGaStj+jJxTQtm*^<^=i`SPYFYxPO`vbfKDIWvXy<>|UNmGl+qh-sw91}ySk zO(#7zV389uNRJIzGpg!>Fd%FKa(DttH^sbhxFK7MNZ5mJvLX7 z6Z1&l6e&4hXN$choGShnv=wfYjhm}-36 zNaxdp8n>~G^w?ZQx--j3kBwENzzWi1Qxz$&lJwY6MGCAUJvLL30;@^i9((4>j>H<$ z`HY{&!@8FA*c?ULrFEpo#wb!?J?XJ2iWJyD`tHcWjXGP?t?{mG)an~_zNp(5Bq!p! zLPk83R{XAyo|N|WEZ;9J9Y6xc#~Y?2}cGNi`_DN-OydTfp&1#+Yx zipDpuv-R2<4_02Q=XAbcTVtd^`jJ?FW#d~UJvKv;?o2!Bu@Q9GNd z6zCv5Hb0R9TS-5aYLvE-emWJfo%GnuMB1etq{l`kQs7t8V-pi8u#@!jk%hbT3vu5s zWrpn1>O1udai29}B5OuG94b>54>1ux;KdV<^W{oetToITt{9!&@_kH>)H0`5q*_*@zm)0dD3G; z6e)0l^wQ7<@Sjt`1>R0q9smAvj z>HTp|O53dTf9q1v*KO%}=DjEz)148l^7MU#0?X zlOCIyNW0WcdTeAO1@4d@o0v#}yQII3EWD?`OJm_Zt$tVM6K|q#nfW3<+B?K!$+F^m zym%;mK9{fL^Wrq`Aw4!YkrVgHhz(BU!~-&7gA+ONkc`;iL{2;+BQ`jZ6OYLl8;x?W z!5)8;Fl4=2{jo7F?qruY)4+(0#O5XPUQHzvyYEMr?2* zzW+;zS!BcpCvsvo8L`2MocNiH*x*D?%poH-IFS=`$=DbT^E`t+Ea%IaFCBn+nm*Uq zlx~>kld(D0O=&9&$cRl&+-J zl#F6JqK%B$+(h21Wn{z#Cvsvr8L`QUoLE6dN2KIRgFR>`LCKYxzQW*-&*{B&A5W_s z80;5M7p#2wA}iJsFLo3mnY-!f4~c5@N6he z=Zj?Uq$myEP6p47V(?r^=u#?(3yXPie~@_d$%^1mJRDjtk-<}>G1Pcds3k$?$#@Wb)xTgoOgDxXH2u8sFy$CtB!lN`NjScX@>LPj5ZCrCoBlJO)Za*YfguqCx}oeUnaB_TJ+ z;2~QQQXzxKY)QyXGI-FIgmjX@qqZdE78yKjOG3KH;Bi|La+?euxFsRoWbnu>3AsZC z58aZGyJWmg>DWCo-lar($l!rnQpfI-$rHD4NU=SGHR!V)ScE=bEM+PpyEm4Q$pg2> zz)~`K-qsjsBa_E%je%ukj+Km5{5~uvb6hH51(`fzYuu%kWb%ZqF|dkE9&WEcT4P{6nLJx-3~V5iM{A9Njb!ptMWb&vjRr^^o zdGMBs&ymSvxKwFr@G&x@K+!mEvOrOF3&%C%n8}kxRrmy%JYrNuPm;;AMpg6_nLKnH zh2nO{Y_X6ni+Ld8T2G;nD^^P4P2PM>CeI($;WuRRC{i7MOXf!Q@uCjDBXd*J@Ov_O zM5)}erIEP>hFdLWCc$vopj$1PrJ0!|$EElfGP6+^TFqRuU1-&;F=npmE{r9UXPxRp zIF3vnd#c0Z$>a$rhqL8OzEI4x7scIwB6Ti`1$ZiAhQ6j*d&%Tss5-olOrDFX!{YOU zN2Kbo_yp-luwA~-;xlAx)3Epy*%qmG*xcS&HF*aPYt|uidxC0mTzsVLh`Mmp{I%IG z9M!BN=C4h6L42%8BGd2R8|3{zPNpO;Re;4OiX<%k7tWPMWl4dIp&XA)GzaJd_}ueCTIt z_6+k-l5ui=7MamPg!XKcEkro#Z9~41*_u7es*Wl3DB0E^$vlCV?(7C)LLQK#TQW98c@YEHrA zbN$qD@k3b>mMRQkiA+gSssM{$#yln+=<9y?u+nw!L4PIJA!aX>3mxKiW^r>tdj_)= zqa{Qg@r8!Rqe`6kF2iF{B~E;exrPYOIbdENb`F|ssYIo19MGKoCZ8#&L>>fr1A&}# z$gGU?RA~c;G|}e@Q&fo*zlnK5s>F$JFFYny;*OGeYurDM_~y|i{t(|GZnN)j-yc>c z4T2r<<$+HCRG`E!(L3qe5AQNC%=_u7 zb7Ve9Pn{?8VS4HUnLNW(7>$c$K8_B-C6hf_OaZ>6ITy{|^oQUwnbF)q=ZeYZ4k|Qy zMRP8jd;*~Yca=;Yaw<^Q$mBVv0(G5C9(5{EH^_V%9gB+jEcNM7(VQFRGug3_K4Qzc zNhS|Jzjswmb&|>RPX+20nJ=Rq>@r_Tc2M4Am*(6uU!~u{+hp=YRH3WgWb#l{fx1H` z&qWoeyJYfcRDrrjCQnBds2;Mk=n&i&7nDXkj8Fl-uQ@$dOZr3bfUGf5qYtgt)QvvW zoCj8G`bHm-#bZ>3U3^Ry&r%hrUb1+gszCLTH6a?7Cl-71mje7mbNVd);IHqT>Y^A|3#PKrJWh7aVJMg|$R-tmPeDp}ET~{`@Y5 zMpu%>Q&0tJ6S4)hZpPXu8oy(k|0RB9L3<4a|6*+x9xu#ywgh};}mJiz?kRXLR>izk-~RDrB0 zvUH0Ui!2r3qUIJXo>eMv?PO(T@4NCHERmHl_ZYI2W7kFZnH}19os=xIcoG*t0Q%zziRFdt0R4*JIUg4qr&*?B5Pav$vW`m5ajBH2H20)+RCbC>vD0MnTratwXUO7lUNUr+ zEFR+}L+8jk8I8z!>r~niIj_0rtW(kv5qHOC#XP+Zv1VLee2*_@3i%3dm31$W#e=(l zJ1V6vlEo7{1?m!6QB>z%wpdiB0AJSJOBT=Q6u2v7otM4Sif6w|hUF?*7t&ML$l@uS zLJzN##p5>x>IPXncT=D$WL=3AyJ=mODJC71o0?m(uBLaaldNcEPWP6@R_0Xb@h#2m zw0P#Gz;%(urynX%x5=ucKknUR@rX^Ktvh6OM#ti=bu0C;xU0E$tXr~UAy$Vi<;98K zA?~bcFBZhaTrtz6fb;zxSzWRnlvCn@tu)1xQ}@a0j&|^Y#pWP>A00XTKy&X~d>Wzx z_mC_;6H$Q@*J=4=L#1!2q|iLFo~4H7 zll2^X=Pj^aNcK+J-~!E?Z@oypa|_AhlNrAsa%o$O$l}u(lcB|AN#i?dOTUmMP4A@8 z60)S>ofKM1*1Krz+pPC#$G%PTmRj#qkNq;TqX^GiZp$J(X?K@v-ZER7;7PF+Wb;Xn z$wzJ_*{!KvUPZPvwUc&lHQCW)+PpRP__POMjpnVk$FqabBi2gjAL#EAliDico>*@! z*?j&Zud?zSJ_DRWHzi{*RcAr$D7EWl3Z&u**rCi+13`a zc}^BnD?>I<#$sw^$>v#@xYcgNVCTr@(HF0hCwms#J6@$gHlIkys}#xRa|H*w2P=2Q z|895oRc>_-U}}EsoLjG*>^ZCl%4~^jo?n@|5s zLGL1)XJ0Ak-DL9&Y!oehKRrY?kHXa9!({VBOdUQ#HV?+s;iF`)O!7>+&&SAK)iivZ z?A09ZdDQo?yYjHN>p}ExB6}k_M>e1SH=u}~C!42as^|r>c~qu~UL>36Wvb{UvZK(< zyKJ-2Omz#FHSdzmlQUKL3fVk9Q$??m%`-Gr^cvY)nsnnj*_kHM8)Wk&O|`=nF$sw0 zX{zi^vU#YciguFClQmWJ7TG*rQ$@STZf|mkZ<*5KFD$pZ@AUR} zfB)_x|3JJ;Hjmoy4y(-HBb$eAsrVkUdHj}&zfbm#|FZuN$o@6@!S>MJIr6tcs%|{g zya)D9_Ol_?79Nq!gSu4wW3u@yzf^oL*?gW~D!z|w9^j?opODS7yi|NY**w-u#Sf6p z6TVdZAlW?ZOT|AW`_O+8`5D=V8UJMgvaZgD4{vpfuN~4!9{zZ;k2K3pAe+zcQ*L)6 z**pbQW`81^hk?rMB(ixXIQX)!yXUsJk51hBRL+aVYjWbLFU4{$SIk9AulbY7<}>;d znJHwSV&j^~OeLGgfM9M{z8%K_v8ZREC?@NQMXSWcM$uc*QL${JcxJpWexUF)P#qS( zPtL~lR;^|6^W$lk}* z@qJ`p!l#M9-@e>z7xruZKKpXhT{u8?lq>oNZI&yl@5VvRKVb8CQ5_e*7o(KXKWwv< zQGFYSHUE&!!$x&n{BXPx9f+ego8zaxjiZ`>#O4$I)N%0(^5(d)V~73YcIU8v!oD@^ zpR~J%{ZlqucT|0o$2I?$-PyDnCxG*$QoZ$)z`L4m{S`jBiwqfeqnH{1Pb8{Mpl9qmuusJI>fC}p%@i_OE#WK3MPkESLDIh##QOlEUhkhS^D#AHld}*;u$;QAzNZ@gSa2E)SfGqqnPK9 zo#gOvR2|+$4v$UM;oao$K=t1RBENP!ih=*wLk27!;ZdwQe2^R-(hm0a zRc`k_e_T=D${})ij@!gm4wJ*9-X^wkgq&zH;~z&IHkq-BjUCngIO6c>jopu*KB_$L z@9gUn2UU8r(jUjj;qkB{d7K;`4l9x;$l=kjB6*UW1>^qt;}kgy#UH21;bAS}^ugXA zf-uPqQ-7Qxhex%_>{)VnP^-+IBZtSd%ItY^cu1?vULdCp-L`b;+Ys?mALk7rBoJYvG~QpgIYCE{9@q=tr{qPvHZ&3 zH`GA!i)Ck1p!mhYGg!42pOeGGS2gejIXrb$17DKE<5o5B6*)X-RRdp>vtN9rdqd6v z@yA=4Ou8Kcqk#nulW~5flCg*xn;LqgTXbPM|PNgYuE;%=w0_Ty_*%UaRoLfzS z3&;@*d45<(&Ta9>B64^j*4NuVSo!zDl32h#pU;WOhsA8UL)<4I9za|YcQ}Ygf__*` z4iCoE;a|w%0hv0ygq(Y9@73X@&1SCI3l>3v>F z&f})xRpj(GhI8W4gvD$&lPhF11u?m`JyUA`YS?OW`kIEpfryD77Ia(Mct%ofPuA)GQ>B!_2l%4|EiJdRUlOXTuI zPMIx}%R@P3wu4-r%Z*|SrJ`8+KPMK}5=-cHbci+dBCWQP%ab`(bQ`%mn^Q%%lgraN zRdffrJfBlVeZO)w$V&MsFV>;kod5VT%P4Aar?=gjtht%4!AQ$UO-gB4`?6uyEE9u zLnZPcxje;F;tr9^b2}yOFu6RbQ{s-0`*Yl9PSOE6O75IyxMSq<2u`V=$I0aZoDz3} zTpqtEaVN=L(9HgwB6ndk+-Y)oyrDCkh?Z|r>?l`ns}$K zXdiC4>zWzmo8+#K8t!yAG|_OU_Ti?xp_ztnk;}t8rIG3)cT?2i+wSHjI(%FE(B*D! zro-LjMhlmIxZ|>gOO^V4NBhw2W^hO6hr2F|^OQDlR}(GsC{KyJM{W+!$@$RZ=7&Gr zcMDC7TaWhPo||uG-0p)cNJ;U0+m1{JZbd4H-~XjDZsz;?wdVo3Jj(lbe;aTQ$&J=G z{qV?T>zgX!kF*aDUB1Gp68V^1p5rNTz2x!?Pl@Xzm*;m%+!JzncBjPklgpz!C2oLR zp4=&MgXHqyPKkR;F3;_hxM$?@*iMOiPA*UFl(-k<^3YC+dr2*qQg`2JAKtqBafeFedvXusYtNA89vL2LagPoU zjd733-Y(^PJ|up$y?6P;4pq7^)B=*PgQ`T10eP&6H`<|Aa*yLPb!e=6qKTays|~ff zCz@$^9J$f*s6*pjwmhoR9*@_C#<_fjR3&l(xu>H&p6H%wVvi?kLlfLH&Ft|{F^YCFGLMbb=hP{rG8JG^bWFXL;^&3rQ`~da(JsFA6!V3g zxPFKQii(vEaj|D;7P&ktRl#PHdp$Z%KfCNXh${5Y+R$wGhIBvWy`4iYk4shBn@cVa zOI5IW|-~KfdHcvXI={O<;@2jg4WyT#J(GvY6c105$>ph1}Ta zH33^fZfxk9fGs6AHf~M8+Q^L!S`)Bk3f^ghO+LPCVHDE_ifZ{!F`v$ z*@8Bdci%pZn>Mu78`nf{ zx04r}pC*39VD+oEGlj75P1z!Q7Lwqyau7D6gxs* zgG^M49VKs0biN+*=B7Vik7+|ky}3=CugA%o7k!^O;msc&I_WJK9y;Z*=On5eniJa4 zac_PT9XJVaK@;F9fc)W%DqTHI-lAxv&v=W|kMtRB=(M-EiT<7?FM3ep&^eDis8OZU z=d_`-9)D7!3V5EprP1DA@Y>Sv?FDVt`jqzlhBkEFTiZn26@a56)2D+Ku}H31IUtuQibt%7dj_-Ok%?mQXmPV(Mywh= zbd$XG+>1o0le`V7pLPDb>XvSkw^;&-c{gG$Byn?FLCn4pf5p9%l@1I< zH+g(wW8c^A$)P*sWm30vm%MCh=pK1|>SA*DddSPOmM|Z#ueO$wAdiQf zifuk5FK)J?LT?|D7dKZ?fqYCJPdF8O+e;qLI2Fl0@_5QQf|Tp_ggl;eDw6%=@uX9c z93YQpor>fjc|7e@B%hMU^G-$b8F@VMR3x91$1~5)$DI#f4)hFEqR(~l&MD?@XUds; zE?X#-#S=x#?a|_rLodkVv8O8fl02S#s-myRbR2nn?&OGl&F0EwG3yGCuqc$vnUZ*LJMNLezK$o4&z@98Cy;l# zQHL9}I+46HO`<=M#{<{n zt^GO68?^$gm|}dV=T7g}r}4->tN#3%yc?|dm`JUH&LNLSqpIj!@_0O|iq0dCN2IFg zeDZiqs){Zkk4L4d=tA;%T&jvLB9BL=s_0_!cx2ZnD)Jd2gCT^W^c_sHz<p1y*lBfc8f_y$j zRDn84{(|)Tb&C9j>8aD?FG^3HA%Agt>MZ$uV(38k6Rh3+sM7cJ;lnSNHl>T({dtc3 zC9Ks{{CV=1{ullN`ECD&zeqlhJyZ4n68X#jOZ%6}U-4h~E99^IFZ@;VS2g5u{V-Q5 zi3cZ%EUY7kE4HG{i^Xd2oRB}Sk-z%C@Yl&-^I!NI)#n;=9P_`DqL<7Lh5om&8(7`Hpg-qa-dwitS!BTvZZ zS#0`^^pnqHS=mP9->wG8=Lv24jSP~{!`k#4c}hOdZU5a!UuS>kqlCqD|9nP1&u>$1 z;W_y{$4$9~7v%FeH{}*ylFw7!lv{X3J`ZQ}D5B#?+yd|Fp zy(#zN9r--(O}T~lSn_!w zoN^1}$mh9m$}Nm1pU1-~w=jWxo)V|r!bI|USRB6v*($Jq{X{;`j8kr568SthPPv82 zFr9oJB&Xbq8RYXcIpr2+lFuXMlv|iZ z{{@=FnGx=*KR2CafEA)n{ZD%eu;dG@S=wUN(r zXBBK2`8;!0!IqQH^JW!n1^GN{R>4-1&vRxKY!&%DWBvh?zHP52pXbXe*c$SAwyc7! zC7(GBv(>%F9kfkmDJov0Z(it zHTP4%Q(8&Q0~GLNw)@4s&Zh%|;sWQWBYKbmp2#++eTV{{#5SmXm;#=_HmH4s0-n4! zsC|?Ip13xseT)L0v^J=HoC2P(HmH4q0-mfksC|+Go~SmceTo8}qz;H^<>Bb#c$xy9 zo=R$-p@1i*lA32J7?qO7w|lE-LQx&~I0S_@ppxI)!SnLqXq>D#_wP!lS6XrTPDjhh!6$*HK zsYu?WfCra~WG4kYvQ#8*QNY7WMY4;6Xc64MZU<};T*VFC*8b`W_%gVPY&Qiwqf{jC zP{7kkkazlf9}nK{6;G!A`fcJa1w4g}t#^+Co;IRh&*R%Y{oP%Il{#Jf(o=aS6mT3V8mOKs={_=WPkZ3krC?mO#9ufahrm#48H+MF;P7 zuz&QyYcR;KwZC2k`(ut_1Lh3{JSao=;*Q~43V0$WtNM-t9(~EGzNdg^T#~9EH41o` zC9B#(0Z*-DRmV`k<0)CyRtloESwD^q*xIZzVyyPDHQ>v!$|{eefJaP^#Jz4`kKXgn zhYx-@r9O_QfX7J8qPMZexr%}Lj8`S2dfelelU>Q@~S^xN37KsNfCjO!_5b99tAwj!1lhL z4xL?H-2($Zo)GgX;MqmP`U@!FNkzl@3n}2aM8o=vDBvkX!}^OU;PFGl`oB=XbBBiY zmr!soI!sH0p3#R%I;=~zk4u7{nByfS+9=>z13EVPh%KXlrwj2Fms7y=g!qapDBuY~ ze8rU%@XR2-;wlPwN)TUhH3d8uh_ASYf+x{&SR3?@J`STtX|48gO~6;_jNkM+3ixGz zeAq~j)Orf|_5OzSH&DPY^f#=(kpg~&zhV7N6!1&?4eM{FfM3&ZSbqxz{9=B?`WXsd zMTaUIydHU|8e&=PVo@ zzaAf7u|y%i1Rr0qOd-GO9$&G8!q(_GYz@bbJ`STtX{+|JBODuZ07h5cM&YRI>SE;M z&#&zij=Hoit-OQ6QCHWcm4Bsh)CG2F<((9cy2dW8yoGls&$gjjVtbd3?el5OX{lgUUtMLu%AEA(6k8fE2D236=kROkQY-PxX*fH(n(U30* z*^oF+A-_D2BP<=*6BP0b^bPBuq>x{tZ&?2nh5RCY!}_NwIYEMZY0)k;1r3`3;#%6vkb{Z^&Gx zFz(`gL*@#FaaZjdGFK^F#qKAA>!nh0Zvg(}_#$A1vRlh}H+$DEe^)3oGNd`Es@3$%3 zoT7R+g>e_sWu3W0VJ13qcSH94xNpZGu1|Nhk9R`;*tkZ(JqqJ4s-su;Zi@+!orCvA z`~>cyFz%wdwElex<1VU8>p!3{?#jBf{zD4mF0V`LKcX=18oRXqV+!Lgv`g#vQrHn4 zw!Uy{{0SF3tbN+Y-f-*q2@{4tJ_)xCf9wyp4}Tm8cMN}g8txqa_%hr({PA_TfB55@ zkgZAg?cm04_KEhfFWko7oEsATAmXmlOZRvH#15<{`*AS*HN`#;YGMz6P1CujXlmzp z@yM=^&%#~9AD@T2hd;gu_oQg_nI=YbSDHqjgV>#hcmZNh8sa5(Y;QD%uflyPcITBQ z+}M|_|uYe@V=;n`?RCWYrx^l*~)>8J2q)WgXkdk9;@_9kneCWYrCa7uU~MT=9k zPm{w75jZtu;X=a}r)r<3ggjp8>+OA5$>+*q_Hs5;ZqMclxooji6pveN&uZGIX%zB^ zAtDO7yjTKTJfl?r`BJVum&;`<1#!2PSUI|*n9pUz($SS- zSr9WQZj|$dOi}D`wvfq{#N*cTrAoV)v;Ao{g*=#u2r*K{_I$Be$mL4eytwHk zUlP;&F;qWO$TNyBgjfwgJixY)$+l<2;^7@)ge&dX?>Q7!*cZbuKu!#9AtRQ@6}u9x zH~tlvOX1BpKuk5yh&kt(vbeWN>_$N>7F({A#X_K;=26I#i?2;|CE3u67{ zf#bCK^=PTb1R#tQ_Ulwn)c%VWiR~AM^9o#@64_Ut6`}wf9>p|sqXCKxZjvf4s6!N?U z_eS07zSG;^UBPPNpv7v|B_X_c%%w0ErE zF`O04L}$buphfZiloLyki&DIs;XrPoa8xc6dvp7z427@Q(Uaer`zcG|YgRk{Hrh`) z3gZHp@AuZrZ)E+Hr!X#(`Ho6&k^EGkFfOBsxu@+@k;1r?=4-MSbGy!`cKSUou8FH! zqThL7gD>@8H_meTPi6X@r#7g~t98)tt!(Un*yyMr=F?XCeQZqq(G|DR?{SHZEad#O zoqmrCZANc+2mP)b*nIkxevb=mWEZ$U?WEs%W)lsEB+2-+i+-2IHqthB)9>?wSA4QYUy%0G?>yHTh2p!Fcs@+FEWY`PPxV4U zJSe7wceMlbI}dp{oE48oC=@ed348H1qPW%2zBAHRp`_u1qAX`$bL9#1VT zRGsi?qy5`&)io&AqF9GwJ&Fw|qE|QJZ<|qUL6Jd`MUg|1M^QjgMA43-grbb11I1Pp z+fZyru>-}gD0ZUQg<>~~Jt+2~*oR_2iUTMPqBw-&Fp486j-oh*;y8*EC{Cg{h2k`d zGbqlYI9EN7KQ5uTjN%H4t0=CaxQ^lmiVBLGC^}KxLeYidHi~W(cTn6#aSufgiu))Y zpm>Pl5sJqsdQtSDcv9`JKEq#Lp?F<=TWhIJs?Ds;7ynsXjN%s*OHeFD(S~9fisdL) zpje4wRc%8JV_(By*D%;M40a8JUBh74FxWK=b`67F!(i7i*fk7x4TD|7VAn9%H4Jub zf9*gGgI2>p)h^U7*0AffJGH*rK<%CQYkf@pr}~uoJp6T09et~#Gxb#{R@c|maro=o z>NrgGb11N-`b88N-a1CHjuES4#OfHaI!3IH5vyaw>KL&)My&n>ML&uG6oV+9qQD5& zpQCtz;w1_UX#F*cHz?ksh`Rh<{7u(Tw4fM+q7}t>6cbQPMDY`fNhl_xn1W&|ifJgO zqnLqWCW=`oW~2BS#T*oKQDA6v98G-ziiIc^p}@h_ad34UTpb5j#{lX$xH=B5j)SXX zJavqxz8VG2N_{Pgbtu-O*nnaqicKgsqu7E1XQiG+0W)-*l{(H!9fw7SD0&G6MA0FN z4pDT7qC*rNqUaDshbTHk(IJWsQFMr+LlhlnrH->w$62Z4aO;Or96@mu#W57eQJg?= z5(Umm9fIj+P~fc8VTle)^b07Uihc>jWfWIXTt#sW1su|Eps1j@iJ}t)Jk=qWej5c& zQ5~nKj#E^Ja5{w3A)F53bO@(II32?25KhM_s>3=R*6FZLhjluv(_x(s>vUMB!#W+p z=@3qba5{w3A)Eo>31XFxpz>KRbafO-b3Ghm$o>kL?Dz&Zog z8L-ZPbq1_6V4VT$3|MEtIs?`ju+D&W2COq6oB`ns2xmYz1Hu^)&VXJ|8F0;jYX)31;Fap>Faw4eFwB5q1`IP`m;u8K7-qmQ19BOV%Ya-4nUKqbTqfi)A(sicOvq(IE)#N@kjsQzCgd_9mkF=T zB`6@53As$jWkN0!a+#3Jgj^=%G9i}nUKqbTqe9SGbkXJ3As$jWkN0! za+#3Jgj^=%G9i}nUKqbTqe9S_n?4WCgd_9mkGH{$Ynw<6LOi5%YnUKqbTqfi)A(sic%oivimkGH{ z$Ynw<6LOi5%Y8URm(Uf>+ig6!6M|R~Edo;FSfhEO=$XD+@|l zP|AW*7L>A}lm(?MC}qJWYcUEaWkD$mN?B0Kf>IWgvY?a&r7YNF!6pkfS+L21O%`mj zV3P%#tj#E(lm(?MC}lw@3rbl~%7RiBY_edJ1)D6`WWgp2Hd(OAf=w1|vUZ_>QWli5 zpp*rrEGT6`DGN$ju*rf*7DTcjk_C}0h-5(|3nEz%$$~=`9J1h$1&1s+WWgZ|4q4F0 zf;kqE7NoHtjRk2e_+mj73#wR9#eyoODtGoYbc_aG^ihTqHe6it+4PR{dVnY=hs@P{xz!&=*3P@u^8XMBskj92I zHl(p3jeQNp4HPiPhB-FOv0;u4b8MJn!yNk#3g~0sLji|uIAlW~8~WJL$A&(39||~R z_oIMFHbk-^k`0k;h-5<~`xOd^WWPZHn{3!*!zKqdIk3rrO-?HcDCIyY2TD0m%7IeO zPblD(1Fsx-<-jWkUODi}fmaT^a^RH%uN-*gz$*t{IrC6JE(dZskjsHw4&-tmmjk&R z$mKvT2XZ-(%Yj@D1J@k5=A1+U;T#C(KsX1&IS|f)a1MlXAe;l?90=#YH3zOa*HJ(?2f{fJ&Vg_a zgmWOA1K}J9=Ri0I!Z{Gmfp89la~`08bq=g^V4VZ&99ZYTH3zOaaLs{h4qS8Kn)4C` zgmWOA1K}J9=Ri0I!nqL6g>Wu}b0M4y;amvkLO2(~xe(5Ua4v*%A)E{0TnOhvI2XdX z5YB~gE`)O-oD1Px2Wu}b0M4y;amvk zLO2(~xe(5Ua4v*%A)E{0TnOhvI2XdX5YB~gE`)O-oD1Px2Wu}b0M4y;amvkLO2(~xe(5Ua4v*%A)E{0TnOhvI2XdX5YB~g zE`)O-oD1Px2^2hb77qe>s(mp!a5h$xv zTv+GAIv3Wtu+D{bF06B5oeS$+Sm!=L(T@WDx$w`0e=huU;hzitT=?h0KNtSF@Xv*R zF8p)hp9lXu_~*et5B_=Z&x3y+{PWTKK%3HpAY|h_~*kvAO899&xe0L{PW?T5C44l=fgiA z{`v6Fhkri&^WmQl|9tr8!#^MX`E4lRpAY|h_~*kvAO87J&xd+G)bpX95A}Sg=R-Xo z>iJO5hk8EL^P!#(^?a!3!#W?<`LNE1bv~@~VVw`_d|2nhIv>{gyHLP7AJ+M>&WCkA ztn*==59@qb=fgT5*7>l`hjl)z^I@G2>wH+}!#W?<`LNE1bv~@~VVw`_d|2nhIv>{g zu+E2dK7{ijoPQGqg!3Vs58-?W=R-Ij!ub%+hj2cG^C6rM;d}_^LpUG8`4G;Ba6W|d zA)F84d$F>SQo&$0M-StE`W6btP5aW z0PBJx3aA%Ay#VS3P%nUb0n`hiUI6t1s24!JU>6Fg7eKuL>IG0QfO-Mc3!q*A^#Z6D zK)nF!1yC=5dI8i6pk4s=0;m^2y#VS3P%nUb0n`hiUI6t1s24!J0O|$TQ9!)_>IFAZ zK)nF!1yC=5bpfmkU|j&~0$3Nox&YP%5H5gl0fY-6Tmaz$2p2%O0ImgaEr4qQTnpe@ z0M`Pz7C^HAng!4-)KEaP5SoS1EQDbp3=3gc2*W}c7Q(O)hJ}zTgj^xy3L#erxkAVl zLaq>Ah43nbS0TI#;Z+E)LUWc@Lm~7Dp-%{X!d)n!PY8WN=o7-6 z5axt1Cxkg6%n4ym2y;S66GEC0(u9yEgf!uqfBxV9iyZ+f|No!=|7c4~%S7?=@E_u~ z+~|Mj+lK#GzU#~XzWp74?ic?i>e#>i@$Fx~{>um|?%%MrxPSj)tKYZrV?A)++TwM8 z?BV`hqW}7DwcmD%KU=hk;{S^S{~OM&-*9gIhI8vTyoLXU6YMvfV87u6`)%UvCFcLt zGULSgW5+g&Cz^|Uki=u|Gum)#ON$tj|M~yl|KogHYpWLh+0ydm&oN`D^;>hAs5ab2 z+gj>3|M~jYG4);F{@PkU_0K=lBL3ge|Ih#Vf458@7`(kXCo=kCLB!-`ZLIijjkf*r z%l{UOt&HEltA%R6{U!$BOBoFrhU3To;UIneZ*d@^zqNfiY~TKlKjUld7d3yZ#sB*U zDysMGzrXz%u|I76+rNK1G+$r;+OOS_Dy%mnw)?gIwUy7h1}cwlbyjY_d|VOt2DEAa z6G!lmaax-;&~vx1zqfDYO6`AB#6K<9cA=cOt3{MEc8!_%$Nu?kt)g7qHdd5vZR13_ zvTeL5*S1X%<;J#&qRh1YB+5eDBvF>zCW|d%;SBA6tzu*6MJwW;qfM?7n~ZL7{L+El zGg`Dqojnh4^}hJ>5Bt%h?nj+{_r$$q-~WkP{ux7G?9(P~YpKnkN!!NMPKmO$c9Z6} ze0Al2W5lat`^K~^81XOT#J?;Y@h{`WzbqQ@FB8PSEFSSM6UD!@jQPIhmPy-ws@@zU z{+J|Q81qff|KVRI*EIYwMZ7R++tjM~qxD}N!#Y4sR<*%d0)W!;)RJLURdzug@xjUpGLf}=*tU>vBeQD z{PN|6CE|t2BVJhg<%Kr!!jus&EJJ@LZCftNssFwp{$<4%Vx@Rt+K3lceR*NEcwzd8 z7uI~))LQYvjDKGc1F-H3v0l6|bHoc9zPzweyfACT3!A>Yuvxq?d+d+LbIX@DGUA0v z+p@Lj80Jv<=MjA23ttq~=8Slu{R>|bFU%eBLir2PAzqj_;)ShWh;8Bp@uszS@$meX z;RR!c7q$*B8as@cz2k?+Oc-vRI6U^J;c=6O$4?%fFlBh+)Zw3|4NsarJbA|Ol$pa* zXAMuAJv{yA;Tdy=XU-j-HE($K{NbM$49{6OJa^IXyv16}zvquOpIXKaw|qOpv;{Qg z$NyYNtv~+fA{s0H^V_MbEv9keKY!R*3*ip8AO2ws;ZC+6{-Kp{x7rW?FqUvT+W*7e zn?6T*t$Bi(ViUXAu?;wl!3GRiVD`mi0y22RvOySQY#~4xY(TOkHt(52QX-ZVnhzH8 zhjGkAOw7#fj=EJ{{b8ajrmN=r#QXub_FGHGR77_~)l}V@XxWXn$pXco7UF7vo8R64rzBqM+50d%fv=Kfi=8Lr>d=AVPr;qTlE?=B6!bi1q zD9Owm;iFepm^H#jsk|GrNBC@%FU}d^tM9%zcZ6@Y`(oY5qOdq`WN}!WKf<@oJ@JAO zzD%BspP7Xtd|NyKEE?hK;OW6PlUY2%*S^QXmy9f%GLp@3NAi`-uiWc=#Z~85TyTEH zb>&yfxzZT9Q+w!-vJ0+W!(XZV=!1=Td>j9_*5fhfT7zXq{?8NNEc<2|g7eK2nEZ-d zqc-G=M@BToN47cj7FT35<)3`JGGBakMAO$sK(&FF)x50dv(yVmyITE zB@55+vX&QoQ2yV4TgVolw28f#o0btJ_|!iUU!OW9Wh`}I)7S5*l&{~nwAs?rmg0{D z{`+qma$ld>$`w5r&MM;G982d~T4(7zOXpjV~TSd!wYO8GBEGoIys`50Q8c$?%rKEuVyVhfhrZlG2Ykl}S3u;3#{a+0>6!Ykvl2n6PT^eUK zN#cXEo%Z`S=Dl-RNSU~QB)qCgsVBaAEfza(kB^OpLPGj67}qq$sGwvo z)v1Yqf=vX{RgUEW0`?U%z7?(%6`!U=P{X}p8~7&@oxhxC%}QD{RO9+m#b^eiWT zycDvk^xg5O34c`29+l3?oT#QK>xb|^6g_9p579Y$#?oa*#E1})4wO^k;Er~prsrs! zVy3?$eNd&3XiI!4x^n~fJgWt(>dMDBu;vRwC4}Hl1 zM{Oo$%xLM!{ZJKB#xfwslaIlSt05YCy_U31i9G5LeRg^0yNjJme?#=CR_Uw=?2cLu z;3e#?5NSt2dtG@v+kY&rllNoNA2rOzOWkDo>lW{TAQGu7?s%mjO4Cql+YQFDB&%u9 ztgCTlON+EJ*u7Xd9C82-2(Kxz9^owrMWnIXFO?XI&H?ez6pRxW`FW+HY?dg1puliyz4HO10$*Pgy|2#s^N{_ z_YIUa@1+~pSPG*9KIW)OiJGfMUzIlHOCUY)Ac2N5yw&tWVxLq%@9e{wF)>QKvo?eQ z)>^LSARQQ7U3+#mu$=gACDBhw)v20fFfT~Ns`8sx#8FBpm3gbJM6c1uc96y4A%XLe-Dzk~ZyJP2&a^{zXl_q4RM_9apkvb`G` zm}#i(U4KwAx!&gv;5L@U6PEP~cm2kwU&zgq-sf@PNRw23L%w&j-Kg^QS=+m1znD|o zyDcx7T<`XRWw!29i=^lE?pm)0mA$*4^M)?^Hq*Ov9m$#7v%w7W;&Z+Gb{kiISHhL= zeQ~ES<$DWzoHGH_!869x(Bdtif&-_`vO}BATaD4-O$L9t-ryokk*{ts?ZnX}5S2xKhTXS!l`rzxBCS(LXob)x$O^ngTuBo^ z2C=pX%Ut!wDt~neflsUzT7Z@$ewnKTJ5<&O01(5QV^;DMGV5HdEeR_QhH(%J1bg`8?t2pOA?Qo0$gd)SmV%#ln;&C!Dc)ay32Vn% zI2JNiZ*b5-+g!Y%-`Of79&A@iT#e4#%5vZv;DC6n_r%K%=+(m1Rk?9hU5XuR`B=!K zQ--|YLSJ$os0lMtnj%0(Py<7IsKt3n|#$AQ#10thJp zEd+guasrCP!g2Ja=u;9Ct?Hjy8t7Cwv>eF=yN!W>iDo+(uYNp+M3qxqM=xCJ!}cRPeb_=I8*%CU8Q^B8Jfrq9hl;fdpCVU zb5#mo!!Ts6%UB95I7BZXNnG5-;VpK2utlkye6QJ2So&imhk8wVB872<2Y^eIp(nHvC^CKiSAIuN+Cy_|7+Gja;)ISDca%ix~N~vZT4NlifAWJ+=YKA1vVucp8 zWd-7$D5~Pm(YrFzgj#cUm6Hv7)Yc8_(1_j!nf*WcDX)?@ep*xI2dq-k4I_mS$f;@| zBNAGuNFy*yjn&($<@LEkIgiL`@5lrFY#t%xOV=yN)k!_nlN($i?0C0p*QsD=#&@r@b;8EogZ4E|Pr^wq;P{<1CpmlX`I$+2vz0WHo zao0ki1B|EgX4zU#Ok`-CPMTgY)0qdSnr{WD`6W!J8Z}U_Xz1P~Kp5{tzM2dpLJk@8 zNJC?ph6Z~l$6!Qi>8niO6!~>zmUiar6L6CW>%4XclR!X^oXt7i3=V$D`5Y{UMzvcj zGx8zDM?0#?qg`p4ksXYe#t(M7$i=N)py@cV>|*U~QG1C=QL8U$Xw`Cxb6nk~C6Ekj zv}7Mmi}aIbQ=#o}~fBxEerXc12Ry zejJ?Akgx8I_s;1KxhAkTu`S6(7C3oG0}4pjlEJ(Nl$~b4yj73vN1(%L9#C zv2bq(Wtx59EckjvPG~GusV1BB_VaL-q0h^;Jo{vf@f^+@Z*zo6>GyI2-PQDYI+Jb| zvjoma11$7qN+nI`!Gtwra*fZ+fz^gF`>ka9F9{ix$mAvl;gEY8-H8kbaf`EUVaVz8+$L81$ndeFA zZJ>6eF3iGxkpTquNuOew%IIboqE#Ic-K?0B4aP9Dk{ zJI2Oxfo^CBVaQjp`iJd}{H&rU)Zv>9{h{ql@dHgza!{W_s?Wp26m=C&KVK-di;8Ir ze1hc2K1X4ZP)$m~mE5|)oAj4JCM2#g^<`8<5DuEX$VUT3uz2*CI=>xt2(qgMnmUp(vrbU zzlXtI!-V>1m01&}x3m6jOB26?M6&pD2!2p?GRNN|;@_eLFW4J~!HI2$z% z)uApLjuz!{CHx?*S>ZI1KJmnHkAXVd!$`|LU&`T+9AWBgwYu@Kbu=M9q;Yu48@HjG zc_Ney6cEB#4uyIL)eUpTLrEKlC2&_{p#q&Aq`*>}av2;jM?ntY6G%cYRuS*r4{n=> z9#EE}3G)-t5Xaw-4V!$)Ch2cbXOPeU=NuH+J$Z+vN^?0fnH>u$FkvKo5no%;Vg5KTp4NTFUDTTOnFS&kdIpVb20)e z#5zYyOI_Y*sY^L62fXHR4P}zbl_MG2VnFTU<0S~BAo8l?oE*;qDWIoC@Z0fNywxg+ zW8{a{hGKcG33)9N#>?yQ)2U1s5#vI*`{HJe0=!!HhDm3J>!MrSm(1B#{A(5rqWvQhhSd z5H)Xw(1qBsd9}lM)uvoh2Y@>nlxopWYDM{gNg}B2(sNM)@h&y11?J!a8;6fL-y)EA z{75x60#Q2>m~UG_tU@V~W=I9Ut+t$e+RJ>Ji>*?%IT=iSL`({~tH5co)=wfMX(NV7 z%L*(|kNs8cFpHA5AezGn__%mZ=cVzg4X9n(>^WHihxh@1B3i8njYY&Qk`+!);9{AS zVh~qzuB_HMJysfrHqI8v==|?nT|5CjXaK4q2wS$QnT3e1s)LZtY->)Q~o-8s!;lV z^IwuC_RrOo^Vj*vvdcUFTsh!@ua46}L#kh0$`45h@y>!YjPTGZ`V+xewx7`jEw4)5 z{7YdIFU;D=Q^3+?dgVMP&UxulhYQNudi6?r%Sp^ktK)Kquu04onGRsU7nCIL_>h`Z zN+<-o3C9soI-9{kN?PjDz-mY3Q)gQ`g=EtWU4e~GBW1!@qcQ*CmEjF;(Lkw5aS6S% z01(wciL^pX$SJLHB=-1RBFl2rMm^?l#P90G;hDO;(aZQ$@P0d}LU0Y_2Bj#<*@Q}{ ztsGkNqw=s2^crV>rDQKfDHQT!^IlHinTwOxrkaETUVFWct{Q9txhBoU3EZp&z3q)z zhh@Wo(y(ro>mm&dcq|Iztj+K_d^kP@1-z5EA)q_9pfO1A*6QUW}nNF6T2f^Ov&kHz}L?Og?LEjD^*_ zAy4d)Se;06{E@!nf>{{J2)ACF&4`})j6`q{QUT!=4_A$$vhK<5YReYo zz@!sQ@g7Dxe(VKuY%w99ezAN4l}W-)GoX)#1D4&7`t3*Ca#Aumej*VHUm_j2ob)jN zF9i#2m?)1pne}O^YGp>%#cm?c91T++r6A{G?H-;n6C5~ZGpNxNs;vwp{J=;`dJ!A~ z2n@^gj^(T|Ib7&9V5&5Ag)BfQ(b})XP$L=OgbMP=?anbZPcwhH+*m%7A$^z+@q~}ZOPu>vlRciy$WJ>U zPQ$`7<%H#GhnH!5SbkJ~(4ksGP8SJ!=Z?n$7^uV8fGP!1i545+Xh~E8Y*Eg`d^Aay z9E61w%oFQ=qzWt&IB7x{X<#RGLAMU(nvbZ+cJ=8;#-%IguhFdCLue2UH@LNvHv@WDH zy!Q?`$KzmeC5AdQk3aMXDO#0)Km+03876FzmIa)Z2zb>*H}}eK1X01kqEVNM+zs!v z0r&$fA&C6R-(*3PqvuwK>Y*0~ks&AHKSG#=_XpgKV?Y(Iy4V{KBxjqo0M;9<^@Oaa z3F^`4e6X}E)lqO?7a>2TYvfbfv7fRDS`IIA; zo`kdtk8=<ARbQ~N05Kk;11+7gmk~w#gRhCOR*+Y0NW*3l=?kqk zOG)E&(2mdsmYr?cxDk6E4;R^iG_{UHxLekY%`1)b*(`F_K(dz^$hDRTh|zlsw2Uhy zC?4K~TGLRc*{9T#US5W}kym+Mm+B$Sc=kR5kIgTPJtZlJmCQ?7J}A!aO(kBEry%tM z80fv%n#Wb){;EDC(NGVC4v-1Pbm;ZB~ zf8n!`9jITU|<^Q~ce>@(DtwylZ(jAs==LFD{8`yV5W|Z8( z?l+ies2SMvy8HYy`Cl~py{cf>h!PcERifmp)C2op^B<#z+ygKB66`(rirLGlm0}xI z-18I%>cgwXhHf8eF;rjF;d=o z*YiQ!(RX|aQjVQ8DaTDplS}HBXs2#AsyF2iK;qZ5N#p_m`><2z|hzWJh>tR$}5d6+Qp$$N^@kHkl)&)3?g*M z%X=uh#JJ=nrBkC4ZDF4FrOPQ@K28q)Yo_-xvfA-w=ozD%_7)JWU$YHk^myIg8uMOj z&_l!eO?cu~6xgbIXuahM@P)s0JtP#s7_HGHr6dso3kG+~)*rvF^c5g$-74|A!@9Pybfo&+!+W;bE*yZGha-w|5L;qdb@lr0~ zOdX76N9$mQPrOo_ytTlG_9JhS6^ckgqFxoJ@QI~>-lQnqcvq>_MPn?0M%6OjRqGd6 z@X~w8r{C1+{AM}GhZc;L8d6S_5A=vW+$bO5-EzG&F&F3*n^I-9BeWp2%;bl*!zZQo z9;Q8YJwyB0*gKhkRMiJ~TuY)M&<$$}sqvP&oJT=k*96eQ8lVJcle3kn`>CCd(n+Hx zmv@vXTSSr^a0YWa$muvJb&=T+N=xNU0yvRVd-R$}R~+Sn*XXSz+7yqglt3dXZGsI( zKQUD4Xt8QliJ^{k-f45GlOLq2Ik6Wx(;)UyB7bRbodn`2-IbAt1VYlb1QcWN)abN2 zI+v#81ok-&#*kF2jJb#5E zD0Yhm`k*l;Whe}JbzW|AXV6au$!>}Iz@C`WfFL+2D#4YkfoU@X6^zuds21UJ4v>ez z3WI>Wb^JhL;)t_WrKx#|j?kv4k|-96^`4Le3=3*4-(&&umr`n@5q0eGF8?OEZi^O{XAl_d|;#xR^5mqYANS9ss zLpb^PGqc0+#V7D_$vXagO{NEzS8Ar_+WM9FVJgxDo>! zOpeEdgP>C3N_))ygi|2I1XzKYWS>v>U*bbpSk9Uz!l==uP6x}(r|BHt!JT}j4(DKD z*}*~^%u~1a6gxc3>`IrNOb4etXA5DX$Nm@q;1=~L=k!>F$wevdM-9j;C$BllkG+D2 zyrFjTI?K|ykS@#z^kyv~kp-&7TSQCK(&@K@8^2B7&=trv+!%gY@rjS26jIwyBf(OV zi!`Qu0+o}*6hA-;YRhjlmQHJ64=wgK&{J!T3O8&(@2JPE+ca%hrfmK~nB}3#Sr6A!jI!`LHZ>u%lX9U1C~70j-(8P-B(6&92V!6h+Ev>M-qMZOBF3 zcx7it$V*MmV~1y%`81uwQ@+!Y)+d%@>~OSB2FniSymNY3PU9Sn<7ZiPCgV~R)2eoW zhkT(7ql;QCbVp@JPuh^*mCd$bry^v|1eytvKCDI#6@;Q>P1w|ENe$47MxHVPGXSUb zh=cMyJ*2`MK$KUdrShs7lH*NLToO+t(9kFL_94?CmobY#+9clPf)sgYIfn_6LAn%8 z2zT@zMtb}l+@)3U>Zzv`Yn+|HISZNc2MG!#Urw|j2Y*?X=mF~U1{X+}HyY)SET#S` zR%(=hbDo6Wnaye|WV+}(T9-OHOUGG|hty$Sq*~{3k{FSY#^nijR**Jbj$p93pzS)6 z>iNctmWRVj z^7xuUKEyeh4qr`oT4!i`NbluR2lUD>)aUZVF>mR3GQ3{UrD?`11Fp444VHr(<|fi+ zI9gS(e&xLha2^J_YI$dsOG)GME+vf7#xH3jiOp5zy4ooOwwXMgjiPqp<3=l?Nh}>F zjiorBA_MZC>UJo$wR!>Py?iSI5md2@$#f| zRs}Q`bHk`zVP7$Pz#-VMTnMZQ;nnihV6Y5YoNX?GF8$$Jca%&MKP-YG`;jQrQ}Z`% zO;9!pk(rZvAVhOMH9xIg#wBM>Ad`06tm?2oGBs`&tt#AROChK&QqhXARvc_RNg*wH z({YCUD3Xred7CbqrL^CU#-(YSXc;6R(p9W$_E146n2`%y(2^RU4kPbPbdDMi_&g0H z!301;`cz)EL0Y_UjZ01kWkEV^ql+$_Vl~&a{4*w2X$T24kxG6!r1$~q%jT^1UtxbCywu=z%>G!N8}nRnNj}B-{F$`HvF0a&}d_!mF2~H1NdJhxxSC zY7PB14I0f*a+vp8RHnark15}I?{pcA_Na8r(L-tm)F2_J`7b<@2PLUpj48|K0S|Z+ zO(FExk~fXe5ZY%R($+CO$~+uHAJHaW!YjcD#Q6jnCf6WwiE;TgL+BBIp_j;OTM$eY z?4!d`U+jm@Kjtm6WBO?bck~_x3mwd*A&xdO{ticP3?H-+K(u5qN9{aJOCa3QP@=^M z+=*<$LK^U(h5{(4jaT8S8}S7cdFWIM&4;oS9<>jX1Wa`cXmMyY^G50G%vqbF3$#%S zxx8&^tzO5-3FSHcNFmRa)YAk84#fsKLn*8W+7R$32dJDCXw&-JNOULRmJCHe<{o99Z#;oe^XJG_@r$pxcI?7>i53nq^x6x zUNyc(=Y06DF|`;V&OxgTO7aI8lge;Jj{trIfo|lGXgblfsM>FW=)zudK!WD{l{~SB zVADQ^XdwAk9trHiIlb&jTia zj7bQDU2-6z9syLnUOU^_+;y|PwfR`{=AGMj)Nk6hd0YLaojbN~-nx0)md(xEUI4s) z>-H`6TQ}El+Ol=a)~!2t)W6WYsXjBBX(o4PSI5<}UCsM1wRCp1o@>5(?Q(NR>j%we zu68!JwP(JcnyDRV%H(iu$mX-S*5qpdnUtG^{N&on$WO^l2_;P+p;jc+iG-yhVVOwC zjg@fW+@d-{awvc5{I=&mhSWeR^K zU6Y?8X?=dGq&xD{D7&Mzy{)4Q(eFT2r1ZtO@29i<&B{&1wJtZUcA&5jCJd}zlb>mV zX92b&I~&(s**UoG$~#cUn%O-pm)VpA?BE_SrFA=s3;x|qv91;XN*>`Ep(va3)7 z#e9A>KL0c`D~l)cYb4@rm)qN}v|fSq#q1?Z zVXH)|us=J!ws0^z12UFnXF^~u50l{lOkTYs4`ZRP4%oxld0>1kHy@D3`~qAr3XCfxJ&}J>($o2z5Y*@ANO~f_ zP}0-+MUvjgFQxfi9nI%jx?0Y(bfWX2P6rCJvQlG(x~$Y#SL=CfkKT`!sIL=Q_*)h4nh`uXCGL~KG3wR7P8o~0a?YS1xL}en(9km zWze{qzOB!((!WAGWN-WmElhmtXhr3ma|$7nMlPk1Fc^YiFa*!e9{S3mC|2Z5Pj34{tm3Ve46RkSSSq z4IOY9Nk*^fAVym7h@oi3Vrb{tHq3RMT?mi1N*UPM?J!1DI8a@fxz4s->1x4LfDq0{ zsK$nHQSG-G#;{zQW9KZ-VuM&&7SC6fmola+%Q?2?mE|=U6bDw9>*;-=yaREC$Ly=+ zJo*rlM)ua#@dJdF6Td6wJr3&qq{%u$zH+B2gvQZ{gs z1s+XDJh4&Fg^1B%#gJ2B&DT3x+S}oxHbwAei|&yOYKRsK%Ukfrm=|$Iy zvKT*BqpMS+^j4;8&~T7S4I{-^MAKPuw46hU453KpN%;gkCEW>ebzt>r8%i$Z7tzc! zEgc;fSp~9&7&BrQ7PC>I!_VMQF_RoN-!!*j66m;i4kOPrZ4|u9EUa@V+9oQmVHxWV zqbI5kqo;(%N%;6`>($ogvsl!0W=3^J!cajS7+2b^c3=$Bp^dgdXx!lG6sN+028N^| zpA+jmCl_208;fge??Ui9(JV94m_KO6@TkL$u0GTO9jj(zT8xZoZ)>}RMNU?RISDF< zM%ux_ktv49h~wNDtj|nkjjF80)FN%C{eleJ3ntSNxYjUB#<)5TI0!hyE`1p_&c3{! zeHlX#hlm{*AvoKxDYHHY8ZP7(p~YO1c5_AAO*`7nK;cIAv04y5URyYkeWJE-GAk1g z6iPEk7hz-J5aS!kWm#QbZ@GwdQn;c^B0~?+ch6t^pm{?7Y_0Xtm9{g8_;S?Y*eZ*Yg81f5c^yO-Ri!pR<4Mt&oRz^$?Y%6z2m!U@k7>>}%G1($8D;wFx zSF+g;tdtZ#S-McH%Pym$0FYs+OcSoADaSinpMV^^}9VmgtrL1qP1D+YBgw>UP;q9<-O;H02M>WT&i&(V7K zI-1X($NE}U3o?BtJ=;r4CL}DwAp*h0D1+dlO>*UPL0VvY_AzqUNO>9S+LjM6X3U{( z>CzH1wsrW?u%?DpEu%Op*3V(6Qnvd>wtG}5tNJvny6Iewec%GB8V1PB)q0J!qvHmq zEP(w4jO86;mCTduvY07p-`SQ+m(IwH1)s5u;aD>ZZ4jERE*0OIh?Z`P*iK^)lLa;$ zVz=dJsiTvK=16q$obh340FyY0uv$2JaLBF+LUf_!%DGGId$SQD?G2DCTL4Jr;9wg7 zHx#!t1vM?uW#JJwsfU$8)IAuM7ZAcXjHi4R5GGw#GR3mk^2P+CJ#Nen# z&1PgXnjorhI@d2VScRC7PhebWI)z~c&1+6ATG!m#rVEH&(}|daW51tebS*Qida4!{izS1|0}-!MG-2X}xkyJc5l+ z(f6*7mMfju$jQ=RM%BKtXbp!!%==v5VJ^nC5pzE)6%~#?z&W8kKUc)Hc6K$N!_LN* zAtDyN6paG|9gW67S18tCPLR=W8H8bTvYfn~t(cduoW(9#JJAvj#KnAWHIsw6XP6w$ zJDdk$aBPPUqLN)SlZ=i*@KmE)}1zMYF62w3NwhhW^sz>mjcgR<@8}|0Q5H0%yJGkD~Lop zzQQ?U9CYiIbIon%(TQ5lv~{$w&5TEhl{Pl)pzXYP`6{NE2}nDxjw=P5$PTp4@syx9 zopr(aKJAKix^X-?fALBSj*xUElxA)3Xyrl>OSEwaCPcQkp$kk*0r|R)xr*F4I)0Eq z^!zXtG_aYCr)DCYXqb=y!aFZq?K;LgR4AUwVi+nE&t)+Ttu9{3V;EXpY|mpDT3x)6$1t?Il*wZlDwJxo7={`!wrr42 zU)+)7i3@o1SsuB7{;>9NZ!#9XSYg$20=&UBM&UC#;lWaoTSknMJmV?WVcCt@12e#W zS#%edW|y$*$_W|I9OPI@{nwai8%ggA*?TS%dgu zV3C<6;C4$I)t?|_iG(FNPcL0R<}r6P%2Jmt{HJ zD#yNKw`kA{Td+Zp4OiEt%gy4IEfZ`TdAaS%MVyUBGc8UhI$F=PwRD_|z*v;>6p*!1 zDCW@q(9~AajxJ245v6Y^9h@kMMhu!Jh&{x_%lUoW9u4|@F} zLC_djBUJBcFI_y-(b92K*X4d{ff7v5I5S6N8y4ndYGE+CE?(9dXgL>~1Iy(MPfy3O zkxF8AqpS5wCuaqj>#akBAJJ&-xOf()^fxcHwIEcy1<+8;aY^4)T*EHhfW9PscMtn6 zJJfOl8*@)GIfkB$5TfTc6zglz)f+2T!dpDtDjZ{{#a01*7Hc_lSuEzT zQ7&9SmmR=zZZTISOKP!8e2mLJY>+R=-k5t~9=LpkWz`d`fNOzu(``lv4Wf>!Wz=PN zienBe%Me=Fh89lS*7HE8urWJ_QXnMr{X(<{IiV#h1;}z9M~rZ;VHE{nXV1m^9$CES z<&^nw9`3+QxPxUp+$o&O!kxnVS-NwY?i9|*5OhxV{oHloFa#BZ#UR{jv@QP{9EoZ7 za_2?jo_cpl8w>67-4)KjJ@j*FR18Dr`#L!T1c~g8szgABg@Fb+ra(<$N!lQ8AYyO> zt0`{Rq*kyeXRTb2TDc@{T!9-j5mB_5HJ`cE##6*u8g3{?tsz2KDCr3Z&*4unLa07g z^(Cq5b_&H7pH+=<8+CmcbuELp)GgYL>URd5EADCXIfm_(le4h!^WU4`&0^!s^JZDotDiU>#; zaj?yHjPnSzV~qehEQj|U+@B!ijKhA$0Rukb(7^L&Z49)S&4K9!OsudYhjjtymJzm+ z@j;5O90PTx=ecs94-IZ|4eAg#q0qt_ z))aGg;Qm2#%ej_zISAui8P>XXe)M4J9a1w_&RxWj2=-mLC4(7*v)>K5zXLlny0G^* zOtBciK2+uv`eE}G#c=fYTC-BmG9O|!eC{Ho^YD_QIb(yB^N#bE=NISNIN$NMiyoND zEiDYhxSMrYPlw>GoM=$lS)9!2pwAM{mawt7atV_RPwX%k3L~mP@4|uPdIuWd1T@KL z>1EImGz=KxrJTRWTdxeML)@~rCF7~ZbUM>@@E9jsr-jJBy%f2BkuXiUlLeX`4g7rResLW z{K{Xpixtp(bnySme3#9b9Si>Of|vpG7FK?icP1?w{E5%MJ@^v@Ld`xg_>-K=FaAHg zH%kV8CkGFfR{o#U(Dqp6A2UcEulxgbKT-LIMkLEB|4`=wvApt6d62KDjFRrj%KuGM zbCtg%`BRm@CvauuKT_1wmA@lyRpsyMkgTr!$2^i}Dx-}^o~?|MVNK=l^Uk-mga4X# ztgHMD$)BtIZ99_nmA@kShRR=&d}HOWiL0;t8F8B`e@oov%Fmd*Q2CR3BwH$fT8Ct7 zR?9}~B;@>c}zs{CmqlHHZRU};a~uj`TQt^7GlFIN77rG1q@ zsY8;l{5ea7%3m}h*V@2ao0wWUJ99@{FJHv8bPf+1!8V$d2+pbC zO)se6BgbDp_;R7D+0&YizrJD9#?5L|ZUlk&*5V+A%s>D0S6_vIc^V`|_BREk(zt~T zh6oulAUzv!WNL!K)&k)XhY*NHv&YM zpVYT6!1Q&0Ig0*PoH_Wvo+}4=;wnTxCO=2;Cm~U zwUDxo#;`?%9gAU$32TaBO9(se9hy7^N|pkKS6PV(eG_e{Q8|Fdoszsn`BQV+4qv{``J;OR}ovtU7>28?5TtJW@kF*++wa5%f+ux zN3fNpI+)rL!JfWF-ZK$wRf#U0jbN)w4DGqt&1Y5rnjUp?t=Q2T@vZC5f$w|-d#)Gs zUw@GJbs@=KoDx;~deyL@l9NDgtTamcd&fM53|fmR8!< zo(!zH6wz<*f$Xm@Cnj8pSagtqk;BP0YELWUnXLn~}idW!BUuk-)ca z0S4nv+{y!YBVqkC$$l0|Ie829|Mlk)>=a=`lP1Sqf*Q{!fTUS)qkvmxsO-58qYX(lt^7d2KN+9>f6M~R`@nC6nw zTsE33LNh(4X;YeZqxo29X2didO4DgHT|zT6rn#y#*No=6(9DWyZYa%7qxnQ=X2&$2 zD$Qp`^SRK>iD|x2nlF{6lo6V_5lty8G^HA&nItrIG0kM9nPN0kg=St%Gfin~jb^&g z%#UeiD9ucxnI$v}TvNmrddBY3Y^9k)nxTcR4d7{I;5Zu%E%NjNt5f=ULcci1>1QKw?c7G z3T}Cf%PHrWkggu?e zKxZqhQyI^Rj8!piz2Y_qZnd9CB&Zt|_dM$!V(Lp6!;o$&)k(Tpc%GRN4I-r%q@$O% zlymIprLBF^;Y-{4GE&~&r|=yd+koF$R{X9$E$_aa5%`|6rhChc7$}}~MspA@y{Lm~ zX&(pGp*1c5g3EWqxS_QXeSxDL`1ap|ZA0t)KsoeWl3njOv}qqumk;(dLfVE%LqjPK z*v1HUm^1y*^A3gw=%DUZYTM-GiglP$1ogUDvf0rK?ubkuFC->yiO_HKARt5a33}_a zaMmw1LK~F6X>oqb;(V0r2EdQ$U|wqKRl4K7ry*|JR3}3^^xNw733>>gcS`Uc=}C+C zyVd|siMQJ$&)(-m0NUnm*2xY(m~hQiYEhkMtj^D}&WCn-8ZJjmA1VJOYiu&W5A90a z-JN9jc+Odrenq9Xb!*yws}9olCgd+B*?lggvKB0T4343^kAc|Qp;9_~8YOOBJzBoX z+JUlbT=^iq4)9PRk-R_29!RnWlWaqheaS`cd-43Hdj5%Yr$gSF8Z4YkI(3vjv#5M- zHSmRX!7ocWM!h>DyoV>d+N9j>tjO)IQQGcFqycy`WevTYnDa`KebvQBHmKQ={K&5S zq|E5(&}%6~?Z_@p%tNoI;GW?~3gH<1rX{VRF~uNTUTAnT1$Tn{jwLPVXyVQ>-%$Lv zs(Z@dzvAgp_teUN%3%Z6J+1PeG9yDxRVZr*|De$hEOvKKANWf5UpVUOo-y!MZgl8) zRE^y;HQckLDw4g8RE5rz-7-@`=Ul0R6TYh1@Ve(oL+hTe4Xt~Du9UhL>X6aBh#Liv zExvUEE$4%ct(onKn8afqQzg(?fA=bYaCPd4jdn=gTYEE*n(UB`;4Lp*z&ZogDN*vdbF7Zdo>e z9EWtT(!OX7WFLw{et#rGOm|+xRgfA?_D4fqaTDCHlCZ@Rtp}}=8gvZoe#tZ(>RAXC zS6!uZ_Ub;&nn3z8w`W7i?q(?2-3;AG{3huuFlxx}cx2hw{VHL8$D^><)cDuM_)onI z9xQYpA-&)4DE%9R`TdT<8VU1TKy4>)s<&@R6ZBg^4cJlDd5k(A`YoX1niO|ja9Q78 zrRl$|GG%uL^WL!tp0s*=m-Y9sCa&vK%JZJp@%u*GEVPq+UCTgnT6MQr`#&Q#Om;P) z_MKIlbAp=^+t;eP&U5yMeINAINqV8TQPPVXKpsx@P4(flBwOnvFRk`NwAzQ$%2~#vtrl{!e+-2&>6K`X4Hps zVw}#X-Le^bI5)<9t~Pujk*kYwUkdJ)%(M@a4Ha#_l~tT=%x=lX?BRUJA5KuCoKTW^ z_2Gi3dT;6O?UwD{ZprTL;lf7|6l}F`|AC9xsX)uS1V1jNl` ztvp=nA|J}Z>foW@cZGNvo7XpH983im*0SiSyuq`8whoMLo3+^dbLDK(j@c*wdz*%*3+e0v2#83 zfpnGjyj!bFby9vt*Os@QE#8pwno_-_Yjsy}Yh5WL<>$Ctc(^9ku|a*=XuR8v_IcA) zZ#p)aj?KjjBI^ayvBh+3HJi4Hjo)c3~s;TdqiKi?c@6p7En}5gx$a`TtMZr!v8D7D#6&AUB z>b$Mub|(+Fr6k#AxAx7cTUpF-Hno7u`d6Kb+1pk zk%zp`bn||zt+Z6i?bdoeF0GMrhc(5{(heziS(CilT`%QprNfe5=h^z>jk(X+_BTx?!0(W>fMYSCF~-aT#J$^9$HdCa_f z+`N0jyjy18Ew`wy(5BGyqT6&3M>;XkKLd>UN3vGev2BJvPJiY&O|ztftnQ z59>^a?fQCNuo|*iqGzl5u-<&zV6oU}zC3Tf)SEAx7?X#G{mPi5Q_nWFX}j69tN5|l zxTEx@q&vGef#+qv-W8tR%CpCK@)rBOX2*->$G*}$@V*j_hCK!4-EX`HOy5E3d-!Us zr$K37GTK*+_K?|jn6|-%mv3dk`&!J)9Tjk|@vIc7?4po1nv5eR;|Wf}LTH4yQe>))uaq-t%Vr2ch9eSgwr`>pO812WVtHX43nvSlbta5s;nvQGMj^)PE!?zOIY>&E!>G?!u z_uAf~=TqbP%yfNjI=(P_zf}KvGpe&UOI;6-MzVV+Nql=Jt3ADPb7#ix-YF`(cdE+n zwUMs3R%Q22SHF5^nCzJ%`&cYn*Y&+~%S+J&NJTmrh9?LqIaR`US#$!rVS7M zqXjlKxOw{cY(Ji$9(r}f-D_i8uiWqf_;J(mgy~pjI+mM`73SBI+P-^pX0zP$2mjM% z>r)(G9=@HlFVs)(DvcrDs9`(m-DokhF|GGm^LLHOSZlVdGh3cB-RsSk4YcjyiD-}1 z`@HI?w-|0B?ZbDX{?)r#X6HQ2bhVQ4EE)eX0s^v`N}wE`@_yKz=%=s8mrd`8O{&ZH0) z)#2d57_mrv#vDIfGY-Qf2Uk_sy7QGv+j_6MT40 zB|Gd7QqwlguJyAkXS%b>bIx>s$Up+zYP!ywEw-ZSyoQrGaDSeD-9?Y(67b(lSu zP1Y5Y)n@**n=DyT4bP3vYI-}>t}e6ds@ZkTWL!5HH%!+})A5PP{8a3#i!1#zm1*}` zdu>nI`=!e0%c#u0tjg-Ep^O>3`zEQ(zR4QrKG{nS&vSJvjk<3tgFZjehuHS%Ic;C9 z`p{=9tiBnhXQt_yW%_5Eo;jvRPE8@Ejy?}BaQdu5ZKN6Y2gquN9*p+M!RT;&ZrrD5 zo_%uWIlOpQ*be&i%(HKCNe&76p452vo0sWst#7&c zD66pHC9$rjG_EU+R~}t~pK`ws>C>ijmFZe-x}LE(K5M$xn69;E+d8hghL^^=^sKUP zqv^68VBZe2?|IW@yT`swrfak5vfXIk7SpxWbZrw|kHxxns$aWImkqOhcCOgB+jQBP zW#3-Y^`hz8XMW{PSHX1c7hR7>{i9EJjeRefE<1PZd);&#GXD-+3|}_cub9kNseAZ| zSf&n&eQ%gOO+^_C`ecwpy)>H4H%+FUUG^O{oiaEM`vZJ!k8iVIEKk}l`%4aO7@=PPj=ep|z zZd=H{^WErVfPZMtePoq$$pZI52_0kDKcf|PLe7=`PCz)^#_QeTr=7zg4X24!)ewNM zPQj(iQ=7jJ5Sjt_vnd9dv8f?=j4GM3!4N!36|PK@Jek*3lM48AafMvg%Cfz9Uz=6H zO{+bjbn5=Bugfars zLg-oWoLAy9;Nu*I52p{qy|zu&%s_mDhrkjA)SN!;7D-^(Qq62IB-~)$n$jlK4EVNq zIMSojKAYzHzSN_{2sJlh!=SJr!w8qp9J&(Qd4)&zzR!nbR4&%THRAmsvEI zn*%FKI7SCG}vOX(Xeol`I%j?a*=S|-R^KYa0SBQ@7%JpjFCi6p{4~58WruPN&bBlJ{ z@>cP4e;n8CDq}}?PHfz1`t3Njj0YTH^MP3J9_86n=wzDW7H(hU=Z6`$6ONlPlr_PV%Q>IJqE&+VrbiHS~ z-Z#IRP1kAD)nYx`=Em|_({)aC9g1~*pnhF2U3Lsw?qEGbr_HmYaA z2D#hvpv8>cw`Wnu@OY174!@Qfq}=dc^>IuL`{}1Kg`Shl8{-H{KaJ@b-gLu~O`3Mr zAd^qELx=={F*NhL+Y zic#P6xtQDXT+A>Y*tADXIVIiJ=VERzqf5i*W889WDJul`L5$PqVs6WGF~b-99Y{W> zcbku`B3ap+lk{mm_lEK+KADEJUY}UIy}DQFp3x^CZ$Gb3u-$&PN9ot}W`usNKD2v# zojzB0`#F8`?e=;3yx<&zoVQ7tj(RxjAm*k0lM#YP8!Y5GB{A) zRX!oz3Dps!tGaj;Kg)1c2H z-hQbMu>seizMQ0otqxx9%R@?Mae_eGRv3hu;w_bEK zTD_mLdVkY&%Cn)6^{&hD1*y*SeOkRAl(l*$YXC$coSykO{Cx-pDh3c2B(v02xQ$)2tx}IQ5`pvW?>M7N=u8YZ_+OLO;{dTz6 zZ-u<(6vHr$Cs~g(RP*pu=27I<_DQfLp z4U}xpV8uKOnB6GuUtm@)q;>Z*TVLs__Lz>n#%s5o`?s2|ZKh+puFv~-=su!^Zrc9MqFCpPs&k+5+F@tE z+}(jmub5v2)4ktx+C!TC2Q8-Zq8{j9GM$GkK8Ho8e<)g8->a%q4oSZW-almwURT@^ z`uxq(XphjZd%1qw%k|q{uHW`>{coAAN6kjt!}T{=e2!aOY!BCe0!N(RJQl0a=O+8# zHMh>08>g%a-ZK@ph3jv&YCdf$Yzx=$DjtvfUaNX|-c;CghW!^z)kRbBp^5&; zyt!m5E}Q5p6#YbOeVdAIH&M1J?C&s9ohG`=L|!#f*G%+v3(yTS?Iu+C0s8wI+<=si zBlUk0ue>L_=K}(g&q5Ncer52)$2E49Gm|Q#qoZH`_VP=|U^FwOO2oemBOV7l^V?wm z(!rL{jb@TBEckA4r)KXP!Wm-t{+kWt?RYR&7 zk7lO3^}3Dq_)6WK8F9pCBnx9-akD}aHyw;Q&a&E?_-HG|J+q2I3q>2h<9BD#XvQyz z1CtkzW@fuZXaHU^nhD-u!wv%$LCDgDj-4j%JRJ*> zd07IEa4f14l4nLU!Rk#al!~5>#RQ8u>=*8=)#cJ1-rxwnA%ZRH9ecXq4!?!*SYjVh z8;onCtYYrSvjC4LVIxVsk#ME_M8b`Q;~l#La_0r*-omxbvY30Tar5!>;(vk+!P63Vk2swapyr2yu(KFLa9#J_5|Nuyc{*^%9zMA9mM$Uh9Oe3dts_xxdvM{-aiGBRHWjQ(YKE{A zrr;frJm*@WC^$*#^@%{%gssN!=rad*-e-{f4QAD8kFno54b;Y%TV57|lrw71S#m!g zo1@RO-)W^)^^rN}X^#KSi0ZmP2@rS@iNC`Plp7d68vJ*4qb~#+9OBa8zx5wIxO2Jk z|DGQ8_nTF+-MqiUb^X>r0F>GN`#T>4wJk<|ar zdm|}#?OFW0laxg6^Sl_7Ofe}_m1G(f?Mv=-!pyt!ZZ1;219aCO`MNu^c!qY|oz-1m zlg%em37c(F_5R4+xur}^wh&9LGZKBs>#p4dzq^2>kg$+_Vt*nPP5161W6@73-CaUk z4@A{>S8gMKl&2gAW7Olu%~SdYcN;`%_U>{+={J+^K3S?08*;SerPzk2s1yoTYVtHW z55YNNUGJW#L2sCwh#`2Ttk0P9hAHxK@v_NdyC-FIK8{FCoqcehmjNk5K?Rl(h@ zsG0ANBxi@xRf*VE`RBaM^qAxBZXf)&`fXKWc2xek-eY$DQHSKIWmn~&6RO>nf36!1 z?(-oCdw2rU7PhK_xF%{vqR)>>K>4nonEugFmgumsIW+Dt~`^e8+vf4@(XpEg~OF!B<{Ri`Gu0vxK}E_ zs2e>N^Z=*&)xn>t)!$}7_D0`-s1qN^^IGNa69KPR{$54XoFjw3b9ywsiTIuHH5Aj?jCe`*2P6WTMxte(t_A_y_8iSo~fk8OHCP9KhF985t^mw=$Y2J~j9U zb))a58ZD{bul!@)o7X(}yN$kZ`j5_dSuK@+tRFp2DzN`z#c+V0IQ)zxI%ViKF$?&;KaZ$3Ga&*ljC0uF4DqF)TWw}`VHii?Zq zYqH5#a|C90$nPzMEg*S}HU(eJAdz{)jV_TM4T$U^MithTFY*$QT&qv?ODT&N* zSCi;c!Ag_zw34i11G9d5Z*}DtCq}QuB5j_#r}NysHMIG9vbAM3+cxK(KF4*>UYWhO z-om>B5}Vmlj6?wcz2E!tU3z9l5e>~-6F`iX~oP5+(t5PSRf-f^Cw7i*HKfCip0x!km% zc#ASijx_62-T%6=?1Z&5A&v@Qfp5|^%Pj}Y~Z;PJP-#b(MP|9aH=oW(mb}Be$ zGFp}QJV(xAa3o9`yQ^^Tg3?@M+>29_=0=(iP0mM3V>cM?T`s|oVsQLMTHA@-<4&Yl z8^^(JGu-P?Ii2JOi+tu4>D5vlc!Gl?*55VL&D}SFR)SU%Ts&j#yz9IR*TzMqjckbD-FchB$JZR7hjN;64l<|e+9#>VLT zI!52OG5WrY(LmRVuXQocboJ-{43%q-o!y_Mak@WSc;>}CbBt%M^4L>Z_vabUd=4$e z`7zG|A`%8ppLCj;Djr-hefYwIg`%jpjWn$aHWI4$gEH|WXHSXKk zdp}27pr0z`Bwb1U#YM3`*=&GFADg|u+H8ErM9Q1I#l^8c8G6C9R&88ow9lEo^`>t_ zk^Nvvtk3om_qmsV2-`p0-()g2Q$}%V!h^PQ{{;#{x(f zJC$dbiP%k^;^Q&x9z*U`T6rN9BK8SwaH>VQd7~{T?S85$E=$HY+Izrg4k}H9&;%c7 zqAxF*eLO8H`VTb8m~`L9-TNGOi%%x9$@hxM)?2ps<;w>6qjdJn7o|S?M0dS}SiX8%T$xO(K>6U#F2WXdu-y=Zc&;qgY>p z?MOFKMzKEOL4T{*NpnR{nk#bB4DeRt*(N-jV!yZd=0u>LFIRTj_+uI1e{xFgKtn%V5JQh+6-c$h$Ut0z&_#_ixuSuKV8prH-PrC?yTay#F>!tY4 zZPj;DA9Aa_Yj&M7bKj#~#cfgBYwqS+D0txnI`N5lz=C&80Q-pjv>1GOn2vpHLDIEC zMV?3~?wU4Qqo0nFJyOL^DJwjs1kbgirq`_^Z&>5KsgEgC?3JX-rzZO|setsmCGPRr z;-17CtpBqk_V{dZZwl^iLls|i)o=Gaj3Hrmx1>VEn44Q5D(0 zmyW!x2T}|oX>_!h{?d^X)R3YVI89A|UC1#UN-@Z@cu#`iaNOWNFQP@D^1jfz`%(wl zfs77n16dtx2WmKI&DcFKN&gPSz+@ThaE_S#t%rdr-8nR*SCVg^3&%87Fic9-4@0b2$Q?53{c3665){uxrPf{Jg)IJtoa+&u* zwaupLG;#4u1Os-5ZQu-X!C4#KK5KmEh>H)~2JD5Yf%C*&h?}NuXa{sdJ8-dtW>*Z3 z-DtOMXa~5VEq)j`TU+!E=%R1n3i-j(RwV65i7xuqZZ>`l9E$Sc1T4zD{{(g#O&9s& zBe(%OjU3=>XWt1N_`GyOFmnG4@GoT` zX^XsjUC`keJ|m`9Q|6|gSiYX;B_<@={$DaVn;Z%KbYSQnqp_P zR(h~dL;GM6aNN86|U3v8Nv%=<4)= zJpE9_@mxq_WBCJ)#jd+_Ql75180^m++x5%?p; zE0VsUBi(~Wi|Cs=^FDZsdj`zbG!Yx6~$0(Fy3!&=Bvth)$#&SV_U*5sN6pe$lDc_t9zg`9!Cq9-*P`cM+Wt z>Mmu&e7;=UM{R8%on>Pw${1jjN9Ut@7Et8saf{G!kMC^d>%{M%;2i2}TQAWcLvgCN zh5$n&z2g;8iw8y*Q1(LBWfA*gF~`)Bu<+>EQ(Zh3JDmI`2u-*#(Q$F%!7+qSbni>kZUqV_5oF%2((A z@$#=BFD@9}6rLvYyj-Rhh}}z09Qz87CTCd9lefmD*wC&paUF*103?R z<)XL9=Qd#9d$V@*4qACpngZRD=HXb7PMd}f*_&bx5l(G!zsfqG$|Nq zo=m|vu;NmkQIs*-zR-d(_##7I#I7JgJ(M54FT7wZ`HnN)$0M#G{3e+7E?|(gV3OIP zg2`&l=XtYh0e#p4^I;37nKdYw9yVUg@hX@ZiW8mYdzPT!Tgpd_LgezsuY&K`54tlG zS`f&S!CS!iM1jR83V0WFf!_Wl;2%k=F^SMZzu&nDUSONx1q-R;BDN!#G628qhEGVT?l*z=I7X z1qK3in!YPf#3AIdF%+-QO{$}FK@5Pjv;}5q3zFG4JSq@`|5I=^)hyRFd`rK~^tF61QvJ{*|3N6PkjRUfQfPUy|UTA zog!stys|mJO_Z{;e*NaMeipobI1gX5=t$%La7jpXkoWoNN%~xnzE+>|d#w6gq~qh9 zCub?fVd%V9mV{d#Lf+LN50~ku?p+P?aCth$%q5R@1;%mcvRAe;1MTHq^zm?&j`OR* zHzE_{LryFY*IZV8*RnqAIAqqthzi;U4872`Kt`}ez~bS0FjIpmBis)y*XrSBGTlO^ z$zU3~5hwx)TW#_GaGNQzowz#72zV!X=uhW_ZU*u|OaJ{L{C9cX3p*pvePWyN+>bR> z=vLs(K*s|d)CY~`5ak_ag;OZ+2*0_b=+MyZuQhRQi0MakI6+}2 zDUAO6L-pSUeg;Z~?gU>W6lcu(;W@zW1~4$>QSXQ9tKaismsnx-)$a#x0(kNO$U__e zk=Gk+jO+M%Ll3@IxzB$HOE|7Q+y^2iXg$1TJboe%4Zwt*D4d}FjQDZ5qqAOs67=OC znlJzG9vR#ZN#$YNx60T8aH9)#Lj{N zF6G@EA>hV|sSp#Bymk%Qvzx`m3%lbdFT!2`t*}Q}EK}GEpcVEE%U2cd>9u2FFYBkm z-o~?!?Q<6P#h?!N3KSQ){gh|yxFROh!g#p(VLzFzus?YYFrEX^C}Ag=O`5-O5OEMh zm)9YR6a2f@V~<&J*!#xJx7OSmK4r5%U3uD14C%$Qp}IwA9i9t0-J}k3SlSf3$^5$rx0tN zu#@!#*g`T}1lX8h&;rIdexb$j3zvrCRDO~U5a(bcl;T>b5No0E*uYf*pB0p`Qeg7B zO6i>=j=))MFbz0`$NThL3l(B5B&ZsF3QzEHHdqL$aHHXDg2?bhA7?XhY%Nr%Td!e> z{&=0ZmCD+bRk$7D`LLJPRk#DwM|g_U`=|j|NrkpbDukgKG^y*ier2EFeMOecp+ z%iA+K!qfi*!Hh4YbG=n)>#f2A9LWbck`Jl$nSX-Ww;kd>Tjq_M+kzoJ>rYT5I$tCD z{xXh_#y#a=BJ`nsw66 zUnxHu>MsnP?5Ch*QH42y^q^^_+zF2O1V4VE2IFXjh@*)(PRE58sRr-NEWE60ILS`| zSCKaV3oV#P6aG_|;)b1{s)JO<-3qUY5@G*h+(K?b7h)4yP&v^T-VE9IdJBq%7YE-q zkZxO$uh2H03z<+|cqbGuxPF|X@Gd(SyC-2kPEpAH=)wnpC3((CQJm2gbpULcC%>qp z4bY-a0_(Z3h<9=oVeSq4hb4;`sw?VdsJf{V_D@R|aa+0wtHSU~@3SxB+*@RGZ;^)h z!mE5$8Nw?<2rsp{SU zTahi?iu88^!h8HCUdN_d4|*rF3G^EX!z>u~Gnh-hfr0cP;lQD(vr-3h01Mg={716*K!NB)iSR7|vQ``Z~ z6h0To0I-wQzqm8x`0=h9J@r-#=#N_SZcIMwm($7EE2E0mLrrbJXb^# z^|{iPY{jc0$@;$9BKyT_B0?{;u}@Jxw_>hmim{%Nph64=o!3+D2Ed$?hM;2vuGlPj z@n&}E7Pf6N8Z6Q&FiD`{a;Dg92M`Qa%RzsY0-<-qDQ(1d?25ThvF3QaZU&Vc7(be1+1rUHjWWyR=xNH zP1DJcnT=EYR$<8_a)8j8HHy#BZ17_IVm0fL-hLg<;{y~OE(im8T%?JCb&vG%t8m5K z>0(&*h;w-qnvhn#7*;*f@ADrce-`?)rJv&>@xh<{{fcqpyciqj!hkpF7vE+Df1>j0 zv_}R6(MTYxftTl9qrHc(M_%uTtx+C?m@|I)#Nc z;#?mkwRyxS*&}%B@~Y`ik-=VTJfb~+1bZGC;=_8_z<2~tJu=jX(Nli}LmwIDb;cuJ zmHVi#$?a#I@hBds&J9w~iT3>w?7O@ULN`W61m6X!F_>x$F&y>DBhINi;BW)~(Qw1j z7+B<+KxN?2=0AeXk9?VKqqaqiOJsCl4M8-@2JWNL#&`^M;nB%QV=;guW4>51(ojVb zy#9W~3E>eY1YteV`uWkMaI(HnW?%AxmPb?3?~$?Xm5BR;V$tM3g2|6Kkr{BL$$tcs z9~tir)JJ-Mt>D1q%i}u=|DF~4frD*!C|+n|`8hhs=GfQyXs#;cL~6k3M>fzr<2zsJ z-AD~uaRHIcQGcZ8*dtD)CNS@E+i=85&VdB(^*lmsE8;|D1f+4U$h7t*(sKcik|=B$ z@TdD@NsnU+;R@4M9sGy{Xxi-|lwC#o)rPZ%Ewna-uAUhT53#F{=;1$thcB-ih`SNE z^1ex1!%5l!e|78SiP%=exyK4H4RpzKD`3tkHptpWrrS-{4)B&@2D2XN&P>G5-Fc*w zfgoXLCi1=CPM8Y>qz-+=x$O$F_OlHRfNplsJ|H;AN+K6PUiqUak5aUK<^(bTJW4*t zz-O)xJ5JaMz<%^c>q){+nG9~oJURmz!uBjjB=%q;^St@u5r1&((FK~Fi)vHm`*qM$ z1j6XD@xQ{h)Hz{6(8Z9&IpL9c`j43C`iMVI{pc1{i8vu3bR)g{M?XP7Clv*-pINm# zfGzfAG5Pfoyn8{tZ?ngv2iD?`^*2=_e#qx>2jlm+Bls=#s`;3|y!g1Y@_Wql1CQa@ zix(6d^&;zWcWZ*jJpfx4d^Ldeq=;U|rZ?1yEcbfsaUbHC(SO{J?Bc^>#DqnE^U59% z2qy}y9};@ZP|Ra^@)0NVM4IdI5b_-gm>&vyJd7|{{mAN|KA_VnK%OH3TjT4>u*_qG zWdvn3bsQ7Y(e*eXoTTMrRagHut;Y<%JRWbfjJQ0Wh|v~V7w7=Slh9D|oD3Z#v7|i_ zUW%qbR%Cc+bE*zFd#TSpz?@TcfYCxfhJ}{bgO>I9IE69~aU2}haj-WS z2cV^={urLRydLAQJ4xE(p?EDnq2nO->peQVK0ZaA;HnEPE%IXxx zg^-MSDZZ$6bz)b*y+mBI$d9j3m#d(O91O+``hq6;v6mGT<$K8Qn_J|2+jQWz z_~W0ci$>8S&S^gIXOQJF%y1+n;0M@!^0OezlQ`4!NeAQiq$6~R90_QoZci9&d17Yx z3A1CLbR|tUr8ycniz4Sqca!r3_E=tfQcf@AfysMs()LLcdGg*j4efNyYo{muNEB~8 z`jch=SVxWr>2uI=Ae4~jAj2JOW8w+T?~|csE1nFqDd`FC5_mEKA|fXOx!^@l`w2X4 zc^ySHMnit&WY9LK=NKp>&jiEe?(&my)O9@6iku3*9nef5%|xS7GaGS&Xy7-QIEbP| zoFE$L&AvWSS32Tc_XXW)U!TCfMx6V;z>}wRpUg73iEI;%m_+;_+LIqBe>V7B2y6-X z%pq(pU>AdL6EOPIPt2cwGM_SNZ=dK-LI~O-kY4gUpC^miH%rX(d7^Reh<^##6WtOP z2Ft7t%hA5_x&r*J1YLtNn%gI4ZlCZfs3&xYpRC1tHF7oR8qm-oeqs*s6Lp9q*Zk^l zWc3joiCp)qPv`gvoMT~^Y-a6=21De1tC_VY+tjT2DNIio9C?D^h~TP2EYH0he!E%K zJ!;mR6ebLbebi~c(HV74G8G;J~5~F$!UIqXD|dJKL@zb_bl~=2Q04|Yg8p$Oy+$}o$<_3lBoT4fuO6-J6SJ~UZkVU>UvbmM7H^5_L!Qk_I8cT+gEqi3C;_NI<~j8|W4lc)X6IzNpk z&;DkepALX^PW@^QmE6RT+Br}Zl^jTJW{RH^DSAxny30f!l#LZeFs?I;L`&gLncqni+-vR6Dghp)P8{oXgQZ`egw?V z(|SsW`Y9ah)c!u*0@5u6Y(O9$Jn39NHRt;25({TORp&Z279CV)?J1q>r^~=+kS}97 zWx(>M`cc8BwERzD`BR5@7XImK(ybAgsIxX4FF4-ezNhO#l1-cHT+#)^^`zTibQ{Bo zO25e#TTkJ0rw$KP1POiar|`K`M+Acgu&t0QPxHB-ZVxBxD}C;#m;+M%AmLL6L!KfS zB9wd4a;g5+Tu(Uf$V6|?|);2>*a0g$JMFFYDdvaNalxoSWllp0d7iPyS$^>6LNGkeQ9R&Wpp1*)<0NMR zMmPMax#3T*Xzxz+oBk^4ubHgt?8zJKNrb{vB?8zUQv+Li;ZNa(r%v`^bjhD$u1NJ` ze^2jF)Lp=)`gHdQyAPNX1B5*D&Y#7>-laM*Krvm<=#4)!Z~U2h4{ZmxQ!b4KcHuMeK-1R@F~h>TP}_V;WY>w`#1svrA%Mi2hkM8JOZ+ky7~89aAk z&Hc}3aN1M-EAXE&82${wa6z4kE=Zm4t4K5dOwGK18U8bx`Dbe8ofsaNeNXja=2QI` z-m}?+sm)&;$dfPs8EyVEwfX+V_s?kapIH#)neK(AI%!&q_6fZc=u`tM@oquL_{@Ge>TPHXNKJ@mV z!P`$=6%0{)LK`S!qsiE$^v=chz@fMQ%)I?)$%^CTX+aMLSDu-nf2J#e)U`pIgAYCb zXKMAGAROp-Q4a)KQl0!P!1fTf7qAV%Fa!)i7kSXwKiiL7X?Z{J=a}0z1{M*xhsfu! z(HuoRq&Nkxu{@7pwUX*wK?R+Uk>eTIC_8qPV& zJ|9BAC;R+p`Jch^3#mrIQ=O}*!0!tAAr_t*J1nOD#Ix&!-2lu9o`LQrVYdKt4vPVH zo3NiuuI`4WItf{551RUCF!l0!Pus%}nmuFi<(ZlH5)Hnj?)LhvgiC}HTOyQnvi2zH z9722S@!F$=nJy&=i1%Dr(oJPLiCUt23C(;7%zUb!s8zycKncuys*|V%y1rKDl74_4 z2;>4rQ(t1HzGQ&PJs99fdz1_$y{!UDbQd)BkdHHjI73Z;-33iO?6(K=6iY@Jj^-%} z`){;8Qha`+$Zxdas&!9wPNPBhvBVjNdihsYmC&r0s98T2e50T<@4iIiGO5P{80tEO zJg0)E6HG#mYC08!hm?mQtw9uENuOJB>OS>yusVfYZc&!(GQIWp zgrs;6oB1GncrTlKADeW4NO-4S@p;kImYAt6;qQ2s93d@Z*d<3nD>9Ce*KzHZtHGxM zAY<7j7RxR(E~1sz@M5_PTph*HT5#7O3h&|RU4b;By@o(9p+Lv%xV5%y3(a=n2&!xpckJZ%}$CNCWvBNxup7 za;~oZa{)b_=TdW?OVxQ!^HaD=={%Rhc}^P|(1Z7O^4?+ccZOt`rw#MVYg59AK}wm7^U<8M5Q_57!c62E>R-kl;-frHrMQUN@Q>)D@wUw6R`jxs>+26!u)$|6~(v>0Ofi zY!h+m9h-W69DtmyUH*v2)WJ#X-;|< z3f0k;C&DOcKlnU3EtKiB;DmHgNlpu8m=@CJ1fK_BGpWnBCU=%Lz+4}P(?Xd|3uPKD zPy5lwp}$>b{&v|M<>SP5AZspV{b;i0DUNeFIdJ9^XMy1?RGbCAEDfXxuKC_&OB83J z_sx}YDk!t5plq3ab7ksa3+ZzE=E_#sH&?bwzd8SCU)gHvVorA1+K`%=vUQ<&?W6T7 z*U9&S{u@ZM(e&R$+|8ujqBKi_aSj@q^fH)qd8LD1mYn}@DZi#I?bg0H(FJ=MJ?}EJ z<7H~2g@_(_89nf_y%fA7|KBeED)9YKAk7axm8lUI;6W37NR?X_3@mZs%FHV-Q?s46 zJh0bL{0J+1)aZ|~lE*1q10aH?KiDZx+U+vt{*|3UC_ZgPz!SAUOP=S9=XvtHK%Sau zB50RjljV8Y=7O>-p+tSZic2rjRt7wwCvA0^+3Kjc2-$OBSO<5x z=4+?Lf^o2kW(zU@Hzd;5!9?Q+CF6YK$`5d5b3))$}!*UwWaxhM6Vw%K%9YxN&WTF! zS!Meb<%nF!tGdoQzXL;SMV@v{gv3>&*w;0Q@ z#VD_Of--Ht&uANkZZ{m=VoY-)df-DtTW*H7e76d7j$8tV4s$si<}@cw4T8DvSZ)q; z`GJTH?t_tJ)eAmy+F>^sP<|Nan$qOQ9BoaYV>>0BC}l@vAHV&M0AYP3g2JO7;!$;e zoimZpj}hte<04F6)gY%G_dDPu+v1erXhd3Y)u~Q%B6r|-mc3)fx%@my&8a@=w=tdS za&xN7FKhexXXxJ+{0`-DtV=L%*SD52n z(dGBjuR__?=7NfDzfTkzCz1ys9q$Tty!|7T6+KO@3OL?^s@dA|?4xttWv_Y_bhazh z+4j#!R?zlWnC-97Aa&YRpMD@^4MM%H`LMx+4FT-B592&gfq5Y9hSx0>!_5v-^9%Xe@Ph9FaM(xTipI-uT#~SXqP`t>z?c>nNu7Hy*d?xD9`pL&pFI#|< z4QGntIB8iRq+?wH$68*eLzX->Jtggq&yUV@g*np|voIg0Ifo}f$myU0(}BENjKv9? zp^(mW1)S+L=MH$l=CJN_js8cBsWj(8DM6VB9n&RD7PE^W zELOsf-ng&eWKe<0Kya3$k>t5d={tGxwu%*0Zl&R@vITp^>Tt5stbvUZ+O-%D>9LCVMgQnoK5E<}1a*CJQ!&k^O)os=oTJAhiI$HHz}^MhGd<3m|iiNjeUC*8XQ zzT#-MR4Cm^gA!iHv%$-|tE%Eec8Zks@$@)}6t?ufUfHR%JyI6SZBji>=ZFm9dnPAd zV1C%F;w&2;mOs7!fLPcH1n13PtFWkf#l^75lj2Je<9FGP>sDN$`MYXY@m5@8PhYp0 zvEqi!j1@N{DN27UBC>?f?NsCQQ>y4C?>~nV^?8RQ<}OFsJ-Er~1G@Udo`I7J3!GGT z!VvGdurkhuWF@XNzHd+>O4`}Vv7%SH6Gw!+ai*)9 z*>oq%OWcG?#<(jH%t-fdZm-nTZox5|TRDY#Oog85BfUALl7Wj#1TND3IASGjZ6$1N z`Y6u~RdUm?5}Sr1>O0E#o^A01`vK-PU9!9!*Q#<3n{h7sTxiw4raO0Yp^(mZ<$UA6 zfNcxQn(m(vt)#nMY3_FAlK+ydY?oHsGpSm>L*cmWuT9+YYI&xQb-&fh75_C}%DfBN zD_6cBCS~J2yjAZLq-=s$w&uS;r-@$K+W(p;Ws|(Jbsv+Y%sIa)I<5b>R?4P$cpLv3 zx_C!6D>wZI=;BppvDtG^qqK&-gvOf0mGvkj1SMtY#D-X~V97K1ffA7tL zm50JoC_*h9Qpr2EDpSJH%a6rXGK^h$Oys4{_FTit)273De&Xt73tJw4tUQO|m+r*q zAoBwCzi70Yks=gT-q8xI@*Yjs9m=^IO4R1jFi5(8G_&%7>Hj=Vb$ZSX$mbdoNnhZ#^K-h+&pR7# zmrxw|KG*c`^o5=-&%2Rtcf;*L+@AL7KJSe-PG98n??altM$?Zp@u)|-AIp2*pSS}I zcc9hvIm6P=)qJNr`Cw3#Zt!z6!_S8+U;hl}^AY4b()fNuzN65l>CScIVrHL@Chi!+ zO`yJGmG82kJ%BroxZ@3X0{KoP&1B`j+;5L5q?u|oOwD*cU2#`WX zToIpWW8(RDm}1gbdOyPPoG$Hi*j&NY_^>?Z+E{zee2nMw*mv{EYk_^M&lj=|i$d{A zzZhW;pRwIWD4Dmilwg!jF{%PC;Yk~p_AO7m%jE3 zK*wJf$R)3TNMHB0au7IYQUgv>zTr<1IN>rSXqT|*YXri`xx_rchh*m_^8g^_&Tl0K zPA;wui$F@tgEr z0ULDiKC(glCVhACrD0?pK(ER3ps~@wxx5}`r>CH^)AxA6-RBIJKR;?T$9}QraSntN z96%?@gBPegKTT0*sOnh@?mj=KGWG^eAoO8C=DB&|&o7ep5(l5gU<8ex`17ljb&Wb) zM?fKcpU?9K+u^3^t8o|Mahp7u>;0U+0Q>w7d2&X0eouMs_j%GOe{N2BRh;Qr#of#* z-OcQ|u&R@NELEM2XBB_;sj92;JmB%H;%rc5vq2SSgQ}jUXBD0Cs@~+;hdldQ-K+X3 zPbXtf+OvuQlPU|CRB6B@{g5}Lss@?|U4?*&kT6D4rA~die+;#XvqKeThjb@X4}|pC zt1L)THS!nW_*bb_F-THnL6WM`Y&Ub>j|O8@#&1=E^;^|g(`y{~N%45nOhEn9o#Ukd zPGnss87?;*tEPY^-H96lcPjZ?V5Dj~`Oi?kPTUZX4{)bdHY#_#OFv zZ@52@?`+b{QU0g=KA20rEjV5^k1XbcCf)fJOSH=Z0xb?wrAa2~X99k}VUkG|l1$`v zDPtQ%*Vs&!g7Kkit?iKfaXnyQVY z*`&CB;IV2m>$b)CClhxo_18%${bCTbg8th{v%_e1Y8@|mwy}J(5)`gplRorB(;wEF2 zZZf9(KWABWn);tHJNxO9 zzvYeR>W(J2x|7j#vHDhbhWzx~0j}_`?n>NlhTEOEYERRhY((k%>Yl{yWw;DXSNBoA z&W~*Zw=Z!Kn3h-bxbJx5tePHoH9YQgKhLmwAn9Re)BS*HHH~gH0@LaDgKrLk^tblp zIo#xqu&7k^$Z(?SfxXD|2SF1+)ln2R+DO#AN^t_3R(={Hwul1mSmKTo+>8#vrv=fp zwbc`h&qSbR_~F&+Nnk3t77wYOLUvO_(lr^K0$ISBM&NYFl56^%#auO=^J;U>tG@-k zkj%obYi4xu`7j1ujTm%>lV6DXd`}tJlFaB9&;d4^FzioebPwtZ*j&QQ1XpW_B%?<_ z4`t>P2gw~7PF^AC7ZSDzuwDTjU=}>7UILVi-o9Lhr>m2MPEeO&xMnzKKS95oyjK9` z>FQ?3lS+4ffhljzLc7* zub27lU9pIBHMSu$MzxPU1jVbbvz9kfG4`AzpDb^TDhJQq~hJJHR2gR+S+g#I0WsVQN6Jb!(*<{vq zF+F)`pH`qj|n*Yq+zYVtEC1$B@qq=rG38Vj=2^usqP z#qp?1#^m0v-KwF|}io#RiAKhh-bj;kIc}GXk3y zQa&=r==BU_h8Mi78I>O=^1a|?&FFlQli>v~YsTb@j0`V$S(AXxoD45`Su-wGbja|6 zmo?*alcdZGUe-*^O_nk*cv&+!7yP{7WzE!F@QWn`V*J%i&r8sH&B%kEPC_91;#>CV zEYSTB^Z^<|eQSBzK4T4I-!(sA$Y=QXztu4Bqy~0fNa#)1XzV*Z4HApHOo*JCvgz;%Q0}|3HvP% zHQ5;wWb9};5ypVNb&dJfHT=!$8a)~#MCVWUUQxNZ-9Tsy3cX!JiS0ec8)C`CvmDjFzS@?Wu&dW z{L4sNY-nwajR^Nv4);=yFK+12s8~BWL?=KENrwym%-H1Djth($TVT}OMhi$Se`4)^ z*4l0U|53Xyqj~LWWPBOUQ-F+j$!v3*ti!ME6hSTAs=9w$wf~RWNsKUKn?L#7Vdo)t zN2+yCJJ|WP0dz29OEnf-s*STIsO^9z$cTjs*#-Q0Z`Dy-7ebwEIAK`J#Zzr35t`u~ zUj>Y_YOT$xwKl6dcfJ9KfvH-|su|9mZ&HI=-nL$AJI1xW&_7b#`cr51Agw{$Z#^>yz(Qytg)1Z6_>~w zZ`P}J0%d8YkCf}eD#J;V3wXkAJHL<^0Hpl5_d(TiJytu_gz5f>;3Flqc5<{OmP=AQ zJ^z0vf6h4ZwW>j!ALOd#YOU5*Yqeah)y`ty=;WOd1i3n+qTjKC-rICZzsNJ= zis$;&ZY0ho!_hQRA>9&!1-RHI>u6s^T_=!AjKxVZ zsq1WxRh=dl39crFX8M=-*LAZx)Y)RJu16>y?OKPKBGWn3DTA`k)?#(NwV9ll3t)W& zUmkrG=Eq#>`dRhr;=$92xyZt!j_a~ItjjWc2Ok(<1F0H9aq>Etsv-K8*(X4iUaI4c zN1g45*Xe$^6pskWD9v<2G2kR=MdbV4zJF(g-qkX)vOV%(uuH->}>rq5WYm+4=? zRX2_};{kIn-~#gr77wVKX!Mh?)|cYRslav4c%pDB`Ajq1=^<2PP%t!sGlP8(>z+9{ zXb`~oBkOgxF01>Vs0g=Z4spI^^c~}Eb+#_6o6C0Ab(w(Yt8C|@E;SzN#z@#XbC`3W z0o)c)bKCf+TLfw;UaYO<1YeX39ivP?08_VwJeES;k$%mRz(^P_v&V9qm+Mvl^_$?x zKTvc9C(l)ex;mVwlxxCC`dmxZ)RB z*W{I(?seRBuiJ|6FVhd$)Y0nK!RlxFhdt}`fPgSEt6#@m< zlGFjV>F#BH+zZ?Dek8s_T;{acuYF{CVV$}MkrV;X@IK!caaPS29i*C>{uN;_xEOfR zNnkw}zUXXY{zaEioZ$NBLtk(wuzN$3gFJQtm{Tsnv45w}* z0GsPqgLzFaMp-pR6Lkzwo!}2t#slVOmc5|Q|6-zvn8a#K z)@m&9`OuSp0Z%@2p%0rz-KGQPXNv%b5;|C3t0^~=$U?^*NY{r1}wLrs$(wC zWk6lwi&#!o)p9#w9?;Qpzkub=T; z$$K#&QR++09B3* zIu@p$HoYD;J#)Vg>ulody8z}~a1Wle@Aa_n^4i@b*7wlfIOy}?wn;r)^~^&)tT%Oo ztDbq-t44i4GKGN`8U2Z>Ha*2>Lz`X?o1W=h@dY|=kk_k=?qBg$Py1f4_T9PS3v{&a z^=jY!1fY7__j`^*}*XHnf`IW`dNgjtv~OpOQ{ivhdh)6q$ceRF z>z4s`%~y@7OZ6)SCL&f*HEgJ4UiaCoq4>3cIbjdbF+@|p9xx~D0T_2d>S5|LZ}~iF z_v_W}J4q>^OJ=KW6*_s{M%C0;|H)^=2u(eF^~|4r74qagL%I;qFjNtPc4slQC|h{k03sU-Cpni1aD z0CQ4FAn!Vx;s#)Tbfca&zy7ws1obDP!pdhk(G9@vP&}-BmJ{6ojLA^-_W^UF8-VGS zdC!Fnaez6|4S_XuFnJ9f0dt}ofYGOKfXSEFu0(~E&vFt=0PAk5HS_??k7hK`;y1wJ zXZg{L294ARJuH5flUM?teJQUWVEw(Z*3kbKDHI%-e|ZcfDlC510B_7R(Be11;%5!? zVYK)Su=rVi?4n^fs|1UmHQ1*cNqGp+WJ$2XnM4~#Q#F`>Q7wU}V}UxWZp5vDHogHiUXWucFkLDgU(4TKOV(j#1utfs~-5ZWuytje5 zstqT}N^N)6%s_3lC2z-RI0HFeB1yy9&^#%d6 z=r#PF<;M_S(%!#Rd%w`D|4Z8Ym$3K3uD7<|B2WL9OpJQj7xEYT^bF;^G*ADfn))pN zblJ-R)N!E67^E_m`g~~kU&8Q<9zzw!x%df%!^mg2;fzq6Wx?>5&*vqh@h@TQ<#kj@ zhyP2p^I1-kNf0iUz4I(Tl<<;~`Im^yXRY)FjHMFeOu%?3A%Z4AP}Zuz;JI63Sx%M+ zl$=N&lZ;jaKT^!u;H9nuvc5cBrLk=&>jW3TY#NzOhq6v^0k9c_%>-%z&x%Q@vGunl41k>x}p&<-2Pf0MxEb@QLwbBpS~!yin^ z#I*&&%Wc3Bn(ZMBCg;cfz;}n`ti80!?UPto@ie{c9F|GPa;{dY;m z&Ds-u5W?&9|C20bd%LvH%5VW*p835oJZtyOygc`J#^C(#OyGt8k*ESL{;iZ}d6^?G zFa3{XDckP}x%@j(EX&IXd3oi3fY!?hd3p7B!Z+)XM|V^bq~7|1L?&oGcG1 zyYV-mJ>t>c{9Uq?Ihh-Rck6FZ<|J)M+3nv+gJm7}Xn*>f%Tnf~VF=#Oze8Cp?4WIR z=kKje?*47Oz)pEQ?)`nDl%4jy&Uj@H{&uaDoej2yWuUy`H1w*2$duQPHn?7O zvcdIA?|l=Ch6IvVT|OQ7oaG-8dc~m9D=asJzPk;yS3N9W@KsOn$#Rk`0_!MgCRt9V zMPQf3()nk8UiGq(`Kq@G=>s8Imx78wvhEnm6YCIpX!5Q+8G(8=z%0Y7fvV7DpLP)W z4>sB%Y=ohqc;z*Ww8NG5Nx@@pz%%QrPs=#dtFcBqj zdc_mbuO=$3b4Cbqxw?3T)rGuHfo}4gO5LZiBN$71HA7w3>w!fC4+fK7VQC?+vtTvl znMhvWk=OU;55D?=`ps5e&RHSwQnN45xyI{9;xhmCm0tWN;05HpQ0sKl*N0J}SBMe` z?h^9QpAnSzB9(;|5D3a~`gdJ+NpS3z*Oc+dh#l^-e zJyD$P+|m@(N_gQYc;wGQf3{RtA+NX!d3D5i9fcyL&{I3|a&lFmm+@kt=zkv-7o#VnylTbDHQ=Vu$a6lokzp1hO4aU^-_E<^U9 zpbsEul(lCgvVr7P=K^^$=+vkQK-q(R`HVU>jyIYKVCO3i`7n#h(l)1$0edAKfOrp$Xlu3uaaRvKgC1tLH43W*> zi)~y3+1bPWn~59Ou~XKY&<$WJ<_exp>&dsCG|NQy$gD?Jb;o==-JDEeuTvMgQYK#`d_UkpF zaZKo#FSKbVaKdpOqgY5z7h;Xcwjye@MM>i}OZ92o9gq$93fmC! z(Agy056w01=a9mrk?mdh)Ob*b!$jX)a3RuY3z5bYTL?BDW49kM-?H&2*!cmw#^Z#Y zFm+C1WMumRyGEM*Ml<`3`lBn^Udm46SsgLn(W}Pu7>(J}Jj>g7frIEGbn$X^8g(Z+ zdq#jSmbH;lt42hvvYn$?+ATWQVOnq!b3k;BLau{oR$wLoqv>yi>6h1AAseT++2s8F zl}4KTMqYQ(sMlR&`(d}ndnCs0gz$O*9@%~d&+9nDdEEiJd_OEUp$oxl{fQQNc2fG+ zol_IR=XDp;<#ks{m&JRxOyMif?wJYt>|vkl>z=97SyJ9B)989<+IyeWWG(M&rsQ=$ zGbOL%EhX)B|J2J0*Wb7m`hjW2e^8q7ADm|VhGZI_p=ril#aFN6y&mNfAvFdp%hm`0mA0y`K1KpIEBbQzCK5BYQnHlB~~Zk%RzedbBA4NHdUR zmObCA)XWGZE%3^|O-1Dw1{N$97Q|Zg^{h1EEzd+Gqh&AlNWV)>lCmX^ffB^InTb-k z)IlNWd?VgnwCfQCPPb930Bum+9pYvLbpKSl0^4FYAUSl?CS98OsT~Ko) z`+bv2U+Xj5tWwu`YHkTn5dm^wD`w?|OLADG%5$qqcfxj3rj|N;qtAIKhIe)ltJ5L0 z3*$m4cFRbR#~vLK&K*fowl^K4B$nl)U7iw=9u(LS46p3uU}QL#A_@3tD!_j7&TD$= zua8U1WIJ~v3H}MSFn*TKYntcRFwfaLgV_d^Kf}-Ctia^;+%Ld!Ewk zFm5cozGU>5Lm23LJZJ4Sw}@Y3i&#jmLxwzWU}kCW*(-n5_j9(~_U&#my}n61W;+V6 zZ_`|<$DO^;$(07XpQ+^?<9?UO_so@feIGkS+50`0`!!werZ}Z(;+4)#n!2Cu#l4z3 z=>&E#7I4!EzKOw_rf$ZdyA8~y9%fLRdWPkb>AA3}7q-W;4|z@B)H{@@z&=I`7dzWe z{%PuGF^4AG5@=%RrD*_AQ@pA)(e-W`WZVX$BSbQs?d&7|Ii9AW)>KW{{uP|z*33;K z!bUR^-eR^Ferx&$%4Q!6?59%F4R10xylD)ppI~j?G?q$?V>67WfC(z#c>6?-529(J z8I7h%_$sr#_+8Uv^kufh@7&SR#C*=CsaA_=O6Udhnx==aSo8vUO*5cO_L(m_l7X8h z3*0pEO1mZ|>o?I^Z~9)pFNyehn*6}_w0M2f95%yTR-bpFvgatp_J51HI6u+C0E#W-(0CH0jwOnQbmQ%|W z?ERJOfK_%oZ_{da)*9`sSoV>279;sh8p+ST;@aA#_1L4#zWT+GW8P2GMib6k@tQVs zq-@dQb1BEDDoE7lo&qV) zjwLE-E%rW4R@lCW!Xx|U7lIg=X|ljf)4{L_I>b-=Fq%aK@VHge5jN*h9jg*L^R%YP zZi2}cq7!Ue-dWUiifzuV-=;I#=C{2MygNyxDiXe$vBL*>W1JJro7R+w9n% zgf82;!CXA-raSDyyT(&Ddb9m(sHXeG!A5URoOg-Rn>d5L>7ev)bfdTD!Z)2lanSKi zXBz@ z_%!HqPM5D~JLHpHNe*p?=Kn)7v>lZH4~a2t2Y;F!V>vAP;&SX|c(gw5bB=do`I`~i zEKX2PxQ#@wliKk8#j;O#VNl z#I#NLv^K_Oe6&7jfeF$2gwHuWy|$gG+Io?@Hj8aw%j-~ZTK?~Y=A8bQw_}tu{xWFIZ=>~zpL6_duQ%KSeuF*W96#IZ z&3E?Yy}@2@j-T!IhI7Xo%pE!Xy^rY49Ma7N%unxn^CLfkd4T!pU2oNGx!S!ah*{L^sV77CH2cZDqa?kgT z?fJghA2QtzghVF+A0*2|?54vOlzpSO*yW7y+l;B7Z;oD8+GFtSa-18C(5}bXW+wzE z=Nn)DlZ2fz`qMOSXQ+dQ*mFkt`ZJXN#zN_DE~J_M7t>7tOKHaIGTK$SH zIitPr@0R>NdxsqVXA|GtrcN;OLiaP<=MLNFu8s@;KJ+*DNMj+9HxE*^@0#OM6Tzcd zerQ;q8uga2aA zH#w5w#t97Ty5|12{%;;2Yqy+`A;MN>B?WCZZV^Y%F{5LN#3(~wa60aPSI2xD1p%(aZgbL)jA}v{;E7OeGs#JN; z`Q95I6Fa_yH8_piHM9q7( z7yWZ}&2*xh;Y8>7=jxgdlFuRI!%K#m^^&0+|6pA+t!Fc=XO7&gQXODx0#WUW(!m|pW)|l_LsBTBHh4ef=2YKps1@gRTlT`C17)tr2nRfAN zC@#}bT!Eoj7FdVn!Jqy$>dlOYG+R8RnOXA9H`tIj*+GbUZ;$Q-pHS`%C~$! zu^o|9Dazgn$+(l}-H<3NPj#>534@!nI;ML^3=MeKJr}-JhdReUl=rrSv3=Xoa5|ZG zZ#!!@JBf2(K;!!s#y7`FoCB=8>G2jRlQ~Y}9AG^uqZeQs0^I=XO&G!>Iezlo+rHLB zZ~FnZ$)}4aU4Otfdqd^z0Mc3d_@s;8PM{tW0oxYv2W%3R znru4i&xK3zRFX_%ZKqS`8JFWamg5}#gU+bTT&OagAs-e72e-!kGX2h2GG2-ph3Rsyy^_;wHQPgy@XC;C7^5BDuR z+#KgbA7E?9Z7pDj11->e3~aweU^^$p^YY$quu1o=g-PCSg254z&1~r{AUP5Y0u0n- zirOkLdEFMWfx4ZYzJr5oCkNRsZJ47zkKN?4$9U`|kA399`{Ca5ez>=qq>^(is1~Yx zh&&EMM<*vw2SfXYYL0U*5JV{?Is&4TUyL9n67Ru16UZ6+?a|*P$%Os(SpM(hf6Y1V zG-5l#@!#yx#aq&^3*VmjZ?VPY+moNLZhm_z|DRLjXK~-2&j076Uvu1F5o~90<~KWH z49@;nB|4Y?&k4WgoDBvZ8vK0z|4IO-3;F*m4$q7E|8L5#Ip-W34UY0_V{b3z|9i5s z#LMOUe^1euj`DIP|KDSAhPPLvt(T$Vwfuii1jFn3{~q^i&Uxp@1lm=+@tdPD7B}<% zEnsmg+M1{kSpdJi9c>N7{*?c3_5#Sy(bo8wLU;22&0YYx8*RB9V{q@&P3Ut!|KC)f z2l@Yc`PZBa!3b(!cE5}JjV<}5e(yTu|6@#_cO9cIlA%y5TJF=|Hs4_q)W6R zrCpzQUGx7j{&UVnXI05+zw7p!i!qY!(YEB+OOI%qX${Uj^Zyvg>=kV@F@W^W|3g62 zC)yStW6<}nx=Kyo^~?VQ2FJVj{68fAnsezZD)j%&)fmx$=*NKF!05-z3W1*1F2)9?}L|dpYB72@%duI-eNQgnEMn5FRAk*@HH8ixs^yr897}|`#>IfBP=KlfT z)Vpuf0b|Nn)AiB52D$B zH7F)+PV_I5^+mqLcXRVUOZ4fwzZLcFM@xfvH_zt2ck|6OzFPpZnsXztTq1NKOm5E2 zFDwA+xac>rkk`A#(O1FXSQ33@-BcbYXv_Wz=H}hf{Qs$yNXq}8Nx$aY`pO5l{5Kn8 zl~@sN44Qdmv=ITfcdPRMDXBf+_HOm3jVN1_|4-UhYxDm}+UE9G)Li!)YYwQqKH3EtC&&8W@F!WGTBgCfW6}DcHIGN@lRxKp*QLGFd)aaxc!TRC)+o7gUm4M7 zK8f2#)~EjRZtU;VpW;5}I){d3-hFr0=G}Maz^tP;k-y`1?>lVw=5`8TXaa8czQcBJ zu767SogOL^oGXCIDdCt43Bqd>cwK<uzaCC7q)bWNZ2CRNhX!DjuDAC z<@R)JjTCmWm0?R~)vTq9#RpothLqf>SaT% zrMC^SmOdC-xqaLoZ|SQ&?u47AsakkgtR)`p=!BaA>u=52G61l6$7~2}U^r1Vwjfo@ z;Bd0O4zYkx%h0gU2pX3=EyKaW&mV0WVS$5|k%r0`Rm&*t2tP{QGMcK40iS_>nw&*HIRG0vgLBTjj%_frFBqN!Og-5OvoECq$h1u?iF+Rz|tb;bg!Vnv9F27F#5>{GiR@98w1TY|5PjdO!Hv z!i7-_7Dlipk|-SF-zar?j`2qz(bVHB9igq@m_IX^;Ux%AH&2 zy>cfFGAIWl=Q{cTAmv{T#EubwlLv`jI>2T*h?bnyuDT5buIE~?p36-PVCaDqYbuS( z=A;nrm*EyDE@@ z!ePYGiEXt{KY}ou*jn|Ml5^Mi^bF~>BBYnQ)~6ps`UI1&hY)i8XlN@>q_vI*y%P;Z z9U1CtMW`>=kA}ALbV4gaeYqQbxl=-Fv081$Yn=u)a{Z`iD`&h`o$>srXzNVUTP(13 zRw!QEPjjDgw>bX1==dFhHp{hgm!?$@u;nKEZ8eAOf$i&DFR!unNA16D&e}tG&!Zlg z<>ZwUTkArc_>uQTp+tQyh6=gcop^`7JhxHr z?Z$HldF~`njn;{*-Q>B4JomCK_9@R@K2L7Cv|8p;>p}87M4pF#F?+^w|St-O4w^)z{E>c8lFmORgq=XvG1#~({H(XBAiLPM+EdKvoW?hPhs z0I#r}t{Uz&_TP2(+YR&2Te)}As+QP^@PY*`ajRP5{r*s;C2oZ!&OPA6?ozaxWhVv< zo;1s?YL*WLlbyic$A$H?eBVJ;e%~>ifNH+yQsO<961j(ck}fv<-gh;UZq{S(yIW7a z*B=Xz-;ME>6z{o-@*bNg!e8&5$UPFv1i&WMd&&4my7BwIvPmV6es)*t`*ItrHn&h4f=+&{(%?$W==L6wlvftCJzK2)T>m`wdoCW{n^}Eti-$|WID{-N9^UKXA(oG+3xxMvJiOP%!xf)y z9_7QV<~q0E2NjcgIUzF0;?B!^TU5N)otNC}KHtSwAGN%>H-dpIZpVABFy1E_4$qgp zU(QBefhA<_O~0L1QqNUJvs&BfRv;4%yoNZ~SINEY<@3K^mzJ!Zupt#dFG2GCMqSkZ z-0^eg_G4bcY)eFPTI89WF2hQmdJsUK%p-cg-7*K>??{UmhR(@i!Pyl#EoFCuVIpO_ z)03pkIXo<7dk}fZz2C!$6-nv-OjFe?^!tNyuO$>Xl$oN>!*bOj-cvHACh|OzsWagF zqcX$d{g_$5_vf<>|3bEu=ROFU6G#lzyho@;UN56L^PIR5x|vq>y;;@w*Az!WMGRSR z4bwjO-6e+nZNJwdA4Oirpz|S$uJU`h%JOfmZedTwH~?3op>&tB2)`t1EAPSo~qvHL)`_Jg^#ANoNhp+!_Cue&$fedtfA0}N$gI6+Yn zh{^LJP#*@Pd-J^f#}C6WndJ2fDhn}8-2X7bSdK&k=ehZig7XccSCn!#sG zC{cAuFr2Z#k>YXYG<_J47Rhr0Pyo_d{V-8z^7?rL;KL-=&Gw}~ObHwNsT4B}8RB{I z?zi({I%MVb_saAZ{k#EQ*(?Og@&*f^&l?pe2gO%Fk~|T>k%u1Z z%^MwfTHvpCSDtGOhXI@q>)2-NL-9(x!F-Yr8@2JAAd>h4A2w0P&B7xOzgQJqQt*N4 zNFS1o|5i)|d1HglgJSfGKfo)_^P@%|cCZdR0rR6qA9hjhZor(V5qRz)Y%gH`75E?a zab)fnn4t2C{tpL%Iw?>M&2xxs4g)qhfPpT>$TcjI7mEbx4E^CK>5hSJYS1L0qjUY? zgwdZw4fFg9>pn0>^5L}MoKc+V{&&Zy{s%<$h3$FsCO=#VC+hpfFupP8{49_znFsv= zv5-6`ZX}>9ND9gGZlL>c6^?eEpR4!b8tZo5o z=nLuJHck$0HaWEEEpT~GYzc(j$+rje^t1HZ=#96*8_#oYr~_}NG_~1@uQttM%A4oQ zXJEe#Q;3lC*M4?lO5np_NE?D7c?*Iu2-qO%HW)BJh}1TOu%UqYL8P`}gbfGG2_iuW z21eRO0=6VDH3Ax?^U~75gaDuONn3*8%PZ%THb(f{#)spze1gp{ZR)b;Il(2=V-oeT zIizh0`)sP33n#b)T#b0hbGpf!p*T)(2};Z)KLk1QoZu2*4C}Wg8oj3L=Q+V8$oQW0 zKN!wzmEi=JfWv@C8v-7APH+h@=Igg1UteD5Yri_dCE#%GXv5r*=cm}UEuwsQ-FfSR zF#~4mg3Hr_A8kqaHuBa7IN}(!F|OZ+xW2sVOpxaUnZ#_j(F1Q=1sP6|3A(MOe47^9 z)~f!Sf_?|iI(Cu;NZK}#WMfFD+qO++W!rcMXq)~jOWx)n2m~HXXKJ$me%m(t&fB(= zH3KAV8X(E@gHvt0Lh7ou!TbxWJ(RmwZH<4Ee%n4OXC}Yx0Qnz8;3Ch-!2=`O{5G}u z&S6~WYc{{lZ2tD(TSS}F=C`TMckZhL&*N0iY<`>Gt02W1P|4dF@B!`_>UtLavdf2^ zBkVk2yMwj_9Rn)Bb2N?T@@b?c*?O z;*Yul$UElK&|H6nxfT}RP@Hbi%KKZB^W-_XPw4RNtjP{zvy=U}i;c=NyC3(+zFS@_;KSfU`*mfytl?d%c@p`t!S+Rj zBF~BXxY3F{iTrp3x-Ba^s2-9N;ql6yC{Iu>f8{x$9tE_k=iC#AW@BE_$9-1){prct znh5^nJ&+m%A*qiC(*%|;TgUC%3i+XMyp(nD5f9_!Nxpaf^kWLnz2$fE5s##anEcKj z$D<-9*YU97D

    JuMNkCQ_0jOfP`h??bh_YqH~Lq{)+@$ppT|6}aC`=VHa zwGk8)17JWgV$O;Q0|qc>G3T6f&gr+4XLn~4h`{+Kmn;GboNsiW_q<)*#qJEkx#zFh z?XIq>4%5~3bajs~rSbeA;XT6I;(APoQW#F{43g0U?B^OQ#>c|W2Np8q8Th$mUZxBwpfUGtI$|EtDoktq-e zf9C6$D)^?6x@B@e!?$s&@|0kKcX3tVeItn~4rYF+7wQW&KK_*@GJW6o6KS6sM8Wv9 zV1dsKs=$}O3Pol~F!L(`SNRo9S$~y^%;}jqof6rOHYq#Wlw$~WMDj?|YKFfWO{3(X z@c|8jELRR1->q6AEsxqMK07e2Ht~fRP5FxbSha9y8mBAO_%p{%1f#|01jH5)b}w4c z_eL}oswuFkh=8Pc5Ibm6R<%i5)wu6&EvgnfM@{4$iND1(5ygENf|#tD_-I}e9nFjT zFa*jgQNyKaI^``K&`CP@O*1%eCglY|gC^cJZlYb|c<}P9ra4@$ROAT}bEQr`@PHE8 z@-~s>jf-P|en6;c0W(;r7|5OCxVTj-K*YNpP0IE*EhW?_zC2h1w!KYcd*dqt>w~5h zEJWGfrd3kQ;KlV#>_#<_8x{8*`J)=JJ#HdrV7$zZLlWnNHvioB}lhRA-=2Zsr(LBq*BpTk+T=~1)mTN zo98An&v73>KzRyZYuZC>;^jg4>_{~!N2*CWQt@=OiQM;UI>7SDk&63*O^7#pRZWK} z&j$=pWq1p^iEy{LFW7|29ixhhCmC(=IL;zYX!N@JfC8drgWW_1JH9ojKU?pnGm6hy zSx4Vh_Ees+wkE>b#NP|DjkgC!8}Cv#kr|8!udr{r%*tI+<*#amf+ldaRns-CTBKD4 z=87A%@`?u?|0ceAqv;mO*%j2`Hp{s~dBNN1n;39wQh-~N1l&Yj9*9LsJm~i~u@BZn zK3IHjPz3v6P2_{ceMjuXVz-H{Pm{7fP0zW9UXTc1YzdLRWK9*s*7RDoXfU>wF6_n&&?9hZME^2A@c-yJ`c=~+r-h^>kIH1YQ{&9d>s!HN6}^Z6=inzPhI-YoZG z@++FNmCM&G@8XCDV^EseA8RImEPga2TlkyJxkfb!ZO$_)<#RMGTjR(4-P=5l_95cc zfwb{b$)La9JV7bhTtI??{(5tvQoM*r;z57CnQ^sd!qwtIf4x~o90>)L>1Zw{x#I6s zElbb_{q<%x6V1|01pW19HWSU#Oa%S)W;PSeWF`b}hS8ciP_}t44SA71kJJnL>&^3( z^U=IOIUmgn$@z!}KZ)18h?Er!$SD&KJ}=n3l=xi;tP7f#amOl1t$Bqq)XgjPLP2{m z&;_gHn|LYUIW)5^XeL`AM6FQ=r<>Q(`d0iW6fGW%rD*2aznNzL_?4hK(iDht8x^}v zG$Dw;n~C&lCQ?PbDa~7G$5lLO?x%3k=B=UYGGUu0Vyngf?fMA$+@T+r&q`yid{$8~ zc>G%CoC|T|S-zQOdGS|XX_rkId&usH=Uz>5*{83O|Mwe3@_B%`iTs16$d6wSObX3B zhd0YP{6^+YpaQ&i(oA!>_$xuO_{~gtN@S3%nLx7mtxO(O_k=1Zr||ggOdctHieHS= zRPIi&iO;Cnr&)n#&F6T4pO*vN=fRVFp1Ygn-0kz=DUavwW}3U>_XD#>^Hr9AP3d@@ zW!&I#$@|*Pa;g>%J==W(q?+Ytjm7g05AeHkfIke@;U3rFzT*FYhsi@y<kHsT9PcVL>F4Js&s*5*A{C}gD$>%dub?9?b4Wk#<8kzpmR>SC(Ejn1xzjnkh zia!p#8gFSfi$4iU=Bc<@O~uV0B89BMNBTmO;Pi>#z0a27{KZxhzdA*f-;(7#mrwfQ zC;iV3i~RUgzvPx2Ie!M=ftHbGwV->R84_Z+wD5jMORi{#_={kkM9KusXv%w;$s?g- zR9Cjpia!1-&Is%M3*`Q_{Q(R*k3O7Mh0R{_{+t zJmX|7rK&t{ZM4WKISn#MhoEJ?B9Y7U_{WS0@glY?5x%SGN$5 zv4!uWZrP|_#1{EIf`kYf8G!$&nKv{4EyO_FQtw~Ex9|$QMXkVFwzE*V4W95_pCvJs zf#8#bxUN3Hh4;Z*s#FzrGM8OEQsjArgb(A$aQg>|+>E-^jJzgqp*49T7~;_)7wCfK zfRe-e-z|rTbaaLd)taVr@sKlkVoWBFdi*H2$T2FHAADc<&_ava^JqE2y>XJNlo*@g zL(ESzA6kAV#s%}_T3pbaqrCCK9zW0JE+`!@vW!b4Lln8J))p-^YbQjghi~d_xvJI{ zE%Ig#L3^EE(nRpkQOgbHf0Gy#4(f4UXSdKgTQIoGLgjRw@CAKj`bfOBiHU=HoVP(* zXd6UudB|KIagRTt_a@;B4asm5%zWV?RG63EEwuDbOc|N=j{@POZD`?zcniTmg7zf~ zptX0RI5?lY=4!uDq;I7TQ-d@-;kT#>zeTRS6Voz7fQAmQy<61UyX7rzVOq1KMy+zC zpYRp0mW!I+R%UhuclfsCa03j!}iD=+w4X~8c@rBxBnDI`NYi`7ff zI+cnFxX1TWv`$kmMQe$g23zHYAPFDtLkxJa-%5-9L@-#Sm90!GS($_n@1ZuEqx312 zZ6@%ZfvJ_+Om5AHCz+hYvS6Fd=QdlQ%F9dh1gTv2CwyoR>9&O1g;xKGU{FXaBSEdS z`cJG3wi%n8R)qw$@-9s4YHqVKZZonh331%W-)8*To7Q#QX7bcPVs)?%8@LW?2c~tS zRw(;|;GRTTCg`7J@#GatcFFfcKVAGaGH2D>668BH+4bZk_D@JWO zie?nkjwC%3!B~|xIiCwgc_h;ZRteqPcr(3ijN+3o^$LPjZDUp5I1-sS7}R4t>p>2K zV8>ClZG}>gLj&(18#=j_7u?B9h}f0%yb~~PnLlhANBr-W5jn!@i40{+|M@48j%x9n zQbm)#*60sL1MAfPl#w`^an>>g$oL-1zz@`t5~dPQwW-lIoqJ>kwS-8Xqyk>r_#&&e zDQd*F6)WS^HdVPxZPUnjCaMFYzKx8M;4zzd@jgUbDa)9}Jfw@0@F8rZmohMI(v3-+ z$Ur}ow}^XhvEsjk`7LER%d|qF%gI3vz`d9_71VJ#^ID;Jt)#L-gxr1*&(&&%Z!06- ziNQBe4e&fOlq|6vN}L@kL!|pYwJ3W>?(5Qu^!zXdd_gUw-Wt}LHail*pq93EoVT9x zd_gT#ZUg5j)7Q39*6MO*L=ep;y;L^AX4N8F^fLLkLa&t1t$MY5Zev#4^=tC~4uvVS z@fY9Ps%Yyh5%^?d+fF(EUd_}|h}%W6)d_LrXkZCKI_xIJ#8cWtak;!dk8NTb*+fCI zU)JG9@criPjW*ie5HyE)gdCQ%>61lrE#Ag(Set^w+Kx#YU+@Yss1B)0D%;m~Lelu4 zFQQ@F*G9H4aVJwB8nFb2iH9_O2_MuYmRoJ?Q?*H->RzTERNy=-u6(LC_Nm&WPnEcz zkwLUqSVyvXiD0lw+cnO+PI>;DqeMBje{IVCwcS$7;I`XTN0EI;Ew|e4Qqf0&qh} zR__&acug;A!gpwi_({7bo^MHX5SD6V^Vde^FA;>L+CH!>GJlC6EYhw9&Jj8DrD_o*zr5#H6u5~9Gc6Qp8U1^u$ zW{IzvE)Xbg`)uYjNAO8zWtx}r*zmN^RWkUbbo+cF^}$OdN@8l_xsdX*GbV%b7O5Si zc4dCrmry{GNM`fXzD$|Sc5-c!IRVSn&WKq%A!edT8Mnw9Y7y~Ye*7UhG9!*!k>~Sv zn$N}G4NSX<%a*I|vU{lotf2+V^qZ ze#-NKQ(_`*=SjbvCjI32AkRZwjsUcz@1PNtV*}7m1|aDJoha`Zm#d~c0Xq2`_c-UB z5P5?1q^!$etVu2r#8MzRaX_KrR+U6FDLubR_@bS+Q`(jNXg@<>a?%(4Bb%C6A;~Gh zzCX(yM|MT1$M9VH1&#V%!~?0S1hq+~&msBK2LU@t-+?4*ql?@Qmz1E(vK>T-(4PXR zii1xf4QM|YUK(gPe--_|lY`-`eQR+E=I{#FOl$c(93Vzb@7HK}ex z)4Wtn-g0Hw9=EgW+5Sc=6wHrm#sgQLkiq(x6|9*PGk5DY@vAZsjO7xtDgLgN@8OQ%Fy+-ihguDEFqYX-&bp~pK;?j~k^6)lo0uY`%{=T#_~+qk{9Grz4|w;fd1LvFN(qdq`JP7_G_gwh z4o}fo;jfK!sMv~*bD?X3CLJ9`@eLh(qf5sH8m38KbQBFew&5MhhIc3%ek|CwSBa^h zy{4*io2w)@>qPPm*7GLmnXJyl%n*MzgdJoE#ov28tT^VagKu-`c*s-0BW}~j%=3xl zc|0?#6O(65{9N&TA!$zdw)BYTB`f_(5xrI@M#mdnC@HevYE?p6A66vN-pLL;l}7l~ zOZ1)@eNc=(^2`27TJ6(=q%vUI@kJ4TwW~?mk1SiZ;Exe>t7B52MrjODWM)&qy?EwW zf;j0zjzro>Y1MqFkw_awq4P-}U?kFVbxOOC8P_5$j{@S8frzRfW2pMcOTG`1iB9@4 zL2CPh(a;}-mS855C!5#gQ<@&}f1-MceoWE@awGmvF-5uL<&4nGt4r!ps2bt6zxpf zlo5Yt5sRb`93mQaCx4JTDgH_iGU)?{h=%vee#}!ea*Hf^J2O^^MwyZy3t848DeF#< zW--@ciQ=;~B%aB;fp_o+@0dD*%US>{+5$$@st3ec*?`5;<-V-V?l7~2b-54(!7XxDgPq(2iun)%D()No~K~6 zO+=uIhm4I*`o2M!8403~{WpLM;vGh*RH{DUQ-)j@stY7E`6{5uF`9>xfs;)?s_Cm!$u}9>Etc0m*ckjEW03TP8d2U! z?zB@PPyAJe;ay-+^+Q6AB2yWLALqDZ&(nZOzRwIm;&*}hT~z$!iPfa51+aHm1X+zRk7~OBA%(dKxI1R7G8cuXSS5vnIkJBE<*N!Ae|$b z!6-#DTCwlU)e1@1&OFIie7j2J_mYS*q)-XkXd<`a#0O3X6lyBAqBXyXD+=Z@CfT`=@+Jme zt4?W9MES*v_i|QoiB>3OF*ez`j8vW!>$w%RPc7?PDUm>37JgI3YKGJvXhX>)a>AhIl{Usuc7l8>6-F=B9FR+4MeB% z7CPBm=wxr9QyPVo?}i+zz!|l2?o>vh^PH@+h>l8IZX!C*!qwhW=S96tR{oMQf1Q_U z<(!(AnLTcd<_}`TenaOqp}iowuIzQ^4P~c0Z_;8owIHwfl}t7Y*JqU6lwT~62+4uw^P0VDC*`X zTwY;kos6AzKBtiujDPBUp{aR|5VDjn{)yPUVm7ZSZ)HYB%6r3kZ4U-iF*A# z(?^AXG z+qoeyb^k16fklcJTY#T(TT1YntjzzCg%StGl^Yf%8XhiZUt|IMLrH%)O!5MBgWpaMw9i6WrBWwBz;m>f=cq{%}?6ANnOq4k;(bO75GYdY0O6s+AcOnT_Y$jc(ko6Tb1j| zp}ZiZ(>0RwMv1)qimqJhyp%ZFmR{J1*6GS)qS1cCwRLT=r zOrUbpI8)iGuIaLJ!(BF;>-aSU1|rWOYXp=d&a2I(zf`vrL#8cY6 z)YE{g(KT1mETC=?G;H6x=4)bnrk(|LS;!Sxq-f=trPT8vKWV50qos;w8S`CEi?J7( z`G;h!Fv_G%6;$4}N-aXWR;xv5SD9K7cdem0Ly)hf=(Uspa=38``RU@%Qg*E;#3&U2 zbhN%?|$NY*ZH^WBPek9>VTW%`9^r1K-5 z`xMQ7N#jFmsG-;%bxC{VyQ_xsc$=h)?2-6;L@Sg}X@Y#QJe0>KsEbTcO;+YuALSkA z4pb(n>m=O?B9hqzb)BXmCH|i=75lSldC^6|5H%x$Ep&lvtzfS%344j6(iGJU#%=~M znwp%z8nKIQQk-Q!pGL5IB4vyY>cM8GOPQT6X?AMHWMoi5HalHp zc4~ZqJ2W)d>~xXYsR?2-U2j-EIW;vtOoqz6YH`~=LTcBY ztre1%-8s5=)=cuh+}$Gyj;=``5~B&CJ6Elvy7LHnt_j{D+&x-NMcoQ5>&~YMqsAAv zBg&7JJky84B+v28a{}=cGzGLcs}a}vD7__3p44c|Rk zwzv-v5pC8zg%ZV6#RzmyCE6MvAVPU;iMq)W)dT^N?&-{Y2Ictx5h^#6^JYN0w$Po*60vg{l#eI_c6>ectr%Ry#G_3uS2^ypbs_ z3J;FbNzV$wD4lMG<+_z))xAWvr4P*rF!doCnRHTB+~*TeRqhN4mB|$okL8-`xD{0Y znk9oO%uCZ6UkDCWcO@5ErFbx~*DX=Nnq`@}je1+5ecc8|F?Db%i8 z?(5g2PmamA{}N9um*wmcjQ;6nJJzl2Shw`CYBprX1GU2q*6XIyi(RDdTP*rE>v@Ot ztSJu!0(9T!vJX_*hg^msx9-OZpy__1>}5CKDBAsuc|MmseJ9hX&M%o*?eTZNmNX)` zDE-n95gVXxGC<<*J6dar=X;u}#q$HfnKeFOMx=ct8rA5tUo>(`-0!am z+*{Nm_xo!C_ZIcY{r;Mr!Fi--g6fMN6>8Q~NaH~WFOpN=uHXh+k1`8AlT6uuJ(C^r zvI*j;A@!f5#(IiPu^A=Or`aOCX2|#-fw0vK82}{G_hzam=Ri4oQGtDfG6qckkTE<| z;J}~)e05??8glWk5jes~N)xVbjSqXF%J2?Q&vdGjFY-pb3_WDR#Dk*R^x+RMBX;J%1T2n@}=6L zm&$ULe)puTTLLSrf0Vrrk{&k=r&j%p;|hqRC^_JexJ4-$Wm zOMX{^GTD&yoK!T@532DYE+q3b>ve|o2_h~%XE{$gNI}G~QJk+SEeYgwJGAh?Ys9cQ?ccDCXk9x>Gs(FwxiIm47 z0zEI3jF&9q70D3Uuhr6}hr$AC9;R2Ga#+6QY4@F4&h)&OR_9Ts4pet`gL;%3)Fa&> zk^EWKVK71?=SEsM`hs6FD@u{>yU8b$P6d3?FPRLH?u&qt=>q|t0U}>0j7*oashCU! ziZbAPWavp+`t;NgQ}KX=8efb|Mzr9Xj+6N(D_c0}!nB5jzz_w?|MO2)&D#ODm3i~@ zA7J4-78O+N{ZJYUg+C0e2mJ~Qd70Aw-@|4MMKsV@ABPf6jrD0z#(+IbLoY+O!)Kj{ILaYUKYKNu=2W?IrPv zU!&AZ`YTtF@+RRg-Us|OTD>g4#we`jS3a4R+MFN{iNp%A;}s9yEd0e`^1lkydh%DH zGE2XTNV{6!<;0n;5{+6rD#)CD-d~dy^C_%Q2~D^{W-&9L%FL%p=DCAfSiXINcV5Q8 zi8uHcyS%^Ddg0eh<~^Hv&yu_bpQ4hyNYOFr3tDRP12e-f3A76SrAo)S%x@m?uN@nt zWgqvKT1Wg^$T}>dGPSNPXZ(xS3^}i%+sF3Wlv+6)aB+UO{qJfeXJRa8m1wlA+A= ztcU_meqEGrzb{ILWL)Cmd0Fw3SV`>~-}FU{c$xd_nj*T+Wo~G5g<@+nuazLZsgbhl z0%^C&1F7|ey$HIydZ9?$Fi6lnuCZFz{(8WI9!f#J*cQRykrd=Rhb7XUNI{zd!koJptoZEzzgd`Kr#gcy@*vwn+Iy& z*IaE{ON+m}sr~Dntabn%`So5_I{=UT`Y5X%fJc6Pmem&UNVe~iwqIXZ5UqOhD}HBb zq7%e(gu3PRceZ5YJNhK@a+-BO-Fz zr)gwwX67XEW3)1gYUB$kQ}_u z=6A7LJN~Ap?JB?Tvv&gfwZtdC~b>EjoX%Q(M%(*BjMxP;KBrR!(@5 z5Wi8*IyWD(0hTjJ#yx|A4uCvm8yLZNRwX+ zs`Uk*2-*8}QrvgqN2DFlg?_b<2Zn%ZOkO+umTQM6c>~{7R+)#n7mlck9yJSP#g1_c z^Y@m2%Wnk<0Vfn02MPQ>WvKtBsj)@&8S0=~A1ETy&Pttpc!)?lN9|PmJW$8;^wE-9 zAM_#UF3?9yYJDHPCLs)z{Z>HPZwZvuz6uUZxu6iVSCxKp^QAT&QzD({-`Bb7Hv~;> z8U*=&*-Vyv~!|w;{0%VV1w;4O3t^z~Y34 zEXqIl=KEk%CS6#XZ^OV6-^LH65vdwHk<-hVPA_3P-_ijhavJHK!i`l-dA^f40#WXj zF%9BLHyV5sCvp61&|cmU>ZJ`KK{G=u5=0X-8i}--^uB$Y6g*`iKl;!zp3X>csk3MD&*;q>z7 z8G0GZ>0PGDm$Y{|Dfvx=m89!T4Z>ElS6R(o-Zbi6O{>^%gE5GK0W}N;@l9Y50p=Wk z(5pfXde_q4z_-B|M7mxx2dTD>TXnr^Ee=%ZEtjedh9m}5n>}2s%^4~~w5B*jlh&D9 zb0bULq@;3)L+=(Tb?#71s85DL1-=a#QX=RV4994pPaW!?3RXuM|6Ycqdbdk;hKwx{ z+Pp z!0~eou8|>q!83hld^d9AgJEpXeK{MZc zzI~=4L_7HW`%F4Dkk84_WQg>gLpGc!;aAn?*vrBLRTIv=g5KnEtoJx;s+O3&CuJ88 z_T?+dmmrNqc!R%PM*OeL@d+lP!J!TVlJ^faK&XK5%Kx3Kpc(9;OQzI?hlc5@!5(_L zKrptUmxGjgRghBe8QB2Cc)#k^#YHoi%M0AJAU(B>ya7GRR4?r zmt;XRPhnz{L0zNl6lOfW5u5u=w3i$lxOom7gp4I5(K)PNPNY^V5vVMD<@%gBU z9&r;rR@Hw-)fdSeT-W_T+lsNR88R18NFZZes6yD*DZL>nbgZ*iGzJZep)=6TiI~ zs&j=dZ-+`JCEpE|A<~D56ZrNa*re=-_L3j^?c-3qNXXy~{(%YS^KbrXnkwnze33AT38L6=~Ul?&)JByH6q6eYsRll;@rNzR@(m z>T&|_VBZ+(7eS-|>pr>LUl+XLqHjFYOpx8)SHOH3sO~G0e0?V(h~GptCi)am-8We) z6tcx_7d&gpvC+pKULSdQqRdp~U-j{~HTvWioazQUw*#|S-Dn>-Dn6anRD1Y+GZnDc zH%sA2eY5F%Z*{`+O;4Pn%p9XkiY_H=uFmKFioChx-qrbBUy(MCki9ye*DKQI>y+l( zh!-z+-vU-_IrOd2vIMQzlOI^+ z5TBLY)@n<>Z?&ZHed$=dYJKd&^(hywZ!Pm%CnCY>e6Dfk_5bIeI&t4=U>}o*aE3;_ z7lUqrNNL*jF|2$_knMnUlWjR8(9z;h%% zLEZXHw-O(=-F+&uzmJV+e-=%Mb%R}YX*m8tU3s8w`uQHE{%mTSjlsMe)foLFDQ{Dz zyM^rjQL4TB6}H@;r@FbH;pF}?s&4)HG{gEnvO`4~PVOf-xo$Z3y>77kJ}|Rx4eH3& zxu2|a-L{~P1*~JC$P;plSV!JP?w`auP9`0<2eu6Q**5np+uYA53Ho`Dw!cKpEdA59 zLdklD8l3%f?NZ&2poX)!6=#!%0uM-!{LGxHCfETaqHZuYFwk|un>eiCtIF`31XMOu6m%^2JCcJ zmV$9IgGv}`flvgNFtWICtm{q;F0M9|Glgj(adHrm4CL~ER8Pkmlm^(1CgnLzcd^;@`QYPge|2CS+b5 zN@iA2H$mnLCXhO-PcZ#KcKN??O9vJVuNt*S`mDvjlckSc{5x4X>R@;>A@jz6tAV%x z-HyE8jbmPGT#-ZnIRtJCfeiKP^$ge9)nMJ4D-IEKa%5D z?N4qmhY}i8jmAeB#PM_clPn$m@b6^lK#1YVgv`N*LWZem`UVa^vp*~PeyEE6NtOnK z{M`Pm=*OYB{YjP%ark#`zEdIpGCsZxCG*c3_|A%CnEgTaJ$-1zuiBqvBZdpVlOW<+}ohO362 zKfe1e`S`c@WiWm<47cL{R)fKK)(|xi)9&|a|Bc&VfNKbDf|-co__J~U?D)Ze*Dw{G z`QNzB8j9@C9!=jI^6%qg&VS?PJ57@5(x6b$9%($wFZ0j#NJCryPBwoi)&At>J1H`p zY6U{KAOzOWcv?T^yF~MehRnz z?!&4m?=qLWLV2r$JmprSpt(kQWx>4bTH_+gy%dweRbK z36^`!Jl{}WMKJFz=g}tJcOR}q?IJ-r@%%t}+k)jja=A~G zw>^_b6k7eU~ zbq>BCABpcLM&bL(Tzo&3hwrCH($m`>mc?(J{kR>dA76?3300^s*opeWU8pbGjrxguP(Nue>L>3*{gnNvFFt_! zsRvO%?GWlq4x@hh5!BB(iu#$yP(Q00^|Ozoe$ENhm!3rZ+*7EZcN+Ec&!B$6S=28) zhx$e5QNQ9U>Q~=D{f2v}FTaoaO%GAO`4Q^3K1KbG7pSj%iTb_oQNQm4>i2&{{i#qM z>Q9G8qy9{24C>E@@=<>-G#2&eL*r0?DO7;^%b`NlUkMeV{%U9<>aT?+q5ei_3hHl$ zicx<%G!6AnL-SDoEHoeW&qE7P|01*y^{+yUQU5Nq9QE%*D^UL-v=a3nL#t5#DYP2( zpF?G+{}Nh*`mdq2Xvhk!L&J#BdNgE*HlQIVRE~y`p^a!5721S`(V+@7j0tT;Lw;x* z8pejUqhVZV2O7qQD$y_@RE36u&`vZIhIXN$D6|_5lR|sZFeS7f4O2r0(J(!91P!x7 z)o7R#I)R4L&`C7R4V^;6ywGVh%nzMG!-CLRG%O6AL&Kubc{D5zT|mQ<&_y&X4P8RR zve0ETEDv2l!-~*VG^`2TLc^xeLo{p-Jwn5l&|@@Igr1;bYv?H&szR^OuqX5m4f{eL z(6B%B5e)}IpU`kH^cf9@LSN8uIP?__N3<+79Mwjk;h2_SXgHybLc>Wd z7Y(PhJT#oq#-QP>mXC&W+E_H4*T$jYp*9;0pS3bHe9_jRF-I#$<4A2I8b@iH(3q=j zMq{3~1&yP%3N((@wxdxT@FdC<8N6xF2%rB6lUZG9RV@8~6HysJ+~<2`)_8ei*6 z@K?6J0e_9sH{q|*dIkO(uUF!)iTWP=HA&x#zb5PZ@YfW5KmID#58$t<`a%3PO+SRc zO7z3{Yr1{}f6dU3B1b=lk$N>o>Bo_)pFo~|5~KA~7^9y?zJ3N{^|KhKpTl_lJSOND zP@rE#p?(QP`ejViuV9jX6_fRAn4(`tv3>(n^_!Tc-$IFg8`JeWn4#arO#L2a>Gv^P ze}FmqLzL=|Fjs$!dHNH~*Pmj6{tS!s7g(&n#8Uk=mg#S>LVt&q`g^R>KVY@~5o`3% zSgU`*I{how8(G+3j6k`Ojg3YQHW?$a#mGg4k%z6uXlygaV7rlz9mZHx8sku9jK@x6 z0(Kb%*liSIk5PoZ#zgEhCSkuZ83&DG95SZjurUosj1n9*rsKFV3nz@(IBCqmDWeo; zjrq7>EW$-&F)kTPaM@UjE5v7-M zfCol79vU0*$k>F(#%4S*w&1BzfoH~6JU6!Cg|QtkjU9MpRN}Q!g*V1dyft>=ov|D5 zjXn5a?8Qf8A3hoT@!2?lFUCQ9H4Y(^WgJFmgmDC+Y~v_GImR)BMjF)!jWUiSlxv(o zD9<>F&}icnLSu~62<01R5E^ToMQEIH4x#bJd4why7Z55iE+SNDTtcYGxQx(5;|fBP zjH?JuHm)Hw#kh`8v2g>Tsm4u&rWv;oDlu*&G~Kv^&kteRBgs56p6e9-12wdSq@w=&`vOp(o}Rgr1re z2t7BqA@tJRfzT_n5~0^-6+&;!od~@(cOmr7+>OwCa}Pov%)JPGH1{F&$=r|7XY&9; zU(ACDeKik3%Q6o`%QlZf8)Y7cmS>)XHr6}~ZJc=y+640gv;y-Yv_kU|w29^wXkxtt zt=PN)ZJK!t+H~^{v>E1IXmiYm(B_(tq0Kj+LR(-ygSOCo4sDV70@`BpCA1~xD`-p2 z*U*-kZ=fwV-$GkqzJs>Xd=G7v`2pH$^CPq}^Aof+=4WVY%`ec_nO~u;x3ZvZutq@J zXyriLWQ~Nj*%}3Hi7a7b}Jv+4r?s5N^2anDr-Enoz?_syQ~6e zyRAZKd#oa8d##Dk_F0pl?YAaFJ77(LR&C9McG8*y?UYpt?X)!)+9hiVw9D2~XjiOd z(5_m`pIt)GAItqQHRSiAQIte}BIs<*YbsqWz>jLxw>mu|*>k{-L>oW97 z)>Y_Jtn1LHS~sDWShu0iuakg1+CL4E=yT1^Pj|82TZ5D)htlH0Vd{ z66iClhaGoV-7Goc^1XF)${&w+l*E`@&Do(uhqJrDX>dp`7Y_5$eV?S;@U*o&ZF zv=>9aWG{h!*-I|MH|$l=Z`!M&-?Gb~-?rC4zhke3e%D?H{hqxZ z`h9x?^apl1^oRCF=#T78&>!2Ip+B`Npg*&>LVs>=gZ{$a4*jLQ1Ntkw68dYq3i=y+ zC-k@WF6i&<-O%6Ld!T=?_d@?#$5X`jCuAG81wC?Fc#X+ zVJxy=z*u6xg0akg17o@U7RCzu9gLOsdl;+i4=`5SA7PZ)pJ1%9Kf_pSe}S>i{t9Eg zlLcdgGXh4rlMQ2|lLKS3GYZBQCl^MAlLup~GaAM=XAF$(PCkqs&R7_g&NvuV&UhF* zoe3~@IR!9wJB2XzI7Kk_Iul{+b0)#q?@Weqz?lN$kTVs=VP_hQBTfm7qt0{~$DA23 zs-2lIjytnpoN#8tIO)uRamp!$aoU*+QdEl)<>|tbuXISqtN?vkt~RXFZJj z&IT9{oN^csosBRaIh$ZSb~eL!;%tHO%-IU#xw8$%3uilwm(C6tubfI4ubnCwZ=9Vl z-a5Nrym$7%_~7h?@zL1_ZZo{1J+<`g6xeIfqa}VY$=RVBY&I6cpoQE(=okuX|I*(z_bDqGQ?>vRM zz2Z0OoGD5au4Y2&}OH&RqcW zyt@$Q1$Pn5i|%5Wm)s>VFS|=&UU8Sfyy`B8dCgq`^SZkd<_&ih%$x3Nn77i)VcvDu!Mx|Lhxx!QhxyRm2=kG<3Fc#WGt4LM7MM@n3YgE_tuUXv+hD$Mx5Iqp zR>FMa?u7Zy-3{}-y9ee6cQ4G3?mn2G-2E^=y9Z!?aSy`$>K=lX| zxo==Cci+NV;l6{l%KZRqwfhlPnfnRW8uv4-weA;K>)fxf)`zoTZ3vHmRUXcUwK1Fn zYg2e6tj*z3u(pJAVO50lU~LVLhP5p`2G;g)KCB(#v9Kz`<6u>V$HUqgo&alCxB%Af za3QQc;UZXj!xLfc3r~V|AUp-u!EiCGBjFNQN5j)$9ShHZRUMuQ>v(t;tP|ncuug{O zz&aH!g>^bS7uK2ZJXmML^I@F}FMxGEyb#uf@FG|j!;4{E3NL|mIlL6smGClHH^Zx8 z-3ph%x*c8v>rQwrth?cLus@#^toPwPus($M!ulBA2kTRK zKdjH;1F*h?55mq0ABLSBJ_2qzrbD>{tA12Bn$S2 z$Ozcwk!;u-BRQ})MMlEj92o_BOC%R|MI;aQ*2rks+ahCNZ;#}|-VqrKyD~Bkc2#6N z?46Mbuy;iYVDF9;!rl`pg1t8~5%#G_DeTjcxv9rm z7+DPaQe+A2%aNt9uSAx?z8YB$`&wiL?CX)0ux~_G!M+(;4f|H44EF8F8rXLtYhmAw ztb=_ovL5#R$OhOCBIU3jMmEBJ6xjs(abz>>Cy_0%pGGQRKZ|UI{XDV__KV1N*e@eH zV84n~!hRj8g8e446ZYH4F4*rPyJ5eN?1B9uvKRKp$UfMgBKu)~jvRpfB_g`#Yvd4| ztjJ+FBO*uOWJiv|$%!0;Gcr;QXH?`koZQF>IC+tia7IT?!5I@d4JSWx2F}>XSvcb& z=irQwoQE?Zasf_3t67PvFdtJcY9$@(j+x$a6T0A}`=9j=Y4kHu43| zy2w{J>!Vq4Hbh6jDUW8u*%-}%vne_f&gSSSINPK7aCSut;OveT!r2oog0nX|5zfBo zBslw{li?hRmcTg?oek$?bPk-;(YbKWMCZXd8=Vj5Tyz1Pi_yh!u0)r?xgK2!=T@`~ z&YkF5ICrD#;M|L@hjTx=0nUSHIh=>ljc}etx59ZI-3I4nbO)SQ(MmY4qg8O;M0dh@ z7u^l#eRL0;PtpBwK1UD0`5HY0H!FG=?uh6SxY^O8aC4%^;Es$|!yOep4mU4)67J~e zDY#>zr{U&D&%hlUJqveS^c>vr(erR8L@&TCh+c$S7`+6yD0&(0#OM{clcHDQPL5uK zJ0*G@ZgKPm+-cEUaHmJ_z?~7j3wLJp9^Bc{2XN;^AHpq-K7uM*>E>{IdC_5BjIlLM#0_U<-)D-^5AauM#J6aje)z}%ZIze8w`jAv#4CY& z)SC|Xm^TA%wKo&)ac>sf6W(mNC%rjvPkE(qPkVFWp7G|vJ?qVfd(K+`_q?|d?geiV z+>72~xR<;oa4&mH;a>5U!M*A&hkMOi0r$GM67CIe72KQNYPh$&GPt+BHE{2EYvJDY z*1^5!t%rNx+W_~0R}S}~w-N3mZxh_d-e$N@ye)8_dKGY=d0XK=_qM@(;cbWe(%S*| zl~)P(wO0lAjkgo-TW=TKciwKe@4Y>6KX`lLe)RUi{p9V3``J4H_ltKB?pN;+!dc#7 zghzNs5YG0FBAnwLLwKZDjqoV%IKsK!354^!lL(LYP9Z$TJB@I@cLw3H-dTjldFK!w z@0~|@f_DMo0`DTih2ABEi@eJSPxP)JJjuI?@MP~A!c)BK2p4-d5T5GYM0lEa3*i#) zHp0`rI|$G4?jk(XyNB>B?>@q_y$1--^PV6)-+PMi0`D2Zi@X;IFZNy{yu^Ej@KWzJ z!ppoj2ru{EBD}(Thww`8J;JNKj|i7}pAcT-eMWe#_XXi~-dBXz$FdOK5F3GTc`O^@ zjjN_dGZ3zh%|!TkY!<>NV{;Hb z6)Q#fbZjodXJYdZJ{y~l@Pk-6!VhB`5q=Weg7DK=1;Wo_TM>R9+lKIq*mi_p#&#h5 zDprZ`>sS@SZ(=(UejD3`@VnStgNS6s4kMBsJBrB2ST!QKu@i`l zj-5gzKXwL@aj|oVjE|j1WJ2r$A_cLFh!n;yAyO2(jL5{;6+|Y*t|Bryb`6m!vFnHw z$8I1pHFgt`X|Y>~l*Dc$GB@@Zk$JHvh|G^YMPy;@IUyCKMns;*D-d}R-;T(ucqJll;yV#}8{dV< zhxlGZKF0SU@;QD0kuP!4reEWS5Y37oMs!5{2%;n7)rgLYA4ha_{1l>N;-?WE7e9yS z`1pB5C&VuxS`fd8Xkq*kqDAq`h)#@OL3C35Dx#C)*ASf&zm8~e{05@a;gXpHjNJKX$Mj^T-k&9?WA`j86iP4B|ON>Eu zdmgl=+(q>M6V@QAbLHq644upRfyh9tVZ;9Vhy5q6YCJYmspSJ{lo@D zA0)~VeVEvY=%d6YL?0(MBl;w<1<|L83PhhJwj%mGu?^7|iS3BKOzc4PRiYBnH;J8y zzD?{x^j%^%qVE%X5dDzYi|EJ1K14qy_9OZ^aR6Rc;xN1si6ii`6G!3YB#yxwnW%<0 zDsdcMZsG*Iyu?X(qZ6m#Zor$GxCw7s;ugG;#BF%f6L;XvNZf@tGjR{zti*kIvl9>C%}G3jSDJVP zZ*Jl-ym^Ty@a89;!dsAd25({FIlM)Q7w}dkKEPX@_z15o@d@6V#AkSG6JOx1OMHd5 zKA8n?LvjSX@?XQuR1vs-ihRFcqfx{;GIgA!aJRu3-3&F9=x;3`S8vq7r;B8TnO(% zauK|X$;I$4C6~avoLma;N^%*ztI6f?t|eE%yPjML?{0D(ynD&@@E#<~;XO)jg7-MN z8QzoR7I;sS74V)Vx59g#+y?JuatFLu$x3*ylU4BEBzMAlo7@HOOY$JRugOD*WhDc1fM=Upa0(E@)crBldln5mVATQ^5k2@RwUmcwmSI{ zv9jbR#MUG~BepjA1+jI>uZXQrWg)g9H3G5nR5oH8Q#pujN{vKpb7~Y~TT;1*RiyF| z+nO4U*tXOd#CD{{B379ihgel=JYqXj6A;^#DnM*^st~a~sUpPorY0h`FEt6V{i(@_ z9Y{?<>|m-Gu|uh;h#gK%L+nVZ1hJ#3>4+Um{eQ-;v#o7riEa`g3F*D}MsMl8_d-Yy zArLx*8agDvW4TDK7#G=A{_4z=yOK3lHTV6NoHH}KT1iG{X3G(by-gg&SWDs<#@;24 zW9)t61jarjPGan1;uOX{B~D}PbK(rfz9!CLtTk~SW8V@NFxHl+z}WXhCC1tlRT%3? zRAcN%q6TA~iCT1y@^JQ^(C4x)}OeDv4O-Tj149(W9(<*3dV*K zS1~r6xQ4OO#0`v%C2nGDDsc~EGl>TnTS~mZm0gMNxNB(78>rf{V)F^wx#i5Xm}PR!y;O=1pLY7_IgQkPi3 zmHNbQTxm%B!Ij3uBCa$gmT=`_Vi{L1B^j<?a3-!=}1=N%8z6Xu5>1AaiuF+ zhb!I5dR*yAHsDHcvJqGMl1;eMpS*}G1IbIcGMK!KD?`bvxH6o)hAX4V8@Tc-c^g+I zl6P=rGI2V2{B~+H#_y!cF@86-1>^TpTQPn=wGHDBQrj{9Ftr2Yk5W4^ z{y4P@<4;n%G5$2Q2jkCDdolhzwGZPjQu{I9oH~N>x2dBTZ%G})_`B3`jDJX-#CU7! zJjTDJE?~SZRe|yEsY;ChNY!AxGgXW6u2dbyyHoWT?@2Xayf@W|@xD|O#`{wjF+PyG zgz>@DWsLt!UBUQJ>MF)ZQr9s)n!17UvD8hBkEd>7{8#EW#wSvDFg}^Oi}9({J&aGM z?qhr=^#J3usfQR}NIk*$@6=O_|4BW=_+sig#+OnrFut66iK{I23Rl;qUgPTe)Eivg zkZQ)&lGIyVElsuHYFX+Xu5M0!#MScDCtTf<`i!evQ(tg(Tk0#WZcnx1>WcrK9sUBQCp8AQaCsISWdNMVPtEW;UxOzG@imPW+ zdON)tSMQ|DarJI`3$ETvZ^hO7>20|BG`$B`pQrcX>WlP#Tz#27fUB?42XXaH`Y^6G zr;p(3+w@UfZAl-))pzORxcVV|5?4Q_PvL4?x&l`_)3vzTm9E3p?sPq__M{tdwKv^} zt9|JvTQedzCRq9vCf222W1=Me787OZcbM3ievgSw=?|FLoc@T3 z^7JQ6Y)OB{#Mbl|Ol(Vk#l-e>D<*cNzhPo$x(yS%(%&($JKc_nJ?Rci>`ni`#Qt;_ zCJv;#F>x^6gNZ}wUQ8TL_hI5lx*roq(*u||mL9~!@$^qjoJbF0;$(Ul6Q|N6m^hOj z!$d`T3KNy-X-rh5XE0Hnp2b8>dJYq{>3K}lr57+!pZ<-BhV&myG^Q6Z(Ue}o#KrV7 zCN9hC_?hwY225O&OZX}7av3IW${YDH>vB0J?#f#*aZlcgiTm<4OgxacW8$H_0~3$r zotStm@500rc{e7W%6l;JOx}x$=kh*GypZ=};-!256R+fhn0PH8!o(Z-FeaMiBbazA zAH_tAd<+xs5Lwk)L5=QGSkzC7Iv-W%(s0 znfwZqe61%YH^|MHERo-0vQ%!tWSRU9lN;stnA{|Pz~pB6BPPq`Png^yf5zli`3oku z$zL(KQ*OiLZn+(k`{Yhc9+G=8d06hld@(d=ckSp#^le;6-*9iu3?I0USWz) znZ(rk%o|K?$TVZBG}D5qjhXkDD$jhv)RxR=Ol`}2#nkppE2eg4+Ay^{(~hY)BFF-N}|?>OpoprXFQ?^1aNnyD{}Ny9ZOxvU@SroIS#~lFpvT)VJ&f zOnuK*VyZn`g{h8gHKsbVwV3M4)?unI+k~l+>~&0yW^Z6>GJ6+OQ`vi%n$F(G)J*mP zre?DbF*TQcgsJ)LV@xe%pJ3{D_9>?RWS?PbG5Z`-OW7BgTF$=2G|Rri^t$Y8Os~(r z!Sse~Gp4s^TQR*O`wi1Ovu&8(mHm$CJ=qRS@6UE&`arfD(}%Ntm_C{v!1T%NFs9FC ze_^^RJA>)E>;k4QW|#T4dbv_e-^rC@`cZBtreEg{VfuaU1g5{{&hcHYa&?&Q&edbO zC)a@K-drQ5hjZ63J(9bQ>CxN`Oi$+SVtOif57X1R`Eunldw~X>7h4GCKl=UcIRW_h}O({Y7x>AbrEoBqRx0TH( z-%-j@zN>6O`GK+><%h}+lpiTOQGTrKLivfZ8|A0U9+aOcdr^L_>_hp5vLEGF%0ZN0 zD~C{iqZ~&0vvLOIFUnbzzbfZYZdJ~s{7t!la+^|t@^_^Y<#weC%6&=`%Kgekln0bcC=V)^QU0l1L3v2Iit?~>4doH#I?AKU z4V1@}n<$Sfw^072+(vmqxr6egau?+(_q`iJ241 z5N1v)!DycrdpZB zOpP*!nObEYGj+-WX6lvSm}yY{V5U)7#7vX2gqe%VGG;FQWth4Am(SF`@|RE5zWSHX z)xP$ZPu9Nvm(SL|@t056zWJBW*S__aPuRZwm(SR~^OsNAzWa9zX72snikbU=w_)bN z-|d)r_;&|p9{t^kna6+mjP56YcVp)1-#wUl_IEF4p8ws4nHPWeW9H>wKG*xz--DQW z{r3>A`+FGI|2@KIi^IASTsJ*4(lOCHIW|4f-P_UCIoZotrWw}jOz%wZ=oGDc%{zE_`MvkmzS*F`{6=^1o71(=+NTbdY2RI@vOoA;>)dN)f3Qr)9$U0dj_tK!T|COZvUU84>fUdS z(9JSk`)$@ea6s!%FMk~R4q5GcS*GWp&AyLghqUPZhppEAEYo*bV+M{`nE{sRKVpkB z2uDk{^Lz927-oi!V`lgSW=2n8X6!U(#?N5p*ICR=oWsoIdCV+TU}m`zv#biU>#8v` zU2Bd1lVt{v+2Rjz?6?gZ=GY0Xha)Gg5k^>M_@vExlv|&&VPhOSZNtVncE*PN;@DXm zHo>uTHf)k(=d~V9U9k3Oie)A**sPbhb%hOE=2)c_%ko!fu*!z5<5;!YQg(ffrKRk8 zmR(n4wa!d)>sqZ}Gj$g0%nZv+*J;dby_K0|nVI^s4gB|Oz5z3HO_=$8(P}ZzGP4bu z??R)MSzwv@Mq3}|xOI~a`^~Y7+8grc5@r@JTciA8nctUe9*Z2iY{jx0;EMXpXG^YP zw)C1MMz(}yH(a&ZmvZcyj!jIDcIdws*)q6Jzd#ec>aS++RDs(FH+0U5Z-SfTGd4M8 zbJ`5Ih~xpg$42`G`=|N8sKIi$t@BQ93)~@JHL%lQE8Ham9&)5}(72Foa8IW!@OHRQ z9%@Jnw*wv!$D>USOi%TU%^DGR!b6>QP`ls}d8$zz{BC%x^W9@3<71=zFAihbJ@CW@ z-3w1$(0%aC1>FzNUC;yY!Ua7DFI~_>@X7@}46j|#Bk;xrJqpb(=rMTff*uFHW=s2< zWgdqU@XiH23GZFdQ}DqBJq;gS&@=GK1w9L&UC?vzMMo#b#?+g09=?|F7e9NU6|fW>pCsgwJcln!)jf}p-vqd>-yQ-JvBHs%CDy$y0j>b-I#6Y&qp!yjohSL>rqpW zlWk(z#vYBm*z063vTReY#$M`kvX@x)VxLQo8hDHSF8E~_&~Jsge^+3zME$A1`qSCM zRhGT-(gO+_Xi`mC>YuP?x*@v@hUgKkKF}J406PUN(<&N&o z;o+{%?jio*KZOOI9_a0ynCj~7RA29B@LL<2=YR6uGjq>5{YSfi7mJvE?> zr#Uuz);k@W8Sl(`jeqOe;N;)3Y_n&BOW+nYaEa#l&Qt1ie8>0&mby5;=cC~%EBlxI z0G^E{|FR#!Q&2Wz6Y3vs{g0k5v$CJSLqcb=_t$jqXm{@fai76cuHP^R_XRv#ig91T zvsHVqTRqz_`^~dGe*umdt&FdqCHdoEzo{$?+1}fM<6x z?gx1GXpd>9M^{dcE_E`#>X-DAgY5#(zGA_N!=!a)@PrdX8)1hCL^W7!9-RkVlhX*%6Pf!5qCG zVcB61sWAsTs+a7%G>ct|4};CAqkLNve~;2~*ffIGoMf=~q~dOLeM#zu$d_2|36^GJQia=Sf` z^JC{`wVUxx5gzM9q#Ddl@GhI<>;cacEzVxgQzMSUY%k**D?Bv?Qa^us#>RN^F}Dvq z&$JNxJ8h=tmgeCgP2Igrh3g?9Lqn&s*)nk9%5N10QF6>xmY3=M&%|U8>#~({P;x z4{1{ya|%49Pi@R;@Q_BeF=xO-I@QLU1rKRe8*>gk1-;7q);HKYtUmnb!ShvrpH&Bf zFMy}j1+D7ce=0%ok@{pi46PHwi6s?)KtcD#>|JLQMcm|!#USYgDgBpL;qw8C< z|5e8QNZ^`@Yv3V;Ylg3bXV~SQ+yKvr3w#qiqdGiej&ch;V~sm#1v$fw{T{b>pK z3_QQxM*TT>{c1K0lqy#mj2G43@8tQhwO1ge`Eeb!?(gFuxt{;=@h zoVOrQ%`6YJfWV&`z3Qe~yaR#iWO8fF%NtOf%IY?_yPin#60j7gsmkT`DQ(>!Zysc3%fAaA?(Inm#`0WeZnEk^$UkF z_fyanL*A>E@!fp3X)onBK^K#G<{RUYwrfnAu*2NnHkSJ)?9iC+f?l1=`+R4)Hh~If zd8l1&V3+0MI#g)4=Kn+3V~+fT@jma-m`*|0g849=EcZho0houn)G+(hx<#&A*pIm$ z;Q;1(g@fjR-Hcy9RnGEmJt{49xV-TI<72C- zw%nkgtF}Bd$hhrMOPHT(7%F<@t%g82uHCv};RNQ!gwvQC7tUaATByL>oKTIqd7%b# ze+0d7llK~Cd=Dl~lI2DOU6SRQ5tbVkPHMwAD(JE=&x|r|OByT>jj3U%G?s_PRp^Z7 z|4TS)9?xHl_w20pr(;4mhq)<1uYTnnCs^*6a87fa6m-p%XC_&0LLjA;ho)3xs+8rS zX|>@BE&Pm7iMd%p*GzeOhVdbxnpqy2RUr~jd1y`zQf(RYc@?VB`n4eFHORd20^?(= z70hzKg*x-4{${xaq0SQKj~b@l0xg0-!YChb34})NC0`br%;A<9-zTd{?SjGty}YJ* zDEt>-S-7Y%>x4@tA7vfmwwE+!y`Y!O@;>XCvQD7tSRUE{0tuizPyzzUo;*+r!Zq_x zmQn@+)xq)>8$mFNUtCs<_6$z&+g^-=z0fJc)+3B4i zn8i`wVBZ)Yrd=RBGRB#IpK9oC5FTsSvqyMhT#rufVSE#`C#K8L@x35Wos8ch3bhXe zs*{cMsI_p(3!n5J>gH$FNT03PITib&g*Y#KwS+j&c(1-%%`d3ttyZi;#lC4FDup&n zh)TvUr%j`(1ietFcczLdmBM#xq-qe_wQ;EtI#8(>x=?8lx>0EodQrJ3^jTupFusXi zhZeh5_+g=HnNlPC(5N~=S2%jJbxf%hs1B!N^=c%NEIQVpVp`ozX%zIrn@%+{-m4yK z&zn^9UMqG{#rm{fToQD>qKCM|_~rC#)MY`}J34ilDVKx+Yose6Xhk;Vs-UY7-S{fw zdkFs2;#?DkEMstuaqA&#oa-PAYfttKVZ>s5gDKaA5iQP5Vbnt1WXcU;)Eeg&2xIzN zsCVQx2;)}d4hX-j$XyU7Oi2E_Rk;Vkq!Yal!juzz0K&8reF(ygj`CNLzi^L0n6&_p zL71}uPe7pBRNmz&2&4w`z%vj?2IPU~Akg=J9(V!5qV}-96qZnVB`l-T?B#!H-g-Bn z(&E(%PI=pxjPK1zwWd7uN`;oy4+rJ7m!a~;yDmTOdHOY2^!VHtTPeGUzJkD~I^ zYX~ddV36B*O<(^y{8NRGX_qnNJ)XY|BkB<2p^mFnS7q3H0+mtkDO7%W&!RHrH9ofW zP{T|a@@g7D8Sxq#Kxao74?*=9qlHn`>6F&~nD?~3{V~RyJgu?g-ZOS~oGD{onlxvG z{H2CGt2s@0&)Jd2g-$x)>w$TXoXr-zk5*hK|#n{xIHTz1F)$Z-c#ei;Vj;I6^L| zAsaQPWv?MN^pMMpUw|e!{xYv2IP&aY{`f9?FWN)?<%(m@OEd8bo&UQYyqDG2`|k$t z62ZeV=h+be1>{+4*J+SwAueXcs%DFyE}Enu1Vy4|IW@jY~}YXLWU^@5Dim5uCg znU|_9D%>?Zrp|!)y9vBE)d%D6X74ThyTyCQh)X7$xs~^p7P;JO5--G;Gwy8GBt&dc z9q(w4TfHVVLi|?78#JpBBDR6|p4O@D-uw7>r}v?=Q`;HeDEPh>dx!Udli$JqZudSg zjUdI|sX9K?9CvviIURQ~-ryq_$KBwiiF)P%+yh>ksb_}wg4ZlTsP%o|B@JS>-Va`q zAZGXgcvS^5IXXVsk)NopmKsKfdU-zo??LdYGQ^!c1YT8(xRZy$s|pf#@(6hO0ec(3 z+g$pu1iWuc|M91;rSxAJc;A)&+X&wGrT_Rt^P%+LX7GM2{Z|g&Po@91fcJChzpdc? zQu>eIy|1PJwu85|^xqEfek=XA6TEGu|8{}*d+9$ujP0fW_^5T1{^Nu6qx2silg`qA zdZc+ z^Xik%ibOic&Uz_XU&Q1*cqi6w>;iZv)y68kQ~9^ANTdpO!8@hDheb>(!8^TnV^!dt zQ5&oF>Scf;k*ZmhmvZ|>OlrV8w{~N-;GJLFq7J+ZYg^QV_qW>N2CqK(tVnMfSiSep z+N0A5-o?^?P2gQB{dW<(%X-5zV}tzX;}ZCo1-J~pbviKFJvPqgI8SMFh>GYv3zUFW|aQ|H`*SyUwop=v&`H+yGyhTezFx+vtYh0^cS#{5JSDyWw}hSMG-2 z1>Y7o{2utWy5aZ1x6KWI0KV;R_(SmRAbhHGYI;(gsXoOgqpK@_9)WLXQSvePb`>R` zfNytE@+tWC6eXX5Z?AfPp8Iqu!0)rIThG}u-#-1>aAyGNJFGU_>^p+Z?2S*$`@i)a#kZ{4ceLzZ3;2$e{(A?$9T*H!FNXGzxdAL7xvkweMf)wox`u}i|<_7 zzgF;_Fa7rod>2apwSljq^xt>zRhIs12Va%y*Ws(i4%Y7D$8P@sUya`T{FGhBI>A?K zgSx<1XM?)IS8s!Qz}H}ddcoIdgZjYNWP|#_chLq7fbWtG8U){E8}t)=S8UJ__^#TZ zVennEK_lS1Zi7a_cf$saf$ydb8VBDk8}ti&w{6e_`0m)CN$}mZK~vznXM?7}ci#rh zfbW3~ng!oO8#D*LM>c34e2;C=0{EWTpx@woYJ>iO@0kr+1mANTv;@8vHfR}qFKv*A zf$xU|A2z>OZYJi8qM_;K1cm#a(fogz9!AIYw26zm7^jS*Z4+eQ0eDr1NBu{{ENd0y6 zob(M_KhKN+CmBByW7zibVbG_*N8hT>_@}`)s>MI!8!Hz74C4oFj1`T47JTEST(Wx3 z`F^42f^V`|oO6ty)$vP}dY<#XiDFjg84pAgO`ILRpa!AYCQiBnd{bIyDt*)Fsq*Qo zADo7jjGq}oQ%;<8l}gjR6DM5_zFGajbCNaSvwxWyccT`3_K#A7tOK9@d(@lx^l(OpO~wD-l=PTJumLGzQXu{EtJc4(pOcQ^4d=Nno5@x?df&!mlhqe8{jW1 z<=IQmP5(yp-1cuq&mDg`dY=0AEU&YxpTn(_O~q~+{R?`PoGzREq%{rYFQ)8;wj#|P1Oxs!eY{{7l7(M$gU^t|%x zGkTncFBw09h^F#5=~pUE(|VlrYw#b^e!$=O^)(w#n>UOfZ*;imSTuwGh!*0lU!V5l z4Dpun!;z>6;G|o?e@uUu@?Y$HZgl7PxGJhV@4$b&8229hCyH?&z<;tB_YwT3igBO7 ze_H4CAJ2}lnO?rRLl56f!t)vYXNt35z<*X}dCn%k3&qs@c(3|>^%eZ*igB&rKX2#8 z#?|GVs^>TGUntJDfxn_S`yKq1#o2c7R~2VFz+YXQ{Q-VbS%quO@3`UV1V5=QJJkh# zQeAec8~mic>{JifBotgzd=|Vd-2Y%9rc4{8{q!aDb0{BTU+Ns~*C*5eL{(ztKqn%m=Kj}z2wFG|B zlXhwu{G=;wl)%9MO1%rhI{$0iP0Wv%u#WLl*k0T3f=;dn{~Pr$hp@rlj6#WDpK)Yw zWdq}fxEYHn^xLe*C{an8jb!&M1%HdyzcT+j_x_bJem2~@BK_M4{`Xq{Hu*oGu-UIq zK(e>8iSeW5J`{DN`b-TfrvXCaaXx09#9KcZd5 zX}>;^%5HI*@lzCOMpc3A>FOwaLe>2Jn;E9-kN-&0l0A_!srdF~BD9FFC-A;9qutmmt6#;AIG` z(;l2F0e$lTBlH!o}+HGDj-U8`FJNa4-psno}-UM`=Xt#O8c<;28AwqLNUm0Sz zX=dD?_ENKxZy|70ds$I$4Qy0ltohX83vJJkUJ5|DQ42Lwnxs#}J1PH4aMoe&`5 zXhORnP*=(qbqU>pdK7vB4Jh;m8d2yAG@;NRxQN0);1UXhfy?=!HMw>(e$p;2Rx#l{ zDo&HhOn9$~H|kH13GY+!CMVvn;xvQIWIv$dG=1A#g>z#G$}d6ov!W zP#6hZM`1K@1BJ1`O%%ohw@~;M&?jt}T!$DxN0=s;nebs1zvk@nh>Bl#;-e}~bIMF{ z##H>K(|%mVNl2RPf2lalA2Z<-5V)hgNRt75@|cO7Wc(atnmcB~ry!t8NOiW937v)j zDM=GL0|63|CUh1854C3J0{ZkY6FJBDQOl3CAIAB>W5?4o&xEr(e2#{hdU`r5qq4je)@G{?#0=3NexzDuVo=`7?fTj|?>jJt; zEKuthKO>stVF6tafj4Rk-VK3fYYW~DjGre>TCm_y0)e-hLuo)?QeU7-89#fvMIAVA zSwI(o1+t8JO9Q0-3g|`%yw{>_3Vg6NzlrffthMzh-pv7B4i@}2Gw-IrNBt%g&~gZT z(xPn%e6~f~!uV;}pS8Yi4ScbYTbXxD;EO%lHV9C`ve3eI2#}5|U^^f{05$beceeR)?UVs@20i$1#}+-IyHy=fi7DM z`x!s#yUX6f0SI(!4hI80Hiv_Z9}7;aPYNv@fgIf6a=VJS%`NU0;6`j zGY}vtRIob>0a8B&>>LD0@D#A~5SY+LnS@Tu}^h#sFuZnpq12fuFTpiG7yA{Z4#yx0)TLGH-TkvW|Ic0}C2iANXw}>zTJM@Z0`0H9+8xn#1un1{TrV6j-u(H8OrY{G#2fNkyqN zSm^de2rO&ez9i~J#R7GSPudol*0al^zL=#zUS{4)qP97j_lijRM*oTBGqk;z#e%#c z^eTuOw5G0!deN{D?Hc39*q3NcT^IGaZUyo>^Ij86HS&g7X1mrK%zIrdvtR2?5DTJ* zn!g2NLGuuH8^nU-A?yx_1;s7s zNW#YqKLU}Yj~RXpB8eX}`~*ajKW6wTh$MZ?@G}re_L$-4Anwy&S2O$q#QlZ0ctYL8 zyL(`8xTiz4Va)py!~<*4uRuJw2K^euLu=4)Ks>w#-3;Q9HR!h>9$kZO0r8mjK)w@o zJ)?dK+pq5(^R|ejY1|CngGj>0js5^4sT()?BZyQDbE7|jNCh!B`ZI`B5p$!zfJh~= z?#}7S-j3eBK6N#aiiNoBw^!5 zcYs)}-MSxQ4a3ZbMx*7v3`vK=mD`|4Z0V^ z#x>|Z5RLlTaOV`C9jf28eh`iFnS~hu(WstTm_ZPY;+ci{38GOuvoJ#-8l^J}GYq0p zIkPY$AYRw*%cxjbH)AmvW!@2ygw8~-g&PC$ramGzW*o#@?#wR`X|ANrX97f;GHGKb zK~yVc`Bfm69!!Bqif3v<+jAgaRP|1SNTSC|%z#L`$4bnCNTo9?F$W?Q&aA{dh*UPS z5(^+c(FXOm_%#2pTlwG2yC6Q*A9E}92SgG;R$>vv=UU7q@r7H=CFWfeU%19x2Jxjn ze3qC#2I4DMVjYODU5WJ|zHucsfY?k#?;Njan(v0M1jM(+*-{W&inC=PzAMgd1o3@w zb`yvninE(R{8*eV2T{{ZzAd7znWmf-^FYv<5Aj*_j4DBG+)%kdpC$$z09{q)azv~MthiV zw@4*37k)2@Klo7O>k|C&nB=K8zUzawX@hSch@C~r{UCPHAd(+rz5^h3yTAuQ>~Vn) zf!ON;9|n=iVTC^N*hfI@cdB5f34CQgD#s?7|a0+EE989ognX*V-`2E;L~?X%)|;ddiH_WU}}GT#|-+@;Is zKqNJ1j&>eIP0;x+h`OLNvlp1}yhxhPOjLlV$vR)9sLMJtTgiMCBFzpp6ICEm(aH>0 zgGj|HGh72A6{*Z{Er?W{GQ)KsQc=nb*MmsKC^OsuA{C+N(JqW(BZyRsa&S!`Qc23e zT?COTQx5JDNQ|C8{a2;X-er*172~dew7wX36(mj0`L0R2oO3jLjrp!hG>Ox}UI&S4 zSPt$6NL0#la5q6Bap&M}fkYzD!QBRl#2(*LXl$ZKO$Yk!fJAc7gx&>-1fL1L2NLN$ z6M7#cl6xlf0Z63wOz1<9NbH%=M<9{bGog<`BB^IWpMXS4&xAe&iG-dBeFhTgJQMmH zq<5X&jrIbY90oulbz`Rn zK_Yo$r+$J&`o>NTfkXnwP7Q-Z3dc^3fOOsbn`r9cC`dQ7H)~9~ssC|Qe{D?`W6U=y zQ9Z{Vc^o8CIdhaA3I>aC6Hbe zp_V}^$R2AzKLbgt2Km=XdNs&)dH!|G&m<}e*~#@FX|*B$21&0C*)29O|9Xi^M0T=)yQtKiTO85G*8e@ZU)IB zh)f+T2T4;y{wk*KlQ?*^&e&3+F^9d7tukW}TOrXMVE_JO2|76-l`ByED9|A3@V@Uyrb zVE+9Q&F-@>2SFm~QaB*de+VQJE(UlQB$6!#cmyO8Ee3cLqyfE?26zmlK?isoq@ND( z1V}>;@FYkiOpKVPKq6UUfTuwsQDT5+KpJzzJPXpe13U*(L51*z0fRkyBHw==qzTs{)B+h$Ch-NTfv^Tn$JhNE}=(NTf;}TpdUx zO&nZ3NTg33TmwiXQXE_(NQ-I-%HJd{q5q<^od0v@uxn!eMv00}4)&tT(sDEhdkKOh zT8LxJe;I-#S4`*?2$E1Sp;sZe!FCsTgliBiaiZ5DNNU6s;RXarhnUct5F`aM(L1i5 zyfe}}Ioa9I^XtRCBgQeO{#y_vIkL9lZ3r6LWUYvIAZW;ywG8h<&`>aI8Qz1SA!^n# zybnP`=d5M;0D^|}SsI?5ALeNlFYZ*R+ zpdq%_GJFofefslfdnovHRCn(*AO)1+ZuLn zAZQ4>HSC%pXh^&@?A}7q5PfUdwLs92ffhTS(-<7>Hx1!C2pZaOZPWJy8gl;sTK7YcWWMb; z&}~cxAb3gD)&9YtKFjn!I1MuYK#*p8{s;S?5Tr!Gf3O~cAmtAJgY_^3DV^{itVbY7 z8HWF0Jqp1a?tdoShH?yoH~+i!I0Pvp@gI8f3xbrS_z%_-5Y(om`X__>l+^#=G|BuE zL7J-iAMB?fcu#wBri1q>*ZF@R&S~bK3f|YN82_P>83;bm8kr3ic31qrjm$FtOz@#= zBm4(;4uX$dZxiR{AxNnbYv(w(071%?IJn;sq-2SM`vXDBmN>XY2vWMl!7V|M@+A&# z8G@8Bv2y_i!B<7DFR%`RuhroUtPj3%yJw8?1vPVK9NcaQQqsi1?SUXAP8{4`2vYLI!R><}B~TpP zeh79I8?yrtq{^?u?;r#zhvMK4L6A}@4(>1nDWl@xjzEwSD-P}`1S!Ab;Eq9%(ku?{ zI0Px%;^0m|kdiJA?j!^$_u}AAL6A}~4(>DrDHG%1&OlI2$V~Rmbnr|H|0tjC<}16tcL9QwnsIOy5Tw+MgR6ufrDhyl6$B|Y zo}&lVx0J9Rw*y4Ah=YFy9mMMV%#MNQBKCu-em|;X2!u?fe__q9Nbk1QI^KRU4sziY8>2k z2vNqy!QFrmvKIyF9fWMziURc>LbmKg zf%*U;TQ;IVeT0xL`%s`hLCBVEC{UjvWXmoTs4oz*WfKb2R|wg%2L-AXLbhx{f%*m^ zTXvv8wL!?14Jc6GA!Jkk1*#oFRcc~7&=IP}Kxe4d@b}?Gl=!) zqG0ob@gOvN*iLq;0kl)Q0$rgx_eQ!H??9dPnJh%_hLBCU7pNWx*<^Zw>V=R^lNYEy z2-yU9f$E2lO>Gyb0SH~P{@53&K?q&eDcUs3J_Jvsh7hUaVkRRH zB1v4#WE4WAgNvDrL5Rd}F_Uo!k>V|8@(V&FbBmcwK@G6x|Nq{S|J9zvu`ifc?lB&6>$R4iBt6E}otL!n|ur4VW<#n?oN_+!p$Z;`UH0 ziaSF3oZ({LWlSs$y;FZs#f_o&`QO80wi_95Y6A_9TZB+x(%GP2=+thB-&PBzH zwyQ?1`X7yAMmtoaZ~w<=Cxl3v7mKqCLZr}(ne2v8yZQ%J+!N|Rac@XpAzRFR4-J9D)glwYT;EqDbCh86D7=#A3xA=Idu)C3#JTy#>Gx1o+*xty-o`8@| z-5Z`KAv9ddS4WDcLL(@i4vnICJ~V-1WoR13s?ZFIbs>F0s^el$G4W(*#QODOL_V#u zquMKXCNzfP*^oXR+!5yt;|-4$i*r_G$F(={Tu47L!x7>fXlFZ3>0iDd}C zbR#4N;a9H2ItagZCDucjl)9x2X#<3tT|G)5{MMByg>Z{2Q3m06uEa(NQ|Z~-_$CNb z-PuZPhVVz%P~{M&inG;Y3xuiMY$dirm}<>dVjG01&}=2PL%7wo@f{HU=1S~@Fo{@e zs9g{y>1ri*L%7{F)E)?H+dN5o!@7vII__oCo-nCWE42^8+J;Zk{;N$L?;se+{N2&_`U8T~_sz-kpF4M$*&3eMRqYgLe> z8d=t<;DXJvUIj^vk!6Dlk_IENQ3VUzJLwNb6NE`~k=;cIlhh*U5=2O05p)?MB&-O! z0ujpen z{EBodq94CPL2of0i4I*M@V4qeN39Te2O{NK^LHa#P`Ve{iqeCKevmlX+-1_82pvUE z;5`-8j!%*9N48n}d!O-0+iW-Mf$Bg9l2eq25Fu$q&?AVDA|mK9L`Vn`^aLWiZO{2r zi0rXJ&mgi_O~gshBl}Q#710$21$oY-XAw=nNG~F~fFbS$<4*h4tb+71a=?0hFB!L| z!YMhtQiG97A@DUs4rv{D6FH1hOGMu%mgqNpfm=k|f>vsd9I-ai%%nFFO_WG)Bf2Od zzqgFrlNKScMGbb$HtO#na@;m3?;&zR&7MggA}6g)eqhr3$Vpp-j}RewK`niP2+0b9 zK0}1$1VLXQa#m~SYee54mYAuy(nP zN#7zB+HLwCskCz6nba1kw1sMih<5y<)Dh9o6Q`CtnA9H8>YLJ!h+f|$?g!)cRM#Z1 z6C$-*ueu_7HItYwCUr)%W5cEHNWHaJ-Aw9=&M^fa!nia zk;rvxcSo2s9Jy{A^HJ5|hUPF9(O3CWurbCPpw+zujzfgL{0aI65$&W8X(DpR+T;Y2 zensxsnw*3Ped<$$DTvS~K0(tEp-+2)W+0+XOqFIM`ovUXW|=e-q1mSd&OwB}_3Je;`6%?*uJEMB9W&T8ikK5D~M) zq{RqrKcs=`8M;&az(k%b=~usmmLWo4@NRsNL4-c!UHNqoq3?NDemz9!v)+~801^7S zcjZeULLd3Ad?`e!$uZs$ry_JxhMhbE5t0d0os&a_&YXn^X$1>@4k9ENEckhdkZQ2t7a*dY zl^(2!==C8BUBQAEA|wecOeI97)!)EiRYcz>)hginbj}cd;!&rdy-n{ znpU^Yq?=y@kvaX*vl6usnRg}XAhO^})I;RAE71UvKdwY0M5u(r7a)zQ`$AH`njk`I z!cJX;2&oD?bqS)RF6`80h?2^%Q&%8LYQs)lg(#_x-qD_pu|B@Eud{1xqEpTL6gqni zq9jDtu(}RWD(I|XbpxVh`e3bLbrYf+|G(8Oh*G&{jaauKN`)W(7mhC_=2tm6I5N%m zB{W~;9f+3eO|E5j7ouDKgV{ZZZv78t_aVCNKbSp$==T3$_7I|^k0z##+xG~fq>;?< zV~CPYGQ&?GN?OSbKZPjiB{TdCqI>n8@hv+hc*3yo)I5jiz9Q5Mi0&^!y@cq2BGfC0 z9xOt=hUlRp)EkH%)+s*cz1#TNYli3%SK=*1kGc{q5IyEfyn`s|CTkn-A$r2q;{!xb z>V%$zrC)}R5G4g=V?IHYgp`f>3{lckHs%XN&$_qs6{5D{4E3NDqUUv=zQNJX;ldsL z2GI+yL>ok@E@Soh4pFMgSc!IsR=I}ifM~TV@dKhYIx#-c%eVZQ00^$sUL{6eW8h+NhJ(&h$Z)^p=(AhbXBoD=`33(q2|#5Tc~Oti(@<7IfIy zq`Cu=c{qk3N+p?bCp!$$Yjjmkb_Al=i?gE;y-}PUgXm3S`90!)ZTbfLr}@9=K8-`P zAk|zAenIs1nh_=-dS^|8Nr)D-oNE(P5G7^j?BFy+N#i-m8Hhg6+jf$(5PevboP#I{ zKd0wBM5&CU-7TlZ0z{wCMXPtrY4IDPPuI5i1JQyybdRwJQ7ZBj>Bka8Ur;N2Y*krN zxV6g=EyzV17h(`CNJTrh4x$B_Xy?{Lv>*}f+y;n}JT&(sR02_wg%-RNqAhygEqED3 z-?`x%Axf&xV!sKZ1+}M+qlMfI(Sp=-kmV39=sX9x1)>GH=ODL2w4nMN+&LRWq#L`fmK^1C2PYSES74N+2#j<)weloX_c+Y3=r zk`8VkL`hLPxcw0A(r>7PI{?vcdVtk|bQm0jXwRAkhalR!romx|lHAnZB1aQPAWE9k z-QXxh2lOV?lXk{N`F=Nyg^odV&;>pY(VuGiEp#F}grSpB{Rl?0=Lr@%9;FRy&BRHS z7*!# zG#c;~h#7*>fUiP~#G<)_*C0mf&_qk!?z$t3eODRh8RgY zGkgbPB;m~PU5JrnGsE{FMiR{o--p;X?WR76>6I-r`+$Y+$EYr4CLThJw3iuv1hJi3 zw8ybs&iCsv3q6YM(v#6I5V`lg{#7K#m;TI6w zuQl>ArkAYD>`NAU5u>t|nRo>;l3`}}HN;4Qnc+7OE66X?k6AOs3gXKIzlB&qdYRxB zh-v4DgxdJ4-my?ij3&yNiT4mIs4r8r4-g~mWw!nZF%n*8_!GoPb(!JM5Ids{ z-j~=}=NB`&D5kV9>;3JiUZ=>;vbQ5)l-D_kD1?sw~;cE+Ptxp;S| zM78GqBUXc<&X~Rm(Cqz#@u*YJPt7uYi9Tt#7Lr<;R%S5Ff+rG5F1SIr3rdQj{9sbQi z3o)v=nTbCTd#1Iv7<=w)ZIOlk#Gbo65lax$7RQB_WBTGabI@fLT8hzvI1>?O5YraH zh1bROMQ~mjCXfE(Tr(>K61vm01=eT+80H4`Ne(~d|Cm&RHg*A^~i z;gVR3^V-5?5PPQ%NqA#SU#Mmdx{-y;V((qtHbLxz=C(QZKi1yk&23%z`-F4OIp>^n zw%a-9oZYtDIkt1Y=bm=ABuZigDao6=nbmJGn|WqCoB5yKP3dakSjB+68eqcZ1Crg}V;Ib|}{6R6CYlBu3ZWloSx^&~2DZe*$_Q<>8uQ$2;s zoDrGosZ{1<$W%|GGUq|2dODRk`!UrssN8`R!77UN^ zlWEN^CofJk>z*xCh58Nk)|_&(&Su)@2vttMo!**TPVV0_?Q?}H_Y6Pi*1Yobx;4L? zPJq;H4XSWP0%XmH;YCKX7f|_SIuWoIQaK~$?}?6Xt}VKmEY@rUQzy#4$umr%JJSiv*1c9f7IW) zRpn%D&9tr(*2*$3t(k_^RMrnul`~QzYa^9^>MPk)=BMR0Mt#;MVQnn`(jBzfPTs_5wrsPoHrXNrg)L-fBuUm* zvNMt-Ya7`aNs_gl?7{jgw8I`!w|3dX(h=GrtnIdb3!}BuPTs<3HgKn~cG$eH&ot~p zLA|%n+HEI$`%L?8p-wnlU-=$;MEb;ggeo^e+xOZd)Aqf>+GCH@U$K4msJhi=k4`)7 z6V_gvxBZ!CZ-ZfUM!)VSdyMXq1NPXub=XelN7eyh?YGD3mL0UmrB8ZLSO@HJ+J49$ zpSB+o)rp;t9Io5qn~~;1Qu3G%=&#QL-oLMjx{$*R7LwI&rd&3G1k>?}oCD z+sWNfW``UX)-hY}BeYJ~$v#5UenO~nd=HdqIEjk&?N8PzJK3CQ+D{49Al_4G8cvfv zLw_;O*vTzVruB@lPTP80p>@_ywiTN8v%)%K>#c>>IXl@}Xxh&SRc^NK%ky@!Wzn>s z7pffZG&Btt$eyd4b}L1y}{}AYc&OCT_T$^x6W8|nQTtl63rE|IbTaO zSIJ(K{N^N@Yh*8O)?6pMcQq`o`3Bj%3YL_*Nw(fsXx*}teT9kPmauNxoMk1t+hp^~ zSE9K?HZOT4n!9B4T34dEM>a2VC7O1!^|nFlzMX6vObqvh)oycwl;|Fiy-xS&LwkJ; zbz*oZtOxdb9it9A$(IsShp-;nyk#)aJtBLfru?zJsct>7lT;_MJ{Hy^dsFh|NOVu2 zllVL*dmDBXTQBVGb?c?Qqi(&jlO2SK%L`#Wx3@Q+?j>}b z;Us>qpxfE(_nPcoxqlu??`(dQ zW_(5Ogw<*HJ}xuXy(e2g64Ux%^CK~1!v|r#w|gIZ8S6fh&8bat{!e7<_k38N?c_Zl ziQ%)bKH2(p?$#GO$!rqS7oog4sY!HS$>v3)MDva8qq;Y~+sB%EjzyFhuIhF#bH9}FDmchWM5L=Bgnq2>mF%esTW6z z;s{$mXregEzFIGi62+1BRpmXJ>}$$<4B3tGJeDKx#`m<{{nXk1<_#WXP#jBkV{D)8 zGLCE>=f}mdb40%i;+?8sJlQuuKI!zR(+PuHcG)yO(hySK}2HCviVX9}6%?ll-dKTHdykV+m zlg*17rg{$9`r!=4xpp$RH?4C;agNQ;WiSo%$bO#ufSc<1WWUg8EU=T+4AZ(m6zAK# znqe9ilKn~-TV%hEzZa%;kti;-`SA*-VKLcyS);hbew!|~L=+d>Z#DEw?as7)sZixQ zlkc2a?J}}?eZy2QCtJUgueicahWDm*g(xn!d3bLcR+6oU_r+CqGQ2mft3+|7&BJ@s zu$pW=yf3b?li|H-T_cLCZ64m6hP7n#@ZMCfBb$f!rg}ZuJiIs68_52FzX`>S_Ro58 zvm@%oEl%=!bklF6C~mNS>K@)?CnJ8-zDcMWer5FVW>wJPp}tvS3k(A?N^B*E2m7Y~ zHgb5dZ>qPG!z&`DdIveYB4Vm{lEW(^rg|4Sydq+%cay^_BJVrY3g$yKeU<#Asox~^ zyRwHIUK`1F*h>ztl4LvVBZt>ZvK`vU8LhuB`<*c{*x7#jMX}8pqXTrnNfuMGJr9WD zeutM;vRw|6GftoMkdut`v;7W<;z5VU{Mjyt$>E)E*$zj@nV9^%WIG%sXHvfo$H?K8 znCu#klf!E=z2U{a4*iO!Sfhe@f}E+XzjJA?ljQK8xqj+8MGmjq7+&o!Ufgegpk6ay zJWUQS;AGm*kTWygmPYxrZi|5IilcByq&fE<3MRIsa z$E@TMIrB9fmz@PMk*4*sC|+_FBwuUOaD^Ps6-@P2ayVfy)z`@3tie=YCx=r9Q+F@hRNo{N%>a`vgidvf$Rzxct~UoUGgZS>_?+@SdtH^H6elYgLwc7&*MdD$6{a9K9S+8sYGAK*j}( z5T)Tx@3KIK!$@-U@<3^n!^;C1UZX^5q|>`hkl`?zoNM5&G{(6ebC=cTF`_iuxt{#e zWI2o_r#IKgILkP4IM2u`J)Rs+G_uSS$l**wKRKs&Iwg6bT4^FVoO5J5Od^M~k8Fp@ zw!eXjt zk;4hg%h#`-zSrN2+2nA#(ooJJ=V{WihH@@B&r-^HZMjhXdU2t&!b!3ZwyhA#|AQ{K(n)ULVcSZf{PmqXrBzOn zez0woD6Mop>2j-`&&_RFEtJ20NLy)*ljI*j_;$?VRCth z-&*PjxjeXUH610FhxM(dW90IHzSVS`Tpr4|nof|*Q|yUE;rtH*g!I_L8IeXH>Txjam7HC-f^hw81S zOXTuAeyiy+xjdoYYPv!$kJejFSIOlOd#mXhxjbrbHC-o{NAA6*WN_4&9xUA;cWEnS zX|J2)E^G1Xl=T_8TlM$j zxx1~83Z9G7Gk05G6}%vKdta@4N$!rmY_G`O*_Z7#xx4zZy&-pZU$(d8>c=9KI^E>4 z2&wDs6s5N=KOZ4weMj!TzAArDZd+fr59IFe%l47n1AW;(k$X_n`q@2HFMV~BM15;NrgWw+%a$=aOd@i}1AEs>c$n8xNlO%xu_?PFC+nXwK zg3yT%NIJ?3$n8xS)7FLL_NI+&y|15dS6)OeXO7&QWSCN3OfF}Tt)?a9awgeoT1swb z%MTJ)xQyI)eVCS$%XjOvR=9%P4}FwcN$$r!OsmM{J9kR?{YOIVEj1 zZ6=SC(^k_K@;FOvHEku2)74hfHu5-cZ8dEtFO|S1jO`#VmB1#Zo#dqw*u=Dpyi@|4 zn0AwwN?;Sy9`Z)$@9thN*}B%+(7mF(#~a!5)n!EYk(bJ3lbYMeOJ%Z&X+L?XOg1qc zATO24CZ>brr83#XbcnoECYzWJlb6b56Vnm$QkiUGI!azDlTA#=$V+9iiRn0bsZ2I8 zoggoj$tI?g>VmeD+Dw9o2=g6Dg`s488>HW_3 z&M$W#JbJ8tG|!XAOHI9yG<#eiFO|+Vdt4+hmDM(TTp}-(+%|h$CNGuaHhWwlFO}*x zdt4InpMER<>xE25A%C3{gi7;kH-#>k+9spLpK^`Z*hV~|Toah?b zTjX(yYiMti$H}dsy+a4Up(+P}Pb-LB?u%a6$0*ssH5@;Dbo%hEMGA#ZcPH9RG6OTP}! z$lKbl!*lYs_3QA0yzTlo^QE^V9`9tg^`$7k@OYP9w#zH>I7`iTcun3eJ*<7>?XH*K zddXh9Z09$k{My@{u$t}i7A|}ApXyF8Nn*1-JB2#kUhVnLOLpyLd%hFpPLDV7WxKp5 zPp|crKX}QWzHGk_qWs?DEq>W9AIUo~Wboj6`IC3BUjE`8u9v@gN9*MuUXpNT7yBg2 zAH9S6az1;>#=vaP&q7swsNY`vg31o-%D#F@Qk`AdSD~7Cq~FTEp)#Ehm%n>SKAc_I zccCtolj3ZbAE=Bo<7}6oKS?-l=}R3+j#mb-Gji^@FW)>!03fUD-hIOn;RP6sp;0 z`mJmbDm$wy8|6822*alhxC4HuqH$gX7$T=?0N*)DU*dxpQ` z_B`)-e;qMT*mJ$-y36K!$?b93HO?2RF1{Zw+hqZHJoAw4u#h~Se8_fKL>^B;WIHS- zk0&Cs9hQ*C(-GMYOUdI&iEM{ujeMTy$adIHK2LXKJM18zCq1$qc9PFiAK4DO z$ma==Y=_pEm(# z{$B4FcAGz@-(SN6f&ZZtD+41Tf?y!h6d;1{yJaLj~KSVyyo@Ck&lh0Eqnf4>( z^E^tX{V4f7nUZNgMn2D|Jb9=dm)QRP2{!4fcbp4hA19yZRMN&1xg5 zPm#|vD{13t@@EYB$38>;OdZU#{;axvR@i5JeIK8F&YxYk&k6gi&#wjO?0B4PKe5k~ z&$A?k_5%4lGh%2jlFzdthV~NqJOg5AFO$!+9)|V``8?BMXs?p5XE*F?elojZ7_SNY zs?ReThW^$F8hLfJ;h~{!YwX*suLvb^DFaHxQq%e z@+0{NQp!){A2gJm9qsA~(63&o*;0MV&*UHK$NYu-!~K}Ql7FNh^EdL3_GA7|{;__{ zKgd7ckNGG0C;Bn}BL5`VbA+Fy{OISF%Xd_#|MEHE&vF<*{^`EY;tV8TKXSzxZI@M-EBbv@o@-G;zP1%Q$ zf3ZLNaPlwpXCFcS<^Jp=$-mN{eH8gu`?HTGpO@S-uWt;ep$A z9=^sdWoI(^_l&k0mMP@7XIrL{e?QwYjeK5zZ#mC&^7XqHoEd&HqtN0oLpam@4rG|l zOg~wKZ*iF^ROv_BWtN{jL8irJmQbZ1YnR!6vJ&6oGFv#ad`_HOY;(wentf$+$$yq@ znMXdaz_-*kpL||_Z?P;OpEKYV%R=%w^=+{%BA;{K7RzGt^WjV zKBt?edNT!_WSZ(N6mV*3s<%?W38kstMggahrg}RCoIIN99TafNXsUNoz=@)%-bDeY zho*Wr1)LO`>OB;2DrlI&df~pF$y>#Gu6i_;8e_1pP*nW271oPU|QWd z6(o;VFeHW%xL_9X8UQOD%9_rcFqJd)AlpMIUUT*sQ4@e zywGhn5S=W$K? zClqiB*Q9?+!ItC)ph^FXf~{Hl=M?D2SvoI*0eR6 z%g#;u*A(o^YQP%`c4z6|Qot!#Q$3v&a1Pd_e@6kQVNLq?6mTZir2jwxCu2?ej}&k| z)};SLf!^=zd=3uPoi9PMmAT31vv58Iyu90F`2q`XaBi}ErGT@sCjB=GI1Ovkf2V-+ zuO|Hu3OMm<(*LA@Gp>d{&Lf>)6r4=;eQa|D1*a05;#UZF00l-;r4$1xIMd`Yh=Q|C zioq0|Yf=oM;Cz!}Crv&%)`KATQLuF#iG@*R?aWb)LIt350X6DWaI_mDs;8ES36C`V9vBwPI zP7iqDEmqBhN+$^Jte}w~sB4`iR2@1maAyaNyg*rID`Q}!14=aq)$qDmT=QHCjN!lX znMZ*!>Q{>S6c~ejrC30LF~(Plg%s###JG!s-HVhd;HSgHs>KxO5xu)4XpHDp z6-$J>I4}nCO0|>%eLJSREJ$w0jH_6tOu@S*{+3f<4Bl1Q6%-i5cBNQJfiYlLid7UC zLv^KCO@ZDC>#hmDn7FS|rhxCMj9ajlg0IL<-F3k?({-J2*9QC+<=AyS1>d#nhTwgC9*7v5|tG+I3U#%XHl&+>ODnCfCg*g?8N{lV`ugXWJs&&61zd605e79H?El z$w6kV+l0GS4r;1(JITS?b%z{cy6zC}b~&WUbtlQ8+I5#4X1eYY?oK(Z$#pkLV+^i3 zdJjos^sN+oNgCsBrPxQ(7;!5_8%blVtrYu7>gOZ62jtkgdq^fvJ&7;*fU-;dWJLF% z9A`G~pil+IH8t-LoX6|$?qNB>bUrLp#S^sUh@5CzjtKX#7;=R8VslJ1aWaibl{_unQ*uUA?Po~NY$|(} zq%l}lh0c*QhRI5Co}@8AR*DNG_0ZV8C>uj#rN5|5(ijvg)g_Yin@)F`&D)eE6hr6E0bKIE4d?AnwC4ly)9R2%U#(R z1FO333ipm&r7id5YO~BeWt6L%qSQ{(7~!hY_emOKTcvnF(iqh$#Y2)jo{f>~Ajt#S zSn-G?k6>fPW0E{{jTKKw@|ZPNJSEA4)mZV2B#%;K#dDH+cY*k z^^)WcY~FKU$(?n#Q|_(1?`2!v{UDQVfw9{w;l7mm9mei!nY_a|w!9Xq=q}xbZ)9Uh zL0$YCp?vit3Ej6c*(Mp6d8>?aPgCc1;skngqx(+oGb8a%sAlbJa()lzwkFjFsCb`Z ze3p+Sc}yBBK9Mxm2UH6`lQdQYl;R6XV+}wlzLMl|rmExa(^n6lcH*^{58L0jEAuy! zJlxDOe<#VK&Mfl}l05j#GXEs0pQ!HslF1X*v%G!@_ow71t7kZPf}}AdZM_6<07?C1 zLvNr=w)kaLGEjH}q<-R|H%J=O|1BNj4HBvzbNav4VK7NO{qGHt#`J%y*AU?imge+- ztHV%|7aBidsf!v$@?t;c;Uq8hV;(`$7#XK3A4$>}C#TG#NE)MNHHG=;wGiHDlE%=P zwPQ#c<7d{6C20(zSv!uTF_LEOc#^k_OG(Z)f#mJ9b|T3;Y3(GEchlO*B=6x@)|(=e zJ#fGxseX#^CQIJV=tI5c%#yC@u{+p{|y-rAns!rK+<=c9Xj!V#_3J;K`^j!3n3FNHjyZ=8A`g*>Q- zQbkKYlhJFVkjM5-`u!A+F`C-ze}F=sNpJE$NFh(9H|Y;i$aCpU`ok3RWO|eS2!%YG z-lRWDAy20_>5ox3Ijfz=Ddb^3{1sPs-@JbD^uZT}*9i)F#GkUAq>u;yY}0pWd8a6x zZkz}e#)i`r&PWWHU{dv;p^%e+M01ux&H@t6ISM%qNHpguu({1wDO}X7xklmQX3cd9mo#f` zP^fn>c{jsku%DdZrtofr%k;0;t#El$du|Eky}Y?Sw<%oFT=N|YS2k?-R`v3VD2= zXr5BY1N=nuj6(g;4)1xGJhUS*JQv=xkRR2N=w48$w+eYL!(^*aVt6UM7a{KtN_4L% z+}Ye4uPNNsta(G>?qlw6nL-}nCl!35kcaq*<|~Cf z#!ocgDCA*%qWMlCkL00=XM4RL6!HMx(EgUUMs|HcX)5Wo3Foir_94m%U$kWBKVkm_?SdJCLDCFUBtQbxq z4~S#M2nu-!94kgr$b;TkF^WPS=EjQA6!Hi+R*a!g?_lxAhRF_=SU*4_JzeZi2$SjJ*mZ(3g}falR!yYv4t~r0N#WfXj95QO_!Gms z`h1haWV$%EOcu(Qr;B6N6bkipu|G9Tri)|!RN+qv^>nd6Elj41W6Lz5eD(bs{`4@p zewx^_Ve+)TSifBO%fk0f zP^_Sk2d1&lN(y;Q8Y@;&$ivZCv6@0XQ|zw^lbPaJzef10L!K#)Rck5afoQB)M~B8V`QmLx1AzAs`Ph6jZvl2?@*>lzkA*H`NY78k= zCA)>cE7HSBe^1mHPAbbDWsLNTcKp3j^0b1uuD!|_jnw$;i$<9z-Y1mrC~awrk}dgh znKt3?i}V(Je}9y0!H+Hbh2IwOmit(BfFff=soHXoBK<+lK11pWljj?%WuYsRVOJjMw05fPEllxAeG`YMLcqhi=3f|2ad7g zEJZwSj1}i7;$dT~I8PCe8e_!;ism*Uc9EiaO^Qnt&Bqd$e>qxE_pe2Z>;Cm9nct1; zxGeljk={b#Ux|`=uh`p{uwWP`G21QGo z+IN$pWlf4(6fJL3+@^?!i*dDgDB{r~{-(cdf1@UIFk9o_rHDt3EtY!}@#qnj_nqyp z-nBn?kDEJQcRuXs?9CVac8YjZ`9JZzPZ5tc|0kXgDB@9Q(k|85hZONZw4v;vh{vK0 zi*~GQ2do?c)b+TG&O+J750W~3AATjdbxJMsO_D7h2AQFEtIW%LBK|1N4wP$y;I2~}nvb^-bC zqqe&LF-iu&jSAiiRZtIf{SQ%Nay_ZwgHQ!|tlKE`5ry<{*Z&kH!`+7UlTat(5pP5N z8J(aX9_N3F4!8E)7omDv-zx8ajgnjC8)d%=Rptm@5daC-qr^L zC_0^d=Nrm_6rD*a2T^nuL!@AEl-!KoC@@$AgCf2Gy`dgLk$$UBFf_W*()M7e2!=!# zTH78Bqv#^CqF{J*sUD1ol6%G*bq*K7u*le#5z`QifSPX~Z+MTShzH>fMI!? zU2ARDXc3HxuBDnah9Yy?IquN06y4DEjEj;%dE*@8L@+kufq6qco+3Rs4<W0(DA7!QDja*$I8hR=^5x?N@UDH$F?aVm>jj^>lRFn?$?89Q8FZN zoM@_01^LeFhI$&*dbA!)kCM@P!#Z84svZK+U`Eu@+M6?kD$}9QIx~7y4`xSCT3u!e zRZ#Ew2xdi%Js(L}XNh2D#5Ynm&N>@~^eaPyIZeO z)>h0D!QAM%Zs`2zMLk#$y=--vFH}KJ1sY9R0QF0J{ey+kt9r0FdQ%UUM#e;Q9Ds#F zRjSj2U{TcAKa#XMMQBvM7=`rIcCaKernciMmk4z>V>d}s*HRSXOrcTNGK$`% zzSPSpdY@9Rpoo)&M)8#tal+70uA+#OhK6!AMdlQC+}1S|nKRh2axF!iH#CZ`qlj~d zhH^bcoIf;_8z}mre=9adKkLD!D9IZd4jVRNYI8hcX`0(OaDXT{i`lBjp1kWNd2J(VOaX;isKs1xxl zcSF6GDkF7M_EkpJgSJYNfHWNTiC}MqU;Eimw?VCQlVE=($xRy8{X$j6`AI{4fGT74 zFY3WcV@FHU`hy}kP%(D3BRC>fIw$;koaI?@_8uN_`WK zQDrj5UBU6nlzMQoGOZq*t~B%Iu+PV?%JhGN&F~sLZVg7c2AX!KKRlR&39U;9O-+s(BZno|{r%gqo+( z8)v)(_576gWi*86(Hq`Zpw<~taJ7fWhI6Hc`UX`vUur0CQe~N@?N()Z>sfAz;AVv<+#5x1Q-zbLhVl+oS`sNCg1b~% zr7OQzY3!^?7`vy;6=QczqHd>(nKH!zzfYC5I^Yi~>*~S7%KCcHQQ6Si+y^4KUs;#x z{)bTWv$`7%?tpqj%KH&jHtJJ7u579YPb*vM!ShOMR-?9Z29GM6^sm*E%I4OFJQ2a; z%H~wnPf=)#F7&Ko+#wua<1?YoX5JYbtDmFLHeKjNrLmJI>8%$+wa(bhlc--(Wk>3( z|B5O*vCSuVUD;I+-c)wigSVAE^`NuTn&_zApuww(dG~MJmN!uIUB8Ws=rc&*8xoRH>&VrfT{jY67o}uzC>*-4!Bjo7Hbpu^4 zIF>3m(vZna%4*O4|$^+fV=@lbIV(WAvr&V;4Bxh8N zB#G@agq&XK$T-_fsyx!w&Z-!x5f_^!6uvEw;6}rZXhjbUO5bA^*xuNnSebRM8uC092y}Z8i zJ#Akv&rh!Hq(l(9FZQ3pY{amu}SNs;JAY)q&}m&oO{f#<#7u6l8i^U(w+p$gYNlpv*g;jDoXMTl zp>?^dYNTK6vQx+%RbDGHqp%BxVY*Sft43DG1$PTop-$1{o~n_ev3-w_yQ^lB#)iFA z)oGgCR~=QC`>RIA#V-4V+*{S_NV2VJq;YI-6RPm&jGo+&g8C({@<4TLIs^xVI-$N3 zK_09cc^g-JP^fZd=EjCYR2{Fwf4DlKE{|1{RMp7uxcn(0Pgczgj}51(IzxBLnd;2CJXf8auKSFTr>isdxzAQ-rR`^h zJX4*Oana{caJDXZzB(se@VrnboTKd*s&mu!3qqc+nh72^`XW{5=|*3w&acZWRU^G* zmrFuktj^bUU#>1l*L_*YOI0)PJr`P8`Y(CdAqtI-KZNvUa#u=Eac7Vvb6oCkTaF zZlhv-?}fZmZQOg&JAAn#RD<*#81im)RrPFql$JI^gRz4Q0hD{l*e1d|Tb-}0AE$M+@j|~wbWdI zW5WxoZqFEBI-+Wtw%k5zNEjqCo5f_lA8eyJMkZEXJ{)Cmt~gzqa=kLX5ys~)Y(AJyaO zlYSHOYgMna$?w%;Y5R8}zg5kZHa_VO6x3^N@@LgpYh(LQp-yP7wz1(CRrS-PLQy@H zI-lAvEq_%{fw*u$^>o@kK&W!3)7Kgfq-sW%8V;f=Z)Y=p%)-G`zP7bU3V(AA{<)HNI}ElRMju!4M$Yd38>mQ9S*Nv)>k^RnogO+kwTTzd1yGQ zn$APRQ6d~!)p=+*x|+^I!_h*OyOz;YW2kDb&LwdP$5J&T{S3!ZH6#5D$5S;U{R}5i z_4beYaKxxq7c2POaXrhtsPM>*36*`J|(G#wDC6!Ubje88Iw^^C#B((YC0(mrwDcajHEQ2ih}ob$4{$1NFz2)s5U>yIOB8_)QM?0qnb`k z!x=(dXGUTg&O|}IY8TF`rc={!mQa0@k(!3Hsmgov%s!bzRo_E){Xy-W2$xXxtvYYt4oj)p*{{Pgs$$8m?;4g<70Y*hJFK88mh$>` zSV>hZ>-FuhimIP51re^UsywxCzttjKRsGy=hpeG0)&TpiV=Yy&9@w|TI;vuAuy2R; zRK+@B-wqq7ihYNDJ8YyXZhz_9VG~t<_3N;iYWlYIa7#_)t9@6rMTDDc$Xxq&*-AC+ zV@x}|>rfAt4YyGZyBhm4Z>QSee#|?lHbh5uXH9K%?5mQUBHU5aD~;iPu8>O$It)?>C>{GXia9>TYM27oo zDlg9V+AqSk8dfB;%?GG9w$D}^q}sSvqh13D4^eG=w&gI@CS+TVPz}qIP3?$lJ4!XI zQD#_k7ogePj#TDv4}$|3<6u%(U z$>-`bT&&H@bh#*0>3Q1aQcW#?X4G;?gcoZ%pA9e9R6d*Ga#@6zYI=WXc%`ON;tZE7 zLX}=P^q=r5)fNr;C%i_r#p-aKYD?7N2Gy3T!%eC!Q-@nrTdoebskTBL?oe%|I^3n& zDs{L=wbkm-PPH}aaGz>x)!_lv)~Ulos;yUt4ytWXheuS~s1A>*wn-hHP;Ik1Jf+$e zb$CX#t?KZcYTMM|1=Y5z!%M2|P={Ak+o=w(skTcU-cW6~I=rRY9(CxX+Fo^dN40(G z@SbXI>hOVT`_# zC)G}jsCG&n22ky^It--R8Fd&$wX^Clm}=+LVF=aEtHV<~LQ%RJ*7S z!>M*j9Y#>?vO0{U+7)#eMYXHyFq&%D)L{(OuB*dXs@+hBaa6mh4&$kIOC2Uq?Y25h zq}m;Im_)U^>M)sV_taqu)!NlzD%I|*!!)WrP>1PMd#DaGsMetlGpY7S9cEGOu{zAA z+7oq{L$#;sFqdl2)L|ago~y%rs=ZK$1yp;f4hyOFN*xwa?X@~ArrI0zjlv+~y?Qdb z+Cr=ErjH+u9xb67h8eKFzW+?!YOilNjFwUjgA1tNw0CyAdVlx9lcz5p-hJ`(m0A{z zmQjs&13hT}@UG)-$D>EMO+PU&r&?oQ(2Mr>9lZrtP>nYSabw=S@9e<+q|r*M@g5;< zQ#bzWExyXMifWCWLT_GozQ6mjXv#h7R$? z^ggRI+Dw1=eg=J0RPt=MXbb(}+Zr(XP1>=Q{_wpG(CVM#XdC_E`x~HadQ5h-o&I1P zz^83IJT%%te^hGIYf)h|pZ^@~q(4IqbL$g>qh0i8n6fwhteHdLXgB>y{nd{fyodha zPd?fd$EWeS^=L2s8L6<}M}J1CLmT}Wtq%L?&lq($K!3)n!$JBpP8|->pYiH&nEp&q zha>c7qB74#(-w6m>X3f2OL#N%}KQ9Zu1o>FRKr{-}rK51>CYhyF8= z{>&Om0|wAv=e+xWUCRCIUw_^B>n09&A?`u6L)?dW0P*mzj=$di_3Phz{(be|@cj2} zh&vD{^KX>-H_H4QW&Vva|9%M30r3dpF~k#yrx4E|oDU6dzAPoQ62__u0QM z;=@&lYkyz=8yEIBuIcZ)f8YE2{@-Zv-_QPj-ZiRgT-OBkkFLpGi*Z;6u^eIr#7c-& z5Uab^;IJNIL)XTx&G@htVq4etuD$rs25}JL5X51KBM@j_*D;9W5GNo`LZHcAXmZyX zh_evqAkITvfWUQhU52;lOq;*M-aMLg=~>x-NvS3!&>m=(-TP zE`+WNq3c3NbRl$I2wfLK*M-n^A#_~`T^B;vh0t{&bX^Et7ed#C&~+hnT?kzlLf3`R zbs=;MZAVx!sgBTBi z@co_$F$rQa#1x3B5Yr&0L(G7f2?2n9&xV)-F&APU#C(Va5P<9VA_!pddkMr+2mtaM zfc#$Z`_OOn@NabPZ*=Z&bnb6-?r(JNZ*=Z&bnb6-?r(JNZ*=Z&bnb6-?r(JNZ*=Z& zbnb8T>u>byZ}jVL^y_c*>u>byZ}jVL^y_c*>u>byZ}jVL^y_c*>u>aHH~O_3{o0Lw z?H&Yy&h19$cB6B<(Yf8|+-`JkH#)Z)o!gDh?H&t(9_~gDccX{9(Zk*7;coPBH+r}m zJ=~2R?nV!HqldfE!`IXYMh|zRhr7|k-RR+N^l&$NxEuZ2y%u5v z#3qRC5PKjFKpcTM0fFyL_gRQ@5a%H-KwN^j3~>eGD#SI2>ku~}ZbICGxD9a!;w}UN z+T9L;sCFZ&-H2*8qS}q9b|b3Yh-x>Y+Ks4oBdXnqYB!?Vji`1bs@;fc_ge^rwi}`C zMrgYc+HQol8&T~>RJ#$?ZbY>kQSC-lyAjoHM70M|?Lky~5Y-+;wFgn{K~#GX)gDB( z2T|=oRC^HB9z?YVQSBK6fzb9Kv^@xI4?^36(Dop-JqT?NLfeDT_8_!92yG8S+k?>d zAhbOQZ4W}*gV6RMv^@xI4?^36(Dop-JqT?NLff+xVi^R2+_M5=CB!O-)es1L&pL?p z5I~@3BgAHiEf8BFwm|@ko*fW7A$CFRh5#x(dm;8gv_b5L06skjAr3(thByjw4B|Kh zxav6xaS8&2^_+nKW<9{H2blE$v!06(V66wN^?j7&$V66wN^?T;VPfL;#h<$zue=;eT34(R28UJmHxfL;#h<$zue=;eT34(R28UJmHxfL;#h z<&Hprza03>fxjI1%YnZf_{)L69MH=Fy&TZX0lgg1%K^O{(8~e69MH=Fy&TZX0lgfk z%YnKasLO%69H`5Ix*Vv>fw~;1%YnKasLO%69DvIKxEz4X0k|B1%K^9?fXe~69DvIK zxEz4X0k|B1%K^9?fXe~69DvIKxEz4X0k|B1%K^9?fXf58Jb=rCwLDnMgS9+Z%Y(H% zSj&U8JXp(vwLDnMgS9+Z%Y(H%Sj&U8JXp(vwLDnMgS9+Z%Y(H%Sj&U8JXp(vwLDnM zgS9+Z%Y(H%Sj&U8JXp(vwLDnMgS9*`%LB7KFv|n8JTS`xvpg`%1G79Z%LB7KFv|n8 zJTS`xvpg`%1G79Z%LB7KFv|n8JTS`xvpg`%1G79Z%LB7KFv|n8JTS`xvpg`%1G79Z z%LB7KFv|n8JTS`xvpg`%pMd~td9aoTYk9Di2Wxq-mIrHju$BjFd9aoTYk9Di2Wxq- zmIrHju$BjFd9aoTYk9Di2Wxq-mIrHju$F%U0pRigE)U@H04@*U@&GOm;PL=258(0u zE)U@H04@*K@?b3w*79I257zQvEf3c6U@Z^U@?fn1)(T*)Fc1R36#!fTz!d;o0l*c& zS^=yTz*+&U6~I~ntQEjo0hkqlSpk?8fLQ^U6@Xa*m=%Co0hkqlSpkFyxGcbB0WJ%0S%Av| zTo&N6#zTNG3xru9%mQH+2(v(#1;Q*4W`QsZgjus7fSCo%EMR8Mg8*w5ShK*I1=cLE zW`Q*etXW{q0&5mnv%s1K)-14Qfi(-PSzyfqYZh3uz?uctEU;#QH4Cg+V9f$+7Fe^u zng!M@ux5cZ3#?gS%>ru{ShK*I1=cLEW`Q*etXW{q0&5mnv%s2l5(23&2?b&H`{2fU^Lc1>h_IXMr#agjx3>fSCo%EMR5y!YmMGfiMe%Ss<(^ zAV63VgcU(p5rh>%SP_I3hd~U70Bc3CRs?HBuvP?XMX*)`YeleD1ZzdGRs?HBuvP?X zMX*)`YeleD1ZzdGRs?HBuvP?XMX*)`YsL8xV66z&ieRk>){0=Q2-b>Vtq9hNV66z& zieRk>){0=Q2-b>Vtq9hNV66z&ieRk>){0=Q2-b>Vtq9hNV66z&ieRk>){0=Q2-b>V zt=I+u){0=Q2-b>Vtq9DDz^n+&iomQ0%!WZMQ2XO zfnE{l6@gw6=oNup5$F|xUJ>XOfnE{l6@gw6=oNup5$F|xUJ>XOfnE{l6@gw6=#@ZS z3DlK9T?y2cKwSycl|Wqy)RjP83BZ*ATnWIH09*+)l|WMoG?hS82{e^JQwcPcKvM}c zl|WMoG?nH%B`{F}4kh4F z0uCkMPy!AmkWc~%C6G`82_=wF0tBUd5P+Zr2ug^53Gpu>{w2h}gwU4|`VvB4Lg-6~ zdI?c4A?hVWy@Y_55bzQLUP8c2h;<3EE+N(>#JYqqmvoqasZV7AfiRa5<}$)uMwrWp za2XLUkAOg!%ZP9p5iXB~7zZ%{Vj{#Oh{+HLeHo!IBlKm2zKp1s5%n^nUPjc*hTPD1(hM*eHXI@)igH zQU)Mp08$1ZWdKs%1p!LRpri~+%Alm&2C*LksFZ<98K{(jN*Sn>fl3*ulz~basFY7Z zfR{3ODT9|XcqxOI@&yP$QwB6;KvM=ZWk6HD1_5%)Ag2s+${?o{ogfKT}z1n?;X zpYj6;FjNLZWiV6*L**wB0I3X+$^fYhkjgJ1KvNksl|fS(G?hCcfK(Ysm4Q?lNR>Z9 zfU7dNDub&sxGH~#09<9jRR&zPfEWNV(4K+=aIk0C2(OLs+6b?W@Y)EkjqutCuZ{59 z2(OLs+6b?W@Y)EkjqutCuZ{592(OLs+6b?W@Y)EkjqutCuZ{592(OLs+6b?W@Y)Ek zjmX-Ftc_6Gh@y>%*$9@6z}Se1jY!ytgpEkph=h$u*a(7+AlL|kjsCaM|2F#HM*rLB ze;fU8qyKI6zx~oi_uA-Q8{KQ8du?>DjqbJ4y*9enM)%t2SsOj;pl2QQtTPZ|5X4}J zArM2IF*uBc7zZ&PVgkfOh)EEWArKX3D#SDh#K)NdF%x1I#B7K;5OX2sLCl9(0I?8a z5d?zjAgB(4>L92Ng6bfs4ua|+s1AbaAf66l=OAJZLgXM4&QXYy5a@d6Dg^r4LBBfa zNC(~Lp!*!~>Y(!+beV&Wa?nu@I?6#ux#$mf7{qXh5fCFGMnQ~*Kwr7&D;Is`qOV-^ zm5aV|(N`||%0*wf=qnd}<)W`#^p%Uga?w{V`pQLLx#%kwedVIBTy&F*ZgSC0E_%pC z54q?e7d_;nb6j+e3*ue$kPFz|4G@6c1?TP-2=tn}4PrY4ICs&B?kh^zY)0$&gp5q1$__a($Dh}RGZxce5O6XG4jdk8?_ zeuVf00UX>f5MLnxh6^y<9}u9!1s$G%z}Ltd2r&p^Fa)sihC+ZH5A1kg#{)Ya*zv%Q z2X;KL;{h8F*m%Ik12!J8@qmp7Y&>A&0UHn4c)-R3HXg9?fQ<)iJYeGi8xLPG5A1kg z#{)aw5(og~0U!?mc>u^;2?2^cP~?Fk4-|RpAb^qwlsus1ZGr$#9(eM=lLwwW@Z^Cf z4?KC`$pcRwQ1XD12b4UZfK#>Q4 zJOJbYAP)d}0LTMC-ZcnN+Yomk?n2yyXomo1-UA4*=7BX2ta)I~18W{w z^T3)1);zH0fi(}Td0@>0YaUqhz?uivJh0}0H4m(LV9f(-9$53hng`ZAu;zg^53G4$ z%?E2fSo6V}57vCJ=7TjKtodNg2Wvi9^TC=A)_h>*12cad1X%OInh(}|u;zm`AFTOc z%?E2fSo6V}57vCJ<^wYynEAlW2WCDn^MRQU%zR+x12Z3(`M}HvW7S zGarQcAj}70J_z$cm=D5y5axq0AB6cJ%m-XP;PL^NzXt+@`5?>(VLk}+4?qAjADH>T z%m-#ZF!O<#55jy9<^wJtaQT4C2V6ej@&T6*xO~9n11=wM`GCs@Tt49P0hbTBe8A;{ zD<53>;K~PAKDhG1l@G3baOHz5A6)t1$_H0Ixbnf353YQ0<%269T>0S22UkA0^1+o4 zu6%IigDW3g`QXY2S3bD%!IclLd~oH1s{mXD;3@!80gwtnQvjL*&=i2C05k=lDHsg_ zqyiun0I2{-1wbkQQUQ<(fK&ja0w5ItsQ^d?Kq>%I0gwu2Lx8IQTm|4N09OIH3cytW zt^#lsfU5vp1>h<0N?@u7XY{bzy$y<0B`|- z3jkaI-~s>_0Js3a1pqDpZ~=e|09*jz0st2PxB$Qf04@M<0e}ktTmaw#02ctb0Kf$R zE&y-=fC~Ve1aK0-$$=1{PJ%i)1On(upeKQz1bPzaNuVczo&dJ^bKpeKQz1bPzaNuVczo&hf;tK6B&d_1PJ+4+)P(3qf57>OxQ#g1QjY zg`h43bs?w=L0t&oLI4*6xDddF04@Y@A%F`3TnOMo02czd5Ws~1E(CBPfC~X!2;f2h z7Xr8tz=Z%V1aRSE2v8S-x)9Wbpe_V;A*c&MT?pzzP#1!_5Y&aBE(CQUs0%?|2(3qf57>OxQ#g1QjYg`h43bs?w=L0t&yLQofix)9Wbpe_V;A*c&M zT?pzzP#1!_5Y&aBE(CQUs0%?|2(3qf57>OxQ#g1QjYg`h43 zbs?w=L0t&yLQofix)9Wbpe_V;A*c&MT?pzzP#1!_5Y&aBE(CQUs0%?|2k zdLhsYfnEsoLZBA{y%6YyKraM(5zvc(UIg?apcetX2-HQOE&_ECsEa^d1nMGC7ma}c zdJ)izfL;XjBA^!my$I+)8<`H5ZA?6Wc9wFutVjdyp5n>)8<`H5Zo%-kh_5c32lmBOaz|^}RAH2K! z^4;SXuOF}7vT^ICO`EoD+9*aFYC(er3{bWHch~>*pUd+H4HEh|aA15?+gS(wQ}6oM zzyG!GAEDgp^J&+Bzvlmwd>r`Kc>Xx(FY(VmG(i1-`b+Qs9@!AcWwr|_9ebYwOmO1@7iUGr6e)IgX7&IyQw06UeZR-D9)^FG- zsB7n6qyHNH-+zr#O+%0mxBaz@;^XqW;dZ%{koG_kTFqzu*x|`1k%>*>qX6j?44w z4(m~``drZEd(XmF<$9&E{9DcW=*xs&UvlHjx+av4J$hyDwV3Tm+Te^y{MBTX zK2v-}>T_=?cxL^F`u~^pKwJ~|P;b5alPK_!+mT$QzS6i2|Mj2Calfe6wq7TfQpeuD zjQcg##1eI~C$67ALyunKTG<92d{~dqTnB9UkK2u-`tSen)M>TIETxQyw=S7cx~UAe z&OEBK_x4=Uvfh7l%gol|T&z!8-v^U?9cF2?Fh1jy`8cnVP5lA8@{e24+jg7}=f!_h z{v#UQ*JMymd@AVYDCgvY^bxwG!KhwYO>bDue%XCcpLt^btokMCa(G-;o@`Oa*rKG; zH@uqujS@-8UhlZh{ajwx3Lo{K@-b!FM`?7=$7eKs)n|p9lD%IOoSVwK$?}$-k8)^9p)vj9a9h?flKP80W&Y!_DWCuSKU~?i*yrg)!$+5TJ|WiRwD12{ zi7Ngho{h(!N%i5yIQ?P%o8fV#`V6mz#>&^j;^T)QhOu)nh7nWdzZ+yUEV;@tZSVhw z7@#k4Q{4PdBbC>fw$J}#c&y$MtG|wpEBQ8tD^cCIIkx>6C3<7T(P`@KUgCQr7)OPp zi-^RLj6bC%+d1NK`LtiGZ)y!Qh%+!r_{{9^kz3A3(>L)?pAR+mYPqIf9a`OMZTZ}K zMZN9GI{*IU8vvWxEw=9=oN$U-dh^~d$1V3u&r&%aA)DQwyDFN%lGC_8}2N5(l(u4&D%8pdyr7Z z>21T6zAS5mzbj$Akb@;>G5fvRB?{zrBKkkkf{d21#+8uVeM%(3b%~ij) ztEj3>(QD;edpexMy`tP5{UQu;cJ9nI=E`B`&Yih3IwyOgBvM|GUC|Mpn+>Dal4yA$ zhLwAxMf5g+F9r9y?_PC2rUK&%Ckoe-+2tt&h19g{lhJY~8?;JC%8I?w6w9F_nrh=` zqG_0&2Y0*s2jK0Zv!{EY=LYPd{Fnkn(ei~nTDP7pc!M5nEW8PdKJBcjtFNwWtZQzl zZm6klu4`w`K}mK9vivK|kxzxvi<< zXMGT)iC+|Hd@BNkbfF#lS_JnQ!g#MpS>os3eYW^HGRYA?MJBo8XGIX?iJu~`eDSl0 z+LjsaS3YfB6`@mq48_=Q&=>V@&{TZQg(%XW*t3W^5JKHY z688h@LLUG+9)OhG)`JKx^#M?a4q3oq1S|>_%MlCcAgzv4Q6EE17W?$T@&rjd3D`?Q zfKvoG4S=#x9XdmRvzGrkVtgJM=eAy;^1KM3rNKIMiA>Zq zA+#$HSsqg98cDtmfN~!I*117|n*dl5()E^Qc$>g?NXT7M`5x)iiw3GF2$pU?p+B&+ z15_y=st#1}k?O+Wv9hoySQD}CMRjYR_&N0-aDQL?ybt=Er<9Lp@&?j8r~3K=>uYZ7 z%NTH2>1G0J!>gE;@Hz$(z_El|+aPzskst@c6f0GvV!eTA8s$f%qrR1XzL6w@@*pya zB#Wwn$Yzop=9r7NSUo2sEssg_iF6L-RTPloqL7-OC}Ng#S(|y{vejxn=kD>hyt zuGw&bthV7oH8(Y7O;}_pi(Et^7gN!QC6eN0RIS8PDmk%CHd$87S%q>|VFjyDL53GA zS%p=oLX~T5+k!j7R|Cn$FsOz>wE)`W7b#NJF{qvdHL!q26ae5RHc~TyHwPP^Xkl}$I^NI{;LZ?bRHl+R30@#H3wCEVJFrdk-}pVf#Hm z-^Z14KQ^&iS7j=m1I+3mu{wk->U>2|n(gx-#Ez~$Y^n~XK1$TbK8Fkg=1ErM_~%77 ze&X}e)RcxWDR+AU`#m5z^XH%&gy)&`?4L(${M?^I6$IBS!Df1arDFF7B$p&f>6DI* zcOi?Wu(WO_p^i`VaG73V%UtD>yN0Zq!zFf|NpFy}n=I`XlD7D$SY+J)i93XPmr?Jb zLf|6C%>ydk%Ut^i^**EavqBG0L2xJIk|6g1CV5CCkB}=QKbDm3C&&U^&$uKAd&(rw z2=zIWykL@-NV3hZTPWoflf1Sh(jgL=@&>Y-+bUCuRHnTFQi!L&vC?J6n@DQPcApi( zGKrPUB39YVDu-%`oWrbgsg}sR&xuw3=fEl&vaBp%+CpMg#H4m8*cO&L4>JYQX*4K{ zskzD$R%QV;PPvf!JXxwp>LQe|!-&<5tR z5kPx=5bC*!L7M@z&sPsY5lM!@&J&_FC}4l6RmoaLsUwtn&U6Etyiq){q)k|<4`g}v zLUt`IxRpq^FzHrVWJ$JBJCNHsmr;~{Fl+(tASHH?z;P!lvkOTMg{8H#wB3Zdho$Ug zMfY)?*w1z10M?1ac_D=l(lbxeI8YvDg^zF^J6QTrW_^q^aGW!6g3IS5i#&xQkA%&6 znzcMbTApQA=a}R?Yk2`#c7$zli3SU@lSsQ1h21ztI2s%a%ge~+m|qL9g7mQ9D?oMJ z2f<)TUS-fV0G;qbXmIWrC3cJ_eRYuPCR>Mwh4MD%1pCEPp%)H$7m|SB9-FBbEf4WN zRb<2as@#VCDq_P2Dr&<4w#7pnp_~rr#cz=E(RjK0CL$jT9i0NdR&INBUt{Ex4-pUP zsrcC8MV^T%AM#v$^xkUZ3o%tRbv96LuE3Y$L;EG&b`Kk;#NYsDk0M@+!&F7mb{g0R`>sth{So6Q; zW5Jw9hsPL)sUP{}PUS+?^&)vtZ@ox9Slx@vfuiyv1yD|2q!0?pixjB<&0MIaAI}Hs ziYv4DLy?!W67iALM7u1Q@U>hx(KmG=(Am4hr4xjY=2|2^5+@{HJmKFjdEe(rC=a#5@D@l_TFMXdO|$iuJr&@pw%&z!AhsME^v4Icig z&q)~4R({@R(-CL2nCh77^eeJE6{6ORS9!!$pGT&;TpXSwNzpASaF#;~N29tWnl?4n z{gtpTegg;P^kTr4}TRBNfn52WlM{&t|20c;DywgMGI zw^1jgwllM+>agi;)W@qGoTHuCN!hm+bveW%(>4;-~m zI+pu>>_qSRiXkbF-W84Bl^wl9@?qBZ2$OcOV*GGY_Tkj)W}gb|IEy_2dF%^=c&M&$ zsD3|OmS>oXCMgs@s+66k=nr$b#HE6ds0U$C7lXP1G!O<|W>61+9)>|Ycvn{e^vDI- zvb@ImzmEBS95xw0niM^n)NMw+!*cGjsqRU#dao?9*6+ize&UxU^acL>b=8^}fufw2x2F(GGaKf+xhT%|N zq=aEb)S_Z@5ti!0uuNm~$ON(Zn01lnn+;4HD`DUQpo8c_YM`-FX19nMXpHAOVoNwz zW!OMPx?ie5yHsIXMyNz%Ji3q3=sw1y`xwuI#46A_K)Vu4RAl-#8e4}vfNVYLE&>zWn8=eJF&xQ@z#KQiHnW@v!g5^O*}4$pel&*ts0hz( z$G9VnVMi*$)7!CnR;~e+PJty=v~DWZyG!%#!d7e%KaWm*OnLRnWTe3Ky~#>5+35!S z8|G%3yeyNSZ3=QtVV)_{8%@n7Q@h#J zMNECQX{a%cwWg`gG}oJ!2GiPTwltZo&1PGR+1_fRTTI(lvtygtx!vrFn)WucdxzPx z)9l@4_O+Y+yUl?;=HOm)XrDQ}-yAt$Iu4p+ht2UL=0t}%dDNUbW=)?7MgI?tP~3#R*`xqQj=bebz&=4!XOcG+C-F*mN5n^(>4>*nrFbMKbv zy>0sLnEQ84|2^}d*9`QThxg6n2j=NR^X!p%{@A>DVqQKqub!FL&y8?i7?JYQh_u%R zCLmLc$P}qYWQjB*vPHTPIU>V|T#;!+p2#v#Q%tJJF=-;#WN6oaCVuOJ?{TiD(oF5T z(2N6QY1fTr93We}E;Qo+IokE083)MKuJ6n^K%PdYnbW`iIr%yp{V~tsbD!eK<~aH8 z!B26J0*wx`6EG~)d5HljX09Yjk~`08p&$xx6KODsP<5B~Gz> zFfT){bPsfsOWOt7J)U752D)#w2`poq_KkwzET2|})Qzw+TOfOExcDY`X3{rCyN?v|3jr1Fg|310e51x>KcBB}`wdD-))#)9$&vsI$*!yU6(MuS=T2_Z_y12(_3|8!t^cLJw7R{|5n|c5PzF) zNtnJJKFa$o%eQ}2Z%LTmrne?c-=Vi9Oy8;9Gm^r3?$UTjQrzkbr(L%tNZqY>c&U!F zNAC>8_Uc`M*goAJi0#*SoG!27a1LlZMc0iT)O!Q5Lwa8zc3AHZ#Exh@A=jnr&VWQB@zMR(1T@~ZBhCFM1Jd6tydbwf4@GxSeFdW77NzN4p13e7azfqF-YD5~+OX@+?@SIwHkAnt2xFY5KL* zAYC|L(!!FPAl(tL{p`!Cd2;#;E!_9~S>zy73wJVL7SvfPMXNWLop0) z;T*)hlY_W-auD}U4&vU)!KHXvh8NsBIf#2F2XXJ@Anu(U#J!V)tMGz5b_ZAEWer}c z@Uj*!>+yn{bq8^??jUZ~9mLJLgSc6D5I5@%;%41J+^jo@n{@|qv+f{n)*Zynx`R!4 zX~s({Ubf(6D_(HV<{<9b9K=1FgScmN5cg~j;-1Yx+_O1|do~Ag&*mWR*&M_@n}fJ# za}f7z4&t88LENW1i2HO0ai8uW?$aH_eY%6VPj?Xa=?>yP-9g-^JBV9!2XTw;;2FG} z#S3oH9XyYh3wXJRmrHo*#7j3`F5{&KFIVt#4KFwFg8Od=al`E(Zmu1CikBC7d4-qP za1kkZNyAGzUNZ2Ki5J{HE3)x|J1Yh5oE5lfR^X;tftzLpZkiRiX;$E-S%I5o1@4y> zxK&nQaSJSNfyFJbxW#hZYFhWj{}fBvh~w|L9ioq}!v4^}K2caEUQ^Rp6KQf*emVA+ zZWjH}s}8DzPP$eHU~y!z-TN8axDNNNw57-t-oPTA4V;o!!8f_-e3O;RHw9^Ylg)cb z<)-zRaWqF z;R^R97D_l?I$#pCA{uMefCbd{8at?in=fGN1Z|+8E6{i;z|n3@fi$}z1v(8IhjhS! z9h`2=LUG$0VtQDCdrU`s`~~4CA)cl^b$VJNyhLesv!APOJmW+JiPCWYqRS&**_q;r zXxy}TUEK7U_@Qn=c!);=9bKM5y!d-4WLs#!mx>uIC$ssEwlwp`W_Onz zYs$EvKm`hacq!u8MHn;rn??fJs>K^ubiC1L^^m z^zJbp{|m}wd`|~}S^OXm%%7W%_;64AEp)OjqQuvDDMO6$h0CupDfX0*M z>I`XQwH0&h4owfi6`m*r;t<{+WejU(RtLDcijfSuVkW>j06fra#=R^fZZLzNdLNYG z-v??b4-jmMf0>qw#;_F=6mT;DX{e4>n694MXi~1?mML1mV*z?VF8Ps&sf_H|*kcpQ zeZr0Sq{BoBumEz;&rFx}}0;Ln%qFaoV7L!Z~C;Ab%jtyMkuG*N!g0#FY8q z)zi{rhET$EuW^E~wD||PC^o}l!gT~(M7md-XT-z2GZyr}c;}`f1gP*AqQo@H1Ynbl zARKyrNQbLO=j8%9dX#*J#f==>0@Vv}fS$4G4&-3m<4F<|aBjD`#e8^NfPX z_fo(zsI_}73E1Al@k(QQ3R&2{lJ*!6Xdib^R*J*1^(oxxp){8Z=?Ez{d;Vnx)jVar zp)RC&_t;b0iV0T|C^&H^Dx)|yHO7s;2*!`6OY?~D8UgeMj9Ksl2 z*Eqz=?Ru~Y_-rp}zw;rgN6UGV4RaRjBshVdl#@t`Jze3>#`)~du$Ir~yUGENlbrZ6 z?BE9=rx^0OD8N`bg%AViX@aWMdE^2IHMhBtc0k7!no_X}V9{d{W6?txSA_us3*26b zhHxN-9#RbT?ZI|~7iE=2FTyI@owLOGKb7gv{GnWy?a2ksi3UCKgO@ME_lIbn{=k`Y zLivfiCV;h3w?G_h`Kh@}+%iH#*vyV&omPcqIHL+TMT@yU+2Xj!#W8=GO9y3io)b$J zxrxBcqMv2R!V-dz(9&Xv0o^%Q!W%2in@AzxtRWlKF@NI_G0_g=Bu zqTnL&pg|)MCKv^w<$)^}85R&#iQv`agVu5@(sZ80IhY>056XgS>h@NstEGf$h1`it z9527}l8%7q>5{0T`4uM!b*HYajjS}58PqcmBc4(o;{Iu}^Jo!B&_&gYhLHg2i=RKsoh8;vx9v1L}O2q?_5Obf?S4fUS z4vR+aVfjJv01N04$H>!c8igG|g;W2-q)wq&8l|xUVYQ+m%OdWygv5#y&uPfh6vwg- z6jvyl$ipkLIK5n{!GizNtV~lUDEuqwk;F&|ltV-L>H`8)Z>%0xhj^F3*miBJr7N{n zx`#?*TLrcbN{19~jc&X0Q*aIv=xld}6g;(y_?ACmGXHYFWqMGrts*sYn+~z5Kx;7| zgd(!?JZ*rA=YvR3%-wXI0Soh%oQtDfgdiJFK~y9DYpREcoP+wXB!YiMNZ>1L5<29H zxsR8WC>`?&zXY5ZGBY#*9ZE?gDOvh->6C)H7Hk0?g$!t2_|> zbP3EisQG^9NACb;UjA*$lSkifln z;TQr=Ad@cJI90rixf~Gg_Wg0(JSmn3sOr)L@JM0;xd9w&NThY?lV;V=f{W^gF1zU% zZbn@U3|(`%)bSaNhr18;pt0g{W>VVb%oXN_u0sExs>~%3&;e6y#lt4abh1w;iE<&ur#lb~$lFlH=WmgOmKZ{nqf>J(E1%^Ao8RfV`5 zd=-9}j|6!M@pE(X<+cmk3gz2@EbGPkf}MaPTg%na;({(1x@mw9Sb-FXVa3R>)ER%~ z!0m9%K_p1RueC5U0eAKWyBs@F5!Mq^cu=md>#VDZG&eQYfk))p#+s(anr85`+}Y?% zIsZZYs~a04b&=-k#^xIE+1$_+i8O%E+!R5-&a)8^iA1U!8k(DGz{@l&Bd)D!_zxA3tO%0lz!o6* z4|v!!(Aoc>zXv^Vqdx(NJ0CMWC)^d;;AnfIq6pk5JcM))Ia{z0i4SZ6-H9ZA5w`HaP z=eFz=;M|s*k^$HJluWo5q-4RhC$PANz^6W@=z4#gIVACV(7a4wemP4dtU=xIMBcV&>8{>^+BIpZ5I+}q4= z47j&h-+1EQW`Bb`l>vvG$&Vhl+}{*|`{n$}Ne8{Vr|76|tr6d|s5Vk7zV86SoXIKl z319H<5x5rqJKh&fPN8G?x&MyWd14TQ`MVmN zqQLE<-*~Buf8!x95kGKTCS~FW9`@4TL|wvVzv%$|mj8PZh%f&)RAR-yM&MfUZyj)5 z`LCF=>fegsTKR97zWQG~tRH*GXqEVGhh+ozYsGgxsq4gdtpP9_>&5p-1JH4U_+F7K zcBA+nX#+T$#P>*fxZf=4%z|~=%d{R+tBe(UcmQVZfn3vdv0qRqJ>@F?;ycDE&VQX z9Mnz@n0AwdJ?Qet^LcMQ6YV9)K1;M8nHB{6G=e*Jf|Gx$`38t`TW_Hk-r|rX_Bo8M zHFI0<5)nHuv%bqpLfCyI>AuD8C+r8L*8u9Z!1sFv>>d*KBa8i*u%8h2Q^d|~eTD_R z(63HlsOLoV!V%8bW(bXu`30B83pa=Q?063h4}6A-hnPNGH?`M$JT2NX|l4 z7KaoEH%LU1LnOIOlE)SII$_H1j?-P27-SQ8rtowWmQ z3pw}|twRwT-!fEg!>vQWy(;JjPHasK+=wEAl!`-Al!pWZ3s!)i_YLShLZN7&$>-+&Pl+2_QQ{dR%{M~*j=$W1fWP5 zbeO4*04U&d9#!dJ5cZ%oVX9*cIu4-PFz5t>@NA1X-|?pygsvm&!&GM&gsvkS!k}{u z!p^oa47$J|^!?rx23=whI+$z@gSr^h4WO1V=rV(P0Mr@=U11RR%Uii`0~ z-Qaq4Q>NJXEi5cZzb)WMimhodH1f}95qFsFU823m_1E^++x*@F>H8R!`fJfIBbMz0 zYz*7u*s^;`;kt)swvQ1PT*8J_c*1<2k_xsL2XW64w=E9$1>?RXT4gUK`i?eam;tw`$DWd>pd{GY=y5Hi!slvxOh^M9UApd3M=JzxVUY!4ss ze-2iZb69o(pzif$BdCx;MF83tDq=a8LGwt!e6qbPmbTT(5-MVeE+_Z9ogj8d;8`9T zFNCFbsMRVLVM!ba6)bp`C$6q%c{C=FEQQpA;p2SdG8M5f@;xcb6>tO86)eAk&h(Kh z6-ix%y~`or1tsDJ-N-|6K_qT2DzVx)>r z>Mo>en}pXLm*YJ{7hE8X?R5 zXz#P(xO>o9V6aw>{-=b?&Nibo2()9V#TnJ+#6e8dND{0YxYP;&Z8n zDeQ{x1p8WLy#WJ8F?AuT1dI1>So;OkFw{a~UMh<$(?t-y7sg!7a;R5UWmGrRQe@ui z+8%4RT82#emiq4cA#DZcuY%;PeA{8=tfH}|svNR~u2v64ZTgy_y*8|(5>{)6&e-@m zENNi3e#jnYr8Z!tfcVCtCpO&lmf)M;QhMZ_W7DhO6?y%Rsu4f#a_2r&t@w#I#h~iM zkG**XRWE+xb@r-3{KWBS8pThdUZhF<#Cr^=X7LlBL5uitpF69emQQ{pp-|^l`6QgD z0BX153+(-n@wVVwY@By+o_A1qF4BV#ww-qv2%Q?Ccx0`1k_mRjAnPEjolAN*wp4D3 zDmwX*@`zfsP4d|S@IV7k>p#W^f!d2FZaoYM-KQYwQ7CCYHv7ln3UiQ)97ox}$j(f- zat};?ESh=}YMJT?<97glz-c(r9%axmVs)Gj$x){`OD8@rvLa66QA*Fkt%uJIQFLyI zI!j%g;z*9{}#=_QfQeFoF@x(@XJFKk`ca zgc=({UW=bPQz9*B;8^D89iT&csIA0!DkMfzA+cO4pI9E254a7_SD+J$OVzEhIg=l6 zxXn3MFgfM8io^=VPr5uv*5t=0Qz9cIy9muEGDB&$-xgW(LN?(;m15M%#>(D;-9V3( zRBK~Phe@wx)cMAiQ#Tqb$8L|!5}PM}>XmzJzW8ax)3X>(9Q7h4;-_8Ez+-l-?9wg} zKaE-p=nrBGC#Q(fTL)ql-|>tcD}{qhy$mlB|6|9L?{FRKR&3R9#427n+yoiV31xis zTd3*rj@H+3WvJ={Se&DEo?40F)QZRtbsFFao-DEfVROQTyNP*j1{mm6k98Nq$S_s3 z>Ngax8Fp-XEgP37iDPxxaf-l{E3}JPGnWJosUfHSu+(zv- zww-8pupUvi(N4}n+i(EcP$-aa`KT(_?vI%#TG3qE|d>UNr%4fv$>Sx5`8dvn|toM!2NY>5Ii1#hd z$8FaC4)$rHBrNNRxMdZh7y8(<&muPZoMpXWSuctAP%4&TZtD=AY#Dp?hGf1bnM2Mf z!Ba+yKvwwZ)FD2{Z3sIQ5jZ^+8SO7{LZ>wHlsu!y3P%-0{5U!nch2(|Iz7(fmAZ}+`#S*`nLVu6~FMuuOc*3v< zAH6!nozxI@QbT2Iucgd%88wlioT^_2VL+hC@_5(n=k@;>y9U#6L>k)TyLsjC})Lp})VrZ@SHT8+m;|;A768prV zh!qhy-W7T91ndw_z={>2<~G#8;_=H8pf<7iW~#nJEy&LmUn_o1ohM4H6VmH&hw6od zp0vVejaJ|;W(Ws=5Z%tKqq4}x+g#T0h#J}mpC}L*m!vUgWU&HDwBEjtJ2;B`QM$kRkq9HAG*lhEB6-?D;!rbtF6? zMBkKH9Xhve=qwl8Inwex6Y>edLl>!c8M;Kh%TOndlpr@<*yBRjt;%h9nQOc~Ia93h zErI37uirygNyarUzw1nMgAH|)s|wGK4&6rM1Nj})NmPY1%mc$A8W;}sG3|ZoC5L)Z zFA^TNL%MOao{~*d;9fa-uzu_h(2r$J!Tv5r>&uBK=1ry$Bpa&Gn=Aom#{tH&Z`q=Gv;12muKZgd2GUo2J!->>zwIIp#St)+uLsp4jL_C^G z@ry1mvReG2&x@=PzleH~s=%@3Z`O)mIv!*l9Cq(T*5j$tu1PnDUv$_AX0%8M?=ZGE zbA^a_kaqckKosZvI;^q=Oyk2{Uz18?SDzM(Qgy~wr>|%9y`8G={vtoJa_#i zR6kK4;?e$B9Ts=@U!Aexo^O-9z26~T`@TcG_J4uOEibldj1>m6D^^%EB`0rc^{|jNq_iu_~xqkm0$0#iC@8!bxVly`rjet)==7w zFF%;`;?p8Z=rO=_TV`E&E5Z*V0Zp50On->hD4_a?t;nPa&c3TJG@alwN2Vl9a!zqXZA&;Af z(_|6Q>L`x-^I52HJK^qToIT9f4t2$`P#YNDN4WNL5|AE1Tmc`;+;@7^mnS!eX>xPePHu`5 zVcYUM_%OYL4DNja+vu_cmqn58n9iZL0Ve8vlXG-=>Lw zDRM`KZ_~xUc;mmfIHUVf^teMEsK_qo%x}Q=e0V_vJHE}D`~!_fF_Jy`2k+tiHb?x( z7n3V~)aTLUP5yx(NP|--AH|ip3?O9AZ+-d#@ed>hbuJYDfFsklJf-q>F3yLz3eAId z|504^3&>M@{ui&joED28`kI!AANqM%F!?)Q>_YKFcuBsNzy_t?NcEU4694SeFP{9J z&v}XX=W;LGWs|=n8(d4=2metrgr!S<;cKc3!KSAY)il0Y3Tepdy7kQB#;%E5o`*x=o#3k5ocZoq-evPHl zE`HXBz};dnqD6mzF(mHcT<&F!_R;+9+x;|O`}TkU4FT^U&f7wGNJ1zcWI9uUg<5Zq zVk$t7y(zNc@i&yu6K^6me)3J!hNsYmVj$3pfan4n_9BbA#LPNzxC8Jm9D0d|VWMs( zy39m9OvFbWzrAXS9=X=H&&#*hM3?2rD~8|RKqB!tU=g>u-<zMZ6pe4cPD>GC4!NAlaoY)T~043`B6LxDC0J(zAv6xdc?Xv`8#5UGW*33 zohk7&P|sbG2VeAiBmf|Tg2ya# z#@?lT@yKQTE_L#E&=tQ|Fp}d&-og`3%*A1!mkm$ zn7V;?MfBn2-CViA;?JWm?C<8sp!oyelFxx&ymo7^)wPU1I=x#uOuQHUNs+}}{6A2* zr$gf20VwtVyqq@}urZ1F0_h{wt?-~sZ>|Ag|f;!pYjH_fes z7I)QHhsCKJ13rMedJJ%7y35Ztns;lc(Y&jAM-11FkbreKVwD;09I9owL4uONXd}J3 zyxa6HV&j|NMQs>)M*^$qYx6tX@dAGB2=T6a2RtFZe)9Kr$(Y?6^KOgykuxPT!4#wt7UV|9RI&{m;7{G>U$=Q&FMp8g?vk`!EoLyxm+Nd$>UM4wJt7 zhDqQ3iu606>>K#IgDPU_4pG1MZu{gPaDm*r!*75u_aX0&h#!?t$?Q;xb>Pwz>1{V5 z3-f}8cgOIXlFVHmEaDUNed*mv{JsPdPK}Z#r@5HVa50}9BaP0Dk>(HR`_;Siqm+JO zl+p+2`_{XQqaBvtC3;%D>*T7?g;fCLbdUAf@bb80!=CXX8(vWazpALDui+C6@UJV# z7=$;tS>5Djaf_S7ZEg;CxY^u|ktL5${=qIOq>}CzKf+1XclYqwD)U07duR*V`{HZM z`OzCH&&OEaa*kU=EbPe}lJFGkfGh}A{Aa`2R@>)X{x61sk1TZQZLy5-l7x{IM3P0p z$+3~NVVmud^taYLBNuvDWz6CvSp(tda zI9N4CHeqFxC2o$f_(wLs>9VpT*o5VR5Pr3-UJKncD()Jz5RBOZt#71OI+lrDZz~st z3F>jwC>Oh+d z5Kp_p7I1JHM6L+Kcur*G0KzH)Lj}O+DUlJH5*ay6(;Fj4=)o}3fwluQy97b5bf1nS z^B7zGIO%nQ#(^UzVHAZlr%>D~f6(T>laHMCUX@4A1Yc?sy(+^PrRA-YMh@nzm%0DE zOuhbe8~7$a8#MWpk(GXh@Jfg#mhcUKq%(*)6im$=dAo_HcanoQt zZ6((vV0z1E8k#(s&eZA&GfB{!F@MmrDnaF>2_uV6lSGNtaeGCWJ#ud!1i6C-a;@K7 zJS2abXe2aE6gTGl}i&tR%4h93R2qp|7<~@-dYk-_y&I+wz6D5y=H!v z=AnOeWKbs%QWB0%fnWd zkp$IgrH{VKzpV^X1`2<5Fda&~IswPEKAUy^?fM`kar8R%VE-p;60pQ&3p9KES;W7s zp3c&?%$i_2C|R4JTGsn)8vNVFAY~xiO+HF<5Cxdm`ET@AN0^iOY>SV+)xX^qqy*-& zKJHl)1=6UG-{#-$2$BXn4B*lb#|0H=_epotE%M$Imxp!4xi1@L~QuMH_Mv;pJY7D^bLM2Xl1A^%?Vo9DIcAO`B+;L4D)U4iXV%%B;a_# z=XjBBkt2;zla9@NfNV{`^RmyAzI@_`#?NSo8th4|lA+-$WP3}3YDu3uEjoPN#Qp^t z((u&R#qD=!tZK32hooEmRRdlZ(CcfoTAO=1Yw1&z$WBXBmY(E+r+k=gEOdoQL7W;B|IFE8@I3LLV&gmWNs6U_|jT_K&pE$bM z*L+Ew=5m)iU+OQ17^Ts@%~AXiv^|0DD}3E6g6xBZ3Nv(aw?9SZ_Oqj*fhypHoi2>> z2bNLX?Igoq7e?30BAoLYU5BuJE^nLy99>V-Vxx9Nm)!3g4e_lz83;E69Rv|(Q_VCr z_+cE%^R=}tRUH`>IEn+ca!b&a;tbl)g1z;4Jgv&8MSw^Qk=M^FqBYaG6{rUY9s8ovc?E>($M? zF0)=eRBfTyD=>G{Lp^dxAWZ;YAIJU$q#ZBquzb&FxPBenmg8EOa6|l9a;4lmk550l6S)}?%~I< zhnM%luv1KT8ezR**comXxNaAojDatXW9L|>^SC}(-VZ$m#(0I)82KO=>tx(67S&B_ zipMTvJ|Me2T+{5DDB15nW&PnMj7A=WTh>)(b`5j`9=ud)>;`T79lOcA>8UUf){mbG zWAs!QyUXUj$9ncME$>hpyU+UdqdDY5*A8U&2TVMGHhL6>Jz^anBP{G_V(bai;a&&O z|C#Kt;d7J?t4@L+H^*KvpV!FeX$UsXPlfRmggpzxQi*On4Pnp2Fn(N&XCTa5=7b}* zarOo?o@LASrEfb>bUcTQG*0^)#`9=h#&|wemGL>M1M@LnKxI5$NckEs!jYDI<(Dns z%vG57I#jOX^H}Hkpcmj2lbOa#s9eVvpnV|SZU$D4uN(B#f0Yxq9S@(!8-WgNVm)&~ z*vz6@*k-Mg?7xL=x7FG%*Du##n^k$?vfaka$fv+~lugyfWxIoU??m1zKdj#_rfnzv z?2`rbvmR(6+{?84SkL{e-vO(ix8laO*>Ub)$FYA^1!4PfCp(V&A5>wuY>%?S$4H0c zT(&1rw(@)+kc`u;?-}Id`9L5T@8BKB9lR>M0A~C=(_KK={IG89S!*2oRaNZ5Y}s-j zJKkl>w#2s`7UpFx_#U8x=oN0WSGmnz<2HMpZFht7brajH3N1+)zojs3VYpnmKOLw3 zbe#Ls@q1jZ+@Fs3*>WxQb;CA$pYz*Kx^Z_pKENtJMB4(|Bi8LPm#ZCRt3|F2$gWSh ze4e3w7KdRkSPt$HQ%k}y?qA2Te^q56*n93@-=`ofypZKR_pk5M5Vp*Jc?X5x^WL%d z8Omnhz1=*fmWLkn?|HM>`&`Q1`#iGz`+T%KFqs2S-4sQ7gk@xOaSS$J2mhhtO(O*g6$t)%Pn=oT>~p zm-m$nTuo*6p7(yeufh?fS{)X*j*-?QClF^x&T38AUYl5P?f~CMsFu91X8YBk{Zv($ za|3g3WX?^fmRjq-WWd^@_bsezEBWMlzl9b;z28a;pWbgnI|ANzdV_o)#RX7mo!<_S zNjo#yjTwYEcTVrE^H+%TtNr`^R?Wa?b3{JO#79_C2j_{spS)-9C-0BjIuZCnj*`zX z@mVHjKYj1dbA`IVhO*89)W&e$yP5d1B-8h>GvN$ku(t)_=^BpKz%>#nMoLPp@dWm(1i9tN)saCLGdW zB8AkRNJULl;43Q-Ph=4BL?$C;F>y8%=aBjnxmNwa_f$}Sg7=}T`akq^LE)9WUJqfu`cr~(Zn^JwSxF{t_(N0GTdY)x2UyE z+_sfrn_nbI)XS3l*sAxLh&>fgJm72$SPiy^i61lZ6Gq|(^29SX&~r2p2zg<9kZ6eb z13zg$z>We$`oI%hAJT~ULptUCLx#0-TPW`zvPtrX90}zH#JQyQhdd_EXQp!~TOSH6 zlO6t81g!pHE;E@oVh#9V{<}WQta!w#{eh>gJ}ej^4HuGuKa`GiL1o$LYlO^}GBbXT zept>Lm9s`GSfdKoXr~=Ab#7*_XRwmlU%(ruP?B-{{YqQzf6KZuI zcrgE=or!of|6vbym}+mhUhETKUEst+`VR+KB9G!f*sWdwKWruK3qAZl9A)BT!VY;p z9LM^h_WSB!QJrK1@Lb3TyNMan?fi#25E}A)IL|s?!17F)`e^-tPdTaHU7B~7?s*-? zG5Uw=lg4vP{NaZ97ut9PpUOVmoHVqy96ob>xFx3Rhi9eO=O*^ zUT) zIeXlkJ7LbBG#5^pOJ_{yS<`jSbe}huFPJNr%+*eFt;<~RHa9Mtn?2^%6?6NlxqIE* zyJ7lnnf^Ow;GTJW-#qCzPal|P1LpZd^Wu?t^~4D0nGq?^jYxfAMEWZuvP6mzxgy<& zJdt5UzQ{CUj>s~iKx7+HC~}OLC-M!P4Kc@vVo_j3i6}H;fhaO!p_pq#shDTPA~D~H z#iAG-kckCGEENllSSCu1SS}VBQ7#r6u|g~{qC%7zu~IBGVwG5CM5S16#A;D)#2T@} zh$>NG;5dd#BQ}aPM(h+@jo2l&8PP7b8?jqNjo2gFjMyu77_m?6G-AKlWyAr|Zp1;c z+lWJAj}eE(UL%f(eFlyJ*l)y9alnXU;-C@7#UUe3h{Hyl6i19WB|3~aEsh#-MjSKZ ztT=AOIdQ^>^Wvlt7sM&pTP{u;aY>vpqEnoOjoad!5#8dv5tqdUBYMO|Bd&-`MqCx0 zMqCqJMqC%&M!hcar0HRkYz@$luM0VC6~bpaJd}TY|C;Z*T@yH zDq2>++GV-Y$aQj+k?Un8EJ&5Bjoc{Lz@krC1&cG~S|cNJ9W2_D>y50D8(?Le+z2b! z$tTV+hh}bsh7=0MrDhU zZL$?UO3N)q?vz`N+$Fae*)F%k2U{71FS4@D$h~rhk^AK?BM-=SBM-{mMjn=XjXWav z8QCHC8+lY7F!Go@XykEu$jB4&u#qR_5d)sSJB&Onj~ejteay(S^0<-b=qhC3(ikPI=bIE_u$#Zh79w%kqMeJ@TTFSL7ulugXp%ugNYWugh*DZ^+9= z-jqE?-jY|0ye+R9c}HF|@~*sYsHBaRmHDAp!szenUwNTA9 zs#MK0YLS|6)M8a^)Dl%KHfp0OX zZ&Z!iU{tNzXjGlrWK_M{Y*d4a7}cn%jcQUgMm4Kiqgqs*QLU=ps4c3&sI98esBNmr zsO_rRsHkc&s!g>TwL@(&YNy(2)GoEnsCKp8sNE`R)E?Dl)Lym2sC{auQTx>{qYkKc zlcIK;RJF&XslARpt}X6BKPOA4WSE4IY@M0`=ThdtC!EmfZ*&r%ljCHkWhSP~)#*ui z=IM+ikbIq)1TsfwC4m&^>?Dvvos$Ftd)1Rb=4yE4Cd}$Qou33UU(ZPbDb@u^ASJpm z31opTN&;D^=O%%a>Ul{Zi}d^?kj1(<31o>bNdhU;3z9&V>V-)l%XDcH$a1|X38Y*v zP6An>mn4By=&~e`m3k>alATuRtkTP70$1wg*aB9UJIPtBb}GxE86*|4Mz25~RTVSv zsB+d+WCGIKl`|l%b*fg*Bxs#pHB(;J>q^vP!|EACY;e}EMm;vJnE`2|vti9ldTi2F zGwHEeubl}T(d%XcSL^jNfot@JnZUJr<4oW>y=f+Jz1}<%xIsr|0ypaFnZQlDW+rg6 zuAK?oqU&Y?x9a+tz*}^~OyI4$aVGFK-82(;yKbHd42uG00=MbbnZP^r76AKCCpguW z&uVpc>aEE@yY#kXpmx1I8EChTCIju!ZOK4;^^RnqeR?Oh$o;#5&(}Z>_B;D_g&wd0 z*a6+1jO{_aI~nMZ-jfV;Sno{+I->U_19j;A$v{W-0nFX8gGq9C%sC2YDIM2`GNw*w z@ZWY)ABksmrF)>;o;vKD(jCcxr}fd~z%%+-a^P8gJUQ^3K9L-FUY|@3yr55E)-Rrp z%etSRi_V49@kQ%HFX=PMnRn{5$$?$^TykKyKA#+TSzkb%doCu`xyQK-i>a>YOCcuS zAG=k~QwarmIS z`g#(c_w)_q*?TiF&tB)=%_Kbg^ev>ke>*YdeW&ks63Tvk2Pq%iO-%W~>A#zVazNih z%7?v)DIYomz4+vR)R!3k$a&b8g!5y4KZ%8&=>8;-r}{w>$TK~V1oB)zOaghKA7S2J zK2EIrOXtPoB)Y%SPmuEU)5MgoomWqjPzpysOQMcQ(a)2CQuPZIDAHagmMGF3k@_;3 z%yj)KBQ@2CjMqkF3MUDR3`eBDPR1e=fWk=z&C+nR3hI|FQj>7cc3^TX73+$~0c`^h zU%%0a90xeKZ-;ji9Ea|RTrJ#}#KZ`BTDb3ui4pR(a9UREk0OyvSxt;ra`Sgc2>>chJNL3$(yj(S)bYJ7S?0_&S;dTB-%Uk|u#J(gI&glRy`1QEUyqM3lJi zApKWEhu%A4i35|eC1ArOOv<#tchw}&rCQ+oY7*!&E%2Q+33Ry@_}-cXTCN4YyC#9I z&;s9IlRzu9z<1ar(3M*F@3GVDu}Ta7HFg@bQj6uuIj`2DJUMiY7AulNtF)-Fg|$|A zFRs(XuXSJoe5Gv~>%^+0WxCFR1B_QCFVpoJ&RoDwXM^zGV5iC2;J`fl>f}r|YOyAx z04Do4i7Ep}9j`SaBGwr=*?7GXHDZGiwPK?Ybz+kd^9-%uS*WEM)>;V@EU|~NDi+>_{QY$I)raZ4zEY} z=H&1Ogh!IY8xdY@YebW%NovL>2P|KcoJ}*bskLlcL|sxgEe@1jU2-<9$fn-1*&-T} zvf1K5={H!kt)ek0+Ezzw5sk^EZ9{2Imd$q2oRrOW2dY`KMT?4-q-aq`Y!@xbrM027 zR?B9G*pigZ4hQP<7GUGQNMGyj8R+eQ>NsMj7U36ZAGAx0@Qbt$YS$wCBJG2AYY~2t z_Cb5J2){`CpuJjzU!;A|J}q`aCzVL~{aWlw0y>~Y_!Zh`cu<4G`NRwlY49tb7<5>R z@GG>>@Q4O4@rkKAv6a5OivB7J@D<&Oy+v#d!!W zYjFXB9xX0Ha7Bwt5M0&hcv@W3q6>oST69BjLyOB0+|;56f?HZ#f#9|V56IZ@-x1f~ z;=p&uYqmz;71xcp>p=ST%qekCiyL-q+$(MxIGOvF5xow4p1cXLKD@aFH}|!G-5H`^ zi#rfJ(Bdux16tgJ;Gq`15IoYN4}!;9+=t)^_^yTEsTL0)c&5>ftaz>kIEEE3w0H!; zOD!H-{ay+94*kl3FPcv>aghF6JT)>^zz6Bqj(8=WVj`Tu{mjS|@f_|Pz<{G7WeVPa z(^Hv>XfH5tGEKaMiv#2@0g$f6D-oh?C9 zwj;A-Dxl?PnP$;)WxA2M4t!%x&rFBgJegr+zRWaoj?6N$KxRXlBXea2Q03!ICfv-y zn=H5~z?*EiDb&&qie-_^HL}Qo@3nU5E9c5QBj-Ah4r|5bJT3FB-1%~jk@Fq+qB|!u z4LA-R=aU_Uc(sbYoEpZ^27+9gD`z>QS$F@2!Fny>z$&>x%heEU)Do8B%1v6r zp-ytMM&DjCqUAaWs>=KnJ(mz1K;uYBoJ~~%kUF|xE;|l{B)q?4lTn^21-7v zW%#K;$;Y$|KM^STxR&9k0VSW%GW;Z<kuNVs~eglO*PF;O-=Qk)z!^V{cmY`h2_tN{I-@? z{|NFsT3-7j$nR?D&Z^Ev`FmR4_#>qEYI)PP-adJYTJLO>=yPBV%q?!^vte*w%iC6s zetBp1YV

    3g^xrp~eF(@BR_S9MJOKA3^?5%icKh-pjYH_6!7?Kx1Qbq`s!UuA#2F zuDPM6I#O2+ji3=4!6PmEW>5WCOLyklEx%8Y{X|Q5c6%1oPqlPsz-K}IOiOo`d=}Kt zwR~tR-V6CCT=703`!5_=(Df*;-hBf7OD*lNS-z4_X06042Nsk)nY|LPwR}43`U6KA zN%s@Lro_V^%NMh!PSf(`?5WeW zd^LOO3@u;Jo;njwlA1krmR9!jhRRm_c_UfD&0zX$2Nou#eo3X9fj&no`#z>}Rr<^| ze+DMG4lImJpS==!TDc!rW?_PSt=um!v!I@%mHSyH8Fh7}0sfn?x37uRG&M(>YoX@j zz(*Bm<$kl71$CiTIbTwLW{_Q^RqpJm=W69HFr0P1@N zx33`eCye>kVy%kf$iMm%S1r-1mT9`4VmT6TA!E&t@K~S#M zVhC1fwFH6+t;!%+sTE9ds8w3Q6o;zRxXW6t)(WOL)Ecc|ibGXt1ydYqtyVC_q1I^y zQygl&Rxrh(HfRM?9BQM+-NtH@Rxrh(Hfse_94ev}OmV1ctze2n)o2A%9I93;nBq`% zTEP^Ds@DppI8=jHFvX!7wSp-Q)ua_naj0gkV2VSvXa!Ros#PnP;!s<(f+-HQRV$d{ zP}{VEDGs$=E12R?QH^^JRhw2Y#i4d++!v^JY6VjqYL`|p#i81@f+-HQTdODpd$ekU zV6Rp?AlRqXP6+mEwF`m+TD50@6CJfX6Hc8`dorieG;FSdImL9f&t$0mCf!L>`!c68 zAlQ%7fSKxm$#gQ*flQ5ahj-r&4vHvV+VHXiFFWzF3oq?>*^QSyc-f1WeR$cAmjie? zh?hfnIgFPhcL`8(!_k#)y z#u8A07I_GQ&_d}H%EMBi6p`k9SHyy(X@mqfHYPkGF~o!gJ`&iu&=58zx^Uq_S0=bI zZlxVR3}T5f(>S9AR;U#Ss=qSR7$-gvAjSGg!=EF@wbn7Bg7PU@?Qm3>Gt3%wREt z#S9iRSj=ECgT)M<h%AUKEdZqjr3Ix0 zr3H}%eFc35eFc4G7eHh|WIP#sPFt=4Xzm68!n+9XBD@;`Ss)wW0~a5-_`t;nEK=%O*XJl}UTSO2H?#f4^?*U@#fdBf=?wfP_Cr5?#N>q#`Q6NFJ6ewDf;N4N6bS3( zf><&X9uMoXv+;2F0YBy?r_a1_BBVE%5?}Rq z^nG5FbFFezU7hL%u2p4rgV$=kuD3UP=)B=W5p{yjo2*NDCY0)AUr0}v=!Y$-nUj;8 zf0~{9QH2R=_J6K?Po33$hjo-QM_k96x1;)FW*zGYW36vC6ZMVj{%kwEuk}=UZ?B0r zckDb)wUsnFTKBhYrqR=}WBqG1`g`g(c&GYXU+&+=kt6Z0Q~flW+YfH3rqNj+ z?`);9dF__kdKw4fZR_5kp(UU-sx?=usxv;WF-vQ>xi%TVpJl?07MF<5!~Qzt4Hf$j{B+=%HD(HO913xF0P}5N77zys?$*mvCH5%%d@0 zp0+#bXXX$7@*CG*td*RYR!YbZk;lZ${K5y#-|C^|Q|>41<%cfsOy+;UdCFg(Ug7$6 zv^B;!uO;FK(@)gvr}H<>_>uh8Q}P*WEh`qm%=~+QcihuM>$Eb4F|CwrKRkL!%*_Ad zk7mZFRV()#(@H^GEzUfcnSZG7`d500*Tp@@v{G{X5YW#`C+9!h?diKOnG@r@7JQ?{ z*# +#include +#include "svdpi.h" + +#ifdef __cplusplus +extern "C" { +#endif + +/* VCS error reporting routine */ +extern void vcsMsgReport1(const char *, const char *, int, void *, void*, const char *); + +#ifndef _VC_TYPES_ +#define _VC_TYPES_ +/* common definitions shared with DirectC.h */ + +typedef unsigned int U; +typedef unsigned char UB; +typedef unsigned char scalar; +typedef struct { U c; U d;} vec32; + +#define scalar_0 0 +#define scalar_1 1 +#define scalar_z 2 +#define scalar_x 3 + +extern long long int ConvUP2LLI(U* a); +extern void ConvLLI2UP(long long int a1, U* a2); +extern long long int GetLLIresult(); +extern void StoreLLIresult(const unsigned int* data); +typedef struct VeriC_Descriptor *vc_handle; + +#ifndef SV_3_COMPATIBILITY +#define SV_STRING const char* +#else +#define SV_STRING char* +#endif + +#endif /* _VC_TYPES_ */ + +#ifndef _VC_STRUCT_TYPE_rsrc_msg_struct_ +#define _VC_STRUCT_TYPE_rsrc_msg_struct_ +typedef struct _vcs_dpi_rsrc_msg_struct rsrc_msg_struct; +#endif + +#ifndef _VC_STRUCT_TYPE_rsrc_msg_struct_ +#define _VC_STRUCT_TYPE_rsrc_msg_struct_ +struct _vcs_dpi_rsrc_msg_struct { + SV_STRING scope_name; + SV_STRING field_name; + SV_STRING type_name; + SV_STRING action; + SV_STRING accessor; + SV_STRING resource; +}; + +#endif + + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_check_path +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_check_path +__attribute__((weak)) int uvm_hdl_check_path(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1)) dlsym(RTLD_NEXT, "uvm_hdl_check_path"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_check_path"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_check_path */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_deposit +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_deposit +__attribute__((weak)) int uvm_hdl_deposit(/* INPUT */const char* A_1, const /* INPUT */svLogicVecVal *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, const /* INPUT */svLogicVecVal *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, const svLogicVecVal* A_2)) dlsym(RTLD_NEXT, "uvm_hdl_deposit"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_deposit"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_deposit */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_force +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_force +__attribute__((weak)) int uvm_hdl_force(/* INPUT */const char* A_1, const /* INPUT */svLogicVecVal *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, const /* INPUT */svLogicVecVal *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, const svLogicVecVal* A_2)) dlsym(RTLD_NEXT, "uvm_hdl_force"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_force"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_force */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_release_and_read +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_release_and_read +__attribute__((weak)) int uvm_hdl_release_and_read(/* INPUT */const char* A_1, /* INOUT */svLogicVecVal *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INOUT */svLogicVecVal *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, svLogicVecVal* A_2)) dlsym(RTLD_NEXT, "uvm_hdl_release_and_read"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_release_and_read"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_release_and_read */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_release +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_release +__attribute__((weak)) int uvm_hdl_release(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1)) dlsym(RTLD_NEXT, "uvm_hdl_release"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_release"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_release */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_read +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_read +__attribute__((weak)) int uvm_hdl_read(/* INPUT */const char* A_1, /* OUTPUT */svLogicVecVal *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */svLogicVecVal *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, svLogicVecVal* A_2)) dlsym(RTLD_NEXT, "uvm_hdl_read"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_read"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_read */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_hdl_read_string +#define __VCS_IMPORT_DPI_STUB_uvm_hdl_read_string +__attribute__((weak)) SV_STRING uvm_hdl_read_string(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1)) dlsym(RTLD_NEXT, "uvm_hdl_read_string"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_hdl_read_string"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_hdl_read_string */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_memory_load +#define __VCS_IMPORT_DPI_STUB_uvm_memory_load +__attribute__((weak)) int uvm_memory_load(/* INPUT */const char* A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5, /* INPUT */const char* A_6, /* INPUT */const char* A_7) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5, /* INPUT */const char* A_6, /* INPUT */const char* A_7) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, const char* A_2, const char* A_3, const char* A_4, const char* A_5, const char* A_6, const char* A_7)) dlsym(RTLD_NEXT, "uvm_memory_load"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6, A_7); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_memory_load"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_memory_load */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_get_next_arg_c +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_get_next_arg_c +__attribute__((weak)) SV_STRING uvm_dpi_get_next_arg_c(/* INPUT */int A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */int A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(int A_1)) dlsym(RTLD_NEXT, "uvm_dpi_get_next_arg_c"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_get_next_arg_c"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_get_next_arg_c */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_name_c +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_name_c +__attribute__((weak)) SV_STRING uvm_dpi_get_tool_name_c() +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)() = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)()) dlsym(RTLD_NEXT, "uvm_dpi_get_tool_name_c"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_get_tool_name_c"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_name_c */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_version_c +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_version_c +__attribute__((weak)) SV_STRING uvm_dpi_get_tool_version_c() +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)() = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)()) dlsym(RTLD_NEXT, "uvm_dpi_get_tool_version_c"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_get_tool_version_c"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_get_tool_version_c */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_regcomp +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_regcomp +__attribute__((weak)) void* uvm_dpi_regcomp(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void* (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void* (*)(const char* A_1)) dlsym(RTLD_NEXT, "uvm_dpi_regcomp"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_regcomp"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_regcomp */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_regexec +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_regexec +__attribute__((weak)) int uvm_dpi_regexec(/* INPUT */void* A_1, /* INPUT */const char* A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */void* A_1, /* INPUT */const char* A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(void* A_1, const char* A_2)) dlsym(RTLD_NEXT, "uvm_dpi_regexec"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_regexec"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_regexec */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dpi_regfree +#define __VCS_IMPORT_DPI_STUB_uvm_dpi_regfree +__attribute__((weak)) void uvm_dpi_regfree(/* INPUT */void* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* INPUT */void* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(void* A_1)) dlsym(RTLD_NEXT, "uvm_dpi_regfree"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dpi_regfree"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dpi_regfree */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_re_match +#define __VCS_IMPORT_DPI_STUB_uvm_re_match +__attribute__((weak)) int uvm_re_match(/* INPUT */const char* A_1, /* INPUT */const char* A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INPUT */const char* A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, const char* A_2)) dlsym(RTLD_NEXT, "uvm_re_match"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_re_match"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_re_match */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_dump_re_cache +#define __VCS_IMPORT_DPI_STUB_uvm_dump_re_cache +__attribute__((weak)) void uvm_dump_re_cache() +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)() = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)()) dlsym(RTLD_NEXT, "uvm_dump_re_cache"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_dump_re_cache"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_dump_re_cache */ + +#ifndef __VCS_IMPORT_DPI_STUB_uvm_glob_to_re +#define __VCS_IMPORT_DPI_STUB_uvm_glob_to_re +__attribute__((weak)) SV_STRING uvm_glob_to_re(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1)) dlsym(RTLD_NEXT, "uvm_glob_to_re"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "uvm_glob_to_re"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_uvm_glob_to_re */ + +#ifndef __VCS_IMPORT_DPI_STUB_parse_rsrc_msg +#define __VCS_IMPORT_DPI_STUB_parse_rsrc_msg +__attribute__((weak)) int parse_rsrc_msg(/* INPUT */const char* A_1, /* OUTPUT */rsrc_msg_struct *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */rsrc_msg_struct *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, rsrc_msg_struct* A_2)) dlsym(RTLD_NEXT, "parse_rsrc_msg"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "parse_rsrc_msg"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_parse_rsrc_msg */ + +#ifndef __VCS_IMPORT_DPI_STUB_parse_phase_msg +#define __VCS_IMPORT_DPI_STUB_parse_phase_msg +__attribute__((weak)) int parse_phase_msg(/* INPUT */const char* A_1, /* OUTPUT */SV_STRING *A_2, /* OUTPUT */SV_STRING *A_3, /* OUTPUT */SV_STRING *A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */SV_STRING *A_2, /* OUTPUT */SV_STRING *A_3, /* OUTPUT */SV_STRING *A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, SV_STRING* A_2, SV_STRING* A_3, SV_STRING* A_4)) dlsym(RTLD_NEXT, "parse_phase_msg"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "parse_phase_msg"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_parse_phase_msg */ + +#ifndef __VCS_IMPORT_DPI_STUB_find_substr_by_C +#define __VCS_IMPORT_DPI_STUB_find_substr_by_C +__attribute__((weak)) int find_substr_by_C(/* INPUT */const char* A_1, /* INPUT */const char* A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INPUT */const char* A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, const char* A_2)) dlsym(RTLD_NEXT, "find_substr_by_C"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "find_substr_by_C"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_find_substr_by_C */ + +#ifndef __VCS_IMPORT_DPI_STUB_verdi_dump_resource_value +#define __VCS_IMPORT_DPI_STUB_verdi_dump_resource_value +__attribute__((weak)) SV_STRING verdi_dump_resource_value(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1)) dlsym(RTLD_NEXT, "verdi_dump_resource_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "verdi_dump_resource_value"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_verdi_dump_resource_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_verdi_dump_component_interface +#define __VCS_IMPORT_DPI_STUB_verdi_dump_component_interface +__attribute__((weak)) int verdi_dump_component_interface(/* INPUT */const char* A_1, /* INPUT */int A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INPUT */int A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(const char* A_1, int A_2)) dlsym(RTLD_NEXT, "verdi_dump_component_interface"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "verdi_dump_component_interface"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_verdi_dump_component_interface */ + +#ifndef __VCS_IMPORT_DPI_STUB_verdi_upper_scope +#define __VCS_IMPORT_DPI_STUB_verdi_upper_scope +__attribute__((weak)) SV_STRING verdi_upper_scope(/* INPUT */const char* A_1, /* OUTPUT */void* *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */void* *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1, void** A_2)) dlsym(RTLD_NEXT, "verdi_upper_scope"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "verdi_upper_scope"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_verdi_upper_scope */ + +#ifndef __VCS_IMPORT_DPI_STUB_verdi_dhier_interface +#define __VCS_IMPORT_DPI_STUB_verdi_dhier_interface +__attribute__((weak)) void verdi_dhier_interface(/* INPUT */const char* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* INPUT */const char* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(const char* A_1)) dlsym(RTLD_NEXT, "verdi_dhier_interface"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "verdi_dhier_interface"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_verdi_dhier_interface */ + +#ifndef __VCS_IMPORT_DPI_STUB_retrieve_reg_def_class +#define __VCS_IMPORT_DPI_STUB_retrieve_reg_def_class +__attribute__((weak)) void retrieve_reg_def_class(/* INPUT */const char* A_1, /* INPUT */int A_2, /* INPUT */int A_3) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* INPUT */int A_2, /* INPUT */int A_3) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(const char* A_1, int A_2, int A_3)) dlsym(RTLD_NEXT, "retrieve_reg_def_class"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "retrieve_reg_def_class"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_retrieve_reg_def_class */ + +#ifndef __VCS_IMPORT_DPI_STUB_retrieve_def_class +#define __VCS_IMPORT_DPI_STUB_retrieve_def_class +__attribute__((weak)) SV_STRING retrieve_def_class(/* INPUT */const char* A_1, /* OUTPUT */int *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */int *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1, int* A_2)) dlsym(RTLD_NEXT, "retrieve_def_class"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "retrieve_def_class"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_retrieve_def_class */ + +#ifndef __VCS_IMPORT_DPI_STUB_record_reg_decl_name +#define __VCS_IMPORT_DPI_STUB_record_reg_decl_name +__attribute__((weak)) int record_reg_decl_name(/* INPUT */int A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* INPUT */int A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(int A_1, const char* A_2, const char* A_3, const char* A_4)) dlsym(RTLD_NEXT, "record_reg_decl_name"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "record_reg_decl_name"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_record_reg_decl_name */ + +#ifndef __VCS_IMPORT_DPI_STUB_check_is_sequencer +#define __VCS_IMPORT_DPI_STUB_check_is_sequencer +__attribute__((weak)) int check_is_sequencer() +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)() = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)()) dlsym(RTLD_NEXT, "check_is_sequencer"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "check_is_sequencer"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_check_is_sequencer */ + +#ifndef __VCS_IMPORT_DPI_STUB_remove_array_index +#define __VCS_IMPORT_DPI_STUB_remove_array_index +__attribute__((weak)) SV_STRING remove_array_index(/* INPUT */const char* A_1, /* OUTPUT */void* *A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* INPUT */const char* A_1, /* OUTPUT */void* *A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(const char* A_1, void** A_2)) dlsym(RTLD_NEXT, "remove_array_index"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "remove_array_index"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_remove_array_index */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_logicvec_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_logicvec_attribute +__attribute__((weak)) void fsdbTransDPI_scope_add_logicvec_attribute(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, const char* A_3, const svLogicVecVal* A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_scope_add_logicvec_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_scope_add_logicvec_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_logicvec_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_int_attribute +__attribute__((weak)) void fsdbTransDPI_scope_add_int_attribute(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, const char* A_3, int A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_scope_add_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_scope_add_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_string_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_string_attribute +__attribute__((weak)) void fsdbTransDPI_scope_add_string_attribute(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, const char* A_3, const char* A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_scope_add_string_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_scope_add_string_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_string_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_real_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_real_attribute +__attribute__((weak)) void fsdbTransDPI_scope_add_real_attribute(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, const char* A_3, double A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_scope_add_real_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_scope_add_real_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_real_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_enum_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_enum_int_attribute +__attribute__((weak)) void fsdbTransDPI_scope_add_enum_int_attribute(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, const char* A_3, unsigned int A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_scope_add_enum_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_scope_add_enum_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_scope_add_enum_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_begin +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_begin +__attribute__((weak)) int fsdbTransDPI_create_stream_begin(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static int (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (int (*)(int* A_1, const char* A_2, const char* A_3, const char* A_4)) dlsym(RTLD_NEXT, "fsdbTransDPI_create_stream_begin"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_create_stream_begin"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_begin */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_logicvec_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_logicvec_attribute +__attribute__((weak)) void fsdbTransDPI_define_logicvec_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, const svLogicVecVal* A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_define_logicvec_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_define_logicvec_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_logicvec_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_int_attribute +__attribute__((weak)) void fsdbTransDPI_define_int_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, int A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_define_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_define_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_string_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_string_attribute +__attribute__((weak)) void fsdbTransDPI_define_string_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, const char* A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_define_string_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_define_string_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_string_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_real_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_real_attribute +__attribute__((weak)) void fsdbTransDPI_define_real_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, double A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_define_real_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_define_real_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_real_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_enum_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_enum_int_attribute +__attribute__((weak)) void fsdbTransDPI_define_enum_int_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, unsigned int A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_define_enum_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_define_enum_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_define_enum_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_logicvec_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_logicvec_attribute +__attribute__((weak)) void fsdbTransDPI_stream_add_logicvec_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, const svLogicVecVal* A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_stream_add_logicvec_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_stream_add_logicvec_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_logicvec_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_int_attribute +__attribute__((weak)) void fsdbTransDPI_stream_add_int_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, int A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_stream_add_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_stream_add_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_string_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_string_attribute +__attribute__((weak)) void fsdbTransDPI_stream_add_string_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, const char* A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_stream_add_string_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_stream_add_string_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_string_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_real_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_real_attribute +__attribute__((weak)) void fsdbTransDPI_stream_add_real_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, double A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_stream_add_real_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_stream_add_real_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_real_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_enum_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_enum_int_attribute +__attribute__((weak)) void fsdbTransDPI_stream_add_enum_int_attribute(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3, unsigned int A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_stream_add_enum_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_stream_add_enum_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_stream_add_enum_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_end +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_end +__attribute__((weak)) void fsdbTransDPI_create_stream_end(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, int A_2, const char* A_3)) dlsym(RTLD_NEXT, "fsdbTransDPI_create_stream_end"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_create_stream_end"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_create_stream_end */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_begin +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_begin +__attribute__((weak)) long long fsdbTransDPI_begin(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static long long (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */int A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (long long (*)(int* A_1, int A_2, const char* A_3, const char* A_4)) dlsym(RTLD_NEXT, "fsdbTransDPI_begin"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_begin"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_begin */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_set_label +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_set_label +__attribute__((weak)) void fsdbTransDPI_set_label(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const char* A_4)) dlsym(RTLD_NEXT, "fsdbTransDPI_set_label"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_set_label"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_set_label */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_tag +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_tag +__attribute__((weak)) void fsdbTransDPI_add_tag(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const char* A_4)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_tag"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_tag"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_tag */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute +__attribute__((weak)) void fsdbTransDPI_add_logicvec_attribute(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const svLogicVecVal* A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_logicvec_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_logicvec_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute +__attribute__((weak)) void fsdbTransDPI_add_int_attribute(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, int A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute +__attribute__((weak)) void fsdbTransDPI_add_string_attribute(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const char* A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_string_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_string_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute +__attribute__((weak)) void fsdbTransDPI_add_real_attribute(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, double A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_real_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_real_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute +__attribute__((weak)) void fsdbTransDPI_add_enum_int_attribute(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, unsigned int A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_enum_int_attribute"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_enum_int_attribute"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute_with_expected_value +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute_with_expected_value +__attribute__((weak)) void fsdbTransDPI_add_logicvec_attribute_with_expected_value(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, const /* INPUT */svLogicVecVal *A_6, /* INPUT */const char* A_7) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, const /* INPUT */svLogicVecVal *A_4, /* INPUT */int A_5, const /* INPUT */svLogicVecVal *A_6, /* INPUT */const char* A_7) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const svLogicVecVal* A_4, int A_5, const svLogicVecVal* A_6, const char* A_7)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_logicvec_attribute_with_expected_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6, A_7); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_logicvec_attribute_with_expected_value"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_logicvec_attribute_with_expected_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute_with_expected_value +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute_with_expected_value +__attribute__((weak)) void fsdbTransDPI_add_int_attribute_with_expected_value(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */int A_4, /* INPUT */int A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, int A_4, int A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_int_attribute_with_expected_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_int_attribute_with_expected_value"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_int_attribute_with_expected_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute_with_expected_value +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute_with_expected_value +__attribute__((weak)) void fsdbTransDPI_add_string_attribute_with_expected_value(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */const char* A_4, /* INPUT */const char* A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, const char* A_4, const char* A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_string_attribute_with_expected_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_string_attribute_with_expected_value"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_string_attribute_with_expected_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute_with_expected_value +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute_with_expected_value +__attribute__((weak)) void fsdbTransDPI_add_real_attribute_with_expected_value(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */double A_5, /* INPUT */const char* A_6) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */double A_4, /* INPUT */double A_5, /* INPUT */const char* A_6) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, double A_4, double A_5, const char* A_6)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_real_attribute_with_expected_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_real_attribute_with_expected_value"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_real_attribute_with_expected_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute_with_expected_value +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute_with_expected_value +__attribute__((weak)) void fsdbTransDPI_add_enum_int_attribute_with_expected_value(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */int A_6, /* INPUT */const char* A_7) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3, /* INPUT */unsigned int A_4, /* INPUT */int A_5, /* INPUT */int A_6, /* INPUT */const char* A_7) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3, unsigned int A_4, int A_5, int A_6, const char* A_7)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_enum_int_attribute_with_expected_value"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5, A_6, A_7); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_enum_int_attribute_with_expected_value"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_enum_int_attribute_with_expected_value */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_end +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_end +__attribute__((weak)) void fsdbTransDPI_end(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */long long A_2, /* INPUT */const char* A_3) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, long long A_2, const char* A_3)) dlsym(RTLD_NEXT, "fsdbTransDPI_end"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_end"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_end */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_relation +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_relation +__attribute__((weak)) void fsdbTransDPI_add_relation(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */long long A_3, /* INPUT */long long A_4, /* INPUT */const char* A_5) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */long long A_3, /* INPUT */long long A_4, /* INPUT */const char* A_5) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(int* A_1, const char* A_2, long long A_3, long long A_4, const char* A_5)) dlsym(RTLD_NEXT, "fsdbTransDPI_add_relation"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4, A_5); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_add_relation"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_add_relation */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_enum_id +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_enum_id +__attribute__((weak)) unsigned int fsdbTransDPI_get_enum_id(/* OUTPUT */int *A_1, /* INPUT */const char* A_2) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static unsigned int (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (unsigned int (*)(int* A_1, const char* A_2)) dlsym(RTLD_NEXT, "fsdbTransDPI_get_enum_id"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_get_enum_id"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_enum_id */ + +#ifndef __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_class_str +#define __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_class_str +__attribute__((weak)) SV_STRING fsdbTransDPI_get_class_str(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static SV_STRING (*_vcs_dpi_fp_)(/* OUTPUT */int *A_1, /* INPUT */const char* A_2, /* INPUT */const char* A_3) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (SV_STRING (*)(int* A_1, const char* A_2, const char* A_3)) dlsym(RTLD_NEXT, "fsdbTransDPI_get_class_str"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "fsdbTransDPI_get_class_str"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_fsdbTransDPI_get_class_str */ + +#ifndef __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_initialize +#define __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_initialize +__attribute__((weak)) void* DPI_hilbert_fir_initialize(/* INPUT */void* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void* (*_vcs_dpi_fp_)(/* INPUT */void* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void* (*)(void* A_1)) dlsym(RTLD_NEXT, "DPI_hilbert_fir_initialize"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "DPI_hilbert_fir_initialize"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_initialize */ + +#ifndef __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_reset +#define __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_reset +__attribute__((weak)) void* DPI_hilbert_fir_reset(/* INPUT */void* A_1, const /* INPUT */double *A_2, /* OUTPUT */double *A_3, /* OUTPUT */double *A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void* (*_vcs_dpi_fp_)(/* INPUT */void* A_1, const /* INPUT */double *A_2, /* OUTPUT */double *A_3, /* OUTPUT */double *A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void* (*)(void* A_1, const double* A_2, double* A_3, double* A_4)) dlsym(RTLD_NEXT, "DPI_hilbert_fir_reset"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + return _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "DPI_hilbert_fir_reset"); + return 0; + } +} +#endif /* __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_reset */ + +#ifndef __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir +#define __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir +__attribute__((weak)) void DPI_hilbert_fir(/* INPUT */void* A_1, const /* INPUT */double *A_2, /* OUTPUT */double *A_3, /* OUTPUT */double *A_4) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* INPUT */void* A_1, const /* INPUT */double *A_2, /* OUTPUT */double *A_3, /* OUTPUT */double *A_4) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(void* A_1, const double* A_2, double* A_3, double* A_4)) dlsym(RTLD_NEXT, "DPI_hilbert_fir"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1, A_2, A_3, A_4); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "DPI_hilbert_fir"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir */ + +#ifndef __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_terminate +#define __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_terminate +__attribute__((weak)) void DPI_hilbert_fir_terminate(/* INPUT */void* A_1) +{ + static int _vcs_dpi_stub_initialized_ = 0; + static void (*_vcs_dpi_fp_)(/* INPUT */void* A_1) = NULL; + if (!_vcs_dpi_stub_initialized_) { + _vcs_dpi_fp_ = (void (*)(void* A_1)) dlsym(RTLD_NEXT, "DPI_hilbert_fir_terminate"); + _vcs_dpi_stub_initialized_ = 1; + } + if (_vcs_dpi_fp_) { + _vcs_dpi_fp_(A_1); + } else { + const char *fileName; + int lineNumber; + svGetCallerInfo(&fileName, &lineNumber); + vcsMsgReport1("DPI-DIFNF", fileName, lineNumber, 0, 0, "DPI_hilbert_fir_terminate"); + } +} +#endif /* __VCS_IMPORT_DPI_STUB_DPI_hilbert_fir_terminate */ + +#ifndef __VCS_EXPORT_DPI_DUMMY_REFERENCES__ +#define __VCS_EXPORT_DPI_DUMMY_REFERENCES__ +/* Dummy references to those export DPI routines. + * The symbols will be then exported, so the + * import DPI routines in another shared + * libraries can call. + */ +void __vcs_export_dpi_dummy_references__(); +void __vcs_export_dpi_dummy_references__() +{ + extern void m__uvm_report_dpi(void); + void (*fp0)(void) = (void (*)(void)) m__uvm_report_dpi; + fp0 = fp0; + extern void pli_dhier_begin_event(void); + void (*fp1)(void) = (void (*)(void)) pli_dhier_begin_event; + fp1 = fp1; + extern void pli_trans_add_class_name_attr(void); + void (*fp2)(void) = (void (*)(void)) pli_trans_add_class_name_attr; + fp2 = fp2; + extern void pli_trans_add_vif_attr(void); + void (*fp3)(void) = (void (*)(void)) pli_trans_add_vif_attr; + fp3 = fp3; + extern void pli_dhier_set_label(void); + void (*fp4)(void) = (void (*)(void)) pli_dhier_set_label; + fp4 = fp4; + extern void pli_dhier_add_attribute(void); + void (*fp5)(void) = (void (*)(void)) pli_dhier_add_attribute; + fp5 = fp5; + extern void pli_dhier_add_attribute_int(void); + void (*fp6)(void) = (void (*)(void)) pli_dhier_add_attribute_int; + fp6 = fp6; + extern void pli_dhier_end_event(void); + void (*fp7)(void) = (void (*)(void)) pli_dhier_end_event; + fp7 = fp7; +} +#endif /* __VCS_EXPORT_DPI_DUMMY_REFERENCES_ */ + +#ifdef __cplusplus +} +#endif + diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcs_rebuild b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcs_rebuild new file mode 100755 index 0000000..4ce3650 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcs_rebuild @@ -0,0 +1,4 @@ +#!/bin/sh -e +# This file is automatically generated by VCS. Any changes you make +# to it will be overwritten the next time VCS is run. +vcs '-full64' '-sverilog' '-ntb_opts' 'uvm-1.2' '+vcs+loopreport+1000' '-debug_acc+all' '-debug_region+cell+encrypt' '-f' 'files.f' '-l' 'com.log' '-fsdb' '+define+FSDB' 2>&1 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_master_hsim_elabout.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_master_hsim_elabout.db new file mode 100644 index 0000000..a466a7e --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_master_hsim_elabout.db @@ -0,0 +1,691 @@ +hsDirType 1 +fHsimDesignHasDebugNodes 31 +fNSParam 1024 +fLargeSizeSdfTest 0 +fHsimDelayGateMbme 0 +fNoMergeDelays 0 +fHsimAllMtmPat 0 +fHsimCertRaptMode 0 +fSharedMasterElab 0 +hsimLevelizeDone 1 +fHsimCompressDiag 1 +fHsimPowerOpt 0 +fLoopReportElab 0 +fHsimRtl 0 +fHsimCbkOptVec 1 +fHsimDynamicCcnHeur 1 +fHsimPvcs 0 +fHsimPvcsCcn 0 +fHsimOldLdr 0 +fHsimSingleDB 1 +uVfsGcLimit 50 +fHsimCompatSched 0 +fHsimCompatOrder 0 +fHsimTransUsingdoMpd32 0 +fHsimDynamicElabForGates 1 +fHsimDynamicElabForVectors 0 +fHsimDynamicElabForVectorsAlways 0 +fHsimDynamicElabForVectorsMinputs 0 +fHsimDeferForceSelTillReElab 0 +fHsimModByModElab 1 +fSvNettRealResType 0 +fHsimExprID 1 +fHsimSequdpon 0 +fHsimDatapinOpt 0 +fHsimExprPrune 0 +fHsimMimoGate 0 +fHsimNewChangeCheckFrankch 1 +fHsimNoSched0Front 0 +fHsimNoSched0FrontForMd 1 +fHsimScalReg 0 +fHsimNtbVl 0 +fHsimICTimeStamp 0 +fHsimICDiag 0 +fHsimNewCSDF 1 +vcselabIncrMode 2 +fHsimMPPackDelay 0 +fHsimMultDriver 0 +fHsimPart 0 +fHsimPrlComp 0 +fHsimPartTest 0 +fHsimTestChangeCheck 0 +fHsimTestFlatNodeOrder 0 +fHsimTestNState 0 +fHsimPartDebug 0 +fHsimPartFlags 0 +fHsimOdeSched0 0 +fHsimNewRootSig 1 +fHsimDisableRootSigModeOpt 0 +fHsimTestRootSigModeOpt 0 +fHsimIncrWriteOnce 0 +fHsimUnifInterfaceFlow 1 +fHsimUnifInterfaceFlowDiag 0 +fHsimUnifInterfaceFlowXmrDiag 0 +fHsimUnifInterfaceMultiDrvChk 1 +fHsimXVirForGenerateScope 0 +fHsimCongruencyIntTestI 0 +fHsimCongruencySVA 0 +fHsimCongruencySVADbg 0 +fHsimCongruencyLatchEdgeFix 0 +fHsimCongruencyFlopEdgeFix 0 +fHsimCongruencyXprop 0 +fHsimCongruencyXpropFix 0 +fHsimCongruencyXpropDbsEdge 0 +fHsimCongruencyResetRecoveryDbs 0 +fHsimCongruencyClockControlDiag 0 +fHsimCongruencySampleUpdate 0 +fHsimCongruencyFFDbsFix 0 +fHsimCongruency 0 +fHsimCongruencySlave 0 +fHsimCongruencyCombinedLoads 0 +fHsimCongruencyFGP 0 +fHsimDeraceClockDataUdp 0 +fHsimDeraceClockDataLERUpdate 0 +fHsimCongruencyPC 0 +fHsimCongruencyPCInl 0 +fHsimCongruencyPCDbg 0 +fHsimCongruencyPCNoReuse 0 +fHsimCongruencyDumpHier 0 +fHsimCongruencyResolution 0 +fHsimCongruencyEveBus 0 +fHsimHcExpr 0 +fHsCgOptModOpt 0 +fHsCgOptSlowProp 0 +fHsimCcnOpt 1 +fHsimCcnOpt2 1 +fHsimCcnOpt3 0 +fHsimSmdMap 0 +fHsimSmdDiag 0 +fHsimSmdSimProf 0 +fHsimSgdDiag 0 +fHsimRtDiagLite 0 +fHsimRtDiagLiteCevent 100 +fHsimRtDiag 0 +fHsimSkRtDiag 0 +fHsimDDBSRtdiag 0 +fHsimDbg 0 +fHsimCompWithGates 0 +fHsimMdbDebugOpt 0 +fHsimMdbDebugOptP1 0 +fHsimMdbDebugOptP2 0 +fHsimMdbPruneOpt 1 +fHsimMdbMemOpt 0 +hsimRandValue 0 +fHsimSimMemProfile 0 +fHsimSimTimeProfile 0 +fHsimElabMemProfile 0 +fHsimElabTimeProfile 0 +fHsimElabMemNodesProfile 0 +fHsimElabMemAllNodesProfile 0 +fHsimDisableVpdGatesProfile 0 +fHsimFileProfile 0 +fHsimCountProfile 0 +fHsimXmrDefault 1 +fHsimFuseWireAndReg 0 +fHsimFuseSelfDrvLogic 0 +fHsimFuseProcess 0 +fHsimNoStitchDump 0 +fHsimAllExtXmrs 0 +fHsimAllXmrs 1 +fHsimMvsimDb 0 +fHsimTaskFuncXmrs 0 +fHsimTaskFuncXmrsDbg 0 +fHsimAllTaskFuncXmrs 0 +fHsimPageArray 16383 +fHsimPageControls 16383 +hsDfsNodePageElems 0 +hsNodePageElems 0 +hsFlatNodePageElems 0 +hsGateMapPageElems 0 +hsGateOffsetPageElems 0 +hsGateInputOffsetPageElems 0 +hsDbsOffsetPageElems 0 +hsMinPulseWidthPageElems 0 +hsNodeUpPatternPageElems 0 +hsNodeDownPatternPageElems 0 +hsNodeUpOffsetPageElems 0 +hsNodeEblkOffsetPageElems 0 +hsNodeDownOffsetPageElems 0 +hsNodeUpdateOffsetPageElems 0 +hsSdfOffsetPageElems 0 +fHsimPageAllLevelData 0 +fHsimAggrCg 0 +fHsimViWire 1 +fHsimPcCbOpt 1 +fHsimAmsTunneling 0 +fHsimAmsTunnelingDiag 0 +fHsimScUpwardXmrNoSplit 1 +fHsimOrigNdbViewOnly 0 +fHsimVcsInterface 1 +fHsimVcsInterfaceAlias 1 +fHsimSVTypesIntf 1 +fUnifiedAssertCtrlDiag 0 +fHsimEnable2StateScal 0 +fHsimDisable2StateScalIbn 0 +fHsimVcsInterfaceAliasDbg 0 +fHsimVcsInterfaceDbg 0 +fHsimVcsVirtIntfDbg 0 +fHsimVcsAllIntfVarMem 0 +fHsimCheckVIDynLoadOffsets 0 +fHsimModInline 1 +fHsimModInlineDbg 0 +fHsimPCDrvLoadDbg 0 +fHsimDrvChk 1 +fHsimRtlProcessingNeeded 0 +fHsimGrpByGrpElab 0 +fHsimGrpByGrpElabMaster 0 +fHsimNoParentSplitPC 0 +fHsimNusymMode 0 +fHsimOneIntfPart 0 +fHsimCompressInSingleDb 2 +fHsimCompressFlatDb 0 +fHsimNoTime0Sched 1 +fHsimMdbVectorizeInstances 0 +fHsimMdbSplitGates 0 +fHsimDeleteInstances 0 +fHsimUserDeleteInstances 0 +fHsimDeleteGdb 0 +fHsimDeleteInstancesMdb 0 +fHsimShortInstMap 0 +fHsimMdbVectorizationDump 0 +fHsimScanVectorize 0 +fHsimParallelScanVectorize 0 +noInstsInVectorization 0 +cHsimNonReplicatedInstances 0 +fHsimScanRaptor 0 +fHsimConfigFileCount 0 +fHsimVectorConstProp 0 +fHsimPromoteParam 0 +fHsimNoVecInRaptor 0 +fRaptorDumpVal 0 +fRaptorVecNodes 0 +fRaptorVecNodes2 0 +fRaptorNonVecNodes 0 +fRaptorBdrNodes 0 +fRaptorVecGates 0 +fRaptorNonVecGates 0 +fRaptorTotalNodesBeforeVect 0 +fRaptorTotalGatesBeforeVect 0 +fHsimCountRaptorBits 0 +fHsimNewEvcd 1 +fHsimNewEvcdMX 0 +fHsimNewEvcdVecRoot 1 +fHsimNewEvcdForce 1 +fHsimNewEvcdTest 0 +fHsimNewEvcdObnDrv 1 +fHsimNewEvcdW 1 +fHsimNewEvcdWTest 0 +fHsimEvcdDbgFlags 0 +fHsimNewEvcdMultiDrvFmt 1 +fHsimDumpOffsetData 1 +fFlopGlitchDetect 0 +fHsimClkGlitch 0 +fHsimGlitchDumpOnce 0 +fHsimDynamicElab 1 +fHsimCgVectors2Debug 0 +fHsimOdeDynElab 0 +fHsimOdeDynElabDiag 0 +fHsimOdeSeqUdp 0 +fHsimOdeSeqUdpXEdge 0 +fHsimOdeSeqUdpDbg 0 +fHsimOdeRmvSched0 0 +fHsimAllLevelSame 0 +fHsimRtlDbsList 0 +fHsimPePort 0 +fHsimPeXmr 0 +fHsimPePortDiag 0 +fHsimUdpDbs 0 +fHsimRemoveDbgCaps 0 +fFsdbGateOnepassTraverse 0 +fHsimAllowVecGateInVpd 1 +fHsimAllowAllVecGateInVpd 0 +fHsimAllowUdpInVpd 1 +fHsimAllowAlwaysCombInVpd 0 +fHsimAllowAlwaysCombCmpDvcSimv 0 +fHsimAllowAlwaysCombDbg 0 +fHsimMakeAllP2SPrimary 0 +fHsimMakeAllSeqPrimary 0 +fHsimNoCcnDump 0 +fHsimFsdbProfDiag 0 +fVpdSeqGate 0 +fVpdUseMaxBCode 0 +fVpdHsIntVecGate 0 +fVpdHsCmplxVecGate 0 +fVpdHsVecGateDiags 0 +fSeqGateCodePatch 0 +fVpdLongFaninOpt 0 +fVpdSeqLongFaninOpt 0 +fVpdNoLoopDetect 0 +fVpdNoSeqLoopDetect 0 +fVpdOptAllowConstDriver 0 +fVpdAllowCellReconstruction 0 +fVpdRtlForSharedLib 0 +fHsimVpdOptGate 1 +fHsimVpdOptDelay 0 +fHsimVpdOptMPDelay 0 +fHsimCbkOptDiag 0 +fHsimSK 0 +fHsimSharedKernel 1 +fHsimOnepass 0 +fHsimStitchNew 0 +fHsimParallelLevelize 0 +fHsimParallelLevelizeDbg 0 +fHsimSeqUdpDbsByteArray 0 +fHsimCoLocate 0 +fHsimSeqUdpEblkOpt 0 +fHsimSeqUdpEblkOptDiag 0 +fHsimGateInputAndDbsOffsetsOpt 1 +fHsimUdpDynElab 0 +fHsimCompressData 4 +fHsimIgnoreZForDfuse 1 +fHsimIgnoreDifferentCaps 0 +fHandleGlitchQC 1 +fGlitchDetectForAllRtlLoads 0 +fHsimFuseConstDriversOpt 1 +fHsimMdSchedTr 0 +fHsimIgnoreReElab 0 +fHsimFuseMultiDrivers 0 +fHsimNoSched0Reg 0 +fHsimAmsFusionEnabled 0 +fHsimRtlDbs 0 +fHsimWakeupId 0 +fHsimPassiveIbn 0 +fHsimBcOpt 1 +fHsimCertitude 0 +fHsimCertRapAutoTest 0 +fHsimRaceDetect 0 +fCheckTcCond 0 +fHsimScanOptRelaxDbg 0 +fHsimScanOptRelaxDbgDynamic 0 +fHsimScanOptRelaxDbgDynamicPli 0 +fHsimScanOptRelaxDbgDiag 0 +fHsimScanOptRelaxDbgDiagHi 0 +fHsimScanOptNoErrorOnPliAccess 0 +fHsimScanOptTiming 0 +fRelaxIbnSchedCheck 0 +fHsimScanOptNoDumpCombo 0 +fHsimScanOptPrintSwitchState 0 +fHsimScanOptSelectiveSwitchOn 0 +fHsimScanOptSingleSEPliOpt 1 +fHsimScanOptDesignHasDebugAccessOnly 0 +fHsimScanOptPrintPcode 0 +fHsimScanDbgPerf 0 +fHsimNoStitchMap 0 +fHsimUnifiedModName 0 +fHsimCbkMemOptDebug 0 +fHsimMasterModuleOnly 0 +fHsimMdbOptimizeSelects 0 +fHsimMdbScalarizePorts 0 +fHsimMdbOptimizeSelectsHeuristic 1 +fHsimMdb1006Partition 0 +fHsimVectorPgate 0 +fHsimNoHs 0 +fHsimXmrPartition 0 +fHsimNewPartition 0 +fHsimElabPart 0 +fHsimElabPartThreshHoldDesign 1 +fHsimPMdb 0 +fHsimParitionCellInstNum 1000 +fHsimParitionCellNodeNum 1000 +fHsimParitionCellXMRNum 1000 +fHsimNewPartCutSingleInstLimit 268435455 +fHsimElabModDistNum 0 +fHsimElabPartThreshHoldModule 3000000 +fHsimPCPortPartition 0 +fHsimPortPartition 0 +fHsimDumpMdb 0 +fHsimElabDiag 0 +fHsimSimpCollect 0 +fHsimPcodeDiag 0 +fHsimFastelab 0 +fHsimMacroOpt 0 +fHsimSkipOpt 0 +fHsimSkipOptFanoutlimit 0 +fHsimSkipOptRootlimit 0 +fHsimFuseDelayChains 0 +fFusempchainsFanoutlimit 0 +fFusempchainsDiagCount 0 +fHsimCgVectorGates 0 +fHsimCgVectorGates1 0 +fHsimCgVectorGates2 0 +fHsimCgVectorGatesNoReElab 0 +fHsimCgScalarGates 0 +fHsimCgScalarGatesExpr 0 +fHsimCgScalarGatesLut 0 +fHsimCgRtl 1 +fHsimCgRtlFilter 0 +fHsimCgRtlDebug 0 +fHsimCgRtlSize 15 +fHsimNewCgRt 0 +fHsimNewCgMPRt 0 +fHsimNewCgMPRetain 0 +fHsimCgRtlInfra 1 +fHsimGlueOpt 0 +fHsimPGatePatchOpt 0 +fHsimCgNoPic 0 +fHsimElabModCg 0 +fPossibleNullChecks 0 +fHsimProcessNoSplit 1 +fHsimMdbOptInSchedDelta 0 +fScaleTimeValue 0 +fDebugTimeScale 0 +fPartCompSDF 0 +fHsimNbaGate 1 +fDumpDtviInfoInSC 0 +fDumpSDFBasedMod 1 +fHsimSdfIC 0 +fOptimisticNtcSolver 0 +fHsimAllMtm 0 +fHsimAllMtmPat 0 +fHsimSdgOptEnable 0 +fHsimSVTypesRefPorts 0 +fHsimGrpByGrpElabIncr 0 +fHsimMarkRefereeInVcsElab 0 +fHsimStreamOpFix 1 +fHsimInterface 0 +fHsimMxWrapOpt 0 +fHsimMxTopBdryOpt 0 +fHsimClasses 0 +fHsimAggressiveDce 0 +fHsimDceDebug 1 +fHsimDceDebugUseHeuristics 1 +fHsimMdbNewDebugOpt 0 +fHsimMdbNewDebugOptExitOnError 1 +fHsimNewDebugOptMemDiag 0 +hsGlobalVerboseLevel 0 +fHsimMdbVectorConstProp 1 +fHsimEnableSeqUdpWrite 1 +fHsimDumpMDBOnlyForSeqUdp 0 +fHsimInitRegRandom 0 +fHsimInitRegRandomVcs 1 +fEnableNewFinalStrHash 0 +fEnableNewAssert 1 +fRunDbgDmma 0 +fAssrtCtrlSigChk 1 +fCheckSigValidity 0 +fUniqPriToAstRewrite 0 +fUniqPriToAstCtrl 0 +fAssertcontrolUniqPriNewImpl 0 +fRTLoopDectEna 1 +fCmplLoopDectEna 1 +fHsimMopFlow 1 +fUCaseLabelCtrl 0 +fUniSolRtSvaEna 1 +fUniSolSvaEna 1 +fXpropRtCtrlCallerOnly 0 +fHsimRaptorPart 0 +fHsimEnableDbsMemOpt 1 +fHsimDebugDbsMemOpt 0 +fHsimRenPart 0 +fHsimShortElabInsts 0 +fHsimXmrAllWires 0 +fHsimXmrDiag 0 +fHsimXmrPort 0 +fHsimFalcon 1 +fHsimGenForProfile 0 +fCompressSDF 0 +fDlpSvtbExclElab 0 +fHsimGates1209 0 +fHsimCgRtlNoShareSmd 0 +fHsimGenForErSum 0 +fVpdOpt 1 +fHsimMdbCell 0 +fHsimCellDebug 0 +fHsimNoPeekInMdbCell 0 +igetOpcodeSmdPtrLayoutId -1 +igetFieldSmdPtr -1 +fDebugDump 1 +fHsimOrigNodeNames 0 +fHsimCgVectors2VOnly 0 +fHsimMdbDeltaGate 0 +fHsimMdbDeltaGateAggr 0 +fHsimMdbVecDeltaGate 1 +fHsimVpdOptVfsDB 1 +fHsimMdbPruneVpdGates 1 +fHsimPcPe 0 +fHsimVpdGateOnlyFlag 1 +fHsimMxConnFrc 0 +fHsimNewForceCbkVec 0 +fHsimNewForceCbkVecDiag 0 +fHsimMdbReplaceVpdHighConn 1 +fHsimVpdOptSVTypes 1 +fHsHasPeUpXmr 0 +fHsimCompactVpdFn 1 +fHsimPIP 0 +fHsimRTLoopDectOrgName 1 +fHsimVpdOptPC 0 +fHsimFusePeXmrFo 0 +fHsimXmrSched 0 +fHsimNoMdg 0 +fHsimVectorGates 0 +fHsimRtlLite 0 +fHsimMdbcgLut 0 +fHsimMdbcgSelective 0 +fHsimVcselabGates 0 +fHsimMdbcgLevelize 0 +fHsimParGateEvalMode 0 +fHsimDFuseVectors 0 +fHsimDFuseZero 0 +fHsimDFuseOpt 1 +fHsimPruneOpt 0 +fHsimSeqUdpPruneWithConstInputs 0 +fHsimSafeDFuse 0 +fHsimVpdOptExpVec 0 +fHsimVpdOptSelGate 1 +fHsimVpdOptSkipFuncPorts 0 +fHsimVpdOptAlways 1 +fHsimVpdOptMdbCell 0 +fHsimVpdOptPartialMdb 1 +fHsimVpdOptPartitionGate 1 +fHsimVpdOptXmr 1 +fHsimVpdOptMoreLevels 1 +fHsimVpdHilRtl 0 +fHsimSWave 0 +fHsimNoSched0InCell 1 +fHsimPartialMdb 0 +hsimPdbLargeOffsetThreshold 1048576 +fHsimFlatCell 0 +fHsimFlatCellLimit 0 +fHsimRegBank 0 +fHsimHmetisMaxPartSize 0 +fHsimHmetisGateWt 0 +fHsimHmetisUbFactor 0 +fHsimHmetis 0 +fHsimHmetisDiag 0 +fHsimRenumGatesForMdbCell 0 +fHsimHmetisMinPart 0 +fHsim2stCell 0 +fHsim2stCellMinSize 0 +fHsimMdbcgDebug 0 +fHsimMdbcgDebugLite 0 +fHsimMdbcgDistrib 0 +fHsimMdbcgSepmem 1 +fHsimMdbcgObjDiag 0 +fHsimMdbcg2stDiag 0 +fHsimMdbcgRttrace 0 +fHsimMdbVectorGateGroup 1 +fHsimMdbProcDfuse 1 +fHsimMdbHilPrune 0 +fHsCgOpt 1 +fHsCgOptUdp 1 +fHsCgOptRtl 1 +fHsCgOptDiag 0 +fHsCgOptAggr 0 +fHsCgOptNoZCheck 0 +fHsCgOptEnableZSupport 0 +fHsCgOpt4StateInfra 0 +fHsCgOptDce 0 +fHsCgOptUdpChkDataForWakeup 1 +fHsCgOptXprop 0 +fHsimMdbcgDiag 0 +fHsCgMaxInputs 6 +fHsCgOptFwdPass 1 +fHsimHpnodes 0 +fLightDump 0 +fHDLCosim 0 +fHDLCosimDebug 0 +fHDLCosimTimeCoupled 0 +fHDLCosimTimeCoupledPorts 0 +HDLCosimMaxDataPerDpi 1 +HDLCosimMaxCallsPerDpi 2147483647 +fHDLCosimCompileDUT 0 +fHDLCosimCustomCompile 0 +fHDLCosimBoundaryAnalysis 0 +fVpdBeforeScan 1 +fHsCgOptMiSched0 0 +fgcAddSched0 0 +fParamClassOptRtDiag 0 +fHsRegress 0 +fHsBenchmark 0 +fHsimCgScalarVerilogForce 1 +fVcsElabToRoot 1 +fHilIbnObnCallByName 0 +fHsimMdbcgCellPartition 0 +fHsimCompressVpdSig 0 +fHsimLowPowerOpt 0 +fHsimUdpOpt 1 +fHsVecOneld 0 +fNativeVpdDebug 0 +fNewDtviFuse 0 +fHsimVcsGenTLS 1 +fAssertSuccDebugLevelDump 0 +fHsimMinputsChangeCheck 0 +fHsimClkLayout 0 +fHsimIslandLayout 0 +fHsimConfigSched0 0 +fHsimSelectFuseAfterDfuse 0 +fHsimFoldedCell 0 +fHsimSWaveEmul 0 +fHsimSWaveDumpMDB 0 +fHsimSWaveDumpFlatData 0 +fHsimRenumberAlias 0 +fHsimAliasRenumbered 0 +fHilCgMode 115 +fHsimUnionOpt 0 +fHsimFuseSGDBoundaryNodes 0 +fHsimRemoveCapsVec 0 +fHsimCertRaptScal 0 +fHsimCertRaptMdbClock 0 +fHsCgOptMux 0 +fHsCgOptFrc 0 +fHsCgOpt30 0 +fHsLpNoCapsOpt 0 +fHsCgOpt4State 1 +fSkipStrChangeOnDelay 1 +fHsimTcheckOpt 0 +fHsCgOptMuxMClk 0 +fHsCgOptMuxFrc 0 +fHsCgOptNoPcb 0 +fHsCgOptMin1 0 +fHsCgOptUdpChk 0 +fHsChkXForSlowSigProp 1 +fHsimVcsParallelDbg 0 +fHsimVcsParallelStrategy 0 +fHsimVcsParallelOpt 0 +fHsimVcsParallelSubLevel 4 +fHsimParallelEblk 0 +fHsimByteCodeParts 1 +fFgpNovlInComp 0 +fFutEventPRL 0 +fFgpNbaDelay 0 +fHsimDbsFlagsByteArray 0 +fHsimDbsFlagsByteArrayTC 0 +fHsimDbsFlagsThreadArray 0 +fHsimGateEdgeEventSched 0 +fHsimEgschedDynelab 0 +fHsimUdpClkDynelab 0 +fUdpLayoutOnClk 0 +fHsimDiagClk 1 +fDbsPreCheck 0 +fHsimSched0Analysis 0 +fHsimMultiDriverSched0 0 +fHsimLargeIbnSched 0 +fFgpHierarchical 0 +fFgpHierAllElabModAsRoot 0 +fFgpHierPCElabModAsRoot 0 +fFgpAdjustDataLevelOfLatch 1 +fHsimUdpXedgeEval 0 +fFgpRaceCheck 0 +fFgpUnifyClk 0 +fFgpSmallClkTree 0 +fFgpSmallRtlClkTree 4 +fFgpNoRtlUnlink 0 +fFgpNoRtlAuxLevel 0 +fFgpNumPartitions 8 +fFgpMultiSocketCompile 0 +fFgpDataDepOn 0 +fFgpDDIgnore 0 +fFgpTbCbOn 0 +fFgpTbEvOn 1 +fFgpTbNoVSA 0 +fFgpTbEvXmr 0 +fFgpTbEvCgCall 1 +fFgpDisabledLevel 512 +fFgpSched0User 0 +fFgpNoSdDelayedNbas 1 +fFgpTimingFlags 0 +fFgpSched0Level 0 +fHsimFgpMultiClock 0 +fFgpScanOptFix 0 +fFgpSched0UdpData 0 +fFgpLoadBalance0CompileTime 1 +fFgpDepositDiag 0 +fFgpEvtDiag.diagOn 0 +fFgpEvtDiag.printAllNodes 0 +fFgpMangleDiagLog 0 +fFgpMultiExclDiag 0 +fFgpSingleExclReason 0 +fHsDoFaninFanoutSanity 0 +fHsFgpNonDbsOva 1 +fFgpParallelTask 1 +fFgpIbnSched 0 +fFgpIbnSchedOpt 0 +fFgpIbnSchedThreshold 0 +fFgpIbnSchedDyn 0 +fFgpMpStateByte 0 +fFgpTcStateByte 0 +fHsimVirtIntfDynLoadSched 0 +fFgpNoRtimeFgp 0 +fHsFgpGlSched0 0 +fFgpExclReason 0 +fHsimIslandByIslandElab 0 +fHsimIslandByIslandFlat 151652416 +fHsimIslandByIslandFlat1 4 +fHsimVpdIBIF 0 +fHsimXmrIBIF 0 +fHsimReportTime 0 +fHsimElabJ 0 +hf_fHsimElabJ 0 +fHsimElabJOpt 0 +fHsimSchedMinput 0 +fHsimSchedSeqPrim 0 +fHsimSchedSelectFanout 0 +fHsimSchedSelectFanoutDebug 0 +fSpecifyInDesign 0 +fFgpDynamicReadOn 0 +fHsCgOptAllUc 0 +fHsimXmrRepl 0 +fZoix 0 +fHsimDfuseNewOpt 0 +fHsimBfuseNewOpt 0 +fFgpXmrSched 0 +fHsimClearClkCaps 0 +fHsimDiagClkConfig 0 +fHsimDiagClkConfigDebug 0 +fHsimDiagClkConfigDumpAll 0 +fHsDiagClkConfigPara 0 +fHsimDiagClkConfigAn 0 +fHsimCanDumpClkConfig 0 +fFgpInitRout 0 +fFgpIgnoreExclSD 0 +fHsCgOptNoClockFusing 0 +fHsClkWheelLimit 50000 +fHsimPCSharedLibSpecified 0 +fHsFgpSchedCgUcLoads 1 +fHsCgOptNewSelCheck 1 +fFgpReportUnsafeFuncs 0 +fHsCgOptUncPrlThreshold 4 +fHsSVNettypePerfOpt 0 +fHsimLowPowerRetAnalysisInChild 0 +fRetainWithDelayedSig 0 +fHsimChargeDecay 0 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hil_stmts.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hil_stmts.db new file mode 100644 index 0000000000000000000000000000000000000000..f769106147985cd7c2ffe56b47a5467c6ad73aab GIT binary patch literal 44 Ocmd;PU|`@T5(5AWgaCQ~ literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsdef.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsdef.db new file mode 100644 index 0000000000000000000000000000000000000000..8f69e9e906829d967d67372c6e6648b6b5277e56 GIT binary patch literal 44 LcmZQzARYh!04x9j literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db new file mode 100644 index 0000000..2d96e8e --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_elab.db @@ -0,0 +1,1217 @@ +psSimBaseName simv +psLogFileName com.log +pDaiDir /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir +destPath csrc/ +fSharedMaster 0 +fHsimPCSharedLibSpecified 0 +hsMainFileCount 0 +hsMainFileName dummy +hsAuxFileName dummy +hsimDlpPartitionFilename 0 +partitionName 6 MASTER +hsimInitRegValue 3 +fNSParam 1024 +hsim_noschedinl 0 +hsim_hdbs 4096 +eval_order_seq 0 +simorder_light 0 +partialelab 0 +hsim_csdf -2147483648 +fHsimRuntimeElabSdf 0 +fNtcNewSolver 0 +fHsimSdfFileOpt 0 +fHsimTransUsingdoMpd32 0 +hsDirType 1 +fHsimClasses 0 +fHsimPulseMPDelay 1 +fHsimMvsimDb 0 +fHsimMvsimDebug 0 +fHsimAllXmrs 1 +fHsimTaskFuncXmrs 0 +fHsimTaskFuncXmrsDbg 0 +fHsimAllTaskFuncXmrs 0 +fHsimDoXmrProcessing 1 +fNoMergeDelays 0 +uGlblTimeUnit 16 +fHsimAllMtm 0 +fSimprofileNew 0 +fHsimVhVlOpt 0 +fHsimMdbVhVlInputFuseOpt 0 +fHsimMdbVhVlInoutFuseOpt 0 +fHsimMdbVhVlCcnOpt 0 +fHsimVlVhOpt 0 +fHsimVlVhVlOpt 0 +fHsimVlVhBfuseOpt 0 +xpropMergeMode 0 +xpropUnifiedInferenceMode 0 +xpropOverride 0 +isXpropConfigEnabled 0 +fHsimVectorConst 0 +fHsimAllMtmPat 0 +fHsimCertRaptMode 0 +fNewCBSemantics 1 +fSchedAtEnd 0 +fSpecifyInDesign 0 +fHsimDumpFlatData 1 +fHsimCompressDiag 1 +fHsimPowerOpt 0 +fLoopReportElab 0 +fHsimRtl 0 +fHsimCbkOptVec 1 +fHsimDynamicCcnHeur 1 +fHsimPvcs 0 +fHsimPvcsCcn 0 +fHsimOldLdr 0 +fHsimSingleDB 1 +uVfsGcLimit 50 +fHsimCompatSched 0 +fHsimCompatOrder 0 +fHsimDynamicElabForGates 1 +fHsimDynamicElabForVectors 0 +fHsimDynamicElabForVectorsAlways 0 +fHsimDynamicElabForVectorsMinputs 0 +fHsimDeferForceSelTillReElab 0 +fHsimModByModElab 1 +fSvNettRealResType 0 +fHsimExprID 1 +fHsimSequdpon 0 +fHsimDatapinOpt 0 +fHsimExprPrune 0 +fHsimMimoGate 0 +fHsimNewChangeCheckFrankch 1 +fHsimNoSched0Front 0 +fHsimNoSched0FrontForMd 1 +fHsimScalReg 0 +fHsimNtbVl 0 +fHsimICTimeStamp 0 +fHsimICDiag 0 +fHsimNewCSDF 1 +vcselabIncrMode 2 +fHsimMPPackDelay 0 +fHsimMultDriver 0 +fHsimPart 0 +fHsimPrlComp 0 +fHsimPartTest 0 +fHsimTestChangeCheck 0 +fHsimTestFlatNodeOrder 0 +fHsimTestNState 0 +fHsimPartDebug 0 +fHsimPartFlags 0 +fHsimOdeSched0 0 +fHsimNewRootSig 1 +fHsimDisableRootSigModeOpt 0 +fHsimTestRootSigModeOpt 0 +fHsimIncrWriteOnce 0 +fHsimUnifInterfaceStrId 1 +fHsimUnifInterfaceFlow 1 +fHsimUnifInterfaceFlowDiag 0 +fHsimUnifInterfaceFlowXmrDiag 0 +fHsimUnifInterfaceMultiDrvChk 1 +fHsimXVirForGenerateScope 0 +fHsimCongruencyIntTestI 0 +fHsimCongruencySVA 0 +fHsimCongruencySVADbg 0 +fHsimCongruencyLatchEdgeFix 0 +fHsimCongruencyFlopEdgeFix 0 +fHsimCongruencyXprop 0 +fHsimCongruencyXpropFix 0 +fHsimCongruencyXpropDbsEdge 0 +fHsimCongruencyResetRecoveryDbs 0 +fHsimCongruencyClockControlDiag 0 +fHsimCongruencySampleUpdate 0 +fHsimCongruencyFFDbsFix 0 +fHsimCongruency 0 +fHsimCongruencySlave 0 +fHsimCongruencyCombinedLoads 0 +fHsimCongruencyFGP 0 +fHsimDeraceClockDataUdp 0 +fHsimDeraceClockDataLERUpdate 0 +fHsimCongruencyPC 0 +fHsimCongruencyPCInl 0 +fHsimCongruencyPCDbg 0 +fHsimCongruencyPCNoReuse 0 +fHsimCongruencyDumpHier 0 +fHsimCongruencyResolution 0 +fHsimCongruencyEveBus 0 +fHsimHcExpr 0 +fHsCgOptModOpt 0 +fHsCgOptSlowProp 0 +fHsimCcnOpt 1 +fHsimCcnOpt2 1 +fHsimCcnOpt3 0 +fHsimSmdMap 0 +fHsimSmdDiag 0 +fHsimSmdSimProf 0 +fHsimSgdDiag 0 +fHsimRtDiagLite 0 +fHsimRtDiagLiteCevent 100 +fHsimRtDiag 0 +fHsimSkRtDiag 0 +fHsimDDBSRtdiag 0 +fHsimDbg 0 +fHsimCompWithGates 0 +fHsimMdbDebugOpt 0 +fHsimMdbDebugOptP1 0 +fHsimMdbDebugOptP2 0 +fHsimMdbPruneOpt 1 +fHsimMdbMemOpt 0 +hsimRandValue 0 +fHsimSimMemProfile 0 +fHsimSimTimeProfile 0 +fHsimElabMemProfile 0 +fHsimElabTimeProfile 0 +fHsimElabMemNodesProfile 0 +fHsimElabMemAllNodesProfile 0 +fHsimDisableVpdGatesProfile 0 +fHsimFileProfile 0 +fHsimCountProfile 0 +fHsimXmrDefault 1 +fHsimFuseWireAndReg 0 +fHsimFuseSelfDrvLogic 0 +fHsimFuseProcess 0 +fHsimNoStitchDump 0 +fHsimAllExtXmrs 0 +fHsimAllExtXmrsDiag 0 +fHsimAllExtXmrsAllowClkFusing 0 +fHsimPageArray 16383 +fHsimPageControls 16383 +hsDfsNodePageElems 0 +hsNodePageElems 0 +hsFlatNodePageElems 0 +hsGateMapPageElems 0 +hsGateOffsetPageElems 0 +hsGateInputOffsetPageElems 0 +hsDbsOffsetPageElems 0 +hsMinPulseWidthPageElems 0 +hsNodeUpPatternPageElems 0 +hsNodeDownPatternPageElems 0 +hsNodeUpOffsetPageElems 0 +hsNodeEblkOffsetPageElems 0 +hsNodeDownOffsetPageElems 0 +hsNodeUpdateOffsetPageElems 0 +hsSdfOffsetPageElems 0 +fHsimPageAllLevelData 0 +fHsimAggrCg 0 +fHsimViWire 1 +fHsimPcCbOpt 1 +fHsimAmsTunneling 0 +fHsimAmsTunnelingDiag 0 +fHsimAmsNewDrs 0 +fHsimScUpwardXmrNoSplit 1 +fHsimOrigNdbViewOnly 0 +fHsimVcsInterface 1 +fHsimVcsInterfaceAlias 1 +fHsimSVTypesIntf 1 +fUnifiedAssertCtrlDiag 0 +fHsimEnable2StateScal 0 +fHsimDisable2StateScalIbn 0 +fHsimVcsInterfaceAliasDbg 0 +fHsimVcsInterfaceDbg 0 +fHsimVcsVirtIntfDbg 0 +fHsimVcsAllIntfVarMem 0 +fHsimCheckVIDynLoadOffsets 0 +fHsimModInline 1 +fHsimModInlineDbg 0 +fHsimPCDrvLoadDbg 0 +fHsimDrvChk 1 +fHsimRtlProcessingNeeded 0 +fHsimGrpByGrpElab 0 +fHsimGrpByGrpElabMaster 0 +fHsimNoParentSplitPC 0 +fHsimNusymMode 0 +fHsimOneIntfPart 0 +fHsimCompressInSingleDb 2 +fHsimCompressFlatDb 0 +fHsimNoTime0Sched 1 +fHsimMdbVectorizeInstances 0 +fHsimMdbSplitGates 0 +fHsimDeleteInstances 0 +fHsimUserDeleteInstances 0 +fHsimDeleteGdb 0 +fHsimDeleteInstancesMdb 0 +fHsimShortInstMap 0 +fHsimMdbVectorizationDump 0 +fHsimScanVectorize 0 +fHsimParallelScanVectorize 0 +noInstsInVectorization 0 +cHsimNonReplicatedInstances 0 +fHsimScanRaptor 0 +fHsimConfigFileCount 0 +fHsimVectorConstProp 0 +fHsimPromoteParam 0 +fHsimNoVecInRaptor 0 +fRaptorDumpVal 0 +fRaptorVecNodes 0 +fRaptorVecNodes2 0 +fRaptorNonVecNodes 0 +fRaptorBdrNodes 0 +fRaptorVecGates 0 +fRaptorNonVecGates 0 +fRaptorTotalNodesBeforeVect 0 +fRaptorTotalGatesBeforeVect 0 +fHsimCountRaptorBits 0 +fHsimNewEvcd 1 +fHsimNewEvcdMX 0 +fHsimNewEvcdVecRoot 1 +fHsimNewEvcdForce 1 +fHsimNewEvcdTest 0 +fHsimNewEvcdObnDrv 1 +fHsimNewEvcdW 1 +fHsimNewEvcdWTest 0 +fHsimEvcdDbgFlags 0 +fHsimNewEvcdMultiDrvFmt 1 +fHsimDumpElabData 1 +fHsimNoDeposit 0 +fHsimDumpOffsetData 1 +fNoOfsOpt 0 +fFlopGlitchDetect 0 +fHsimClkGlitch 0 +fHsimGlitchDumpOnce 0 +fHsimDynamicElab 1 +fHsimDynamicElabDiag 0 +fHsimPrintPats 1 +fHsimInterpreted 0 +fHsimAggressiveCodegenForDelays 1 +fHsimAggressiveCgNtcDelays 1 +fHsimCgDelaysDiag 0 +fHsimCodegenForVectors 1 +fHsimCgVectors2E 1 +fHsimCgVectors2W 1 +fHsimCgVectors2Cbk 1 +fHsimCgVectors2Force 0 +fHsimCgVectors2Debug 0 +fHsimCgVectors2Diag 0 +fHsimHdlForceInfoDiag 0 +fHsimHdlForceInfo 0 +fHsimCodegenForTcheck 1 +fHsimUdpsched 0 +fHsimUdpTetramax 0 +fHsimUdpDelta 0 +fHsimMasterNodesOpt 0 +fHsimTransOpt 1 +fHsimNoPortOBN 0 +fHsimGateGroup 0 +fHsimOldXmr 0 +fHsimConst 1 +fHsimOptimizeSeqUdp 1 +fHsimOptimizeNotifier 0 +fHsimPrintUdpTable 0 +fHsimConstDelay 0 +fHsimConstForce 0 +fHsimCcnOpt4 0 +fHsimCcnOptDiag 0 +fHsimCcn 1 +fHsimDynamicCcn 0 +fHsimTestBoundaryConditions1 0 +fHsimTestBoundaryConditions2 0 +fHsimTestBoundaryConditions3 0 +fHsimTestElabNodeLimit 0 +fHsimInsertSched0ForLhsSelects 1 +fHsimVectors 1 +fHsimOde 0 +fHsimOdeDynElab 0 +fHsimOdeDynElabDiag 0 +fHsimOdeUdp 0 +fHsimOdeSeqUdp 0 +fHsimOdeSeqUdpXEdge 0 +fHsimOdeSeqUdpDbg 0 +fHsimOdeRmvSched0 0 +fHsimOde4State 0 +fHsimOdeDiag 0 +fHsimOdeWithVecNew 0 +fHsimOdeAcceptDeadGates 0 +fHsimOdeAcceptValue4Loads 0 +fHsimOdeAmdSRLatch 0 +fHsimRmvSched0OnDataOfFlop 0 +fHsimRmvSched0OnMpd 0 +fHsimAllLevelSame 0 +fHsimDbsList 0 +fHsimRtlDbsList 0 +fHsimPePort 0 +fHsimPeXmr 0 +fHsimPePortDiag 0 +fHsimUdpDbs 0 +fHsimCodeShare 0 +fHsimRemoveDbgCaps 0 +fFsdbGateOnepassTraverse 0 +fHsimAllowVecGateInVpd 1 +fHsimAllowAllVecGateInVpd 0 +fHsimAllowUdpInVpd 1 +fHsimAllowAlwaysCombInVpd 0 +fHsimAllowAlwaysCombCmpDvcSimv 0 +fHsimAllowAlwaysCombDbg 0 +fHsimMakeAllP2SPrimary 0 +fHsimMakeAllSeqPrimary 0 +fHsimNoCcnDump 0 +fHsimFsdbProfDiag 0 +fVpdSeqGate 0 +fVpdUseMaxBCode 0 +fVpdHsIntVecGate 0 +fVpdHsCmplxVecGate 0 +fVpdHsVecGateDiags 0 +fSeqGateCodePatch 0 +fVpdLongFaninOpt 0 +fVpdSeqLongFaninOpt 0 +fVpdNoLoopDetect 0 +fVpdNoSeqLoopDetect 0 +fVpdOptAllowConstDriver 0 +fVpdAllowCellReconstruction 0 +fVpdRtlForSharedLib 0 +fRaptorProf 0 +fHsimVpdOptGateMustDisable 0 +fHsimVpdOptGate 1 +fHsimVpdOptDelay 0 +fHsimVpdOptMPDelay 0 +fHsimVpdOptDiag 0 +fHsimVpdOptRtlIncrFix 0 +fHsimVpdOptDiagV 0 +fHsimCbkOptVecWithVcsd 0 +fHsimCbkOptDiag 0 +fHsimByRefIBN 1 +fHsimWireMda 1 +fHsimUniqifyElabDiag 0 +fHsimForceCbkVec 1 +fHsimSplitForceCbkVec 1 +fHsimLowPower 0 +fHsimLowPowerDumpOnly 0 +fHsimLowPowerDiag 0 +fHsimXpropFix 1 +fHsimXpropConfigTrace 0 +fHsimNameBasedInterface 1 +fHsimVcsInterfaceHierDiag 0 +fHsimCbSchedFix 0 +fHsimIncrDebug 0 +fHsimSK 0 +fHsimSharedKernel 1 +fHsimSKIncr 0 +fElabModTimeProfCount 0 +fHsimChangeSharedLib 0 +fHsimNewIncr 1 +fHsimIncrSkip 0 +fHsimSecondCheckMdb 0 +fHsimIntraXmrNotMaster 0 +fHsimExtNodeDiag 0 +fHsimExtIntfXmrDebug 0 +fHsimExtXmrNodeDiag 0 +fPartTopElabModName 0 +fHsimPreResolveXmr 1 +fHsimNoIntfXmrNonMaster 1 +fHsimXmrPropDebug 0 +fHsimXmrElabDebug 0 +fHsimXmrNoMaster 1 +fHsimXmrNoMasterIBIF 1 +fHsimIncrMaster 0 +fHsimEffTest 0 +fHsimIncrTest 0 +fHsimIncrTesting 0 +fHsimOnepass 0 +fHsimPartModSplit 0 +fHsimNoIncrMatch 0 +fHsimMergeOnly 0 +fHsimStitchNew 0 +fHsimCbkOpt 1 +fFrcRelCbk 1 +fPulserrWarn 1 +hsMtmSpec 0 +fprofile 0 +fPreserveDaidir 1 +fHsimLevelize 1 +fHsimSelectLevelize 0 +fHsimSelectEdgeData 0 +fHsimSelectEdgeDataDbg 0 +fHsimSelectEdgeDataSched0 0 +fHsimSelectEdgeDataSanity 0 +fHsimLevelizeFlatNodeLimit 22 +fHsimLevelizeNoSizeLimit 1 +fHsimLevelizeForce 0 +fHsimParallelLevelize 0 +fHsimParallelLevelizeDbg 0 +fHsimLevelizeNoCgDump 0 +fHsimReuseVcs1Sem 0 +semLevelizeVar -1 +fHsimLevelizeDbg 0 +fHsimMinputsPostEval 0 +fHsimSeqUdpDbsByteArray 0 +fHsimHilRtlAny 0 +fHsimHilRtlAll 0 +fHsimCoLocate 0 +fHsimNoinlSched0lq 0 +fHsimUdpOutputOpt 0 +fHsimSeqUdpEblkOpt 0 +fHsimSeqUdpEblkOptDiag 0 +fHsimGateInputAndDbsOffsetsOpt 1 +fHsimRelaxSched0 0 +fHsimLocalVar 0 +fHsimUdpDynElab 0 +fHsimCbDynElab 0 +fHsimCompressData 4 +fHsimIgnoreCaps 0 +fHsimMdbIgnoreCaps 0 +fHsimIgnoreZForDfuse 1 +fHsimIgnoreDifferentCaps 0 +fHsimIgnoreDifferentNStates 0 +fHandleGlitchQC 1 +fGlitchDetectForAllRtlLoads 0 +fHsimAllowFuseOnRegWithMultDrivers 0 +fHsimFuseConstDriversOpt 1 +fHsimMdSchedTr 0 +fHsimIgnoreReElab 0 +fHsimFuseMultiDrivers 0 +fHsimSched0 0 +fHsimPulseFilter 0 +fHsimNoSched0Reg 0 +fHsimAddSched0 0 +fHsimLargeBc 0 +fHsimLargePdbModule 0 +fHsimMMDebug 0 +fHsimMMLimit 0 +hsimMMLimit 0 +fHsimAmsFusionEnabled 0 +fHsimAmsWrealMdrEnabled 0 +fHsimAmsWrealInitValZero 1 +fWrealForce 0 +fHsimCgMarkers 0 +fHsimSplitRmaCode 1 +rmapatsPattCountThreshold 1000 +fHsimElab64 0 +fHsimTestFnn64 0 +fHsimTestDgn64 0 +fHsimRtlDbs 0 +fHsimWakeupId 0 +fHsimPassiveIbn 0 +fHsimInitialConst 0 +fHsimForceRtlDbs 0 +fHsimBcOpt 1 +fHsimBcOptDebug 0 +fHsimBfuseFast 1 +fHsimParallelElab 0 +fHsimParallelElabVcs1 0 +fpicArchive 1 +fCsrcInTmpDir 0 +fHsimInterconFE 1 +fHsimMxOpt 1 +fHsimModpathFE 1 +fHsimPathOnCCN 0 +fHsimOptMPDelayLoad 0 +fHsimTransMPDelay 1 +fLargeSizeSdfTest 0 +fAllMtm 0 +fHsimDelayGateMbme 0 +fHsimDelayGateMbmeOld 0 +fHsimNdb 1 +fHsimNdbDebug 0 +fHsimNdbTest 0 +fHsimGrpByGrpElabIncrTest 0 +fHsimGrpByGrpElabIncrTest2 0 +fHsimTestAggrCg 0 +fHsimOneInputGateAggrCg 0 +fHsimCertitude 0 +fHsimCertRapAutoTest 0 +fHsimRaceDetect 0 +fCheckTcCond 0 +fHsimSimlearnDdce 0 +fHsimSimlearnDdce_diag 0 +fHsimScanOpt 0 +fHsimScanOptPartComp 0 +fHsimHsoptNoScanOpt 0 +fHsimNoScanOptDeadLogic 1 +fHsimScanOptFixForDInSIPath 1 +fHsimNoScanOptForNonScanLoad 0 +fHsimScanOptLoopFix 1 +fHsimScanOptLoopFix2 0 +fHsimScanOptRelaxDbg 0 +fHsimScanOptRelaxDbgDynamic 0 +fHsimScanOptRelaxDbgDynamicPli 0 +fHsimScanOptRelaxDbgDiag 0 +fHsimScanOptRelaxDbgDiagHi 0 +fHsimScanOptNoErrorOnPliAccess 0 +fHsimScanOptTiming 0 +fRelaxIbnSchedCheck 0 +fHsimScanOptNoDumpCombo 0 +fHsimScanOptPrintSwitchState 0 +fHsimScanOptSelectiveSwitchOn 0 +fHsimScanOptSingleSEPliOpt 1 +fHsimScanOptDesignHasDebugAccessOnly 0 +fHsimScanOptPrintPcode 0 +fHsimNettypeOneDrvPerfOpt 0 +fHsimOldNettypeResFnOffset 0 +fHsimScanoptDump 0 +fHsimScanDbgFunc 0 +fHsimScanDbgPerf 0 +fHsimAutoScanSuppWarn 0 +fHsimScanOptAggr 0 +fHsimScanOptFuse 1 +fHsimScanMemOpt 1 +fHsimScanChainOpt 0 +fHsimForceChangeCheck 0 +fHsimFuseConsts 0 +fHsimMemBusOpt 0 +fHsimDefLevelElab 0 +fHsimOneInstElabMods 0 +fHsimOneInstElabModsHeur 1 +fHsimOneInstElabModsAllowDbg 0 +fHsimTopElabMods 0 +fHsimPVCS 0 +fHsimNoStitchMap 0 +fHsimUnifiedModName 0 +fHsimVIIntegrityCheck 0 +fHsimOrigViewType 0 +fHsimXmrDumpFullDR 0 +fHsimXmrDumpDebug 0 +fHsimRTLoopDectEna 1 +fHsimAssertInActive 0 +dGblTeE 1.000000 +dGblTeR 1.000000 +dGblPeE 1.000000 +dGblPeR 1.000000 +fNewdaidirpath 0 +fHsimDelayMbmeCheck 4 +fHsimMdbPartInputLimit 1 +fHsimSdfData 0 +fHsimDesignHasSdfAnnotation 0 +fHsimDesignUsesParallelVcs 0 +fHsimCMEnabled 0 +fGblMSah 0 +fGblMSTe 0 +fGblIntPe 0 +fGblTe 0 +fGblPe 0 +iPulseR 100 +iPulseE 100 +iTransR 100 +iTransE 100 +fPulseOpt 0 +fGblPulseOnD 0 +fGblPulseOnE 0 +fVCSiFlow 0 +fSystemVCSEnabled 1 +fHsimForcedPort 0 +fpicOption 1 +fModelSave 0 +fHsimGenObj 1 +fHsimCbkMemOpt 1 +fHsimCbkMemOptDebug 0 +fHsimMasterModuleOnly 0 +fHsimDumpOriginalFlatNodeNumsMap 0 +fHsimRecordPli 0 +fHsimPlaybackPli 0 +fHsimModByModElabForGates 0 +fHsimMdbOpts 0 +fHsimMdbInlineNew 0 +fHsimMdbSelUdp2Rtl 0 +fHsimMdbUdp2Rtl 0 +fHsimZeroDelayDelta 1 +fHsimMdbUdp2Rtl_3state 0 +fHsimMdbUdp2Rtl_noxedge 0 +fHsimMdbUdp2Rtl_dfsr 0 +fHsimMdbInsertComplexSelect 0 +fHsimMdbNoComplexSelect 0 +fHsimMdbScalarization 0 +fHsimCmplxOperScalarization 0 +fHsimMdbVectorizeInstances2 0 +fHsimMdbVectorizeInstancesCfg 0 +fHsimMdbVectorizeInstDiag 0 +fHsimMdbVectorizeInstances3 0 +fHsimMdbOptimizeSeqUdp 0 +fHsimMdbB2BLatch 0 +fHsimMdbAggr 0 +fHsimMdbGateGroupNew 0 +fHsimMdbUdpGroup 0 +fHsimMdbOptimizeConstants 0 +fHsimMdbDfuse 0 +fHsimMdbBfuse 0 +fHsimMdbDce 0 +fHsimMdbMpopt 0 +fHsimMdbCondMpOpt 0 +fHsimMdbSimplifyMpCond 0 +fHsimDceIgnorecaps 0 +fHsimCondModPathDbs 0 +fHsimCondModPathCompact 0 +fHsimMdbCondMpMerge 0 +fHsimModPathCg 0 +fHsimNoCondModPathCg 0 +fHsimCompactCode 0 +fHsimCondTC 0 +fHsimMacroTC 0 +fHsimCondMPConst 0 +fHsimCondTCConst 0 +fHsimMergeDelay 0 +fHsimDelayOpt 0 +fRemoveDelonTrans 1 +fHsimModPathLoadOpt 1 +fHsimMdbTranOpt 0 +fHsimMdbTranMerge 0 +fHsimRmapatsCsh 0 +fHsimLrmSupply 0 +fHsimNewMbmeFlow 0 +fHsimBackEndInteg 0 +fHsimBackEndIntegCapsOk 0 +fHsimBackEndIntegDiag 0 +fHsimBackEndIntegMaxIbns 1024 +fHsimBackEndIntegDeadObns 0 +fHsimTran2MosDriver 1 +fHsimDumpCcn 0 +fHsimMdbNStateAnalysis 0 +fHsimMdbAdjustWidth 0 +fHsimMdbOptimizeSelects 0 +fHsimMdbScalarizePorts 0 +fHsimMdbOptimizeSelectsHeuristic 1 +fHsimMdbPart 0 +fHsimMdb1006Partition 0 +fHsimVectorPgate 0 +fHsimNoHs 0 +fHsimXmrPartition 0 +fHsimNewPartition 0 +fHsimElabPart 0 +fHsimElabPartThreshHoldDesign 1 +fHsimPMdb 0 +fHsimParitionCellInstNum 1000 +fHsimParitionCellNodeNum 1000 +fHsimParitionCellXMRNum 1000 +fHsimNewPartCutSingleInstLimit 268435455 +fHsimElabModDistNum 0 +fHsimElabPartThreshHoldModule 3000000 +fHsimPCPortPartition 0 +fHsimPortPartition 0 +fHsimMdbHdbsBehavior 0 +fHsimMdbHdbsBehaviorTC 0 +fHsimMdbIbnObnPartition 0 +fHsimMdbDebugOpt0 0 +fHsimMdbClockAnalysis 0 +fHsimMdbMimo 0 +fHsimMdbMimoLite 0 +fHsimMdbMimoAggr 0 +fHsimDumpMdb 0 +fHsimDumpMdbVpd 0 +fHsimElabDiag 0 +fHsimElabMasterDiag 0 +fHsimElabDiagSummary 0 +fHsimElabDiagMn 0 +fHsimElabDiagMnCount 0 +fHsimElabDiagLite 0 +fHsimSimpCollect 0 +fHsimPcodeDiag 0 +fHsimDbsAlwaysBlocks 1 +fHsimPrintNodeMap 0 +fHsimSvAggr 0 +fHsimDynamicFlatNode 0 +fHsimSeqPrimCg 1 +fHsimDiagPats 0 +fHsimDdPats 0 +fHsimPatOpt 3 +fHsimPatInline 0 +fHsimPatOutline 0 +fHsimFastelab 0 +fHsimMacroOpt 0 +fHsimSkipOpt 0 +fHsimSkipOptFanoutlimit 0 +fHsimSkipOptRootlimit 0 +fHsimFuseDelayChains 0 +fFusempchainsFanoutlimit 0 +fFusempchainsDiagCount 0 +fHsimCloadOpt 0 +fHsimNoICDelayPropPwEqDelay 0 +fHsimPrintMopComment 0 +fNewRace 0 +fHsimCgVectorGates 0 +fHsimCgVectorGates1 0 +fHsimCgVectorGates2 0 +fHsimCgVectorGatesNoReElab 0 +fHsimCgScalarGates 0 +fHsimCgScalarGatesExpr 0 +fHsimCgScalarGatesLut 0 +fHsimCgRtl 1 +fHsimCgRtlFilter 0 +fHsimCgRtlDebug 0 +fHsimCgRtlSize 15 +fHsimNewCg 0 +fHsimNewCgRt 0 +fHsimNewCgFg 0 +fHsimNewCgMinput 0 +fHsimNewCgUpdate 0 +fHsimNewCgMP 0 +fHsimNewCgMPRt 0 +fHsimNewCgMPRetain 0 +fHsimNewCgTC 0 +fHsimCgRtlInfra 1 +fHsimGlueOpt 0 +fHsimPGatePatchOpt 0 +fHsimCgNoPic 0 +fHsimElabModCg 0 +fPossibleNullChecks 0 +fHsimProcessNoSplit 1 +fHsimMdbInstDiag 0 +fHsimMdbOptInSchedDelta 0 +fScaleTimeValue 0 +fDebugTimeScale 0 +fPartCompSDF 0 +fHsimNbaGate 1 +fDumpDtviInfoInSC 0 +fDumpSDFBasedMod 1 +fHsimSdfIC 0 +fHsimSdfICOverlap 0 +fHsimSdfICDiag 0 +fHsimSdfICOpt 0 +fHsimMsvSdfInout 0 +fOptimisticNtcSolver 0 +fHsimAllMtm 0 +fHsimAllMtmPat 0 +fHsimSdgOptEnable 0 +fHsimSVTypesRefPorts 0 +fHsimGrpByGrpElabIncr 0 +fHsimGrpByGrpElabIncrDiag 0 +fHsimEvcdTranSeen 0 +fHsimMarkRefereeInVcsElab 0 +fHsimStreamOpFix 1 +fHsimInterface 0 +fHsimNoPruning 0 +fHsimNoVarBidirs 0 +fHsimMxWrapOpt 0 +fHsimMxTopBdryOpt 0 +fHsimAggressiveDce 0 +fHsimDceDebug 1 +fHsimDceDebugUseHeuristics 1 +fHsimMdbUnidirSelects 0 +fHsimMdbNewDebugOpt 0 +fHsimMdbNewDebugOptExitOnError 1 +fHsimNewDebugOptMemDiag 0 +hsGlobalVerboseLevel 0 +fHsimMdbVectorConstProp 1 +fHsimEnableSeqUdpWrite 1 +fHsimDumpMDBOnlyForSeqUdp 0 +fHsimInitRegRandom 0 +fHsimInitRegRandomVcs 1 +fEnableNewFinalStrHash 0 +fEnableNewAssert 1 +fRunDbgDmma 0 +fAssrtCtrlSigChk 1 +fCheckSigValidity 0 +fUniqPriToAstRewrite 0 +fUniqPriToAstCtrl 0 +fAssertcontrolUniqPriNewImpl 0 +fRTLoopDectEna 1 +fCmplLoopDectEna 1 +fHsimMopFlow 1 +fUCaseLabelCtrl 0 +fUniSolRtSvaEna 1 +fUniSolSvaEna 1 +fXpropRtCtrlCallerOnly 0 +fHsimRaptorPart 0 +fHsimEnableDbsMemOpt 1 +fHsimDebugDbsMemOpt 0 +fHsimRenPart 0 +fHsimShortElabInsts 0 +fHsimNoTcSched 0 +fHsimSchedOpt 0 +fHsimXmrAllWires 0 +fHsimXmrDiag 0 +fHsimXmrPort 0 +fHsimFalcon 1 +fHsimGenForProfile 0 +fHsimDumpMdbAll 0 +fHsimDumpMdbRaptor 0 +fHsimDumpMdbGates 0 +fHsimDumpMdbPrune 0 +fHsimDumpMdbInline 0 +fHsimDumpMdbCondTC 0 +fHsimDumpMdbNState 0 +fHsimDumpMdbVhVlInputFuseOpt 0 +fHsimDumpMdbVhVlInoutFuseOpt 0 +fHsimDumpMdbVhVlCcnOpt 0 +fCompressSDF 0 +fHsimDumpMdbSchedDelta 0 +fHsimDumpMdbNoVarBidirs 0 +fHsimDumpMdbScalarize 0 +fHsimDumpMdbVecInst 0 +fHsimDumpMdbVecInst2 0 +fHsimDumpMdbDce 0 +fHsimDumpMdbScanopt 0 +fHsimDumpMdbSelects 0 +fHsimDumpMdbAggr 0 +fHsimDumpMdbOptConst 0 +fHsimDumpMdbVcsInterface 0 +fHsimDumpMdbDfuse 0 +fHsimDumpMdbBfuse 0 +fHsimDumpMdbTranOpt 0 +fHsimDumpMdbOptLoops 0 +fHsimDumpMdbSeqUdp 0 +fHsimDumpMdbMpOpt 0 +fHsimDumpMdbGG 0 +fHsimDumpMdbUdpGG 0 +fHsimDumpMdbMimo 0 +fHsimDumpMdbUdp2rtl 0 +fHsimDumpMdbUdpDelta 0 +fHsimDumpMdbDebugOpt 0 +fHsimDumpMdbSplitGates 0 +fHsimDumpMdb1006Part 0 +fHsimDumpMdbPart 0 +fHsimDumpMdbSimplifyMpCond 0 +fDlpSvtbExclElab 0 +fHsimDumpMdbCondMpMerge 0 +fHsimDumpMdbCondMp 0 +fHsimDumpMdbCondModPathDbs 0 +fHsimSdfAltRetain 0 +fHsimDumpMdbCompress 1 +fHsimDumpMdbSummary 0 +fHsimBfuseOn 1 +fHsimBfuseHeur 0 +fHsimBfuseHash 1 +fHsimSelectCell 0 +fHsimBfuseNoRedundantFanout 1 +fHsimBFuseVectorMinputGates 0 +fHsimBFuseVectorAlways 0 +fHsimDfuseOn 1 +fHsimDumpMdbPruneVpdGates 0 +fHsimGates1209 0 +fHsimCgRtlNoShareSmd 0 +fHsimGenForErSum 0 +fVpdOpt 1 +fHsimMdbCell 0 +fHsimCellDebug 0 +fHsimMdbCellComplexity 1.500000 +fHsimMdbCellHeur 1 +fHsimNoPeekInMdbCell 0 +fDebugDump 1 +fHsimOrigNodeNames 0 +hsimSrcList filelist +fHsimCgVectors2VOnly 0 +fHsimPortCoerce 0 +fHsimBidirOpt 0 +fHsimCheckLoop 1 +fHsimCheckLoopDiag 0 +fHsimCheckLoopMore 0 +fHsimLoop 1 +fHsimMdbDeltaGate 0 +fHsimMdbDeltaGateAggr 0 +fHsimMdbVecDeltaGate 1 +fHsimVpdOptVfsDB 1 +fHsimMdbPruneVpdGates 1 +fHsimPcPe 0 +fHsimVpdGateOnlyFlag 1 +fHsimMxConnFrc 0 +fHsimNewForceCbkVec 0 +fHsimNewForceCbkVecDiag 0 +fHsimMdbReplaceVpdHighConn 1 +fHsimVpdHighConnReplaced 0 +fHsimVpdOptSVTypes 1 +fHsimDlyInitFrc 0 +fHsimCompactVpdFn 1 +fHsimPIP 0 +fHsimRTLoopDectOrgName 1 +fHsimVpdOptPC 0 +fHsimFusePeXmrFo 0 +fHsimXmrSched 0 +fHsimNoMdg 0 +fHsimUseBidirSelectsInVectorGates 0 +fHsimGates2 0 +fHsimVectorGates 0 +fHsimHilCg 0 +fHsimHilVecAndRtl 0 +fHsimRtlLite 0 +fHsimMdbcgLut 0 +fHsimMdbcgSelective 0 +fHsimVcselabGates 0 +fHsimMdbcgUnidirSel 0 +fHsimMdbcgLhsConcat 0 +fHsimMdbcgSelectSplit 0 +fHsimMdbcgProcessSelSplit 0 +fHsimMdbcgEdgeop 0 +fHsimMdbcgMultiDelayControl 1 +fHsimParGateEvalMode 0 +fHsimDFuseVectors 0 +fHsimDFuseVecIgnoreFrc 0 +fHsimDFuseZero 0 +fHsimDFuseOpt 1 +fHsimAllPortsDiag 0 +fHsimPruneOpt 0 +fHsimSeqUdpPruneWithConstInputs 0 +fHsimSafeDFuse 0 +fHsimVpdOptExpVec 0 +fHsimVpdOptSelGate 1 +fHsimVpdOptSkipFuncPorts 0 +fHsimVpdOptAlways 1 +fHsimVpdOptMdbCell 0 +fHsimVpdOptPartialMdb 1 +fHsimVpdOptPartitionGate 1 +fHsimVpdOptXmr 1 +fHsimVpdOptConst 1 +fHsimVpdOptMoreLevels 1 +fHsimVpdHilRtl 0 +fHsimSWave 0 +fHsimNoSched0InCell 1 +fHsimPartialMdb 0 +hsimPdbLargeOffsetThreshold 1048576 +fHsimFlatCell 0 +fHsimFlatCellLimit 0 +fHsimRegBank 0 +fHsimHmetisMaxPartSize 0 +fHsimHmetisGateWt 0 +fHsimHmetisUbFactor 0 +fHsimHmetis 0 +fHsimHmetisDiag 0 +fHsimRenumGatesForMdbCell 0 +fHsimHmetisMinPart 0 +fHsim2stCell 0 +fHsim2stCellMinSize 0 +fHsimMdbcgDebug 0 +fHsimMdbcgDebugLite 0 +fHsimMdbcgDistrib 0 +fHsimMdbcgSepmem 0 +fHsimMdbcgObjDiag 0 +fHsimMdbcg2stDiag 0 +fHsimMdbcgRttrace 0 +fHsimMdbVectorGateGroup 1 +fHsimMdbProcDfuse 1 +fHsimMdbHilPrune 0 +fHsimNewConstProp 0 +fHsimSignedOp 0 +fHsimVarIndex 0 +fHsimNewMdbNstate 0 +fHsimProcessNstate 0 +fHsimMdbModpathNstate 0 +fHsimPgateConst 0 +fHsCgOpt 1 +fHsCgOptUdp 1 +fHsCgOptRtl 1 +fHsCgOptDiag 0 +fHsCgOptAggr 0 +fHsCgOptNoZCheck 0 +fHsCgOptEnableZSupport 0 +fHsCgOpt4StateInfra 0 +fHsCgOptDce 0 +fHsCgOptUdpChkDataForWakeup 1 +fHsNBACgOpt 1 +fHsCgOptXprop 0 +fHsimMdbcgDiag 0 +fHsCgMaxInputs 6 +fHsimMemory 0 +fHsCgOptFwdPass 1 +fHsimHpnodes 0 +fLightDump 0 +fRtdbgAccess 0 +fRtdbgOption 0 +fHDLCosim 0 +fHDLCosimDebug 0 +fHDLCosimTimeCoupled 0 +fHDLCosimTimeCoupledPorts 0 +HDLCosimMaxDataPerDpi 1 +HDLCosimMaxCallsPerDpi 2147483647 +fHDLCosimCompileDUT 0 +fHDLCosimCustomCompile 0 +fHDLCosimBoundaryAnalysis 0 +fVpdBeforeScan 1 +fHsCgOptMiSched0 0 +fgcAddSched0 0 +fParamClassOptRtDiag 0 +fHsRegress 0 +fHsBenchmark 0 +fHsimCgScalarVerilogForce 1 +fVcsElabToRoot 1 +fHilIbnObnCallByName 0 +fHsimMdbcgCellPartition 0 +fHsimCompressVpdSig 0 +fHsimLowPowerOpt 0 +fHsimUdpOpt 1 +fHsVecOneld 0 +fNativeVpdDebug 0 +fNewDtviFuse 0 +fHsimVcsGenTLS 1 +fAssertSuccDebugLevelDump 0 +fHsimMinputsChangeCheck 0 +fHsimClkLayout 0 +fHsimIslandLayout 0 +fHsimConfigSched0 0 +fHsimSelectFuseAfterDfuse 0 +vcsNettypeDbgOpt 4 +fHsimFoldedCell 0 +fHsimSimon2Mdb 0 +fHsimSWaveEmul 0 +fHsimSWaveDumpMDB 0 +fHsimSWaveDumpFlatData 0 +fHsimRenumberAlias 0 +fHsimAliasRenumbered 0 +fHilCgMode 115 +fHsimUnionOpt 0 +fHsimFuseSGDBoundaryNodes 0 +fHsimRemoveCapsVec 0 +fHsimSlowNfsRmapats 0 +fHsimCertRaptScal 0 +fHsimCertRaptMdbClock 0 +fHsCgOptMux 0 +fHsCgOptFrc 0 +fHsCgOpt30 0 +fHsLpNoCapsOpt 0 +fHsCgOpt4State 1 +fHashTableSize 12 +fSkipStrChangeOnDelay 1 +fHsimTcheckOpt 0 +fHsCgOptMuxMClk 0 +fHsCgOptMuxFrc 0 +fHsCgOptNoPcb 0 +fHsCgOptMin1 0 +fHsCgOptUdpChk 0 +fHsChkXForSlowSigProp 1 +fHsimVcsParallelDbg 0 +fHsimVcsParallelStrategy 0 +fHsimVcsParallelOpt 0 +fHsimVcsParallelSubLevel 4 +fHsimParallelEblk 0 +fHsimByteCodeParts 1 +fHsimByteCodePartTesting 0 +fHsimByteCodePartAssert 0 +fFgpNovlInComp 0 +fFutEventPRL 0 +fFgpNbaDelay 0 +fHsimDbsFlagsByteArray 0 +fHsimDbsFlagsByteArrayTC 0 +fHsimDbsFlagsThreadArray 0 +fHsimLevelCompaction 0 +fHsimLevelCompactionThreshold 0 +fHsimGateEdgeEventSched 0 +fHsimGateEdgeEventSchedThreshold 0 +fHsimGateEdgeEventSchedSanity 0 +fHsimSelectEdgeEventSched 0 +fHsimSelectEdgeEventSchedNoTempReuse 0 +fHsimSelectEdgeEventSchedThreshold 0 +fHsimMaxComboLevels 0 +fHsimEgschedDynelab 0 +fHsimUdpClkDynelab 0 +fUdpLayoutOnClk 0 +fHsimDiagClk 1 +fDbsPreCheck 0 +fHsimSched0Analysis 0 +fHsimMultiDriverSched0 0 +fHsimLargeIbnSched 0 +fFgpHierarchical 0 +fFgpHierAllElabModAsRoot 0 +fFgpHierPCElabModAsRoot 0 +fFgpAdjustDataLevelOfLatch 1 +fHsimUdpXedgeEval 0 +fFgpRaceCheck 0 +fFgpUnifyClk 0 +fFgpSmallClkTree 0 +fFgpSmallRtlClkTree 4 +fFgpNoRtlUnlink 0 +fFgpNoRtlAuxLevel 0 +fFgpNumPartitions 8 +fFgpMultiSocketCompile 0 +fFgpMultiSocketAfterGrping 0 +fFgpMultiSocketNCuts 1 +fFgpMultiSocketDiag 0 +fFgpMultiSocketRecomputePart 1 +fFgpDataDepOn 0 +fFgpDDIgnore 0 +fFgpXmrDepOn 0 +fFgpTbCbOn 0 +fFgpTbEvOn 1 +fFgpTbNoVSA 0 +fFgpTbEvXmr 0 +fFgpTbEvCgCall 1 +fFgpDisabledLevel 512 +fFgpSched0User 0 +fFgpNoSdDelayedNbas 1 +fFgpTimingFlags 0 +fFgpTcLoadThreshold 0 +fFgpSched0Level 0 +fHsimFgpMultiClock 0 +fFgpScanOptFix 0 +fFgpSched0UdpData 0 +fFgpSanityTest 0 +fFgpSanityTest_Eng 1 +fFgpAlternativeLevelization 0 +fFgpHighFanoutThreshold 1024 +fFgpSplitGroupLevels 1 +fFgpSplitGroupIbn 1 +fFgpSplitGroupGateEdge 1 +fFgpSplitGroupEval 3 +fFgpGroupingPerfDiag 0 +fFgpSplitGroupDiag 0 +fFgpStricDepModDiag 0 +fFgpIPProtect 0 +fFgpIPProtectStrict 0 +fFgpNoVirtualThreads 0 +fFgpLoadBalance0DiagComp 0 +fFgpLoadBalance0CompileTime 1 +fFgpDepositDiag 0 +fFgpEvtDiag.diagOn 0 +fFgpEvtDiag.printAllNodes 0 +fFgpMangleDiagLog 0 +fFgpMultiExclDiag 0 +fFgpSingleExclReason 0 +fHsDoFaninFanoutSanity 0 +fHsFgpNonDbsOva 1 +fFgpParallelTask 1 +fFgpIbnSched 0 +fFgpIbnSchedOpt 0 +fFgpIbnSchedNoLevel 0 +fFgpIbnSchedThreshold 0 +fFgpIbnSchedDyn 0 +fFgpObnSched 0 +fFgpMpStateByte 0 +fFgpTcStateByte 0 +fHsimVirtIntfDynLoadSched 0 +fHsimNetXmrDrvChk 0 +fFgpNoRtimeFgp 0 +fHsFgpGlSched0 0 +fFgpExclReason 0 +fHsimIslandByIslandElab 0 +fHsimIslandByIslandFlat 0 +fHsimIslandByIslandFlat1 0 +fHsimVpdIBIF 0 +fHsimXmrIBIF 0 +fHsimReportTime 0 +fHsimElabJ 0 +fHsimElabJ4SDF 0 +cElabProcs 0 +hf_fHsimElabJ 0 +fHsimElabJOpt 0 +fHsimElabJMMFactor 0 +fHsimOneInstCap 0 +fHsimSchedMinput 0 +fHsimSchedSeqPrim 0 +fHsimSchedRandom 0 +fHsimSchedAll 0 +fHsimSchedSelectFanout 0 +fHsimSchedSelectFanoutDebug 0 +fHsimSchedSelectFanoutRandom 0 +fFgpDynamicReadOn 0 +fHsCgOptAllUc 0 +fHsimNoReconvergenceSched0 0 +fHsimXmrRepl 0 +fZoix 0 +fHsimDfuseNewOpt 0 +fHsimBfuseNewOpt 0 +fFgpMbme 0 +fFgpXmrSched 0 +fHsimClearClkCaps 0 +fFgpHideXmrNodes 0 +fHsimDiagClkConfig 0 +fHsimDiagClkConfigDebug 0 +fHsimDiagClkConfigDumpAll 0 +fHsDiagClkConfigPara 0 +fHsimDiagClkConfigAn 0 +fHsimCanDumpClkConfig 0 +fFgpInitRout 0 +fFgpIgnoreExclSD 0 +fHsimAggrTCOpt 0 +fFgpNewAggrXmrIterFlow 0 +fFgpNoLocalReferer 0 +fHsCgOptNoClockFusing 0 +fHsClkWheelLimit 50000 +fHsFgpSchedCgUcLoads 1 +fHsimAdvanceUdpInfer 0 +fFgpIbnSchedIntf 0 +fHsCgOptNewSelCheck 1 +fFgpReportUnsafeFuncs 0 +fHsCgOptUncPrlThreshold 4 +fHsimCosimGatesProp 0 +fHsSVNettypePerfOpt 0 +fHsCgOptHashFixMap 1 +fHsimLowPowerRetAnalysisInChild 0 +fRetainWithDelayedSig 0 +fHsimChargeDecay 0 +fHsimCongruencyConfigFile 0 +fHsimCongruencyLogFile 0 +fHsimCoverageEnabled 0 +fHsimCoverageOptions 0 +fHsimCoverageDir NULL diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_fegate.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_fegate.db new file mode 100644 index 0000000000000000000000000000000000000000..e424f4e54d47d5e9d5340e9b64e59baa1c22b1f1 GIT binary patch literal 1352 zcmds!J5EC}5Je~a!cT!V-9w^DOGO!^ln7C>0Hm-0kSHxdL5oC5k&==HP^6=wpkx^= zfph2Cyu=n>03#ibGk50Z+1@d;AsoRN&R_~Ra0l-&>6tx}Yca5a%d{4un%FHAW9sj@ zG5r_N1fOSZeUud^ZPFULZMm!cN7>y~YIFbbOx?-LUF|MbX%~}ntopv^^k2d)Ji#k` zKzdExaf+rfTmMzsYBMw2h_#j2sGQy=?8Ty2+%>2oeNkg32x$i(eU0B+Wc=*~@~GX% zHO&w33_8;%e8WD*hj0w1a0T};gE#mBeTC9egyov+Sh&b^!bLtr7&oP6_|yz-jnN1#Zv+NbZzHTB3xk!$)6`6))xEqTgM@1@8! R`So2XHTi8ut(IzU{sU$xCSU*n literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_lvl.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_lvl.db new file mode 100644 index 0000000000000000000000000000000000000000..37c1756c0f163e375f42cb529b17aa1b78352ad9 GIT binary patch literal 140 pcmb1OfB;D-Ed`~ep|lK?mW9%CP+A^JD?n*QD6IsgVbp&T002}LEffF% literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_merge.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_merge.db new file mode 100644 index 0000000000000000000000000000000000000000..e5bb42afb4e5ae9acaefda78ddbca13df4ea4397 GIT binary patch literal 1553 zcmbtUp>7*N5Z&F|yPRFizA!6_6h|^>)q+;)2V7Y}KuD#)!hn82fx$%z2rMoNC@Kml zI8YF1SWr+H&<}7}X9lEicD;_;o^+~ny7lg!-^}d1c{>OEh=;;gW6_5&lMh?6!qp^x z1z^5j{t&*fLah@z&4i|2=y@)5gYlsds&|A(aD!1*!C1YH%~*_0TY>-ed;ck}xT>tN ztWf>oF2gy(fBD-`xaGN}OS6YSTq87{1Q$XX61iJH9$xvEU{{|DS!zV1yaKw}^0?_B z|CJ;4q&WIFMXF~wY0D!dx!4mijpkT)B>^+=+x2D(c_HXXSB?qOnT|**wZ^t7oI-BOS9Nl6&`}P*B!+1wW>k5 z7Z$3A6ZZmL5V7MkvyYfB{wx^PNtFy4sxUJI?}6qjS;Yn=_kf29oU=n(vyV7HRYE&S zHcv&b!X0Qt@IHX=2AGmIK5~8%cts|01(MKB!bf(TV~GObMYQ66;~8Be{gZQv#F@Hm2zQI_Hg@Hu} wfvsAqeH;#~>N?|TMBwtoz2}?@-r*9rZE}>~8e=TR00YnDD9-uq>doiLZ&+VVHUIzs literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_name.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_hsim_name.db new file mode 100644 index 0000000000000000000000000000000000000000..6461c6037052fe9cdc674a5d6d848d5fc51e04c4 GIT binary patch literal 262 zcmV+h0r~zPiwFP!000001C7x;PQ)+}fMM_Z)pC$UEU%sdBnk@p!J8OktrJ_e6N!$) za0Cht!JS|x2x%k`8cSa@ozL(N3c%ou8>f@aQ8 zGZQomhMKvcSu)ft1kH+}W-Vye3^nu~|3}Mz+6_bPO7PyWOy>w~(KWh7_vit=pjY&U z-q8nwzRGZQcLPyZ2W<`YG>SUurt&)HWMas!g!HU__Pf>xNwKjC7leRO7 zI}gLcV|WA);X!|JMbsHTLyxIUoZS(ol>g0R>KfeMD*YTAC007MCcWVFu literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_mnmn.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_mnmn.db new file mode 100644 index 0000000000000000000000000000000000000000..6251f6d3dd7f1c14c6ba2279a8de572bf5a5df20 GIT binary patch literal 245 zcmZvVI}XAy5JZ=fii=Qy2)}}khK>f>mE)LTVaJy3M9^~)TF$`{h&V(V>aED9L5Pt? zqn&xu4rxVuQe^2&SGv)YUi2U=xX1N&gO>3VO9eEyWsYgCnD+YQkU(B&w5PNqv`>{u+m7cx7>zRXfhmPfaN`o0{T&NYPhZGUs#v&y2k9`e b5<(0=Rr?YuC;^~XyI;Fe)diIA-F@%@L2q7U literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partition.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partition.db new file mode 100644 index 0000000000000000000000000000000000000000..26967885a60d8676f31bfc9b545193ecd5b2ac6b GIT binary patch literal 1268 zcmb7Ep-uxq5ZxZ7EnrH5L|jM(vI2o3ClH8ABm!B9M4qVNBTy&=f-fK^5PSflq94E~ zG(jBi?Y<$?W=W1o-p%aH+u3(_a~DFg5!}A;*-yEp{7RnL9#8-~0Mom`02l)7u?Nt) z@D9LRMz%J<-Et%BLH<>KEno6**p+-mA+Q%|yi^QKmvvrOmZ0Qc zJifXqFaze|np;?K5#oI`whr}g6jb{_#y|T=a5arYX&X7Ow8P}$UdH4RjQarfSzoTz zC(ir{*2${qIQPUga1T5J4X^^%z!4fvff-;u`MohVKUblsLzp1n0NXZF!gH*jamx(H zv>WH#aX2UMfIcOo1fF3v-oH0r<3GS#f#Kr5Cyd#^7ZCdz{~6wVE#2p)>i^CxpUamM I&UFL)0AX4y0RR91 literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partitionDbg.db b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/vcselab_misc_partitionDbg.db new file mode 100644 index 0000000000000000000000000000000000000000..d911124acf8a617087fe57252e884423bdff776c GIT binary patch literal 892 zcma)4%TB{E5G-#fXCw}YQw|)9XnFKn4n;z!qT;-A6BDc^b!5Ah_ydl75=TCRPr>7C zNLqyGO5+)?ckOZFc568{{1iZJGN88@(Ax~?9R~C+1A31Ez0ZI?@MuH$IK;q*b(r6o zKbXIm&tS&Ki202<7(*TgeSC6${4g5R({NB`F%EQIs7xl0TDv;iRL6c&ffli)+tD2r zBA$v=R&{JAOi#ET-19(7A&ki5Ojv7Vp{;V-yoTb|si*lOr(&A+`xK!VQ)fghX`)<2 zWnDd1UckZ6e5M@HMX%Gn>|USWT-BvPHS4WQLhdiWkzZvut +#include +#include "svdpi.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#ifndef _VC_TYPES_ +#define _VC_TYPES_ +/* common definitions shared with DirectC.h */ + +typedef unsigned int U; +typedef unsigned char UB; +typedef unsigned char scalar; +typedef struct { U c; U d;} vec32; + +#define scalar_0 0 +#define scalar_1 1 +#define scalar_z 2 +#define scalar_x 3 + +extern long long int ConvUP2LLI(U* a); +extern void ConvLLI2UP(long long int a1, U* a2); +extern long long int GetLLIresult(); +extern void StoreLLIresult(const unsigned int* data); +typedef struct VeriC_Descriptor *vc_handle; + +#ifndef SV_3_COMPATIBILITY +#define SV_STRING const char* +#else +#define SV_STRING char* +#endif + +#endif /* _VC_TYPES_ */ + +typedef struct _vcs_dpi_rsrc_msg_struct rsrc_msg_struct; + +struct _vcs_dpi_rsrc_msg_struct { + SV_STRING scope_name; + SV_STRING field_name; + SV_STRING type_name; + SV_STRING action; + SV_STRING accessor; + SV_STRING resource; +}; + + + + extern int uvm_hdl_check_path(/* INPUT */const char* path); + + extern int uvm_hdl_deposit(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_force(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_release_and_read(/* INPUT */const char* path, /* INOUT */svLogicVecVal *value); + + extern int uvm_hdl_release(/* INPUT */const char* path); + + extern int uvm_hdl_read(/* INPUT */const char* path, /* OUTPUT */svLogicVecVal *value); + + extern SV_STRING uvm_hdl_read_string(/* INPUT */const char* path); + + extern int uvm_memory_load(/* INPUT */const char* nid, /* INPUT */const char* scope, /* INPUT */const char* fileName, /* INPUT */const char* radix, /* INPUT */const char* startaddr, /* INPUT */const char* endaddr, /* INPUT */const char* types); + + extern SV_STRING uvm_dpi_get_next_arg_c(/* INPUT */int init); + + extern SV_STRING uvm_dpi_get_tool_name_c(); + + extern SV_STRING uvm_dpi_get_tool_version_c(); + + extern void* uvm_dpi_regcomp(/* INPUT */const char* regex); + + extern int uvm_dpi_regexec(/* INPUT */void* preg, /* INPUT */const char* str); + + extern void uvm_dpi_regfree(/* INPUT */void* preg); + + extern int uvm_re_match(/* INPUT */const char* re, /* INPUT */const char* str); + + extern void uvm_dump_re_cache(); + + extern SV_STRING uvm_glob_to_re(/* INPUT */const char* glob); + + extern void m__uvm_report_dpi(/* INPUT */int severity, /* INPUT */const char* id, /* INPUT */const char* message, /* INPUT */int verbosity, /* INPUT */const char* filename, /* INPUT */int line); + + extern int parse_rsrc_msg(/* INPUT */const char* message, /* OUTPUT */rsrc_msg_struct *_msg_fields); + + extern int parse_phase_msg(/* INPUT */const char* message, /* OUTPUT */SV_STRING *domain, /* OUTPUT */SV_STRING *schedule, /* OUTPUT */SV_STRING *phase); + + extern int find_substr_by_C(/* INPUT */const char* org_str, /* INPUT */const char* search_str); + + extern SV_STRING verdi_dump_resource_value(/* INPUT */const char* rsrc); + + extern int verdi_dump_component_interface(/* INPUT */const char* scope_name, /* INPUT */int streamId); + + extern SV_STRING verdi_upper_scope(/* INPUT */const char* inst_scope_name, /* OUTPUT */void* *upper_scope_pointer); + + extern void verdi_dhier_interface(/* INPUT */const char* var_name); + + extern void retrieve_reg_def_class(/* INPUT */const char* var_name, /* INPUT */int _handle, /* INPUT */int is_objid_only); + + extern SV_STRING retrieve_def_class(/* INPUT */const char* var_name, /* OUTPUT */int *objid); + + extern int record_reg_decl_name(/* INPUT */int handle, /* INPUT */const char* parent_var_name, /* INPUT */const char* var_name, /* INPUT */const char* obj_name); + + extern int check_is_sequencer(); + + extern SV_STRING remove_array_index(/* INPUT */const char* name_w_ary_idx, /* OUTPUT */void* *name_c_ptr); + + extern int pli_dhier_begin_event(/* INPUT */const char* streamN); + + extern void pli_trans_add_class_name_attr(/* INPUT */const char* scope_name, /* INPUT */const char* attribute_value, /* INPUT */int streamId); + + extern void pli_trans_add_vif_attr(/* INPUT */const char* scope_name, /* INPUT */int idx, /* INPUT */const char* attribute_value, /* INPUT */int streamId); + + extern void pli_dhier_set_label(/* INPUT */int handle, /* INPUT */const char* label); + + extern void pli_dhier_add_attribute(/* INPUT */int handle, /* INPUT */const char* attr_name, /* INPUT */const char* attr_value); + + extern void pli_dhier_add_attribute_int(/* INPUT */int handle, /* INPUT */const char* attr_name, /* INPUT */int attr_value); + + extern void pli_dhier_end_event(/* INPUT */int handle); + + extern void fsdbTransDPI_scope_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_string_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_real_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern int fsdbTransDPI_create_stream_begin(/* OUTPUT */int *state, /* INPUT */const char* stream_fullname, /* INPUT */const char* description, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_create_stream_end(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* options); + + extern long long fsdbTransDPI_begin(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* trans_type, /* INPUT */const char* options); + + extern void fsdbTransDPI_set_label(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* label, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_tag(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* tag, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, const /* INPUT */svLogicVecVal *expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */double expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_end(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_relation(/* OUTPUT */int *state, /* INPUT */const char* rel_name, /* INPUT */long long master_tid, /* INPUT */long long slave_tid, /* INPUT */const char* options); + + extern unsigned int fsdbTransDPI_get_enum_id(/* OUTPUT */int *state, /* INPUT */const char* enum_var_name); + + extern SV_STRING fsdbTransDPI_get_class_str(/* OUTPUT */int *state, /* INPUT */const char* class_var_name, /* INPUT */const char* options); + + extern void* DPI_hilbert_fir_initialize(/* INPUT */void* existhandle); + + extern void* DPI_hilbert_fir_reset(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data); + + extern void DPI_hilbert_fir(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data); + + extern void DPI_hilbert_fir_terminate(/* INPUT */void* existhandle); +void SdisableFork(); + +#ifdef __cplusplus +} +#endif + diff --git a/hilbert_dpi/fun_tb.m b/hilbert_dpi/fun_tb.m new file mode 100644 index 0000000..f3702c9 --- /dev/null +++ b/hilbert_dpi/fun_tb.m @@ -0,0 +1,4 @@ + + data = [7,6,5,4,3,2,1,0]; + + [i_data, q_data] = hilbert_fir(data); diff --git a/hilbert_dpi/hilbert_fir.m b/hilbert_dpi/hilbert_fir.m new file mode 100644 index 0000000..38c8dc3 --- /dev/null +++ b/hilbert_dpi/hilbert_fir.m @@ -0,0 +1,10 @@ +function [i_data, q_data] = hilbert_fir(data) + coe_neg = [0,-69,0,-202,0,-471,0,-950,0,-1766,0,-3213,0,-6337,0,-20648]; + coe = [coe_neg,0,-fliplr(coe_neg)]; + i_data = data; + q_data_temp = conv(data,coe); + len = length(q_data_temp); + q_data = floor(q_data_temp(17:len-16)/32768); + q_data(q_data>32767) = 32767; + q_data(q_data<-32768) = -32768; +end \ No newline at end of file diff --git a/nco_dpi/codegen/dll/nco/.gitignore b/nco_dpi/codegen/dll/nco/.gitignore new file mode 100644 index 0000000..9c66351 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/.gitignore @@ -0,0 +1,22 @@ +*.asv +*.m~ +*.mex* +*.o +*.obj +*.dll +*.so +*.dylib +*.a +*.lib +*.exe +*.map +*.rsp +*.tmw +*.mat +sil/ +interface/_coder_*_info.* +coderassumptions/ +target/ +build/ +debug/ +*.slxc diff --git a/nco_dpi/codegen/dll/nco/Porting_DPIC.mk b/nco_dpi/codegen/dll/nco/Porting_DPIC.mk new file mode 100644 index 0000000..e489e82 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/Porting_DPIC.mk @@ -0,0 +1,14 @@ +SRC=$(wildcard *.c) +OBJ=$(SRC:.c=.o) + +SHARE_LIB_NAME=DPI_Component.so + +all: $(SRC) $(SHARE_LIB_NAME) + @echo "### Successfully generated all binary outputs." + +$(SHARE_LIB_NAME): $(OBJ) + gcc -shared -lm $(OBJ) -o $@ + +.c.o: + gcc -c -fPIC -Wall -pedantic -Wno-long-long -fwrapv -O0 $< -o $@ + diff --git a/nco_dpi/codegen/dll/nco/TB.fsdb b/nco_dpi/codegen/dll/nco/TB.fsdb new file mode 100644 index 0000000000000000000000000000000000000000..80e7ff11c210138a5f460d6f7764bad8a840beac GIT binary patch literal 79720 zcmeFXbyQqS(>DqPf(0Mk-Q5Gh2baN}!3pke!GpWILqZ_9lQ6gw0wg$t2G`(n$$9ML zdG%ZOpS$jNdd=*rE@}Dg-P6^z2L=WPhD2me7XBF=>=O$^l1^gv4=Nt_i~C!V`h&p2 zJQ=~ly!my3L4}9?<$~}W>4~sEah$Gy82xrpH*up-F>|Bf;-lc?efjj^;h^B+;Nn5~ zyOrc?7e@z2J4Y*PGYWNUdv`k%H)}@+3N1->3N;Hm3lmoh3Kdo^4o(3!4nbCR5Eoe5 z-Oi4W=bwGR{geAYWMKbZ{tw;$GXD#K|34w1#rbOu!@z|6og(o6t^SWWx^w+r|A+iP zr~EKD-2bz^*psu`|HAH9AKw2Y{bq#m56fR2{8j!!;QwL-{`>gc%*ET0^WV_%65s>> zDFFXNt7z@u?)7&&ZZ=L1HV#%!PCgDcK`u5N`N+G=tdKsB%uPzkIkrzEHG@7~HPTH@+pMHNYff7kqyfu-dXfxonW zDE|$O->cT<77lJ;OH0qEev~zJ#FeD@c);csrhHE@I9PaspD37zjT`(2UThrfd_1Ps zZeVtE3nxc6c4t>Jc2#vrC3YD}Np@3f2X-qnGcX4)7yqy0&+q;GRr?EpzYzEffxi&= z|2_g*7B1%2VC7#)EPgglu3t&4KMnfV?nWWyZtrB_LSgCX@+H2>z^820%d5UH1o|x$Q&s_sD=LDO)PEb?lN6|?rt%xqPckJb zaj?3Enx^ExH}N0cpCn*8KTqPR5eZH8r)X2@50w8+r7fbP)$Sk&s~5t)#Rjgf5p1WKuHa-hMW@cH;C1wz#tX1 z-zKLntD^lB#Hy={%lrney0{V$tPK3!_SX}r1(s0JRF)D~`>p3+4~>-O(+$`9_gGm| z`RQQ-DoZ`xK}n6@5LXAPJ>AtOyriX{h`OBKKL+qLOpd4H09fHqvOm_8x`G@CED3zN zpK{9T8sh(Y$Tii0PYo#jbHM*vsQUk6f8%}~)1UuI^6$rX9k4n`S^e=*@9#8??r-lf zo?QNE`t0xAzj6Y9YVa5Pr2pgX#=nXGT>WQbe-{45|AoL`2>ic7z!nDX0sajPjftC^ zi?yk{n+4d#(a{ZtP59TcSG!JnTbn}B#E9W2w!*6WnaX|EPzGar9jdRiMUg#)0+}1G0 zA*+>#yVZhAF|As0_Ju<9^-5PQHi}$gRLW`1-4O<3%Hy><8tsNC+8Mp&g>UDCU^}ZC z`2POq?by1rpUAe~`1YND{-xl=#E9k;5`X4s*>hNFLdPK!azw(!a1$wl9=)})(9+PI zwouQYu*~48Qm}RVTMIwLh|{^QBJ{FT0w_{2?_-i$o>Qhs4octY8(dP8h!2Vj zFbBVg;!usUPJ7F}MQMQyK?!X{(!a9)m{~Nsgry+uMPecV;YMPo=ol9?9Wwv|L$i>S zLxr&BDcmG}L~bdOCgIrmASPm9&QkA72!tm@V6t?S*;I6j9A;BD$oweW8(ur5&#ZsG zox-mqd1tu974S$SA@^li0~gmXU)8C2hYEYRI62goJM3VlIO5VDH!!Z(ZifTm}9^$D>$?i2~3qE-h!osG4RvKe9#Au z(2}|i9m)Vf^YS9Dw#QOSpyVdi9F^E`4P8gF09svpAcoQ>P+mKyaF8Vek%WG-%;JgQ zU|4|7-x9rfA@?>0s1tMifh2gm%%`qdS9;ZK@N;6EPhQKb1$VjTsMfN+`!C_0gSvFA z-#CC2GZ7rHxJHIqJ8Z zO_cByR+1Y(X1Cw$4$x0QOgSib%Envb#IFblXJ18G8ucrAq3E4(sDYDa`!8}#ZK4nx zlM;*T9f(xCM1oQKXhv@8Vp3!hplEZwEXAfrem&FZiK=yQeD7Jzw5Ax7_ibj3UMnLS z&iV+N?!5L*tKEbOCkwW0WAy-Hr|EyTr(+#rs#@kHQg=PG{{k7!)!y4h+TF z)tej=1TN<@7A%Tl_-t!i)zt)-ic9e*gIF~SoYmZAA8;K`Uz*6`Q=}f05Mg`tl5=Hx zb^2@qEC>~Zidf@^1I!7*%khQ2M2WKS+}L>TPXofL3?A$k5GJJ)O0giZiD&W}bIL7E zJbv(J5Y*MKzc%XNH^57=*zh`8W`4UEGPvpSb`%)utBsCKeAw-)BHJ$ZY<`U~{{GJB!u1(byH2A$^>W&I7Oo3r#yA$$#YSVz`8znkWzC$0XImP zCm6_N9H%ij8=Lfo&ev9^hDdCjDes_sr%icG3Ecu<(Xj#hqeoXc{B(w=ujU;%*hGl}eDh*H8!tVFuE ze#Ak8NK)`rd&GOoPNLep5^}HHYL9rPX*b(IQ>asRs#6EDq_Knc!hz76O=53LVhV_> zHe4d1vORmmZnRGsWdEmf<-;)t+lT1=Tqjq~7kw&U`i{ELDkaY`tE)p~F_vmGn~QqW z7U2+2A7%77vQ!xAnpX`OM!Xa#0&N#bs$*G-&%ZlXgiXsdD0S-MU^#pXB5ECG^OBy` zka?$~|HDm)$`iVktq;1Oc!f`{@pbG~Ah#Ml1#ixWsWSSogTvf+uPL? z4-c*&@TW^8-cYH{Mc97`od$Fpk;J}xtJY$R=pcc|cbbi*YYAlXUHZyTKLKmMdzmvNqi~&(vIK^O;%~cowS5L z^V?9_lD%F@%vLTASWit*Wmo;-D04)`s%Duv9GWuD<@ZOFTa!K42Ul$}s zgDlvUu0(`>8)0D@QQFo_ePFg<%V3NhSJCRLx=~pJ)XD4jg$A=29SJ&rf zx3(yG!)5l1$T;WvP}`yfMYou0dhoh-h1J^EwjiwrjYvcvS@VE4><4va`Qp8?*)=X- zJ~u$v^4Rv*7?*$|C@g}J)95XNsUg!4XM_6?g9Kga2jr42hF4B$wf6#Qb3=s6YPgF zOc?KytsL_61j*WUeX&kHiU*X(cG3yezq;1A6I5S%xKy2s!N2*{ z{;4vM+lWiMJXU`hMuyDSN2(9nSf96ISz$qwQ<|?*nt!frH>zortGyA2HoS7YRqxZ8 zXGZpLz1QHJ^Jw6iy}kC`%134unAxc%luxveGfOcA#<+-@nddxp$$hLe%J9xFC~4l9 zjW{q*T~79*B!47G-2OR9rkh!F)061W3{p$ugY3tjKMb(0{48AN_zu(cKmnfPCH^*h zIYZWs(_!n~bs9i2*Q)5~a5wx=%HUmfQ5=!};WkR!zgpbB#O(TduA#)ts@zl-E zDqo94LMWh}6{y z5e`CX%qlWz)o-01(J2a7EmEdUndH|F1LIPN%L+ZJ-?CaUV=QIGv1YP=-?mG5p3auf z{oD?MIs+C4OQ27AsJq^q2CQp`y5i%;-czE z(bM8Q_))roy4v+F5_@X5e9+-zD+@0|e4p5{b@eB|u-5o=U{qvc1;(Ty$*}@@IS9gQAXxbjqe4Kr~GJ}*IrgfiTaPy^Q^nNsT#vEskd!vf2 z=YHV`uQ5;dE8{3Mj?tddcag4(!73hqE^9DP2YBvs%^55%<1AfMKB<@|T2^Q{6(f`# z$|rI-Ao(aBUVe`0C@sKxm9oLj+n;)`XG6#JaozCk$7j$SW5okI8yWyxtS>eX5BQV6 z1Owm$HLF0s7XhINVI+1J4vHw#x`||G$(3w(KS-_nyGlEocSw4G)n~_PtZJsc1`cdAR<8BTaBbm?`ltp=NjwVvrjAKB<$b^<7e*G#{~`{YI;INrf|a*pi|&Z=Dvk4DWD7 zzk_jJ1AcvO@PT==de%Kl983!6{uSH2x>>>xqhg#D>Dh);+R81uuFz>J@CRgwL3Xfh zawlJ_O)@Y+3G|MDIad;`h=Zy}Tnmv_kj<3RK9$?mHjm?5;p)p29V-TU9f#u31;x7R zj|8gJF-bixVXEm>?nA2Si|&jiWY@c$tVO#RTZ$Jq8}C%UjL(obza09yYKi3{6vj(I zowEjMcc~M~N!Vz=*EI1^t=dyoc5NM;O0Yv?Y@}@eDqSQ^jbbY$ZC1xeCu8QqUzUJ$ z3f#xrq5~|3VrTOtez28CR-zxAT{_A65g=pL#k*+kp4_A4z#q0K*CiwrSz*~cILk|| z&0V($Y-%6$#`mVmEpnYE#KM!4cCF*1mvMFBr+1uIpV~+CsRKHp)-_XTqt?2}!+>9GhfvsS| zY2HxS!dK`*FrUDmmTO-1)<$2AuZFdCUhVvq=<%9t=eGDxoNYt#hDQ+9)IwanK*z>~ z+F<8KyJuI!(d}RWdFvsyf^S_Ty_N52ui+NrkTbjd!k(BMX}DZ;OTOP_z5e>rbqC2= zoOxt<=+$#7-n`si`PS8*m#XI5o~89FyMFn8^{CfvEmA(|>-5!W zE=k6+`H_-!r#`X**IW`K@3j%s+pWzFFJ8@j7*5v^#iGQi`8^VtTx75&^=pE>b*0et z_-uNP|IpW(Or!&uq5FW1hL(p@P0v6SIcl&TE>@y+cf9JFSu^T4Gjl>Qh6&GNanQ6r38$Ri;!)IEw6XF+(Afy$DL-OTcn%G!Ae@r&4+DnBe(#BPQM4 zKcxL_N%zqREU82n<-%qfrjT0^$(KUXFbe|O7pS=7CL4rZO3J;*axPTTpsBZ;!Q#V0 zNfUfv)Ux33^x)ZQAypl&p)|S5OyP&yjmf)+m~w{0N^`*i6<*9`)sDH`qy?4zAG9tN zQ_>jynsIg9(ZVW-ZzszcuXlddHBKCpv3`<@h@o7`ct>UWqEBQbzw!vL+KSP~PS=E3 zq0-EAP?Jp5A<99~6N^6}Hs>(rWI|r$+~6sIA?Xuw#EG0xKy6Z_Sb%p?rkLn`sH?>p z%8+tSh67&FxTsJap+bvhQ2{4#eaZo1-|5xo&9QNfN<#5fTrS=)0$zqe%0L?mh^6Iz z)_IGiM#|d?HzQ-fV-5KnBAThPGTDXo2c-qfmHC26ZJX5Px3(MSK9HBWNo6BH*Zti~ z{my2-_{sSlh(LW}i@-HZb~VXo?kE}Jh1?9@(%vScB`uj#1zeWH@%xR-1?T*H3i{bV z>-r4`Yv1G?$E58KR_5Q9sm%Cvt<^jGOpv zQtM|RWh*3}xmX}>pX_VnP@WC(gOweR;p7c4S*GyL6Zejnq#@UA-plP2@BQMb@U`%r zQ3;)|%)Dcc*|Ml7RCl7)Lh&%C@D$qXSt+-%Pgy=tt)*Ma=C{YJrFAk9C)ZX*fL6S1 zBhNSO^blwEKdGc)FhE0=rwjvsU>1_Aokqx%%=q!>S69Xlwws0s=Sfu+tfr>4)TPSm zUx(Z64|K?WmvF!;e9z#GOYXf$b%@g{yO^JF`PE`75a=i0{eGw`%iuku&zMO-R-0uR zNKKwTvBRU*fFxga%F3qvF2^tb=;@pqx4*|=pR27is}8xDg0$ud6Q_-I&x!R*M=i)g zY7-ZUhuSMEkL`(yW!|-Zbfo)c8MVBI+r+xDD3Qm0Ror<#*{>C~z?5F&%N%A$z0xF4 zWlG%Vklxkbm|Ns2t0247O~0#jI*ETl3k;yWG#k)PIl)!&cm=)nNwBlvo2ws6Rr(?n zCi{H|)%U&dOyAKi^Ab}0_MuE^<3TmU)52KcN8OBGQCn+18VqZQz`htw`Fd{sNIPIO{Cy8|+5g#Q+~=c%^4@zt6vhO4orTMkn^*Z>hExxq-%7N9B%>J+9~K~> z>4JXU72m1UoSaA43UhGbySKSm-h`N#|PdK|efpW+*G z)B|)05>i6H{pLp=HHJ?j{m6)kpxj~d#w&O6E9K3|imxuu>hjAPzD9)7rrWh{jM7dJ zCLibBf@t!gT|8+=xwMzMD1tIwuA(nPCX(-kTgQ_(W$Nx_$H|9WP2ww@gCJ}P&WTm{ zL(Ykd_(RzPC3QMdhDmvlfJlS%!6cO?Kl!n3^;2Wjb*?Z&y5cug2pbFqF~RSqB;z>R zlWf^nlD@S!ZKI}9OAldboX$7D>CU{(c*V9Z2zvbwdv85SKsQdG^lOZUduU zU+r|}5@3V|o$_Sk&6Pt~JK^J#?kbAAv4bg}Dc6;qfin)_gT6(wAKh{( zLE`r^A(WqE=12;rF>&r;*g~y-T3uICS)ni3KUVZ_NAgJ&s=&sT3WZ6K9u8=vZR*$= zBUA(#&%~C>$W62C*Vaej-jz!1l**_Mznmj`u|;8iyxV+TzfJgtg89vh2lWK# z_=|>aHc~=%hni5^rhsL{%+rYw=oJ#gY$RK_o-dFW8vl~DB{oDA#`jgf{GmPfD@w}X zZl|2eZcLt_gOHz#$pyWJ^M-Yu^=xf0yo$IleC7EqJm-xVK=0#;9LS=Gyr$2@tXVo- zU>6nf%ylzJVHEcmQ#v4$Lnv%tjg;(tj`rAUXHK+X@lwL~hIPD5UL||(+ZFyuA|80# zCZ%dR`7yYz4BN$7gyJVVUVc1-ym{i6sNE69(RH@0O(}W#jsk|-l)Oh6V5AxXzp-vu z%G(YxlKh>;pAu_Tw&JFjPv6O(5~ht$bheS=#1|oQvnIUvB?>l9b$D;}x8UAxZONjk zsyTxwu{AUl>;)#-QFxkhwFEB%anlxZ?BqZ!>|6PjV^>*?wT94MF;N=yOG(q9;NCtI z>=6UBNK%+c>S&2I(g4{-FaR6OjuN>9pb2n6psC|G^kkK-+KlLJ43JgSO2~kd^;^fM zbjG7_K4O{y8+4y`?Jal2O!Wq(2$)WlO|-4d{sJx+HcI!7ROG- zUiWBgEJOo2Yl9)Z+9jfC85xL*22KccJ~d&HmSK^v0%36ivBRT{*DQ^Trb4~@6cjsT zd~Qp|UGFnmU^6PFSE({u@G~kxjUywu?=r5M$Z@n86g%WhOzR-MDnXHz^S%MFiW*Z# z6P%ptNA%zu&J)zcNp<8d;er!6NnCmdIC^wYPK&g2Q6~dE+okK8u#;&~KF-3mVB)Pm zW==)Ql7unj4xzDagm+zY%oC65up)ls06K%AVjU;2b-RUmKG%d1^~2u z!qCZ*mLZj>8cs&GoE*b<#%!{j8z^d3MY1PGz^GyXV>KpjCSU^jn?_WgmUa+T^m}fF z3oaXXUGU_E?AU@zGoPY9E^C@r13~5M6K7zwD=sTpOE8`*fE5K8ZFt}C{j*9mEYO^WRm3NT%@Dj)^I?>jl0L2|yg8%`M!l-7@;>f@zLO}tZ;W~@ zo8^743V@{vbrGj*HtZAXJJBg3OxCr{Wqs4MWY#z*3i;>jru=hRF&6T;7Vnk8)l#a~ z&xr8pnGEjqpr*9mFCuS$1c;Y`LFZS;Y!kGlB!F=C(r##KfDf^BAF;hHzKsRjnb{c_wZ83*+JycGQpJ`Gw| zk!W9(r(G=-~P6Ythx-v&G zHs^H={wD?Nj3mN*?NKicPP3|f!u7k+??BFVc@DFD32hil#d&?18@(eDT3wga+dyoV zYboZ+M3v9PVzH7a2gM0Q6G23&AYIv5$@^&mT~)Km#)^|3=8BU%NJuGt`8$GyuZF5- z%BnUj2sSU^d7Dnu%`B8+D_dDs0Mksh)eCG+#Yq%_dyw>&z;bRorLtxZYuU+o7MK}uyEoj#Qec}a`w<4MFIQ4 z_=Kp?6AbH^FPY@bUU$vWF>#{m=5Ep|%yqXQj5mfDwFn*+!kj01F2V%(Xesy_PdVN}EvG>BGkQT2d7 zaHZWezmF-6*6cS;t<|x(Q_$#_P`+~o_V?ay)UG~;4KoOyFeQGOk0$Ai;_Y84PWc>g-Pr{r04Um{B${VWU@I zxtL1*)7~1}7-c+ykaJPFPB#BqB#sBA(;}MwKm)`5hlO3!wVeYOk!ne!j^{iN*Sl-i z;ai`PeTna*TD(@2Ye#BNeRN$3l>&`TCARn>nYuoctl@WNl@0`t&wl;@SQb3J z;mStK8J&3;2;}x4wB@uh<+Of#mdisxq^GF+Vs@UZ&c@o}>)>!%q*C^*YqeU`Y06W= zy|e-e>lMX>8d;kBwCSq`owUZZ$8F#7x!S(jgXP1{rCV^#H}Cs^m7|;$@2k8cZ?F6s zG}IdRTuum5eJFcPs(nUVv~z!^tt;AB8Z0ZGppShSvkgVIU0%n2(NI6u`n45*|Qkivc-g(O8y(yeZK(KM_VcEUpN zaSN3C6~y5i)C)V2AzP=D7ZD(I$Q~Y2^BQeENu4mIyA^vqtRV`E!f zh;Y6ilP@>Ci9D18iCabdc+J9!jU`8GdMp{ZBn->ySx*-lA1Aqh#!l~2z*@Jtn_t+8 z&mJ~#E-NE>wZ+hYAz6WBvWyku=G(C^TJ=E-6*4e4nEy6iJ)$5N$zGCdCuH(sCWPY_ zM&3Fky;FBE3D(}7?^0#bV-q8AI^6y2$HBMaf!XYOjNtg(Q$%xbxcNOwzc&1Nqs8f? zLVx7>ExYBT0)GRH%_&Dpr{eK_aiQ<#WJv8ElG*5mMA?+}V&1Qp*-UtMNHT>I{ok^g z&!L+ibssj8{#1-e>vUh?pNyMQx?ZzI@~24IoOO_lB=tJ)no2YFT^ZPSh{q zt`yI%*S-B*t28JoJ~4bi43uXpoDrMZR3e&Jfjq6r#bS^ut<|`6w~<$8{jW{yH$3nN_DI6 z@9;&!kW-}}2bH4Zc_C^Na#Yw6DNpy~Oz+%Pb<7HSI%em7z0N&@Kv+wU7PcBVFBTbF=NG4&QCT zkii+Rmx|--Evikig>!dvGyX?_M60f@rR&vuoyArOz9s%it^7!qSCmeVbpq@{RF?mlTu7iJwT5+?krkHA%h87s7vfvis5qfgN6=~ zh%oB=k8rzFPMPdX?zmv#O%%VV_Na4sO?Wp6r^2?YWS+qROE@dW@Mbm7t19OFW~YvL zpG`qAaiSZO6Z83y%36$~AnSAE?6=%x5N-B287yLooQTO)-G(zVdt`^Z2@qf4b6|Z=H5A=+$#&l>}-1QQv~f|jl`{VQFK=$Cmr&j2|l<#uYHHy~%qGH5OJH*Unz2F2ZPpH?cr&?>juogG7hL9-4+TXVJr3Vy>7Oy5(Ru z>>VNvPwu>qYJ>rbXY3v1RQ58%lJBC_vW6}`XQ&vw;AWi!lS@Vc0@VF?x}E#)2am5` zryM^El@KJoD=YJnKdBAQE$kTl%tmDO(H~sQcZus_=SaIH}yQ~sU9zm{ZkG~hXw2%Dlx?f4EEcoOd7gc#ql!s<^6-fCVo z87z}Y?yU|e{z&$BVUvnaaCw^Wj$&6IVq4LT;)`x@Se`2`_My5^bUrW59c;kbj7BfQCtp%>;plX<~=AaAiTn*Kwrdzr9 z#|l^8)@r(*zh#^o6Mqt+7?|O2y#s)GWq3FT)l*q!2907DX9n>bB~y4+ZKjDApXx93+h4oxx!1WjCv9uhHBk97 zyEIdQWAx8oyUMqi4!%}un%%HdTAtl-|+OSr@BcJo%xJaxqq*X0` z);gc~CC~0)XfChgU}!!Fj?}IQC=(S!E5qV#%Gk7?UKE=-L13SdQzR|ix;FSj3OTo^ zgz#meXdeEM*O#rPx;pRX#BIK)&U>polJ140)Dh?0@sxQ%gWfA4SG@B4j(|FkLa}s& zsZU1~1Ed?RAuNVN%c+ZoL+MUIfwD?osy9ab@;iJIKE1hsmgIEa<=hF`>=j_z(-GW` zB{;G}wkio{c_!D?r-L2;HigV{ko~Ca^AcHNz@<*>@=3a!`Hr02s~Vi{Jn>e5bL=I} z5RtE{e2v3tyEzI?Gv1dWK;RLUR>=}`1XE?RHoULOWV97^qR$FJE3?xj+y+`gBL>2a zaCLL?4a8a0`>_0bS8Qk}JHl-M^M}j~E;S$jPjpm;D*~-I=eCqp7@*Z4@)DDqT0c|i&+$jL>y%bUnu8O);io(RJrTLGKGHB^slV7NlA`AQ2iU=nv z9aZUv<|c%a7n}f+o{JTBr89o6el^H<4eQC;*sw>r<$dMB1Nw)`_>#)0Jff)bhB{x8 z>pXNERTcH!uf*oI`OsH44R!}!C^0pRMFZ-0^`a7U;$C^B-?y2NZ(RX?4(&OckvL_0 z{pWbdINt`L;~7^~29bG3Ohx(SSNQu>*9be^X>#WIQipOI*}}4X9$bX#3?}j|ueriH z)CWZyBP)bbi^|pR8hk^|h)R{HooAv`&expB$@p@M%93qg_I0?4Rj06b(tB2>f4xq8 zaIwV_3`w$*dTYY{Ty|ysp|g&&wpcu}<^ro)o6*uz(j;4sk$dy}G;st?6Q|rr|R-jA_P&1`|@Nm_O1x08I? zu9tnJFZNlvwi4~hN=MCDhLSG4ZtVklKlR9T=T1qQZgaz>Vs&3D*7%vaMp_=Jjrz9M z1s6pd?aJI1j+H zO-?5NFEHMt#)0}QOXCk%t)f&bNkml6a^b=OG2D8BT3SbL7)Ha>-> z8dW%^gPBa0n)TAkQXNjb=(AN}hAZsioo8d1wTs9_9h+%nV|ni#?+M2S^nF z_k9gCsUZv`k)(L;;eOoHM#G$wxSYxL7`9v(L%ZpEOw%>q)T0Qeul7VGo=(vY z-*9V!L9N{K`=uDK@C$$J%TVrb3{ZNttw!pO%k)(A#uC=ti(DL=N z=;AUg_+z+TTbs6HLdA&AVIo;N8#hPRF>n9!Ev!+^aAS_6iSf#juNxaZ;PlLYaScEr z*9N)-;NKM8sZa`20`N`{MkWy_*+dGD8z;j9vc9$rNuM%_4)4n$?BJc_Ct4PYRn{Ay zn+7TmAjNZgh$2xtf4kYU#9Ko&8f~RPcEl*up<8i6(no}G^Da0{&oy?`HGPRI#q32- z#xANF>Ouqg>hu{z7?Nnbr4z@~Bn*iGAM$90 z+3||P34^_P$GtS#&9n=3>ap0FdSKh^e!{)k!YVw3Z^;}9(-VIl!sD&JSFPk74f^cU z>CqYg^aqALFUGCwmulMm?;)x*B5udXuJ0X4)GuUE+^D5t+~$P0RUfUpziDh&AVsJ#rwl(IL0(#c<_I6NKFO_JHp8gx&zmGrivv>Fw{VbvX5leR!Ev^> z6jV?Q>i-*4nL{~!2IjC#p*0y{>Z0$M$DRTOgW6-ukH^M+5L*DMP*nYK9VY50 zL_B5mTBmJHRM%#VH(}TPZLOBjRVLM(Fi~|DJ5`p&RsmFUq}t;$OjLF_RQlb{A836L zL|`mCFRD!SGgO(O&i8M_2$A%u{NL+jTu#myLq%K)mw1R-7TJ0sY^}CZ==1|l1;_d* zVHk`6hXT+QY^FCcLQ^BYqT0h3zbj{y{_rb$I3MSHM$>_YByu~e+iy(ckkfI~>+h@= zK11r7@LA0jSLH9|^-Eusu1x?r{EWJt)De1^jz`<8N}w#j9=~Vp8efj(fIa-fk$D2p zfTcWbu^!fqH_daRpfAUMWl$@ltIDZv6uO<>+T^siRAgk}oCwrV^|RwGOpH%#`w^de zGO1XIh3#g9?S!hlTEf?$Y*7*U8p0iFI&nb}XQ8(QeO*&x(BrN4mqZH8=j!4c3v~$G z!9N8M;zo1gGZ~#&Va?OTe{?LbJRm>k&Mu zcS_nfotrsAl)S_Rn`vE_+|rCYo}IkwjWPN?L6jWzZWGHxIPVF7uMtA{oT^{gsC*bz zBPc9PaCoCbj1Zqmp4mu)5{ffxN@+ssf3GP^FfYnY6H~l{D*>5I!^CFe*h%;pSM)xC z;}nd7yw~!2x!P2h%hM){DHb`sKNM)K*uq0+K!n5gM^(WLf>p{1oyu^sR=f%nq%-L4 zE~SJrLMh=NTqPiRgkq~}SkMaXy|k6k?Lcwa@ApS>h-WVCDcEUUboxoP8hycY$zRhe zK_5x3^UlF}~InSY{`ztt13WZoe4gV3LCxZQ-_G4l^6%bm+d832dg&qp_Co|nO*tGj1w0%2gMCZtI+Sxi?gsH#K`3X7P;Ho`HRlc(Bk-wt{NlBPqo;?63ePm5i}FDtj1lh4Jq|*dL66WJnL*#sDj7znh7WgJ1cGsi+XQ_NcL850r_z&YeYOWB zjYdzHrdS4sd~@#TPl2maL9boa97Klb4PB&ITFobDJ=w06Gebok!(?BTQdQqUtxxml zY{?K#$D=CzqJ$;mxHkkP)9TGd6%Ok+Mpl@2HKOC zM@^HLy*n_r#-cG2)XL)YK&3b#M@_{OUlYY-9LvxVRwH_ZsA#|G{Y0(IM9KNppHWs% z>Mbq2-23qaaW%QOeZeL5_&>RKmph?r_H*A>-0F%==F2#0J0QITVUh7quj;f-OlN5o z75%8il^KU3C7ewsFzNZwolSL|l@)Js>BHAbf|}bIl{*0RvAH#CCEeHQYb9(CH9fev zOs7{z+7&v?p;6^;qHu7Ts-G%sp;5j;QA|9;3dw=0+TL;U;1alEY}{LXnZ9RYee@C) z-xc`i%qpY8>qN`h)w@B04@9{1%o>ZE%7&^+&Q$rOr=jq`c;OsC&>GhpQw(ns`5O(O z$h8=gT%w9F_zmDTRJJyx;U~Ksr+i{jl>6zX&rzc@rP{`UdwW8KPP+gT8>qrdy3q7? zts;X^qK{XsPkdgDS+b&qA~cFAPd7B`Agb83i6iith8-KCOp)ojLdAEfyc-%Oz88g5 z@fUmrYXmzmnZ8n3%!kjJ-+iXd-^0GFXel-C!v`>vX;l%BoA!lbGB1D7amLj-DJ-Ie zsmiFU=`t|6ztzl0dVhDKmXM@Un}l~g-Hz2DP&LRO^_ml-p&~dL*dQP*75x>!DxD$~ z%^U!XZpJ62^B3gpTg_RH!gG!KYAQHsD)3s>;pyKODIRrg;eEyqX~Km!XY;{$n$sug z4X?4bxV20A=Cwh_ih0)5{7Z<~w#o+-Rp-o-!RG^}9ea z_0b=_)T%@pcg%>m$z*vHx$k-2U1`TKl__6Dtza7)H~PMQF$3QMJ!q?VY2) zEHkMhrzYt#ldLxqXaHrY0uFeDAV}OULrK9g4GQsliws3l)sF?o)|yfkW!Y?1JI|&? zWOy~j4`cyPXIgEG`(qpimYPrrVU%l725B7c%s{p)E)YT8;{@fKQrRH`eBsABVLZoJ zehkRR8^*Sq^@$=67!(WD?*`}yTm$4`f)mEEKL_FH(*kiCP0U4%Qw>#-#7w$ApQ4>? z`RTHp;@ON8f8+)<))av-!&LI5gZ5wI1OvkhXConL#?wBk9K{?xK@e20vmSLFPtoZ# zaT6s%`@^NF3ex!bVTS$M;tO5?N^*~UVc7KDT$0WuX!<4SstrEPF`6GE1SCY*sHys0 zo1}3Gy{TO;!E0}r3(RX|j@!Eu()GptmfG^zOLabe} zYu*1oPW!0v!k%=E_^7Cfbb0ZUt9op(JRqi7bpZiEboWf3q2*-jSgC=7_mXgVve|7BV`-(A-jV&gr{g5;5q7s+D-NoBjmLGXO3{~dghPN+s`|lx_uMwwUqeI-(>jQh4L$Wd zNv|E2839EMRDsi99(YiQm5Z8QW%@{zBMqYr1d7>x-?^6+Y2oXxe+X@kJ# zD~LhaK_JRe66(GE_G6Y?WN}2T7uaxUS5l_~t#4NR6@*bkg>-T*LUFDE9DjUgejrj+ z3;T1~a~=l}jd*FA>hCtC(x>nLeH41z?iJ2#8vpnRDWPn3^sRK8_ zYZ~zK8_4Z^BmS(_yGu&w`O7Nn6m&>2*yMvGP-;^g(~CJU!US@Gc*J<*C|(R)W+*6rnwj6z;K31G)zN@EkTf?R1ov)ZAGLyY&OyIbP%Tk)wPo_2Y&754W)hU_>Hly~F zpw%JIGr7yr&wDgX!-`*GK@?26`UadFP)f`PO~&8mWKaA{XWVTk8s zI6tS`K}2${W0+^-mo?d=59{m|R@NnKeS1YOe(+=l_4(=`@(PI)XJG_oT`6de^}(49 zmIc0k{;ebf`OKjgS{^kKQ{u-^t9L zx;sUM^RL>+C;&2bLBeiMX?m99F;Ep$2EeuHbe!>Sml9};f)XA~MOr>d5aOp``#$ew z|JG__njJ|nD@fpLOF{Eeh0sP;LCMS61}lyxC+X*Cv155HAB%)b!jaKp=)OakuNH`F z5?_+xLmSa+ju_s*Xw&;FWps(p4qX@+L>U}qC{!C{Pz8^Bo;5Ik>b7M!68$mxz8oA` z6z9T;_C2VLQy!I1d@q;a8T=-yg@Jts(b_P~GUp0mhUTQy;YrU0dCv+}FdaqjZieLX z1s7jnu2fB=(TVn4qQkQ(MFiPwhsAIAj|o!ojC;W*7u+0Pry*l7^r?fOctoUnLb$P2 zj*yWTGk2f!;vMepD0oCeuY;f%e1T3kAq(VGij!#RAv%)AuucFNZP<@GpB)Of_cF>= z!%Psmkzn*;1fG2+g_#|onDvWz^I6OZKA0JkCG4{i5(G79WgXOwViF&sN?;e(txK>N z)@_4O^RvOO2uUF7&3h!BsNhb9Q2em8t!1hWWF%j>7sTJ~RvUsAp3l;E-PM`&flwvJb2wo@>JU}3q_kI8Up6|Q&yLoo@{LVSQ znN9YYGrN=7nQdbY()vcSV@PC%OItBug3A-ow9K?ZU{Dd4kUB&3^ih(K4L8O&p;W8b znE^ZMhXE)|$$|Aq>)dOc(#0?sBOmP6?sFm!kB1hosacR zRKz5}ueRbb2J{}=&}Z~3+tBA|J>(P#lH|8WOlm6LCegp)ldREkO2!p4gU_)BxuOiH zH@G@j+PZ~`$IQ3wZ37hQ{shl-TG&+MUe=@A(yD$&w74;Znt@ zu`+L8CZo9rp~j*4=q?E~-^^T@RqsP7-7y?Bc5naJzrT^k^a_{1l;!KCE)p?Q4a*s0ZW!mt3+tLA`(X;b-A|bj zw1iHR8+QMo%ywvESJLz~BEDF{%j2*>O_9PD+>9_yv zuUFqkWxf0jfD=4ntc+fWA}v<~wUcBkqzZ7TM-#rTg5El+;T3X7*e%A(L$QFrfJ37 zgOvW6@%1vs{TFh?=VGk=y-Lq-2_~mID%#4RQeBzxRQS!u_t9g(!3#d+lwga65&5xP zh;Qad%KOsfJ;sgU7iquV%N|+gy;*hVV_tgi%AYPiz!3;Ir)B)$k&mV!yoERk?Xi-* zK2g8XC|K0~02gTBG$T&_gHS6;NB=gJ#o7OQ^Fydi(BJjPtw*y;c# zwJlQE+Q>h}qBJ_rA^yPzvt;fT_vU2f!zZiO>K^w&1d9^iODKo=Sc_d_Df59Te8%H9 z3Wm5StJJw+r z-t>-!RLG{e`pwhH%;kaZ!}9ZQ0p1>QbBFY{i)c7fxCwANqBg&_m)~>+0u)yGh`w3E zRsqu&U}ixlZyv`k#BE@wUD97oHCvwk6|I=4|N7(VSN*ZlqAY z({2k^NXhh!6ulx!IK)(O$B54$cdzRBlU`@F?a%hlbXaIoU;Rfoj>}t7 z!%YUwBD?7)p>ORXC~?`$i`g_3>w}L|MR|$C%xQ>La1CBnWN{$AICC+dy=5$noNSe? zL`>}}HkaSLNVP2t#(6d^QbKW~qTgLcM^R?OMi3!~*6F6oY{=}^6sLb%w7X=V_Kc&I z(k*c89QQ|SJ7hJsL10T1*WfD#O{<<;%OL$Xv~zY#6BNM)93eu zXl7+`Z?2T{A7e!`AGVgYGvjEeywjJ$?+;K-|AZD}t4K_<#Jq>%dM@vdx3sW0t#aJl znyPA8NN*Rw#$r18n+ttX(ct~#*P}*BKIrv%N+C3jCE&gJE;nIe;$o<(sK(7aT|MKG z=JHTTw_}aYd)-quj^|I`c~%sYi{!k`PT_d#Llex{T<(J~-Yu*|vhJ4RL+)gn67rS^ z9YPF@JrDg&UTC~b6DF^q7i!Kg_g8Wm=y_{=VYeUFvXacW?7||Um?IryEZQL6H!3{C z+W6*!=7y_C>T{enAK_xcb_HZxPbjqs3b!PHHN9y7yJ}kZ%WZSkgDHOCh~69_Ok0 z-GoL>17~|?156zGGcIuTSUr}6$My*^-Ri8abjp1dS;O6ugD%e1e2WVVZ&+1?@xiZ_ zpLZ$My2H0(1;A$dfp)f`j(n#wt8S}o4ruVleaMUx9-8oYIZ zSDug)ZFk|Y^Qc5f-D@jBguCrWL#P$U*mWyGZ{s<)!QAu@%;cZYF2OO}oRd;VjC$fL zx6OF=i0Uf00mky0t-`p4`xgo{x`M-4Dkgf^c3l@bG2}nXO-07vW*T4gmDXUrMBQ@M zxTPDDtM!!EXlN!YkGprBnO5)js2R)?q?Fd6MP$`v2a}o5U2xRgw3ODU+^d_6bJo0r ztJN$W85p;r7^=A{qCN;&`RdP)keR%wtJ?Q2uQ?+#>8-1Bb7U~lxi_j=QhFR0QC@TH z!)T&!Jin%90=lho%Py_qCNtqZe<%mNdjETY@r49~$sH%V$@{E~ns!s;i~KbMld;ds z3@Ro{VaEQoDK(F|YnJe<_gCa9YR*z?3U7>Rx+tsNU<}p!G(rcrs?Z|Cd76iBoD-pD z!jf7uLjnoFPuVK3*$p$!O5YMHuOaj`oG(qN(aqU2Gn~gOuhDuS%Dk(2EEb~EwISZ% z_SB;7tjODB%w0r^a^kDW6{Bed4>6}&&sGipm`BmvjxdAiuQwJK_<3+&?|Gw9laVnC z*3Nwo22;!5n>ByPOv4O)<{iG6h%=e$OfQ~EZPnauwZc;@{67`y5nO-T4@{_y)eIbF z$2Yd{7x$fCO{n!Wu$WKFsBO6Png23sLKc2S)?B8})A-v*rRzkZwxf-%va8Zo$^-eYbP` z@AX!}bBH>1!`nB0P4g!S^+&`nRtU*_277)C29Dcz`12UE}{OZiH8Xt_yG$i z)Xxy?aeaB}#nFCKNBjH4Udq+)1Cx0@r<8PZ9iTFTC|mK)aa0#hH3fC`Rji`+WdIJ7Ngqqc~%@70cY-y=CG-M8EtW--ilhoRAJ=_yS& z+pKlf{Va9+2Tw_UP$V?f_?hEo{8mwZxIi@37@13ArboA>GS`uzSCZTEZnD&MJd7O~ zMf-O%*I|W5U$Bxq^;f5{uah5KvS|1)lU>X5T*It;hqcZ*t%=}__{Zf;?zzdnMYf{k zi#EyZEVN>rKbR_JDI9~(EgBRy`aJjS>-ah~zdF^`rZu;x{V9#c->1!f`XT+}%#1Us z;g7enV@vW~w+x)_sik%MTUqTlQXa`C20zAR#~u}AeB?98yl5z`yYMsoQ6@Xqg~jR4 ze^~4PfTB6v5mxaxx^xZcUY80hvJ&yXZU?#;dT#mSudh*?*cnw@Fg!F-Weu7UDIE@0{#TDwu|%bEi1mcrTn&zW{dm22O$ zTzTD5)P~_7+KzRJBb?*|JQlvaKI17b>SdAMos-~f3#KQTs;xf;r+V9_dz_V8l*CwK zZjvnUMV*m+;*Yuz3VL|peaZrDgxuRQ>bJGkgq5MHB`@16>nyFlQFqe{`-Z67M?npg zM5}-9OTXbukV<&U?~ss6Am6L%-z`#`!Wn3K~4Z3gag-r6~ciGg$Xv*xnK^`xJ{-{^sm`S5Asse zHEMTCn72%^Z^@H*njE8q!80x4QZS2*g{CIXE7EVm5qr@_5f7axy(ej6LhJ>mlc)(C z_L<>+pTRdTkdvgktlAN0(WGEx;y;A@hy64d^_9KAbMny{!IOs#Rm9-^LAzfjMpQ>$_$ zS3!DVsZu{}xv{uN3z7w1jpL7ytIG&!JR_>q`n*TtQxl6Ev<-K_KOY9`-E2EWv32Yc z^N;o@03dS1u&9*5>42+`*RhMw%rt$+3Z_<5?v@5Am$tLB|CUMtDaya2p_@ExeLiIuklB^vTBC#+w}?Hw4?JLOuf$ z+QU!rtfHXYLYw`LMHxE2A$=sm_uS4uQ>bfyPiE}(kJJiWc2f0lK}_x8`w|DWsm<*0 zt7Gfq_tz6VrjQePo#EHJR4bFPDd8YqU_{iL$XMYggmX^P`f<(0d=NFkAQ@oe6bzqA z`W=);C-$1(s5Vd%#Sq$u!s z81{==ItCgd5Tpk@{sODUyO)GqIl*P|3Ws4{0zuZm;}O^%-f9sPB!G|yGJJ+Xs6(+K zgpP1qJlO*1ngg5|@16pZ;s7_myBCA>55dZ)k(NM)A(%aNC@rLa1a>Tp2m%%jz(}c) z7{H>>ung)@Tu8(yj6o1#3@jRk%~FQ~ArTWWVd_u{$dnUY0#7yvx+{oa$CE9BQVJjx zfv8LHgHG0z5=MJ03rvkFCA(sh;Rd<5}-c?5YK_AchH}L zh*!Y10T>N6k_Nc;8J15S3Wj(&!o8?NX&_$Ca5}uc3}~Pr!V|bQ1Ph@?N&(kKV7Jsr z0EEE-u7nq!3r!I~7yuut)S58D2Z)M->Ion;fT%R6o*=>%hy9Yz89pUbH;qRed0tg*o{})&XHIe|b<_HhL3on8)2m}Ekat?59 zASw&m;yYxI=WfYjeBZz5g@vA!Tfdz2Np?9Y$0px)c*JiF?fz#34x^qY{dH)=8XBWj zr0d!s4CTIt=7NlXaYq_<$`oCZ%O3@;ngTjEgRRlF-l9fAvEbOqwH@wAH5f6T6$FaX zi@=BsVuk#4g7@$Pd`D;m5&cj~K<8W3zv@{ZV3cm^7M(Fr`WPI!a`OLcLu^3V;2gdiX5-s?S|`Z?;fx!yORF zEek$SY$k8+K1qgv#dpn{WD>h?eo5_qi`e5wr%N$Lk{wB}F*Fd12)2c|S;W$Vk1UbAG_H&>SvIgkK2?ry^5Oi>FcsJ~7fMwtqA_s`TzQ;xi zB1qwtu&IF}h}!FZKni*U&OI(t6hQ;;fE^F4K_UPs?0X!fFoF`^2wNNIhoAr`oO>`* zJg9ZcYS?hG4s``yV|P;1+$6UXmJOfwsvA$bYt1hc86f5(0!i~(e4mZ(Qs3Q#-fava zF=05+_fXQP?nri^9(o~JU!&SLebc>AAw=I-+i+ZXCaikE2vUir2QDO#mifdT1cWES z@&<$<-BH~TXS6BYLVRhdPvp{|AYHfxtP^?`xr;RgDrD#Z^q^G3iC1T?72)^bAtW~DURg@T&3;73etisZx2Na|Sw}SOT!=Sg3S6IiORfbRiLf%^rt^k8T<01*M7=W_0 zp_mADxB;vTIue6}4@E~P!!=+T&^u^lq#jlgP?jzf7z99Q!=J%gpu3S%SVbUN zhEOzwB3uQQ2+fJ)$Fc_Y(Ml6ZV_RSV79mhkK&s^f_&mUFd_3p#4SF{B`~HPIXQc4yGrdeESYrIM&rn7&O!Y(orl^zm#3`c zV^+l+<9*fWb4ACgg`62?6-O^Nm9K`a=F1#h)IV&VZ8@4h!cdaRyNs3F6NRVh9U@r`CffhQYaz&fGZ46B4W8 zP@Vxro2_ z?_Y5G7vTN{34ej+UoiF;aQy`}e*xLU$v{a?%85yR>9p|ZufB zr9d0EuCd%&{bHwnnYHc3vXk4gY};XnsvAx9i{KFROgc_%UTu+l|x zk+nK-)BUN$u(Rk78{QwbGGaC?Vz$EVHr(yDQUNyX0k-0oHvE^ia*93xkPmj852l(A z-b0;Z_rb070Xq8-?E0Wl`rzdFV43>h|MbBS_W^hKfC7C8uTB7jC)g<`n0hC8Qzz*B zC%BC#K(7;m;}bN76P%(GEb9~ewG#}v6L9|tD1wf3*a1&WRyH(R!7LiWKgfcPBqB~D zqBbBRpC+OcBqD7lqVXZ3JRxGhNhNxdN~MuX_BEB36GljA$V_g?fBC4b!D#9Xa0?&)to|lL&74t5Y$Ve8mNR|k96?1o${L_;B z*Nohh$N_B_fwls%HXN}~sCUwUdeWdv64WedC`fxiS$ohy8)~dQ^m1ZAdt%UI0%|ca z6dKeF05@YNHe+fvDgGflvktkQh zLjdqj$YuPL0Y0Ft{+Mg$%dUrN_n$d& zi+-nv+PdQGO>ROPdy?^e5X)hYPK@aOrP(Wd-Z=mcTeMXLm3#uTm~j(LvpqjFc6c=e zEkqx>D!TB6BE*YkG|776HdJ3updfE0PwM(T@7I;yz>Kqr>U3|&d%rND70H}}^n%^= z!6bx6g>=Uc@~-mE?9CsG9vxqWeq|%Bb;kqwTur69?uTIrLGkdqM1~Nc!!U->`+S3D z{p9#S1L&Z`HaV}lp&FIdE8lhRj=!g7@(S}9Yt_6TN$JUP)F^fg=0}B7nCP#r zS+H$m@Kn`F{~F{P13k|y4Nd*Rpudem1m`ET%p=1ab zxHeo6W)B^Sa*lF_q=1S5vc#bzK?Dc~xCNXOW(2K_(gPKt$&!XrAUxm_-XSur%GRhe z*rAs!ZA1hd3kHT#M)3pX0Mdk^I0!?yBMkJKA7qX8@4d4E3Z*8Li*9}A0y0RUXXqaE4)5Zq$T`nU=4%^xyL2!?S$bqrJ ztfM0E@9_~Gu(hZN!g~xv3QR5v_0Xq7G{X9$Pz3iNMA2A>#BO>*KpY^3&vhzy2A6SN zNGriYS0OF`z2(-&bgeC3d7+6&<4Ee%1UDdSODjMf++IuP4Jg(Vz|w8v(w=6uN%-(c zd)nC4NaVU3Bhqc`3L^3D9mTvpy_vLf5HA>gyN$Q5qUWtjTh`AugjSFYc%QS;%QkEq zpU17Zr=(MLjON*QvtWC0K8Kf`fzh_kq^RlY#A}MNd~+%;FIxk*PI_yb&PCUg-N*%n z8Z6f_^XCiCgSiv6gWq+wkC~f)*X?|^U=>W0D9PdF-jHz`K=JbF>gpihn3NeA=dnve z{;4j-OVicGLHe<7vpJ(+hlY;R28wfo)ycuWv2OD@yd)6DW4F&ZNZ;L4;2X&ftYB~NQ6w45ejhX#13eSzve5hFh(&gS-)vKNT0-&G zsL<9R`_y)4n47^9in-0gQ&1IJu9A_NA|(Fo+6C;J<^24K$~9Hu2QCJ8&#qG+iV6Kf z$93)PMT$v7+0_-=ZBm}x+R)ONvrN5@E`6u8e&9^KcAJt@3os?;!!VZJw$bD^XOR<> zWP6s^q9!y}Wju3Aq{HHx2mF+y=*7C`wja-PlLq#c&?La?`LQs};$Lcjr3Y~rkqjW= zYcq)IyZ<@Fupo>6{fGr{_`eGdKKBPPvS^f6)PYk??O+A zyzXLT!ACSlEpmj(LzahhJX;HJ$W{e}Qv8Tfd6+|1Grp0u*160Mz6qV2%vno*F(YoAAza`GG6}EH4eil{w4nK z>;5;ZDY)W@P}fCMaZ{j1d%s=LY8t9V|qG`p86`Ht5P#~uAwM|>TN*3Idqr5x2` zIB7FFpShTI=ET*yBtA|wf2Zx9wv0c$X8xdEIm++}UuYN^P-Qo99Le_$sT-d@ar|03 z@%op(vv5C1GUmFMsCwcUA{}>qr@t%I2neG;OCZ`6jsO@6HDdasosE5`6lz2_NVtZi zQVK?3@7wJVDp?QqaD8^1V2J7|2!1q2KEV*fH`(*(bJj$ylGPWaAjcP^&u^iLqR3D0 zg7HV)bALv6We4-R2sGjwWM5~b{uGSB-G8;Cr?fWEL-1K=qA02-@47|5L!c2{pZ62; zDeKxVbvsv%(4_Dh{Jlf4k?<^@bro=`yTh;e>kE?YcXwVt-WfLQD$%LzPIB>)^D0#r z`q^WFlqVaF@=xtn$!dhoOiOA7yntueEccJLh9<1zYQ~WGztwWZt6h31~%^V*XTQ`NYtO8D~LBi95DRb2TCs#}~LdUrC@zGn-_WQy|zQA9fwkMD`MXeL4wMV(i{U07$U0v#MDDNN>qD9nA{ov>na;bX8L zm;^=kk@qZI|1kF|&T}dhFu|)D%IKiBQ>5T;cQ5f$@=QC|JRZR~dX-BUyFVC;eSSO9 zV&h4?p~NJdO90{Y2=ajXUNr$u0dI;o?}q zA{?25Yo1)KB!tJP!Njh7vXd;OWd5~uu2wuEbkt{}Kl;&BDB3yZ4%n8Dcq5UKT^NPy zhI{tqyHOs0R3~OUe@wx*Q0h6no$(T9A#1cVa#Cv?iMVU7s?G^hr78P9;0`xyAB8X4 znZG_buQAT*b124ysWQ@ilx;#>k=L;g=N0uCZEj;E!f7;XqC>vk?jHZ#ZKqR!LGYwL z^-r!B$AUE!_-tWt~$B=96 z_~W=0C=xKIy8|i-6r6%+Jp7%$MZdGAbm$n4{fglXJ(nz=Ay#@6iET`OR`&gRA|f)6 z`GkQ9oXY@_oItYwW|@eH%|k!2W{hyS=bjVX87YadyQk5{-so4lA43vWZBFRPqlS^J z6P)oyuce_#y{e1})N5Ij^2!7dgL@X0D9m+3=_ge_j2a&&p@P80R zTL}Ce;3a+9s!nLNjKQ;zkArm3n#=N-MjV}kugePnYOzrO4aYJt-w~ssNx|<0tS1OA za%MCZKWMxUS}biu$mmI6G;M_Q zgNUJx=mI%CaQu zeJChjo-+S)oLE|)Se|zNckKMRp!@}q{1xeZ7)k!tqkNaQIsx4}K}9-U1CQ_hq_0JM zW>B-vq4-pb$SXueUfN_tqxC!L2j%)-rT<@r@LvzA_+RA=IWsZ3Q*@l;q$-9wzWYas z80DW#^0>zecXe_&lRNm=!}6c<=;UIJ5aGOBtV>KPD;xueK|LwU0(_X%T)AR+*Q9r} z53rz%ti^cPy!`04mJY}q9M6T4;L`40j;H9k~F&LcqfjDir6bvR#@gif-neN|~D__DA`JEr;h4}TY zZS`sFOlqi?(rQi|kCtoVlKWC*#g8eIm%16$S6R*RxRJLdcB%B1=KdC^B=WyB;CPna zS(8#lMOc}-RHD>lI@I8;OEZUQt6?Vl7$yGl0L=&1{IhPJsR;Mrd#UlWHS@wX zUP}2=)>V~MTozXWVdB^i19Qey`2>E#w%!!l-(seN1-gl1DWT^iRMrG%wP;OfM9Tm} z%v?i27Df%Ojp)N^v|(X12P^>>_yAXcE(TbCD1zr^GYr6xYiO~98kKEqK^Emc?wnW~ zlFfgBc^^6_P%&Wy&e#1ylL2}CIbl=ZPOk)cz4K5sxAz6xsBf)vj`!i0y-&tb5ZCwP zNV&zLKRhUaQS&%bP~PDTMhAR&%TTTr+GedyI6mM}X;LQ6kY5GX=|$5|6@4N)X_L(T;P2>GM#$8qv6eH&A`Q zm;J4;E|MN6)*DD?5BBATljTxlzCIGi$TLHK*Wvtq1h15VdrQ3>ZI?;+Z;bm6lt;z`g`{ zd?UOByq1X+$+?EeMA{GzINsY3GA>|v=l$om*!kBF$hiht+~4IWz9Ad;$kjfl{D{r} zMDigX9$w@$Z+|;-e7sm=r0YKyoUW}q=O5Pma%*%hZRkV2SbJr?VLo06Rc!T1v`OUz zVgb@BbW|=k&{!{>@=5}eiq5V>) ziXgECI@VIA{U(pF8XJ5QMnL_iFQl;Zmh`z!s^Ue4Hk-3 z|E#`}q>#&_QABy-r!vSqic($zP%ksa$L=y8*=hJl%&`AaOf-)7!p#(N!dl)8dt=4< zrjJ}uPT{jxysS}eLHR4D^NdQ@-?2=Fy#_&&1a@;P{7g=QN3v=hb9vj>Xv7QXIvX!i z5J#!AG;Vm&1uv^av}a@@eJ-O}^P}AOEx>}~Y3J_ID2;bkE68ljYNPsRE9~|O4$PA zS(mCrdJr?&dxcGt`+W?ZWHpsjYz@|!Y6T9KM^jU3seRszRAx`Yk%|;K{+}}P4nr(` zWmu?(nM(9Nt}z>6`1}N~O9yW$@9$6zhCF@YX^)J|pHDxM(YO+t(Z-p$gt}EJSC+ys zSI*@nv)Stn_R-F>n{|ZLbsU5BQCXj7Q{f7&vvedZXNwGR6p8X4YSB-tmc5EtoYM+p znGY;A&d>`remUlS!sivjp7hI05>NBR<$F{%nm(0!;;v!E*tyI6OC%b^B&WrSKO9rX z;VBb5y1aM=Uswo(HUrOfV}b-kC=%n+p}iB^nXG8!cXHwOf-I?vbZ?T>XdW z7k=A|%7j%V@o6ee$yF(Q;tqAyxB4#WR>>c#tp%c!*q9xe?JCTu>q}Dm-G~c+ zi+W=hTGWhqH7sqZ)g6cm6_IZ3xvF>5zoNQg{2-Ig6ABW?@M-?@!XoKs!gdWg*vAj- z`Fz9a()`n2aw0F-+lSw-Vs9-kCEvjUnebHXvAd-{&C6P!T6(%pw;h^Kop_Y_2Bh|x z^yr;#ve`mqa(v;#@nXZwmmVuTA^74yLCX$HwV(F*!eW|j@No78f0NI_nsZKC&e@c? zl~vT0ocrR7^7vuSn>?R8ltS0?K84t*F79`pNqjDXApV^Bh?^|AioRQMiK?oq^vU7n z4tY)={`70?pR9F}T@B{u*#fFZS*M8-k0W6y0NuJEV%w9NS;j{fp z?b7hyd|qCi!(=5M8nO2}dxlf#g1MmfmAdKnI%p$p0noK4f{{UaxYHVK#H|*_EWd_KViT?rtMTKd zYZb0`HE%;6g}8h4C^||nQM_jT?>{m4RvVLe)qlOxsGiwL6d7y5HtS(2V>Er*`^RBP zL#{6o&SKbs0^A)Bkx(qsEIR$s5j1@!r>sGVNl98Ar|oCCMXl9be4f=!oGL(LOII$! z%i-as94~{dLgM)Bd#rndBssX5Y5W}?kEoFtLmh1i1Jl#|=cWpgJa4?1JydUgjDwB0 zOrU({Oofq)@L@SRL)uxS@Bf6$ zL8=(XJzh?YZ6=re-$TVF2F$&Sh%+6gVNU4#Np|xUM$SVDR(h=KkD$Kzek$@b*~Q1r zg!e$KL+%oJwXY;mKE7U22~&#>qUZGa4+{ng?vNgBEOEojJ29R9AXU|f(r znJUgHQc-+Ce(@Qw-$}vJDI7iYRyW*g>qxyk&>z)o}tlTEVlvaml+2f z@B6ttY>N}iDCP4o%##rv3C|zJyy8^{x0=)c81i{F<2Ea@nv#&nw8q_}vRkwBr+COt zyS+$2rN4qFaiO#C>HZC9$WlR166szcqhD+hT7|8eTybPVZyT_PD=8c^dk78^m z2Nkz{Io`A80kyHFE^JObyz1XsWN5`n)>w%)ay4x2O;=K`@4s>&ZL6@AR{)pVI;K2?Bk%43riq)OhqbHvD$KVs~F+R88UBH_gm52$~wxl65dh2 zCIY!cJF;Kk6Yr3J??QValY8TWo~R@m__c~?vyXc)Zw7WPR7Xr7_x4txRSkqMv{)+o zRAVIZ*B&|1Iln?*2a_?jf%-`>bpdb8k1UfyZW#t3wH$~&OQd2cGMW-v`txy?-ho`y ztgv#GmC_Pp3EgYQ#!es;HM;U<5R(-#QA|`Nbr7DUN|o7fEk~_YskEa2;{2$?+*@;p zi}z8+b`jS_gI~57UnSb!5Dmtq`wO!6E1^A)Q1YTziew4P^hoc!NWajM`I2T884K&^UAX*3`Z>)-cY+`Vn|Cwet9@}&sS90Uvn+j>igI(0wVCJ1BbsTC zYE1_)@Hod5-Rse6?2-A*#UsT=26J+0F=EI)(!%eu}pQF}FEvHa%BJXbj;_%P;24>8}Rg%|ej ze!_JU-S7Ndz>*{1)_Kj^9MrUCJ-!xZJ9PN<(M^;;YCcpBC>%W^U0g~SeBNA3{zsgp z$|lJDDM7%Kg_lhu(8~z#d%3xoxf+S(rPLPu?&YQnR$Ym&S`8UbD(f4mJL^Qc>m_j> zN$NVCIn4SAEiW5{OC3MTIVAHfwCmEdb*GApN#joP)BWPM<*K({`L0GrYAIu}ux0s{ zV_k%QyNE&`x+C&lcg*+ZVVz4O$>MT?0>7>f$k9H0b} zw>{)KzloI%CW1ky2i{)TB~!HT7Z0=xzj?@wXiGVxKkG`ZPux2gJmMqUJT=NqwB-9H z@sokc@?`^O{M@{rlj-G4$J^k7h(d-#m{Vo`if4P_loXR4Z7S(@Fz1D$TqnPSdtl*`rInVqF5w!PWG^W0igDXEE>4HgIi$y- zKmLsW)ZQN&)OO+EtQT;#m77@d>q|j!bBb?|o98-5UbKsqKaLci?HS3X#UM^j=_3C{ zK;@;&rFwwsN2&CB16qnN4IJM(Cpwz65RQ+FSEdsutq%6ST)KQqQN2x!6+B2{3dEE9 zZZ4xpSH{^Qo-lZRM6x=P*~|O%FbiF#xqxW54Ebc9TB|ALMNZ3^Z-BL~pecgm0QXzZ z;FQ71wWZU@t(tyEPylOFo_rx@J1XN0g@c_TIGyu8Z1Go4{Ttfs(FWyj8mHHzS?Vnr zNQ`Q861Iyo!&h>dKT;0wCR^|2)Z0IyS@{y!Tmjmxz8W>26J|Z$sEWcN^K&HBfYEK0 zu|}UO$X?>vg$Ql4kDH^5Y~_;49dOOQSNu`?WSfiM(W-*Oxv8I=c?guz^^VT;Y@+tA z>0+^P?7I4Xx$CnfPO$s|PA4 z@3N8L`P84Vt)9YPKm8`~t#G@eguUdS?cHpbqulC$C(HV-jP4{Cgt3fuzd7|9L|;C6 z-2O}ZTfW2}r_5ZaEwz)=FraILg3^ELVRm9bYsfc0Z}G0?oG^LGU=Q9+$w`y#N|q=_QW zWt&(w=ns8V; z=Avj}+2hvPFx zjX`B!LiZKl`-$Brx1ClF5rwmb*7fcl`Ot6F1)}yHNBwyR@4gzeEY0k-JPD)~OX-pU=JDAM#S_KBw1D>N~7+9`K29*ky$V?Ox?$o%mxHncZf0dbQrg zw+&&!=KOhQ60UtIlh>It3?cLA47>a;ZB_ENf{7vSRc3@o9UJ`7zX&!nEWndh9T+_{ z_hQw7>HO%9m!zGAfltrm21^LP?IV zV6*a`KPxlO^7u!aKT(b!`fc4wpT=(NI9OBX6U5NZ{8{E=kTOjRF+omZeN3zjU9Xg| z&Lrh|x(uIJY}zs#+IjTNYO^xBZ9(VHM5hH>E!w?4GSAu(p*C#4mxu&Jzw=Yg2B~Lvzqfwk=s3LvN z<%F_IPcU0xv+G@&Q8=d5mmP8!dR~>j!>zloT5pS@RdlBGJowj>1 zUYPAg<`EU1CH^FAIx`&RmpwfMytbx8Iau#@r{7ANGM=2H9971Ux2oC+| zF1~$mGDWJe9#U$}KhV%7evfbLuo~{cavOU7OV)a~5qAr}^w2cme(cD4u}jXENwc73 zjiFqZPzW`=FMrzY!f+NF9l@;eFOyRp$>NZyMH6xZjy55qWnC$T2k?$nkx5M<)NVkv}LUV})Rk8iEKzN&zE?E>2O1Q=#p`Ay?bFzR>MKbV)&a0z%2e=a29O*l!L3mA*kEFt;+#6?SNmQbLu)uVjizHI{ek zk?tgw09CdPJgGN?G*7O|xYS1TIS#&i&$E!}e-9i3?t3uh}a7oORmH7A-`CP81fe1B=69A%7@ zIrqp=F`C)H#vYal{PAk958&kg6Vw_mTg0$Z)LhsKZEM-5>fvM?^BDT1ab-q~v)%(^ zUlFd;m$^$7Ub?6u3nP=oS}Mj*yQPrM72QNJmVTF%SRqu}q8q^RcGHUH?pe}kPi;Z~ z?ds~C6Uwij&I2K!)i;r)xsy}fJCV)^x!%Cu*0@Gax0Q|yIVnK9rW(!zk)u%qm^`Y5 z&aP`pFjtD>dnpr0y7Xk9w6fXrFy;1$$-R)7G86j3Ka2J27~>&@O1=xDZPVyPL{2ko zyPfIKD%la@u$;eo|B!~EZ$U;nO8S&WZ$Xw+0_pyi9*ek=^b&U&zuD{}PjYmH#^{?- zRj0VSK3fg3(C$lwUwLJGHsP_1y0g9pU_9dir@%4AF8hSa#jw z4B`6dMWHEKwdRXCNciq5i98%@i#2#?(@YL!}OGqx~#Q8(1fZ5c20xhMVqUbS1p<3RmS-JDV z7+RK_=890E(Al}piQ-l_i#xeiEhsRo1(CZ{y2RQ)L0rr6Bf*Gn zAE4xzt~Z-L8>r(s4xA3|V8}Z)4BdU@%V2wMdAL#N%x$y`v`B}!{qoIu+sVYtJ{$6dz9@YPUaGMTvQimUr;b<`?B7KRp>Uy7{+c}0*-FYxsF z<)-$&rme%s=XZ!iY426vgaCq!{?a`JWN_o3(cPV-Iue&D0L`&Ew!o|V@{9mB;eL<` ztj%SLXON={WP|P6kq{WCBkXUvl+iSm8V;h!Q^V$w8OeR6HPT-MA8;jHuq|vJHAf&S zo>)EQ!iCv&^F-$m*UGz2m;V0KyFcA`{5W`*NbbtbYUm-lp!Pymar9m2pV;zP)oN>8 zfM@|js{%|0@}R7xcnH$TtqmTFPVK|kqLvHFR|IC4wYvJ+TEQQmV%qx_MA_X2yf`cL zTF5{-FC4sz!LfVi7Dv;@tV$`)sJCp^Fm(jLyDV4nJrfc&rlA$EZCwTOG-RG7m#LWLL>-zJJr={7}G?expE;*B}M`mOEGDe+G4D zbdbXV_F;bYEz}9{Fd3Y!h<}!y0N<7DlRIDtv-`5P2Kp*(S-FD~bC*{y;pAQuyHf`mfr`F;(;Bv3d>!3JC-}MqJ^YJ#&A`f((|R0CeeZ>{r(aO~ zxy>0lll-#BFFTF>dJeCyFX3PSdhWim>%N2Lg(iaTVwJyGup0W;u^GhP(49Fi$lyYe z?*cwA4@awoQF(s4x#sMU{A8)-sec^ZIRvV+1iiFk9$Dp&Jr<^K-FFgVj@)wgE)@tb z)9vGPEwj4$eK$RbI7<(v`zJkPH_>zlT&B&%_;1Ur<2UC|JhD)>sMauFCo_>Jj-ELE z-lwy2zRg~Uco8)(Hf~P}ROa?nubye{Kc1~)4%yMXn$}!E+KK|SDp#GBPGENLtB{21 zE7V;*)ru!a0fk8^<`)+#Z=Hw!e3f0u?xYI4(Dl=8SfN^5(!A;}wnW`8T}F*9HP;U@RxZU7?+$$Y@D&Sq2mxrhfUG6CtTZL}aDk|0gkW==9*qo-cGrIq`~%1vT~-&fVG@I`**i zhrg$i$x!%Gp*w-MLN`IAJQ?>Zy=~tX>?BNz|wHb{Lx!YK=(eGj222oY6Ukb5CvzT^E zlub>>t%xhxhJ*}#`A2RY^r}fG^ImZ0pB5FImf)so@JNtLKIsy+reE@dkS)Di=$JCW z5naiV3D-=9a~5&l8q;z`btUSO>o?!g51{2WWkVLVlalA2)<|ZA03k!-pM6%nuF&=5d+Qd?lKjT!HZ8wuCTM)h$lj8T3DGB(}O+96-{Kf1q zXJjV$cLmy_>fyA}k z1`tu@0}{9tujkLHw5VxBCA8VG=_TuG-Ux7VlM|RwEcJhen2VpI;#EY)%{d<) zhmx$ymewj7QbJruOf zCc>DSTBQSQ;gOBYehU*=J!dRpNK4Z&+s}|fi?X@38}jJh>y(;785-lgldq^rfy!S@ z93oQYKo5T~;C6>xVo%lKm`);$c~@PKc3W|b*h@rwZv~$CR~?Z25`vAN3z}PGQ?yot z$B7<{1lx>>qlH=vgVZE4$*4xZn!Id)g&MKWrbKHnEjwV&BJ82F$q(m$)CxCHE(40Q z-piO^7x^XK!2~e@avK;%qplu8vT zbuYviNMYu!zuVUvD6^b%@(Qvn#JHQqbL3 z#wGkX@|tD}60=4RXBrTz@(e##9%;wP5DeS{4}OS}HBa+I$ZhEITzfAXfmWbPmw9*{$YNnAMJD6SvbE`yIb|Cz1-OgG8LM6T)>Q<>kL0Rj^ zwMC{OO}VslDqi!F__X)9Q<`(h7$*_y5!D5~GV!F=%=Lfz8PMI3=Fdpom1fpfgPyFeoU_&DdD9#6 zVyOcn$Os)@t!-;oGaDPZL!0v^)R3+EDkxXAjy+{uTPP}%Z>l#!M~n>rrk`>p>Y6vS zVJ>UeH)6`Oc))zS`8{D|YMdGEg5P0d{f2PxA+9TI5nasAt<_k`o;mq1sgZw=FVkto zJrk8}(z;`jNj?Ph-Z9`t0D?T{JxP~ z*OF*Wq{|Iul4E#mR_fujVMm#h`6%p7+;Pz=PyI&tTK3Y4ru+$C{P%4WxJAP4Q}|Mi z(%4fuS03(z+HP3fyq@E}I{ur_|>u1P0gE;eDeUQ|q26R>m6zIrR&s zg1^A3${Ggh)%_~{iSxh9czsW(73I^b>I%o00*<bRZs#zY%sg8V>3?80eQ@U08mllHVh)&P@ z;M5BzYwyLBcyB#0cng*=2mV)#fZb2qsjzC4>@uJC!!Nk^=uv{O@Y0-$+!R|i&PYq(0! zw~Iv#2&gX4%02FgTq#ky2mm^fn1crBi27-QFnD1UC#t)_Egk5_JLfr}P7qgTG~~(M z@U%Z_O5eJ=6c_vS91+bH4Ppp)NMF885Crbb)T5#hIYuhZuXdVrMBlA);YUW@xsLV_ zR$7IwE<3_-pKn$1Di}bovfhqGQQ&257Y!rAcPKv~`lvddh;noR9@=?NWs za6IqYsHM3EeYis15St!hLf?Y;$s_*Up|*QLYF`w-z9bEB?P#1+54~l%^b|bNf^k{4{3H#1riOfwB~}|9=x5Kn_8vdl--VpyOO%dA2w1{g=v6?yGG$ zv=Lu-OiI2T^A|lXxm({`EpOY>D#Nh!mvu5MY*{?F6 z9eyP!>BFoNPS!>D!9A`LS8EZ1HV*dJ?}2IsYBnz3+((+fK1Y!}r~}A8EIYfQMJf*VwcE47xX?gYbtJG2OjJblcS-GB@ zIGp>e2=4JJ7nkpON_%Vza_p*@c=n^aF|ME=ax~#89O4QOIq6u~y*6l52#)ONnV=VW zGH1;`3~+i0a(JlyXTnN4*OSRM{~fv^?qKJc2;3n{X@(Mt|6AiNv7aN5+lKS^viaY$38QvfL~1_xb5&ukrBT z4ctd6e;O>Ef7e+HX8zS!{!x>XJnp74FuMJmjYMKPt7}^HeO*>XQ04xRBwD(Pz{Ag_ zsUdTv|?!wou1ouJS# zVTwA1iDq0NAYIE_4V_rhn`q1Ul*wga_o*^qsDxd0%i*wHIb^8IzF$ySK<+Ic*}ICW ze#_yxXkt(TQb2CKJ_p?2`lB4#pP-%a5NLFn^^gky0FdZCVSTP+=_2brB$r#^sRF$`K?LUqRE%ip+Zxx|60Q4YYj41E1JW8zIRU(boRa zSJc^f#H_0qWHT8r^ zFywGD9V(opqY$*nWrmJ-G_-eWoCX>x7y^2Ps#fkX#jL7f+12MJ zAn7w_Xd#c_H2IgdVoB8uDrV_`&)VRSM`kaAk5Gbq`y(2GySO!GhnCoty=K(+;*qH>x_* zGTyZZ6rSN%&oI|$%8OzZxtVE3c9wOiX`-!DAFsJ|yzt@mVx;&{k)2eryl!Z_TYsB(uv`5Bu- zy@dYs4a)vAVVtu)$Bl)@K4g!0&`m$4J;KbF7ZYRn!sj}%d-!DYW9rq~c-~u|DfRAw z=EcWa6`?b$N9aqcmmiakS;h$j2V>Ol@Wd>HyF(P}M`85Lo@e0GsIFO7dEWdRDz*35 zRKhNEm#D{9c@$hEeeT7bSKRy8v`Ou3WbbV6~)1nK=*Jadd-xQ*(rs~^T-vbjMmDX%=^atlVDv{W~_vNmas z7}9C_$c5(?j!hQYru2OEMa@$`+ELxp7^qRbf^gtrapdhO%JTDqSc_;SSWzRtuyn1J z!y_}T@mSN?TJT)1PtmdBcFm4e`eIf~SE^dyb$7b#k7)~Wg3sj@6x+S#ek$_I_9=ou zpVB;l)It}dNj+T`H!?) z92KZm3|`H_=C4`nLl+j`pIs+5kpCMiX5y z`uz}Gtjk9QpqR*D2+Wnx;HIyvMG@gZc$8Zuo@3cH?e5R1z!)5*-gABb>d{GI^sr+v zq2!WF@VMl%FWZlNHZpt7<>JwNN1cVmsp^&}PjT+0&w;Bi7^qp&z0ZbMkGYrUfU0IHw)=3kw5Hol! z?T6GLW#Rqo|jw^ePEmlEgtXrHF?UHH zk9mySw=X2}u$rs7(Jf!N?i<{XRRr+Ib|kGKEO_2|sTP_~y!uBrW$`yb?y@QH`#6q@ z*x~v}mlNZidd#WednI_F?lUMsd(FH>(iR*rSldJ{cX8R@wWhMnp2;wBzRt-MH_Ydk zT2Hu8%JX|t0vqK&)>Agad5zC`z9tYNFk#A-TRXueb)vr5=5Xy&Wy%CuzQH3WCMr? zvDBa^vsKq2LP))}zu4QWjb!_dTJ^FU*zfzrZBJVD`sqQ`^n~7&bbjcflvX`b|Lw!w zAh|Hxy}|cwYJq<+dx$)_*r+1nu5_`>A=u(0JunlsyXV;BtV4pH0Qv2JJ;VnHYBSCC zxWr4320s{lJ;n{hjytwXGiL~o#1+KK^*&8>`vfn@>_Zg8)tG0&psdo7Nw^)pb=!&l z@`rq0`NrHvWWnHSBJLnR*j29yLOmxX81rHnM?wQSrwdpBtRn1$52o@ zLJOKRkGG41yC+u5Nm}cY7a}jWO?Wzko|d{B^`u5jq24NZGuGViETjjQnLIxja`U-3 zANt{TDh9&jA8Cy@{rSp(b6%|Dq=8CAp1Z8^zJDU1*A1qf7$D^jW4C&o zrU}VECeFrUui2I?ZQ3ZQhU17{{l)*$Df@C@vywQN;m5-zzi+w{K_nMp?T6+oz2g7! zi~TL>7c{l`ox0^8`P9V5fHBSL)Ef*%bJ4Xduzx12(XSmJlQQh@Ww*cDx#-Bv58raV zRJ@Ac5&R9~m|{S4LE0!;vh(+w2NPQ}#hK@cY|cNM!Sk8+i0Ka5y*{^=)4KP5W9!37%v1dpOSz z8Ycw2E}9nftUf++x@xO4SX9^>S7AatMV6B?Ln=fo5t7GszQNJN>C+Mpi`g-=BVK#$d?6Mg~(&b3lXOkA*AIi`yqph4|hzrV$`dT{;I8p zp*m|8R?;VR6mv?&rbnnHNB_&nbqbSg2k^3BD#;$H%OAXF>)dE5MLzfb5SetJ1N1;H zZwb^2u-?*d!Qe*!*(0dL6L1{_&5;rEf@3NF4S^=Qx50pvtn1S8QMWUy4iUd%fk9}G zL2N?8WRN#ZCo+{EG`Gd1RD6y-AxeSC2wHBd3HvK(DK7%CbBVpLVkOG-Py}LPd6Ea)UiFQrbT%HQkS}8www+F1Om$uQZs^N8rCP zD@`xgZZW&?5UrMyc7e@w$cvv|(YQlW?Owh8m9h)C?e^`zF3#a77;WN_itvG}UxRZu z!Pu(b7Ux4el4~5?+4cpC-7dU({6RsKPJX%cN#BfR@|%x*SSi8DL$SP*8tRcBv2U7>ap* z%hmHwg`Sypif^x$D%Oj6D4NoUW3E>Y@mUwgxhD)NWYm-ex9NoqPjXmjmvZ@mjfXBX zowF%oI7{ipeg;jESFX%Mpu9q4pWAU8H)FCTUHl!qno%j+DF4B+bCar2Zsm%9%GaJ# zi^@rjvrY%09@k(Qe&Bu|coZ?EL*_dSY>BXL0KfU>7LF7gf}1CwS8TQ&lc9z9Xd6n( zZ=%-w0#?VV6CO5ZIa5EU(rMk_kJ<)bUQMx21&qUWV6fnPoE*SdIVw>=mm+3K{kby! z2By?OdTmf&5}P;D3|*coJsK@F@`ogi@-C95azP7cMM4LfA1;GD8Bv^FAXrKiH&Tgo zF~XYXxUmN@hx(F*NZL&1SbF;jk#95q$5`WgbObzG0%C1lM4kt$yOY5)dLDS(Fz(-K z>F)|K#p0E<{sj!E5H8y-0iDJZ?>7z3ffX1U{sV(RqP}-5O zSR*IYv95lbmh1Q@aq5VA{FT;|{DWaiG;f=XMka`cE}$MfDi?Or7o)<4&$s4Zk_P&S z6}^r_+)*@Iqfk(wvScu`UE(b#?xAIp{lD-T-+Xpv)H$N{^0$9MezO^(eo2?vlwSpV zcNj%-7z#JE0P_@oHVI-=4M`CigZYu9+rtuKi;Mm#h5c%-pttn>9ekgw@KTi$%ss^m zMLP()x$E4*A*95bUxh7|lKxLSPx9Lzm}9Acp$AKjU90KVKN(akY?V|9c^<9oIbK$8 z6r7?;cK!(yc{sp|w{P(=C1<7G62A`$?79xU^q)&E<$|^!loL`k*CdP1qL6<(;ZkIf zbr4z5Sw!!B1;4Pik`=B^);y+}BJmW}ZNlUiYR@E%He5i@$(XW7^o`gaWWe+PL9dLI zs01zJ8p9;r_oIO=$cXZe1oA$t7mKMjAcPX=C1ZR(<&k!&H{kQ=kkP zD54arAipYg)S%Q$!o~RZAg9odVGn_3;u@!TA75Ad`!5*=MUYF0{jgI0U;%fgkLMP+ z?6YWI4bwt!#IF6WSNJK!14Fvc6f`G!5{)8s)G}Q3G}f_J00Wk+FD_W#f%vpSl7N^Q zMqJggn}`n2AoO$_UQ-8#(S;Tv5m{{@l?=APOXDs(dk0W~#c_%dd^31(lYwSenMn`o z$8u0Qkr)FQJI#4$fajKzNPU%@k5GA?BBGp_sdl5{SC?N+*!QIhC$WLbT2lKFr*B&w z>T_m_^aK1hEc)_bp;4o~k!qY$YUDzHgyjQMA`j6l^k65R@>;6*OL9Gt^1oL8<3N4m z;=-mV=c}?F29w^-=NjKPV`5)Q9G`r@!kvjc? zRef#BIUj;=W~6xPA7NVhxC6e4O1lNJ*le>o^@Bd4#iy#=+LsA)bBJqBCJqk*?6?>3buX~hLN3|}KEQ`Jd<%` z0u9pb9)n^OSlO`Al1@DjcIyEL+ zA1%x5b$%bE;_P*awdhO#NQEADDksA4iq-m+S7i~T;>x30}Q~`LZh1dBmK+L_;*un3$>f{ZRwiwXJo0eo=wrrx)B}S{R zJ6=<%*^}X;?xZBC;wf23&n{Bz21-`CcQx|*DmlrA>QB& ztr>-V0$hI^+;Y(1CM~a;=-auLBnYaGNUZ0T&VU05+c~38IUQDHp-nf7fEQ-zk9UWe z-`0QEXuBWN2OP~EV>y;I3i8{duuGNJ_$ZhWPaG8v`_h%JS1dWv?XP12iz00caa*7U zjVlb*p-*u4{u&%?-bM9rHd~)rC3G-)y*R#}L5r_XEVmSPe*Ze?W7jj#aEF|z<5uSj zbFdLGvM+bN8IIe0lzsXL)ArHF{UH>fNswG@ZeBd);^tu@9oa3zd7eWy^Sqwr)lyL8 zg<-yC>_eF6xqLcdX2-F7v0vupGTBA2T8konzkBFg^n5b%MlY=Ix1KKgPH^gH?6~AW zT)Mf~QvBvS(X+WGVsla2>6Z~SP<-guz`Ug((ry#)RU z=qn1Tvw}58R{UiE`^EPzlzZ1Ol^yq=qGwJ)7T>_VB=8tD=PnFh#LGlNaP*j2&eu9v z%#m1I=36Wbvk&+^x^8YO);7_o2U*x*-wy6*z5Kh_UG82LwYH4NwX!fUR{el!0;f6C zD8ym06raq2$RqdPqj`6JnK{=>x=egSf-S)Y=N|F>=wx$Ko#c7rn;742+XluBD1pZp zs#vg=Vn86UP@>Kpawx{y0GBr*S`kc|=#KxWaEUV_QQ|;(cA9`Sqa!Aq@&RW8H&OT= zo9hf}EP>H}PoqXKrEsW$nITbUm&-}#>(iivQn#?|jfZV_o`akpb9N`A83vuCrGt^(bjr7M;6j=nM1+Are1iN2h=&iR-HSnnP7r3-(}&MV*_v&XI8i0 z$v?y50-D{jKV5@PV&`1U;5l%(=1aWuNa97ITkuYNyE$Q?RY{v|@1E5U$$ZG}rvoFb zN2i<&OccV+|7K};tom}gWFp*TM@rq*63Wx##;^>23TyA#`+GQ;ozX9zHXz>AfZojM zTcN3BWny=*kBm|szww6>*wCK4ATsaw`)>JI#y_r-?I$cTx&l+Q_JfYX66K2*l`_ie z7{*2uKt6-f}M?RC}hjFlVz85OQhrKXS22yt4G9|>1l;zF=Ooyw(_ zW3B1MO22@Ah;oqjKZce!27tyWEZG(rrW?xKzgNf6DSNTe%U0wV>o3ur#Kal9gS%D4GDL?GB*c~3dD8C3(I>w?wlH zOV^zpk1E)5cOkFayl_gHsoFaW2;Jmxvi;||*3y#li%n{DnJB{4Z$@SZCqr&~4qN;z z#De9uM2nOVt3~S|^8pPGOg#(gysvz`j=g5erozif1+`>N=u%i}kO9^qSl|sbFDP@f zgYZVS_cVA2HiT|peErdSxUCnvOV3fMg-(U5VI;D!!0W?9i{*POlE@_|XQYFc<_clh z&r}dIi?aLcc3d<`)I2@Kf%j!*%6@oJIf>y zfI7v4tK1lU{8!gk%fqGDTn7L3>BzsmwJ!Xo+lwitd5eVUp16<6W$ejd2CKiGxcz^& z^#tqt2!N)~O!L*{VVZkS-UcsV^@^#JAZzE?&L4Vf=BTbXh1C)3XCZ2_xZb;_=`tuG z^|as5hgA{ZMDysf9-;QMKG@>u*67hYl%Ey#G#s02^TY3tGFbFcufeutHd{hBsGJBH$3^HgMzm>sRuuyn(LOjXr1vgZT z?SbxNaMzrOXge|?Vp;~TJ5tt~>ZKVl@9!b}Z8rJUgJ6tO!TJ)|3K@}o>3N9J`V}XW zucLE!Q~!58XO2LO=?l1=wn0vCz0!FHR#=mqm%P7*u;~HATb~;EKnmYcF$^|lkcRQM zLbDN>u|%L6oxmQ_wubB&J;F$YW({We`o35fThjbGoea6=vO0L%{h@Bz?QCDe5r6K?Fw-9KlWF*M}<`G7CMk89@Qd>yjVMw)4HJXcH1 zT5aDuudH6DM0hWCfas|x>>`WId1IA(K@9rG%J16IsCkVX)Xh z>4$gxBVPrrJ1xR6E1LfaDKpjc-`43Nl2_FooL{9Em0$QU=M1)IgYeoOiopwvG8!)q ze!clC>%!MH=C&Mt@f-qU>E;-|bJ^(+!h7j9I}pAnw(fa?!RPKaeBd02!&qG_6Ky~E zvhQOB30mK4p@k}a6DlMe?%6HdUtGSgaF1*3{7-qK!uCCR_C{U%8XOb6>9k5m@WY%0!}z`t;=n@q3s1iq7_2xdH8T+B{ycI@&S1(|n(l&)-Sng0(lkJ!ck$wf zzZx?vzrrpe?As<}zLv=@@{5j3Tgi6?+VyLc!6KM@!%an0;M<*f1rqdoGwZxQpx7K)RsKU^ULih` zjQn&y`5-$`N?uacIHO^}ZLR#rNd9`-FBN^O(uBPJ4=);b{d<8aK^k{l{bLZ9JuzvP zfzeq(w@Og{9n3%0p9qQ{+LPcG(gFyDvTE{|3-Ok1xILABEkieic2`rg7*#;B9aDhO zODmY%L_OM`g1zatB8KtLLQ|PYdqmLx$WYf>+Zqj$Qd}_wRZO{jYL8^%qaW~5S1OzE z2tX~72S}VaWGj>D)XMquRB7t(7?INb)X5PqG6VVyn7KN%0>vucmgSGXl&+47cWRR& zCV`l_nzZkrDy!1LY)9oa^pyznpgke06sTqNxy9d??QFu_Pj)JCA7)Tbjda6)m4UA< zbi=vI!tZPF*9WR`f<1w+ZnVSt3c~Lln0t&>Bp+SZH)q!$iO*q&ByH71C<(?+eHIWv zuCO76K$i}01}H{8>yj-s-EN^}>=7J9QD5eA%k5!CQnzUPz0`yX%$V1grTkFxo~Wwi zBhJ5!)DPU-!?h%*zkkOuLb}3A`ci7P6#6y3(gNb`_teo{kqn3ZglyfouC1il;UslAUW;~j6rdFq@4fzov~m6Ac7Bu@m`2*>cW8&oF#4MN-`PrETy&kYTK*Fmy+u1RKc zo>>r9+$%G+a5eM@G4~!sn74F%B+h1_FB-(V?lN>0!h}$=+WWIKgP$m6>W3m_YEON_ z-%XW~eY={yYe7)7s5n05fUKvI4)Ti9Ltna_lVEoD!?c; zv=ZgeUO#)(n|PiWQ#F67v?~ zyv-6AncyrD4wVxGSwZa`zM@f3BROVW^B+pat<^N0!HW3Po_rjru6<;KLKhV4px_5Z z7bqAjT0g}9J65)S=&L$>d?*jRZK{Jpf8b3}q3KQC5%Bc@dO#oN9gP!ACqENVGbQV9 zz{P?Q1OKy7bURJqQ3ObU4?pzt_p8FA5s-iw9wBg>aSamaV(r99P{kHzFSW3kC&&*PPs2{K8yz&P8U!7Sqk#ZiorDT z^vz+v@{`Cz0!sVrFA3aG1_UT-5Yrv)i z^2MR31&P!lTSE>Q-~*xd^Uzd+{%mn^!N~=%<{`8BV@<)Y2vZ+IbN1O>!aPP|MJQ-1 z72Sy>AU_Ab8vxuf_@HwDkmsw|dD+$%)^GZT=RHAf9~4d>*<)||rsqA8Z68)+Z*Hs) ze%UR4s6)hz{)@ejej1m&20UqS8xX|)D1Ad)iAAvE=|O@5s&fAVp0-%`j}hts-sJ=g z;E^DH{hp4mZ&=40N-(TAN=E|scZ3J6Z}pBNrg~Xg?T$32dii5?M5XGh+5k9ak8-`j zz=dg4bsspD5xZ3{5JNtcn-6tyb?&V}Vhy8&X?@BsGhke1; zYnvMH4%XQ7UIYU|m|Fi9VTL6zxgh)n2v;Sx@k%}E`Z#qT>;W(L`M*-Pw-py97L2u? z+yg|H6&LyL{gry8f{^E$n=;cIdUw(hcUKh`g^!|oceH3IUh@yiY{#m#B^rXY&tMS` z4`q_bJAh#^7D$u$S0Nk(s-TB&-J$9M<9Xm&fV(`1wfdZ`xN~%Z6v-*SbHRdTKb6Lh zG_wzf@poeO7kP{OkD_HirN$5Q@pruOcYU@O`5*Tm6^q0I(VTU6s3~C3fqh%wE)j9S zB!W^8&liI^`&5`9yv44o?6Q|rgTNIarG;?Iz$Jx|%AjOPz}kE1FH4*JH}`0I)829a zJewn(EaEK)nrrk-)V+KBdA*& zP?mfe6kGkVIdVU;;1_tRk7E7S5`Pw6{o&dm&j$K1kb1pYzq!Tv_{3FzP%K-Sp7bQ1 zGA4sAPNk;ErG54nFr;FW0kJ-X~YSYqYd`vsCX)S#V4DgP018jguuv z*x%rbP^lmeBrG(TGYr^_>~U^#qru><)xLl~^P3X|5p%gd^#fScQ7$#}aFK7<8Vpm3 zUqHVy)gAuce|JcrO=is5CAVP6 z4@jpEo3S^T7RaqL!B$Fu-A5linEMNgEnM%apS=uV@O@TXqrVY_pP_%VFil;x?7uIT zPrdY0Fa6X@KlRd2z4TKr{nSf8_0mth^iwbW)Js40(oengQ!oA0OF#9}PrdY0Fa6X@ zKlRd2z4TKr{nSf8_0mth^iwbW)Js40(oengQ!oA0OF#9}PrdY0Fa6X@KlRd2z4TKr z{nSf8_0mth^iwbW)Js40(oengQ!oA0OF#9}PrdY0Fa6X@KlRd2z4TKr{nSf8_0mth z^iwbW)Js40(oengQ!oA0OF#9}PrdY0Fa6X@KlRd2z4TKr{nSf8_0mth^iwbW)Js40 z(oengQ!oA0OF#9}PrdY0Fa6X@KlRf8f4y`G0D&q53jjc&`vbK6!2!Ks002v0FSrT- z9t^w>bOsL)0ssJ9-vQu&FQ5Xw6u=$a5*)zn44|n3_(MR0-b@s@O$?9_LV%Hi1)K|j z0c^L4vk*1FAiI6Q0k&Wyk6@4h03^T!ObEygfYt^h0VsTjfdDlP2?6^Jp6NS48o(st zj6^2|C_-nD0ziOT`62{EO#r3=2I>qPfJO#l-Tyo1{a-cc?f*a9e}AC=x1j=v{;!c8JWvJK|7zQC0f08e|CQT8^-k9RD?5TZ zwQu*o@))RG@ASWN4X8DJ*Z-B_paB32@Bgoms*j1I3gYu_j~*Of$5%@|tVe371h~CB z0RgcE&+<{BqNUX~!g05|z|rOQxLdv&s}x#LK1u>LRbx!4_z{{mLSiLp0Iw1P(N-EE zRU5@ZE3`J&QZ)TgarQn^<3CPz-kUcwJ2P+I?>&z!C;%?Dcw`m&FSdH*cQI#6zef(j z_}!x(c_bR3;G##~jlKTh(gXkOyAS*eBcvSzNdC%1k1Y8UV050Y=J2*2d)QYC;7jsQ76w)?EFtK(k%?K=4!P#h* z=baEjJFV4qTGni3tq#z3A<`hBj&UaNf?#)WcEKS+lC#dlTP^TRQNGD2RNDm`XWI!% z9`+D_EiY7f5ATFjkVIN{OwE77XuM%G{|+N+l6VbP;0}Yoh9j$y*M|QcfD>-sk8Os> zA~S8EjCDiAj%v7!{2j6q)*%20kgP4CK=62!QBepq5=2A`SZz+x0olaa%od&l2j}EOC}--yF4m*L0cM5~W%62HG%r=WgL!ie#E7@a>T-zf!KbK_C>C?2j^rRg{29yoSv|dLW zQZsZ6DN4g*QF01|c^$jJ*++s3n06Sv;3nX}JLxBN7E`t{@U8N($j^GzF! zd2I!{xSr09=WpM6baOM^zbX_@PWAeYo!Z_OIs2xiuV}b+&~`3`&c9bys_q(4D?Y5+ z*gIQz{Y+}(sr0M&6BhrN7%!dw_M_>BH-4wKq^7ouj+%d%ZoPK(wVB&PGml4B z<%FJyyp_LHwEOF^zWo77m0Q){UpTN`>{AbX)3JGlftKjAx*T1*zLSylzI8UaT;JB1 zSQhr|OB2dngRQl^S*=JL4?A4byxhHGdHwks@>iEqHlFuM$HO;_`zO^ReQ4;{zS5MV zV^5C^j1_+T*;7}HBk@1RFOPhAGU#;r;+gKApEG;kzqz8l8F;W=Nb^2O?j}vRN6+BX zOS(JAeqNhTKZ@-?XYu}72_sgs#PzHpsK!PcVpg>K5mV#^waw*tDK{*Ar6dW`{XfF#- qX2bDUfqcpkGWk6CU9tkXu7JC_5ar)Mk##I6/dev/null + +product_clean_order : + @$(MAKE) -f Makefile --no-print-directory picclean + @$(MAKE) -f Makefile --no-print-directory product_order + +product_order : $(PRODUCT) + +$(PRODUCT_TIMESTAMP) : product_clean_order + -if [ -x $(PRODUCT) ]; then chmod -x $(PRODUCT); fi + $(LD) $(CRT0) -o $(PRODUCT) $(PRE_LDFLAGS) $(STRIPFLAGS) $(PCLDFLAGS) $(PICLDFLAGS) $(LDFLAGS) $(OBJS) $(LIBS) $(RUNTIME) -Wl,-whole-archive $(VCSUCLI) -Wl,-no-whole-archive $(LINK_TB) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(VCS_SAVE_RESTORE_OBJ) $(SYSLIBS) $(CRTN) + @rm -f csrc[0-9]*.o + @touch $(PRODUCT_TIMESTAMP) + @-if [ -d ./objs ]; then find ./objs -type d -empty -delete; fi + +$(PRODUCT) : $(LD_VERSION_CHECK) $(OBJS) $(DOTLIBS) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(CMODLIB) /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsnew.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsimprofile.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libuclinative.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsucli.so $(VCS_SAVE_RESTORE_OBJ) + @touch $(PRODUCT) + diff --git a/nco_dpi/codegen/dll/nco/csrc/Makefile.hsopt b/nco_dpi/codegen/dll/nco/csrc/Makefile.hsopt new file mode 100644 index 0000000..a4f13dc --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/Makefile.hsopt @@ -0,0 +1,47 @@ +# Makefile generated by VCS to build rmapats.so for your model +VSRC=.. + +# Override TARGET_ARCH +TARGET_ARCH= + +# Select your favorite compiler + +# Linux: +VCS_CC=gcc + +# Internal CC for gen_c flow: +CC_CG=gcc + +# User overrode default CC: +VCS_CC=gcc +# Loader +LD=g++ +# Loader Flags +LDFLAGS= + +# Default defines +SHELL=/bin/sh + +VCSTMPSPECARG= +VCSTMPSPECENV= +# NOTE: if you have little space in $TMPDIR, but plenty in /foo, +#and you are using gcc, uncomment the next line +#VCSTMPSPECENV=SNPS_VCS_TMPDIR=/foo + +TMPSPECARG=$(VCSTMPSPECARG) +TMPSPECENV=$(VCSTMPSPECENV) +CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG) + +# C flags for compilation +CFLAGS=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fasynchronous-unwind-tables + +CFLAGS_CG=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O -fno-strict-aliasing + +ASFLAGS= +LIBS= + +include filelist.hsopt + + +rmapats.so: $(HSOPT_OBJS) + @$(VCS_CC) $(LDFLAGS) $(LIBS) -shared -o ./../simv.daidir/rmapats.so $(HSOPT_OBJS) diff --git a/nco_dpi/codegen/dll/nco/csrc/_prev_cginfo.json b/nco_dpi/codegen/dll/nco/csrc/_prev_cginfo.json new file mode 100644 index 0000000..b845b61 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/_prev_cginfo.json @@ -0,0 +1,532 @@ +{ + "cycles_program_begin": 11213386447906562, + "cpu_cycles_pass2_start": 11213395927154904, + "perf": [ + { + "stat": [ + "main", + "entry", + 0.020486116409301758, + 0.045589999999999999, + 0.024313999999999999, + 216488, + 216488, + 0.0, + 0.0, + 1729926521.090296, + 11213386448125520 + ], + "sub": [ + { + "stat": [ + "doParsingAndDesignResolution", + "entry", + 0.15007710456848145, + 0.050063000000000003, + 0.034737999999999998, + 276604, + 277404, + 0.0, + 0.0, + 1729926521.219887, + 11213386785029228 + ], + "sub": [] + }, + { + "stat": [ + "doParsingAndDesignResolution", + "exit", + 1.2255210876464844, + 1.101512, + 0.058078999999999999, + 317052, + 317700, + 0.0, + 0.0, + 1729926522.295331, + 11213389581263128 + ], + "sub": [] + }, + { + "stat": [ + "doPostDesignResolutionToVir2Vcs", + "entry", + 1.2520031929016113, + 1.1279950000000001, + 0.058078999999999999, + 317052, + 317700, + 0.0, + 0.0, + 1729926522.3218131, + 11213389650081320 + ], + "sub": [ + { + "stat": [ + "doUptoVir2VcsNoSepCleanup", + "entry", + 1.7089681625366211, + 1.582956, + 0.060065, + 318308, + 318312, + 0.0, + 0.0, + 1729926522.7787781, + 11213390838195442 + ], + "sub": [] + }, + { + "stat": [ + "doUptoVir2VcsNoSepCleanup", + "exit", + 3.4428040981292725, + 3.2398370000000001, + 0.095023999999999997, + 326404, + 341848, + 0.0094039999999999992, + 0.031997999999999999, + 1729926524.512614, + 11213395346212358 + ], + "sub": [] + }, + { + "stat": [ + "doRadify_vir2vcsAll", + "entry", + 3.4428761005401611, + 3.2399079999999998, + 0.095025999999999999, + 326404, + 341848, + 0.0094039999999999992, + 0.031997999999999999, + 1729926524.512686, + 11213395346321624 + ], + "sub": [] + }, + { + "stat": [ + "doRadify_vir2vcsAll", + "exit", + 3.6024570465087891, + 3.3874689999999998, + 0.107046, + 348532, + 348532, + 0.0094039999999999992, + 0.031997999999999999, + 1729926524.672267, + 11213395761416490 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "doPostDesignResolutionToVir2Vcs", + "exit", + 3.6025471687316895, + 3.3875570000000002, + 0.10704900000000001, + 348532, + 348532, + 0.0094039999999999992, + 0.031997999999999999, + 1729926524.6723571, + 11213395761464262 + ], + "sub": [] + }, + { + "stat": [ + "doGAToPass2", + "entry", + 3.6025609970092773, + 3.3875709999999999, + 0.10704900000000001, + 348532, + 348532, + 0.0094039999999999992, + 0.031997999999999999, + 1729926524.6723709, + 11213395761495498 + ], + "sub": [ + { + "stat": [ + "DoPass2", + "entry", + 3.6662321090698242, + 3.404995, + 0.10806300000000001, + 341524, + 348532, + 0.026898999999999999, + 0.061516000000000001, + 1729926524.736042, + 11213395927131842 + ], + "sub": [] + }, + { + "stat": [ + "DoPass2", + "exit", + 5.0034630298614502, + 4.7018040000000001, + 0.14105400000000001, + 349332, + 349360, + 0.027947, + 0.067804000000000003, + 1729926526.0732729, + 11213399403898262 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "doGAToPass2", + "exit", + 5.0101590156555176, + 4.7065080000000004, + 0.14304500000000001, + 343572, + 349360, + 0.027947, + 0.067804000000000003, + 1729926526.0799689, + 11213399421313124 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "main", + "exit", + 5.0113060474395752, + 4.7076500000000001, + 0.14305000000000001, + 343564, + 349360, + 0.027947, + 0.067804000000000003, + 1729926526.081116, + 11213399424273908 + ], + "sub": [] + } + ], + "rlimit": { + "data": -1, + "stack": -1 + }, + "CompileProcesses": [ + "cgproc.32450.json" + ], + "PrevCompiledModules": { + "uvm_custom_install_recording": { + "nhiwz_d": { + "bytes": 546340, + "mod": "uvm_custom_install_recording", + "mode": 4, + "out": "nhiwz_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "tb": { + "g7hgQ_d": { + "bytes": 29626, + "mod": "tb", + "checksum": 0, + "out": "g7hgQ_d.o", + "mode": 4, + "archive": "archive.15/_32450_archive_1.a" + } + }, + "_vcs_msglog": { + "zQBzV_d": { + "bytes": 11386, + "mod": "_vcs_msglog", + "mode": 4, + "out": "zQBzV_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "uvm_pkg": { + "zr7M1_d": { + "bytes": 7318030, + "mod": "uvm_pkg", + "mode": 4, + "out": "zr7M1_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "vcs_paramclassrepository": { + "hEeZs_d": { + "bytes": 5816624, + "mod": "vcs_paramclassrepository", + "mode": 4, + "out": "hEeZs_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "...MASTER...": { + "amcQw_d": { + "bytes": 9888, + "mod": "...MASTER...", + "mode": 4, + "out": "objs/amcQw_d.o", + "checksum": 0 + } + }, + "uvm_custom_install_verdi_recording": { + "EbjT3_d": { + "bytes": 1601368, + "mod": "uvm_custom_install_verdi_recording", + "checksum": 0, + "out": "EbjT3_d.o", + "mode": 4, + "archive": "archive.15/_32450_archive_1.a" + } + }, + "nco_dpi_pkg": { + "qx3Yi_d": { + "bytes": 11842, + "mod": "nco_dpi_pkg", + "checksum": 0, + "out": "qx3Yi_d.o", + "mode": 4, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "std": { + "reYIK_d": { + "bytes": 48136, + "mod": "std", + "mode": 4, + "out": "reYIK_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "_vcs_DPI_package": { + "uM9F1_d": { + "bytes": 22570, + "mod": "_vcs_DPI_package", + "mode": 4, + "out": "uM9F1_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "nco_dpi": { + "KuVdP_d": { + "bytes": 23506, + "mod": "nco_dpi", + "mode": 4, + "out": "KuVdP_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + }, + "_vcs_unit__938414897": { + "HRPn9_d": { + "bytes": 15198, + "mod": "_vcs_unit__938414897", + "mode": 4, + "out": "HRPn9_d.o", + "checksum": 0, + "archive": "archive.15/_prev_archive_1.a" + } + } + }, + "CompileStatus": "Successful", + "MlibObjs": {}, + "CurCompileModules": [ + "...MASTER...", + "vcs_paramclassrepository", + "_vcs_DPI_package", + "_vcs_unit__938414897", + "std", + "uvm_pkg", + "_vcs_msglog", + "uvm_custom_install_recording", + "uvm_custom_install_verdi_recording", + "uvm_custom_install_verdi_recording", + "nco_dpi_pkg", + "nco_dpi", + "tb", + "tb" + ], + "NameTable": { + "_vcs_DPI_package": [ + "_vcs_DPI_package", + "uM9F1", + "module", + 1 + ], + "...MASTER...": [ + "SIM", + "amcQw", + "module", + 12 + ], + "uvm_custom_install_verdi_recording": [ + "uvm_custom_install_verdi_recording", + "EbjT3", + "module", + 8 + ], + "vcs_paramclassrepository": [ + "vcs_paramclassrepository", + "hEeZs", + "module", + 2 + ], + "nco_dpi_pkg": [ + "nco_dpi_pkg", + "qx3Yi", + "module", + 9 + ], + "std": [ + "std", + "reYIK", + "module", + 4 + ], + "_vcs_unit__938414897": [ + "_vcs_unit__938414897", + "HRPn9", + "module", + 3 + ], + "tb": [ + "tb", + "g7hgQ", + "module", + 11 + ], + "_vcs_msglog": [ + "_vcs_msglog", + "zQBzV", + "module", + 6 + ], + "uvm_custom_install_recording": [ + "uvm_custom_install_recording", + "nhiwz", + "module", + 7 + ], + "uvm_pkg": [ + "uvm_pkg", + "zr7M1", + "module", + 5 + ], + "nco_dpi": [ + "nco_dpi", + "KuVdP", + "module", + 10 + ] + }, + "Misc": { + "vcs_version": "O-2018.09-SP2_Full64", + "csrc_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/csrc", + "vcs_build_date": "Build Date = Feb 28 2019 22:34:30", + "master_pid": 32450, + "cwd": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco", + "VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2", + "hostname": "cryo1", + "default_output_dir": "csrc", + "csrc": "csrc", + "daidir": "simv.daidir", + "daidir_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/simv.daidir", + "archive_dir": "archive.15" + }, + "CompileStrategy": "fullobj", + "stat": { + "peak_mem_kb": 349360, + "cpu_cycles_cgstart": 11213395927277512, + "quadSpeed": 118272.49303690607, + "ru_self_cgstart": { + "ru_maxrss_kb": 147356, + "ru_utime_sec": 3.405078, + "ru_stime_sec": 0.10806499999999999, + "ru_minflt": 54560, + "ru_majflt": 0, + "ru_nvcsw": 56, + "ru_nivcsw": 62 + }, + "ru_childs_cgstart": { + "ru_maxrss_kb": 85060, + "ru_utime_sec": 0.026898999999999999, + "ru_stime_sec": 0.061516000000000001, + "ru_minflt": 10654, + "ru_majflt": 0, + "ru_nvcsw": 26, + "ru_nivcsw": 22 + }, + "nMops": 470667, + "nQuads": 154187, + "totalObjSize": 2019678, + "mopSpeed": 361035.36277508136, + "ru_self_end": { + "ru_maxrss_kb": 154672, + "ru_utime_sec": 4.7076890000000002, + "ru_stime_sec": 0.14305100000000001, + "ru_minflt": 72223, + "ru_majflt": 0, + "ru_nvcsw": 58, + "ru_nivcsw": 67 + }, + "ru_childs_end": { + "ru_maxrss_kb": 85436, + "ru_utime_sec": 0.027947, + "ru_stime_sec": 0.067804000000000003, + "ru_minflt": 11248, + "ru_majflt": 0, + "ru_nvcsw": 27, + "ru_nivcsw": 24 + }, + "mop/quad": 3.0525725255696003, + "cpu_cycles_end": 11213399424346604, + "cpu_cycles_total": 12976440042, + "outputSizePerQuad": 13.09888641714282, + "Frontend(%)": 72.47130058137914, + "CodeGen(%)": 27.528699418620867, + "realTime": 5.0113639831542969 + }, + "CurCompileUdps": {}, + "PEModules": [], + "SIMBData": { + "out": "amcQwB.o", + "bytes": 388492, + "archive": "archive.15/_32450_archive_1.a", + "text": 0 + }, + "LVLData": [ + "SIM" + ], + "incremental": "on" +} \ No newline at end of file diff --git a/nco_dpi/codegen/dll/nco/csrc/_vcs_pli_stub_.c b/nco_dpi/codegen/dll/nco/csrc/_vcs_pli_stub_.c new file mode 100644 index 0000000..e4d8eaa --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/_vcs_pli_stub_.c @@ -0,0 +1,964 @@ +#ifndef _GNU_SOURCE +#define _GNU_SOURCE +#endif +#include +#include + +#ifdef __cplusplus +extern "C" { +#endif + +extern void* VCS_dlsymLookup(const char *); +extern void vcsMsgReportNoSource1(const char *, const char*); + +/* PLI routine: $fsdbDumpvars:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvars +#define __VCS_PLI_STUB_novas_call_fsdbDumpvars +extern void novas_call_fsdbDumpvars(int data, int reason); +#pragma weak novas_call_fsdbDumpvars +void novas_call_fsdbDumpvars(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvars"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvars"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvars"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvars)(int data, int reason) = novas_call_fsdbDumpvars; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvars */ + +/* PLI routine: $fsdbDumpvars:misc */ +#ifndef __VCS_PLI_STUB_novas_misc +#define __VCS_PLI_STUB_novas_misc +extern void novas_misc(int data, int reason, int iparam ); +#pragma weak novas_misc +void novas_misc(int data, int reason, int iparam ) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason, int iparam ) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) dlsym(RTLD_NEXT, "novas_misc"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) VCS_dlsymLookup("novas_misc"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason, iparam ); + } +} +void (*__vcs_pli_dummy_reference_novas_misc)(int data, int reason, int iparam ) = novas_misc; +#endif /* __VCS_PLI_STUB_novas_misc */ + +/* PLI routine: $fsdbDumpvarsByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile +extern void novas_call_fsdbDumpvarsByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpvarsByFile +void novas_call_fsdbDumpvarsByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvarsByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvarsByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvarsByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvarsByFile)(int data, int reason) = novas_call_fsdbDumpvarsByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile */ + +/* PLI routine: $fsdbAddRuntimeSignal:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal +#define __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal +extern void novas_call_fsdbAddRuntimeSignal(int data, int reason); +#pragma weak novas_call_fsdbAddRuntimeSignal +void novas_call_fsdbAddRuntimeSignal(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbAddRuntimeSignal"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbAddRuntimeSignal"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbAddRuntimeSignal"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbAddRuntimeSignal)(int data, int reason) = novas_call_fsdbAddRuntimeSignal; +#endif /* __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal */ + +/* PLI routine: $sps_create_transaction_stream:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_create_transaction_stream +#define __VCS_PLI_STUB_novas_call_sps_create_transaction_stream +extern void novas_call_sps_create_transaction_stream(int data, int reason); +#pragma weak novas_call_sps_create_transaction_stream +void novas_call_sps_create_transaction_stream(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_create_transaction_stream"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_create_transaction_stream"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_create_transaction_stream"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_create_transaction_stream)(int data, int reason) = novas_call_sps_create_transaction_stream; +#endif /* __VCS_PLI_STUB_novas_call_sps_create_transaction_stream */ + +/* PLI routine: $sps_begin_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_begin_transaction +#define __VCS_PLI_STUB_novas_call_sps_begin_transaction +extern void novas_call_sps_begin_transaction(int data, int reason); +#pragma weak novas_call_sps_begin_transaction +void novas_call_sps_begin_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_begin_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_begin_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_begin_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_begin_transaction)(int data, int reason) = novas_call_sps_begin_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_begin_transaction */ + +/* PLI routine: $sps_end_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_end_transaction +#define __VCS_PLI_STUB_novas_call_sps_end_transaction +extern void novas_call_sps_end_transaction(int data, int reason); +#pragma weak novas_call_sps_end_transaction +void novas_call_sps_end_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_end_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_end_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_end_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_end_transaction)(int data, int reason) = novas_call_sps_end_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_end_transaction */ + +/* PLI routine: $sps_free_transaction:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_free_transaction +#define __VCS_PLI_STUB_novas_call_sps_free_transaction +extern void novas_call_sps_free_transaction(int data, int reason); +#pragma weak novas_call_sps_free_transaction +void novas_call_sps_free_transaction(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_free_transaction"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_free_transaction"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_free_transaction"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_free_transaction)(int data, int reason) = novas_call_sps_free_transaction; +#endif /* __VCS_PLI_STUB_novas_call_sps_free_transaction */ + +/* PLI routine: $sps_add_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_add_attribute +#define __VCS_PLI_STUB_novas_call_sps_add_attribute +extern void novas_call_sps_add_attribute(int data, int reason); +#pragma weak novas_call_sps_add_attribute +void novas_call_sps_add_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_add_attribute)(int data, int reason) = novas_call_sps_add_attribute; +#endif /* __VCS_PLI_STUB_novas_call_sps_add_attribute */ + +/* PLI routine: $sps_update_label:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_update_label +#define __VCS_PLI_STUB_novas_call_sps_update_label +extern void novas_call_sps_update_label(int data, int reason); +#pragma weak novas_call_sps_update_label +void novas_call_sps_update_label(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_update_label"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_update_label"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_update_label"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_update_label)(int data, int reason) = novas_call_sps_update_label; +#endif /* __VCS_PLI_STUB_novas_call_sps_update_label */ + +/* PLI routine: $sps_add_relation:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_add_relation +#define __VCS_PLI_STUB_novas_call_sps_add_relation +extern void novas_call_sps_add_relation(int data, int reason); +#pragma weak novas_call_sps_add_relation +void novas_call_sps_add_relation(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_relation"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_relation"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_relation"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_add_relation)(int data, int reason) = novas_call_sps_add_relation; +#endif /* __VCS_PLI_STUB_novas_call_sps_add_relation */ + +/* PLI routine: $fsdbWhatif:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbWhatif +#define __VCS_PLI_STUB_novas_call_fsdbWhatif +extern void novas_call_fsdbWhatif(int data, int reason); +#pragma weak novas_call_fsdbWhatif +void novas_call_fsdbWhatif(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbWhatif"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbWhatif"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbWhatif"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbWhatif)(int data, int reason) = novas_call_fsdbWhatif; +#endif /* __VCS_PLI_STUB_novas_call_fsdbWhatif */ + +/* PLI routine: $paa_init:call */ +#ifndef __VCS_PLI_STUB_novas_call_paa_init +#define __VCS_PLI_STUB_novas_call_paa_init +extern void novas_call_paa_init(int data, int reason); +#pragma weak novas_call_paa_init +void novas_call_paa_init(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_init"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_init"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_init"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_paa_init)(int data, int reason) = novas_call_paa_init; +#endif /* __VCS_PLI_STUB_novas_call_paa_init */ + +/* PLI routine: $paa_sync:call */ +#ifndef __VCS_PLI_STUB_novas_call_paa_sync +#define __VCS_PLI_STUB_novas_call_paa_sync +extern void novas_call_paa_sync(int data, int reason); +#pragma weak novas_call_paa_sync +void novas_call_paa_sync(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_sync"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_sync"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_sync"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_paa_sync)(int data, int reason) = novas_call_paa_sync; +#endif /* __VCS_PLI_STUB_novas_call_paa_sync */ + +/* PLI routine: $fsdbDumpClassMethod:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod +extern void novas_call_fsdbDumpClassMethod(int data, int reason); +#pragma weak novas_call_fsdbDumpClassMethod +void novas_call_fsdbDumpClassMethod(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassMethod"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassMethod"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassMethod"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassMethod)(int data, int reason) = novas_call_fsdbDumpClassMethod; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod */ + +/* PLI routine: $fsdbSuppressClassMethod:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod +#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod +extern void novas_call_fsdbSuppressClassMethod(int data, int reason); +#pragma weak novas_call_fsdbSuppressClassMethod +void novas_call_fsdbSuppressClassMethod(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassMethod"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassMethod"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassMethod"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassMethod)(int data, int reason) = novas_call_fsdbSuppressClassMethod; +#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod */ + +/* PLI routine: $fsdbSuppressClassProp:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp +#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp +extern void novas_call_fsdbSuppressClassProp(int data, int reason); +#pragma weak novas_call_fsdbSuppressClassProp +void novas_call_fsdbSuppressClassProp(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassProp"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassProp"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassProp"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassProp)(int data, int reason) = novas_call_fsdbSuppressClassProp; +#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp */ + +/* PLI routine: $fsdbDumpMDAByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile +extern void novas_call_fsdbDumpMDAByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpMDAByFile +void novas_call_fsdbDumpMDAByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpMDAByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpMDAByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpMDAByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpMDAByFile)(int data, int reason) = novas_call_fsdbDumpMDAByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile */ + +/* PLI routine: $fsdbTrans_create_stream_begin:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin +#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin +extern void novas_call_fsdbEvent_create_stream_begin(int data, int reason); +#pragma weak novas_call_fsdbEvent_create_stream_begin +void novas_call_fsdbEvent_create_stream_begin(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_begin"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_begin"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_begin"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_begin)(int data, int reason) = novas_call_fsdbEvent_create_stream_begin; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin */ + +/* PLI routine: $fsdbTrans_define_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute +extern void novas_call_fsdbEvent_add_stream_attribute(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_stream_attribute +void novas_call_fsdbEvent_add_stream_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_stream_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_stream_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_stream_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_stream_attribute)(int data, int reason) = novas_call_fsdbEvent_add_stream_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute */ + +/* PLI routine: $fsdbTrans_create_stream_end:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end +#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end +extern void novas_call_fsdbEvent_create_stream_end(int data, int reason); +#pragma weak novas_call_fsdbEvent_create_stream_end +void novas_call_fsdbEvent_create_stream_end(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_end"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_end"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_end"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_end)(int data, int reason) = novas_call_fsdbEvent_create_stream_end; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end */ + +/* PLI routine: $fsdbTrans_begin:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_begin +#define __VCS_PLI_STUB_novas_call_fsdbEvent_begin +extern void novas_call_fsdbEvent_begin(int data, int reason); +#pragma weak novas_call_fsdbEvent_begin +void novas_call_fsdbEvent_begin(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_begin"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_begin"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_begin"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_begin)(int data, int reason) = novas_call_fsdbEvent_begin; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_begin */ + +/* PLI routine: $fsdbTrans_set_label:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_set_label +#define __VCS_PLI_STUB_novas_call_fsdbEvent_set_label +extern void novas_call_fsdbEvent_set_label(int data, int reason); +#pragma weak novas_call_fsdbEvent_set_label +void novas_call_fsdbEvent_set_label(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_set_label"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_set_label"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_set_label"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_set_label)(int data, int reason) = novas_call_fsdbEvent_set_label; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_set_label */ + +/* PLI routine: $fsdbTrans_add_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute +extern void novas_call_fsdbEvent_add_attribute(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_attribute +void novas_call_fsdbEvent_add_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_attribute)(int data, int reason) = novas_call_fsdbEvent_add_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute */ + +/* PLI routine: $fsdbTrans_add_tag:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag +extern void novas_call_fsdbEvent_add_tag(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_tag +void novas_call_fsdbEvent_add_tag(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_tag"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_tag"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_tag"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_tag)(int data, int reason) = novas_call_fsdbEvent_add_tag; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag */ + +/* PLI routine: $fsdbTrans_end:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_end +#define __VCS_PLI_STUB_novas_call_fsdbEvent_end +extern void novas_call_fsdbEvent_end(int data, int reason); +#pragma weak novas_call_fsdbEvent_end +void novas_call_fsdbEvent_end(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_end"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_end"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_end"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_end)(int data, int reason) = novas_call_fsdbEvent_end; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_end */ + +/* PLI routine: $fsdbTrans_add_relation:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation +#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation +extern void novas_call_fsdbEvent_add_relation(int data, int reason); +#pragma weak novas_call_fsdbEvent_add_relation +void novas_call_fsdbEvent_add_relation(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_relation"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_relation"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_relation"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_relation)(int data, int reason) = novas_call_fsdbEvent_add_relation; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation */ + +/* PLI routine: $fsdbTrans_get_error_code:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code +#define __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code +extern void novas_call_fsdbEvent_get_error_code(int data, int reason); +#pragma weak novas_call_fsdbEvent_get_error_code +void novas_call_fsdbEvent_get_error_code(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_get_error_code"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_get_error_code"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_get_error_code"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_get_error_code)(int data, int reason) = novas_call_fsdbEvent_get_error_code; +#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code */ + +/* PLI routine: $fsdbTrans_add_stream_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute +#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute +extern void novas_call_fsdbTrans_add_stream_attribute(int data, int reason); +#pragma weak novas_call_fsdbTrans_add_stream_attribute +void novas_call_fsdbTrans_add_stream_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_stream_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_stream_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_stream_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_stream_attribute)(int data, int reason) = novas_call_fsdbTrans_add_stream_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute */ + +/* PLI routine: $fsdbTrans_add_scope_attribute:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute +#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute +extern void novas_call_fsdbTrans_add_scope_attribute(int data, int reason); +#pragma weak novas_call_fsdbTrans_add_scope_attribute +void novas_call_fsdbTrans_add_scope_attribute(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_scope_attribute"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_scope_attribute"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_scope_attribute"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_scope_attribute)(int data, int reason) = novas_call_fsdbTrans_add_scope_attribute; +#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute */ + +/* PLI routine: $sps_interactive:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_interactive +#define __VCS_PLI_STUB_novas_call_sps_interactive +extern void novas_call_sps_interactive(int data, int reason); +#pragma weak novas_call_sps_interactive +void novas_call_sps_interactive(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_interactive"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_interactive"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_interactive"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_interactive)(int data, int reason) = novas_call_sps_interactive; +#endif /* __VCS_PLI_STUB_novas_call_sps_interactive */ + +/* PLI routine: $sps_test:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_test +#define __VCS_PLI_STUB_novas_call_sps_test +extern void novas_call_sps_test(int data, int reason); +#pragma weak novas_call_sps_test +void novas_call_sps_test(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_test"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_test"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_test"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_test)(int data, int reason) = novas_call_sps_test; +#endif /* __VCS_PLI_STUB_novas_call_sps_test */ + +/* PLI routine: $fsdbDumpClassObject:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObject +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObject +extern void novas_call_fsdbDumpClassObject(int data, int reason); +#pragma weak novas_call_fsdbDumpClassObject +void novas_call_fsdbDumpClassObject(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObject"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObject"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObject"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObject)(int data, int reason) = novas_call_fsdbDumpClassObject; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObject */ + +/* PLI routine: $fsdbDumpClassObjectByFile:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile +#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile +extern void novas_call_fsdbDumpClassObjectByFile(int data, int reason); +#pragma weak novas_call_fsdbDumpClassObjectByFile +void novas_call_fsdbDumpClassObjectByFile(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObjectByFile"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObjectByFile"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObjectByFile"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObjectByFile)(int data, int reason) = novas_call_fsdbDumpClassObjectByFile; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile */ + +/* PLI routine: $ridbDump:call */ +#ifndef __VCS_PLI_STUB_novas_call_ridbDump +#define __VCS_PLI_STUB_novas_call_ridbDump +extern void novas_call_ridbDump(int data, int reason); +#pragma weak novas_call_ridbDump +void novas_call_ridbDump(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_ridbDump"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_ridbDump"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_ridbDump"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_ridbDump)(int data, int reason) = novas_call_ridbDump; +#endif /* __VCS_PLI_STUB_novas_call_ridbDump */ + +/* PLI routine: $sps_flush_file:call */ +#ifndef __VCS_PLI_STUB_novas_call_sps_flush_file +#define __VCS_PLI_STUB_novas_call_sps_flush_file +extern void novas_call_sps_flush_file(int data, int reason); +#pragma weak novas_call_sps_flush_file +void novas_call_sps_flush_file(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_flush_file"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_flush_file"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_flush_file"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_sps_flush_file)(int data, int reason) = novas_call_sps_flush_file; +#endif /* __VCS_PLI_STUB_novas_call_sps_flush_file */ + +/* PLI routine: $fsdbDumpSingle:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpSingle +#define __VCS_PLI_STUB_novas_call_fsdbDumpSingle +extern void novas_call_fsdbDumpSingle(int data, int reason); +#pragma weak novas_call_fsdbDumpSingle +void novas_call_fsdbDumpSingle(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpSingle"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpSingle"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpSingle"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpSingle)(int data, int reason) = novas_call_fsdbDumpSingle; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpSingle */ + +/* PLI routine: $fsdbDumpIO:call */ +#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpIO +#define __VCS_PLI_STUB_novas_call_fsdbDumpIO +extern void novas_call_fsdbDumpIO(int data, int reason); +#pragma weak novas_call_fsdbDumpIO +void novas_call_fsdbDumpIO(int data, int reason) +{ + static int _vcs_pli_stub_initialized_ = 0; + static void (*_vcs_pli_fp_)(int data, int reason) = NULL; + if (!_vcs_pli_stub_initialized_) { + _vcs_pli_stub_initialized_ = 1; + _vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpIO"); + if (_vcs_pli_fp_ == NULL) { + _vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpIO"); + } + } + if (_vcs_pli_fp_) { + _vcs_pli_fp_(data, reason); + } else { + vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpIO"); + } +} +void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpIO)(int data, int reason) = novas_call_fsdbDumpIO; +#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpIO */ + +#ifdef __cplusplus +} +#endif diff --git a/nco_dpi/codegen/dll/nco/csrc/archive.16/_37171_archive_1.a.info b/nco_dpi/codegen/dll/nco/csrc/archive.16/_37171_archive_1.a.info new file mode 100644 index 0000000..a8c1908 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/archive.16/_37171_archive_1.a.info @@ -0,0 +1,2 @@ +g7hgQ_d.o +amcQwB.o diff --git a/nco_dpi/codegen/dll/nco/csrc/archive.16/_prev_archive_1.a.info b/nco_dpi/codegen/dll/nco/csrc/archive.16/_prev_archive_1.a.info new file mode 100644 index 0000000..b0a5b99 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/archive.16/_prev_archive_1.a.info @@ -0,0 +1,10 @@ +EbjT3_d.o +KuVdP_d.o +zr7M1_d.o +qx3Yi_d.o +uM9F1_d.o +hEeZs_d.o +reYIK_d.o +HRPn9_d.o +nhiwz_d.o +zQBzV_d.o diff --git a/nco_dpi/codegen/dll/nco/csrc/cgincr.sdb b/nco_dpi/codegen/dll/nco/csrc/cgincr.sdb new file mode 100644 index 0000000000000000000000000000000000000000..fa52a1440b6c3b1e9be89b393774f2a993ad1eb5 GIT binary patch literal 24677 zcmZ6y1B_@*)GRv28QW)U+qP}nwr$(CZQHhO+cWPw_q}`b{<~H>)m2%&(%IQb?@na{ z03!|o{GZS>{(q-haQ{d8UlT3S|G?qDfeiovWcN>sN=XQx&&)~5Oo;}7`Y!tsZF{Uc<`j-wxqb2C4UMql`wnCO^8Jjr=0oX8sZ>I%e}t}QH{X}uQd$R+gCJ>- zde$Gv5qON$i482}q;sI^yXb|79>K6#_YqeQ(%Xgzv{b<_QvAIU_+uYAMKyF#M!TNP3%?U^6dD33p`=nGe=TfXm2LV&i#x-=5_aU7i9&@>X zT=`DQ@3*(?cA+>q^trh7imSX}QalOmKNAamwxqJuHy2eCFo*Ts$=L#LM@10@%rPyeO1M@39gCqhmh0ef-meV}l3VIXh#cBE)|Anwd!dX%07}tN$=b5&)v^ zK!D!b7#z_Wau4yJ=Gm%L?>%NX$!v0&ke5K|_D}ncA-MjBT99JN$J5ttVscE>#AeVX z)OjZ?e290*vBtFQR%&x;|7u1TMXdhezk$U?vr0I2mb{e$OS`%sPP-^=6!leBIUGXb z|7%qN*H+etym`!2eq!7a|J&QJ7?I{czfvr-l<7o$c{&cnwA6d}pb=qCl-SkA5h_sa zk59F(Y{bwfks&PvN3o|5%fPUssZ2%|J6Z#J9E^TR=)I8}5P128aRB}NnlktdmduY zUQXZ45Flx3K__d2mz1F`d(n{S7n7yvrgmFW)Xl$QmOB0iJH`yU#{{bc>!o9aE7LL# zAL#2Dn?s8YCwLSX{n2d)Wtqo-^jkxr(1G}ek~W!Al9IYFjetfnn7INZDc4h)lPxj9 zKzshv4i%NCL0*a}Ljtj!V6YHjWd3bDIPEj0JtSIwV;vt;R1s4vk~=z?COLAAk?T>S zao_yI+Sjzh0)qwk;K5r$v+*H%6WTmW)HzJ3y)@Uo(_YZimRTH4N!2dF{c%G%N`;}Ou3|&f z{T8GF3L*vfpTUEqhhJ)OLG0SA>|5;jIubNs+TcUuzoT6;$tVKRt1{b)`vFM!+*t%05l$9YLkDwQYm%a26lRE9O6wN%fR@HF<;KzRH))_)L^ zV~@2kqD)D5_D)jIq&|MX+CDbMS#dJxcm1ac^ZUoP=I$t;x2vHPttruZhvLd%PiA zrC>liKz?lKlX3a;6@5cFdfg}p8RRvdI`o3{S4$i_+ihOkJn`=UM#jP^u@ydJa{nxh zk4x5N`4pg)@EVBxkzxQwZVSwVXA0Zhytue{qC^t&Zd`@eKF6yuv$sR1H|{KLA?l9r zje8Zuz1lFxid(V@_0v7;E~t{g)9^s`^5gHdh?}js!lkJa)ZdlPoGseRp}09FW|H+N zSO(5O;@57Yc)k4705I}Gmx5SC6m`So|Eq}c$x|lpsfk1=wlgPkQf=ZttgiXexmx3u zsFdBkEs-xXQ0aT=G}o`pEF$uh#vix$F&{94M%ML#v7J-znUX^oSLxssUxh9H*# zwZDB{IrL$jAn;diUfu&X)?y(ISRBI&N;QOB+iXAXyY#Sx2>BfEvt4z zk~6le%`>?YAAXGSaKsK3jx-So($kE9eOgzE!g`BL2#M|e6Ig#*OGt^Ragiui@fcIA z%J$aax1=_X+3T(SXH*7Ch%LkV9*zZzup?Lk899%}3gkjKg;AJ)-Nm^xwL{dkSS%Bx z6?N>^FI6Hm|B#6&Ja{+oAS2G-5}5P57OzYsbQ2>y6L~a=+v3Ah-XGaV&UxTI#Lc zZ)XEj$bwy+?0K~%1q^VD$LYWaH-V0XfRkrAIZCasv6qqun^~8aOvUvuJ=NVHstU=3 za)qJSPMxF^Ce4^73)loT3eQ7{$G@?#9ZjB&CGFRGiN?H~LKWvo(D6@b~^UfK1norHHm>n8o zP#>D^3=9^%h^$b>%gYD{k@7tXrYUkRX+Vo{a79KpKL<+|mMLI4 zJ4`|bfXYDBfsT*we8)lB? z%SbH^J86k9(;O0FQQCn0L(tTz9qgk#BndQgpaOIASgd2ULz{2vh^HV@={Q7&>J~(K z5#+`Gm&a(k6d~9kTD}Z<=^*Q)-swCG+_zO=6f(?SUerqvhYb%y(Q#yhX0xY7F+rNx zQ?F;TgMWCYQDjKpA&Yz{)?md=RQzdB;EwLU6GmBb5<71@Cv&(m!}2uZBYqOsDd}2p z;?cZ_R+fE=K4+itvyU>nYR((J6k;Dm-p#6=NN9p%#icke_8c!B9?yLhn7F$H=Zb96 zNjwDQey8h?C1+e<$Ru<7lBLFBI+EySFBHzL35mnThm>)BvK42A`0YwYcA$u|k4;EGBq>f#dM*vjBG=Zm~G;p}^u+W!s4DFu! zBtp&1;cH=%V@(DHj4OL;RzHw!bQ3JOR>wV)Pj2nQh52gw2_HwJ^%nQex*Byn+tYzu zW~e-VzzFo@uTjK(j*VpsXt*w7n>w+G@X^rlSJTa(qBmUi@O1@82IzMA&f8n3(dCjj z4{BvSq?<)SiWirG)E%AznF%hZ$kSSLQO8y&jB4`*_y$(52AawxmnC@o8n6y4Lj%2r z)r<+q!f4cN3n{RHPKMchQ&i{tv1|bu?J1J&iIE1I9;+ z-6anMiOujSmt|MxeLu-1t=I_Ggh+i6jx%Vp%91EH!f#q0`NS%@o%i-J{K<-L93~Uf z!F(T!H#n3NOJZjK*QDPrYbOx3bj0P>pbTF|a>kdFK3Kco$*mbABip!Z$1eyMB`|44#tLUu)n8(zg=6HIx!(&3Arb&va2@SD$wZPAu%6!Z%b~XBi|EI z?In^q`?N_yFlvf|@=P>i2|WM~ukzV~Oc)ygB@6+_FgPXoxm2>~JzEu6ySl!L?N{w= z!S)Pbb4;|XL&ZDPqUv$`plCdOxG#m|LJzf}A_~qpH*Q%Vb+d_v{X2&!FVmNm^9VLc z7-R~z;z}0)$j2KM)z^}{=o9HU)p{-5O$5@01=WXy;<~l?wjY>1Kii5I477Ce@|9i$ zA$BBw@{-qc$T?l`?T3WWAX#s_sSK@x)4chyWqHb9Nu^?WUuY-e?p5%x~b-1A}fGBhMtionN8v>4h^kW@oy?<=?4kTf* z{f#8ShvK4|w(}`w4@8C>g`PUIxzZL5jo{e_##$wq^#hWd3zjKM-yY zB`=*Sy&DktvnATFE&e{GAdk|D?zQgKET9_A;Qe_lT&%W`*gU=Fs)s@!5ABQ7Cb1o8fM^N-W_u232y($zM*&1C#X7Bt#0}>_{ zNCwl!kkJGlInr3r5n29rRP;LuHC2dx^H4yyNA8miVgcQzg3LzO%AVP- zlA%RmX<9g(uDtyA8RrH?YPtZrp-_kdm$gl2WtWj^?_4m6AaKr(x`ggWa~9;~zM>a+ zbqL@wT1L3L=S{sTui)jxC&8ERaj)~Y99;%szp?U&?=c%i(5N+Q2-eD5+fuU(z9d@_*Q~^3gguPSw2DSQ^i{#Yvtmr?F9Q;^JkP?hKDJZxLZ%|x7Ttc;vR+}N z-Z(vDX+gjPcfom5lgKFht6e!Lo(XD?Z9_VDz^1)a!SN7Y2GLj^Ns7BGx$8*Dp|m%a zeUNIa4k8BauLxVQR zMiS#6+blOepjGN43{<%cktiHB3yxWe%06LJFDl5?H~5WwGRhYVaf56qHj^Onjsm?`$A&a5DZlb9BNJb3GW3ykGN~U8nK{}DoVpCJ}A~u zIV18)MLN-U@Pzo|w|OP**MaJZHFFu%%D;}xrzwp|79{Ac*Fh5Mzv0n~$uG~UOws*$RKDvTt(Aj3A9%z0z`iRU zAuZU-2d7b=DCEiAAFQ-GU>m<8zDQYFQ>d8UdcU~7WAc;!S?ji3v53o8=W@9#r|L6d z+6vs>DAbDCogbe4ee51e*NgdND90tSOChddNjN~C_>!qkopJQ-MB2P@wx9o9h?tUk zeQ>lICVuCAE;>C>{pGa%&N_HzOGD-A0FkYsAy+}xmq02abHWig$|^kch*8MPfWDpR zg%$19{0rf}RLLPliwRXfGMB4Q0w?b_k|eG4VVpwyY#@fnzz0#M5id{^WshRgbSQ!m z9c3yxavA3alq0S^6I(cXNgveq<&vC`KVDifl+u(edN=uJV+*7fA9~Gvbfa=?kcJoI zNMctB$?Ed6PT=UIhGH@cMkA;iie#Pw4yM-6=IEqj_3LrAr;#FX9I zaZ8c?p2$NY!HZvS?nD%dnrwLnyZ@@O27Y#5Ti>PjCXOkA0s&%wMPvOwWSOaB)Zv&3 zJ=(^+PfY1`3tf}M2zUD3v2YD;aNFvp3dO}Fm*~+1i&Ab;0mRj^!*0Jzs;q_BRI39& zE0p{hfttjV2^uLeHJd1AY_sgTV_sw_5eTeQf>GJ@VfAFqRP#xBhkC{5YHRzIcEP3| zDH=ayg|w?%+vbR?BhP4y#A+OzaXFr6fa*Y9b7JP|t79tECg zOz<=UiMaGC`VM}hn?1K2 z%lU5N5@|FI;PZVUEx?#!>ngE$DeX$yS$&FFv*Q7uRThG-^6W^|idDXp*WU&awXc3Sc zf zJ1gJ5ZEM#&%4OsxToo=mvlC9KOcrhE=fJWK4oE7zGBH|=qmL&j1_bY!K2qa2wLGk6 z=3Z1!L}+inF6XS8(E3duT4el+0EAjCuZE�{J#W#di^}89q8%#Uhr{Y!4dbwK&O9 zvsP#ynOCgn>~74nCw-BmdjU%X+fkir9ORG7kqEbIi36Ai$`ffUA0GY1+zg^kDcPXg z=Y@%F%}!aWZ8SDa6=HsK7gTwv643RoNGMMHSUC{6{mw%KY2ssD=MoH-+tw-s*hSux zikd3<2gmnWOwXT^B&d}KXJRqQ)iMt!!C03@XS=r>$}=Q`;)G+>j=l?5vVcs%839=i z(DMxW5pnX~BRDBoCHazKzyk?ps@cY)w=-&-XP{~uQ%4v2PMPKHW{J6sG=qg?Ao|bw zm6RrWBWqd#I90yd_QE-Bv_)L#Z$M7_t+&TWZ6660u+&B~(V?@sUaF_^^gF@Bjcl*) z{^Wh1Gz+$5zz6I-)EPbRsNa3bPnnEeo``}yd@)5zk+umEcmw!$5RMM_3}TQidZ7w18pIvbEng5SaFoFDz1OGVU`5_=%Q(p7PqxFBw4+DuGv8G7CY z)&f3;%2C2SgM#86$Ma&0_o=IdOE(9;%wlH|4)kQc6gt!oy@2%Bq_i^=#acqKJdt$* zw?u^Ok#X)|3l?co6+B1G@Fxy|^@Vnsm{p4` z5`z2{b1hCf60?tq+>}7WI$2}Rqg1?Gd?9#%)vSCYi4>n#Y_p^L+ z`0YiRi0PQbJ-+7|EGW%WFKsGjEE*L%W~G!ctzggiuVLCw-J-$yFnVf0rGED)2#uQe zPP%^Cdy+jdV9Hq#7DI4lSB0LV?OPHW}sGhgcufcd(xDL27X`c(W@M zDR(aiOdX7s4;PQ7Fk$-b#Vj3(+d!jKibhFyplesD+DQA1PB13kg-8@W{TYcqPC+n= zR-v7ZEAejnPB~Ucgmfs~*Q-R6;l+;~QSX<9WE{_982O{@&PYt(5R3~XI}Hn@;lA@O zm?anR=E| zemboArsA|$m%Ye5(p*xAISOAs*Toq49Wt@FdpU;PR4?*|sVYj0?+%Y6z_oo@f3f@> zfH&qS&{KsU`E(^m4=}`gm1YS9)*$Q!iy)Ei2Il*1bb8tUxU|7nKc7}jD~S>J7y!j2 zW=ICB3Gi7I1UmIx!{zVe?m6=;|88q08UC+5yE^jw6YF967_W)Da37h`xrj48{$eFB z*J6sLS1`slfa%%If?&zO9I;&*OlDmzensq#ir#ILIsf6T%ia5IkcfRpjmVn8jZRpb zRIT0$1%w`KOT`7WyQWnPr*8Zj(p|5AOA7$6r){r#RWV_<__wX=s^!8qFzeW&=voyf z{;a{eS?IZL&=!+Mq72-4^{n1nyHGVrMn1WyBR{Z!YMUSOAE|QY>*RVf)}~7z+)VEE z{y#gi^u;>-lKFEKUg!aU+{)olb-F`?`RF6r19D2W7Hzhg-Q?B$4sK#+yx5X4i5QoIQX%GpiEw7 z6x= zWB9y*!g_4RFzX!-STSreC3*mH|K*f)UcEZlw0}hG0-N``d{Tu_3T=M27X&H@8M)xcju>x2u>% z)K>+i4L7m+18_q4=bUJGct8MRF^Z?BvJEEz1=VyYM>u1{P)2v@ARU*Zj*dCYZP51A z5_f9ZyMk_LSNme2&tw*>@E2K(GY7MCTD8|XR$(TWrY5oWCB}$D8TvEf14CoAt4Qjf z*9!U(qH!0ykH=|6o)h$jXDE_z6J*f&*W5r0OI5bN&nUwh%IsK-A$bOL;oJ@}2`s95 z(wWK3l8ey*w18;zpd;n9Us3iuWt_Tgr{+v#%nwOpgNjAOPZ=pHrWiN_Q~vhprgrS9 z)wl%GT1NGSS4arDu|Q3MO+B*-A1tH&sQino9q6tsa&Caa@CCBKJUljrgB54^WLW_I%E!fp$SAf=&As)H<@)&+PP!s9`$Cq=FQ%@YYbR$u!8H2;*GHrz1TH<3V>E?Z+1 ztKfI|{+!fs%_85J>oYOd)<0ovm{M9p@nU<}nyWXguyFU+2#-Ef4C+Thr^yjVZ}w8` zq71x=1N#EVYZkt$y4~?_Yf8-I`pN7epty*`?T=Fp9lk1g$r1HA>0M{b55+Dn#G0^7 zOYg(Qu~@wF9Fgd0-gSBISOx$WF{Q7zdu^YR4}+PU;5_3AjjSUm%($TNMBEH>gcBz* zuybS8@YiA%LTp656lJTpEjC%LBnxEv9~F?&FhP1k=tigU5MDmv3&`@`^CKW6m- zT(a0|vypiagE8CR2Du{IvDA@0Y2h4UBw-rhCjC%bMby2qdx48=xey|>;dnQWiqIl7W1=OmFa0YN%3++SKI7)aSk zPY~C>K0}TF_}LkEgh5}t=RLG((U;ItLZ#7XX4?jR?7dq5$8vs3DCzX!Zku-bK!f>- z#U+j9N4_wkq8T?Wd^a)p6)!k#hip_g?nT#dP>O~Z>=tEg4qI?=ZOlT`+LqUcF6ZwO zKSgL2GEdN8v<-NDub;>a_tX#WIH|B=w(ZBW;_Ch=S=~(fV2EZ)fc1wtG+04tLD**Y zByLyRZDFiCo=-d_8YjvH9$@ylQDfk$8|13%FeNEGWgQgGpK$jNIA^J)E;2m^H04~N znV8khbwmvG#{H4oHo`Z3Qt5h)Gy`{txA(%EI-vro>D=ajE&VPm-Vdjd2ZhS? z%dic752HQqs~1YYTs-Fex9R3o;=e8N=2vG4qTt5hMZlN}U%!`~>%n`ku-v*%<& za%;xOCxkDJxITYbx=9j`klVKwotOHID#l3OE8SI=D%TG>KDDyB+qi#d$4^#E41c_T z6(f2|vDC*$R28Ksu}?_hceH4526&c)qQ*RD;WM$J9LaVLYKhg7LnE_&jDqDiPun0U z;O!+;G(mX>Q;XQ7c*%p{heWu=_zlZ&i^b!<7xkTPm1`=38zvE-lKIE6Y)_?j8_fin zU%$5zZ8js46m>&g$eosAz~OzFw`hL31x1QtV%9XDtAtGAqKGrnrkp!3wfUQc`Uix3 zKMx%ckg2YZloe|HWdZ6L$ROjBzW*c~^PNx@=((#_sStfLkh>QEm%%wl1^9I_bt6Rn zX!-Hi=i$}1BhA$7&_hHiN|5rT!lTa-GA%m#%D0<;_>UjkM_@Xyo>35(7Q?VME9JxR z!eZnH$XZMch-X%8?58r9(lspcU5Ev&!Sad%Q@iOZGDdv`F=!tTK~Jkd#BrKqr22d1 zf+I3PEg!2;wr}sZ?=A3{2$NIDw_>jSZYLWla;aL!r6nG5azdn;R$QV%>typU!fXT@ zthJkA)qG@Fs$aOQ21-=)8;Sn};aDHF->!7(1n!EFy}GS|$R``R)CPcwY_tPk>#gIU z1cNtGkpDIbX7!qrX|;{42Za@_IZ)rH73s%zUW_wJaOVdzVM~D1IOtPt=|BC7GCG`a zE4id&!$;+;(H}OG3cll48KUK-2pGmJ8|4FW5l;jOc3*XhQ(&*6C47CO(I?AbjcTk; zc@V`<>0>dtK{?}6?V`|)&!vqWJ|VY%AwuO4yK-$KaCTUwP5qp~MUU(^39Anj`|~Wp zyd&>fa^q(|(T}WC?etXqwquYCBf1SQXu~2CTy(e77ybEF-L>s&{(F4B+$x0=-ZDo^ z&i6o87&#Atvk#J6P}J($2f~DL2?eJqB#eoidzcZ6hfkj85*n@JLqiQ1(TNMV>}~-Q z59tb07No!tVlxh0O$|540DQ7Adn)PLUcG3q%^RJu4d5c9AMNlYL&fLJi*UhQNiY?Q zb3WrYHS9o=wWCrt93bMw4sRCz%piE}rMIn-7Zvot*}NHdkzOj|P?BcQF0(N*)UX~3 z;+c(U?-#^oAUg zLFz=8|MU5~xY(VFCf+umDj6mxX8m-ll~A1v2Pa=*YC4$8Yz)dXNl^wBsCcbGZt&-P z#Jc|Q1!%FLaDuCnLkNHm%#qu1e!=+$FDP(bhJk`~G(P%+edeIFzs&!)sR!^W5T+a3 zAXoZcnur_3bfVF`Ca(nVi+!^jc;E_P$-dDXGZs`Jc9HXJ%8`*SQlVU!jX)CJ3Urb~ z?yuFMsEVj(xf&_euxIf%kc0V>i@jpRgzh=#c&KwK$({`sQ; z^xyXtdm!B-nQ5)1c>kkvz~5a<-14-F*8ly_~`*CW$G25pNm>*!v6_D1uM`c8&hTCcJwcRk!6hPlfo^`)d(J$VN9Yas;-J2XAlk89L-oq{eFfh zH1DlKVXNwW(3GeDkay(7#JEp#pHz|{*BY~?FS?4z)VUY4XHbCN(_71tiH-$dY!fZ= zty*k@`xF^IZLf9WqZdJ@rLu+4!#MZayt=IjZ)#LK_mE%D4qTQ;&YMrLMUih6tHf~n zP4t7p&ylH)aJkVAuLc~Cs+$W@<=VcS<+o8J{)01fotR(M(ox3)yJ zV~3x%dTx~TbqbW-2oL}daW9p~$&qx(hv6Fu62>U>v`Py>*3FEohXZEmU}$*&O>U{W z^rNjTGf}b>!%zu%9~|^Q4Y|u6 z8?1nv?_}zzw{iwZD5aqi(!zk1USWinj-H~0H;)Xa8l(1cQ7NBt6FQ{BeR5#NTx^Ri zu3H01NCG%Gq{SQ$9q0*c*ozCva6Af3#(CP5nT)z%V*O(}5oteW8de!rJB340q5LCF zNWDV0)Rfk{7d6vwW|c=zD1s+wF|jCfYW2S21uYO*7(E zsIjX{f0?ND#BDsWW~8j|0y8f~D7N7nrAH}l#4^VDp8Cf;4|1#)>YvsBD)RYY_MAF5 z9jYW-Tw$MRY;@@K03(190MMnO1*-n$$qGcUJOy~WWSau!L6ya(>JTt@w)DjYbPH8b zx?vzbc!1Ppp_7>Z=LNHjQ7$iHOoDVvg;wYA->pTZfA`{y5cFrLw3f+aZ&$1{BJq2h|1UD%zNy@IQgN>q@JB-)6PTY1gvtWM+H1g<-g z;)h>z?dRu%h6neA2GD$^(UxW0c_rJU>BJbO^$PmgcA&;Nf)#O+C3aLr{k+?_BC{03 zb(mYr9(eg=RCS_VH{ZbH1GA%nYbdoPj?MpRx7>C>UFXGk@42mU$B2jc?sF-|B) ziOaeVquI>P(-k2-rtD*;AZ@x9Db7tFXcYEJ4E%r=<6)iGtBp`*h`C8D0{4atLIJ}U zS-p3S^_e6B@1P7nx>N9BKe}8D*4&WBap7MYX79%)q6ET;zFG@spoVVl#@9*s9$5Zu zYGD?mX3-bFv{oK>lUJUe+ItJ@BSLDp;okK|X;H@lXzmPe^@3as0JBA8=!P*tZp26y zne;OY-n7ZlZQMGKmRXuuOw2@3DXT+9qMn~zobdyw5^dgS&@`)Rk8_Ep;BLW#3>=YD z2lp;oNQTT4JnW_BmSv{?Y@mtRGHl%X1&TbudZH2=@SuVj+5+}og6(3D*o+pe_fjNr zdFaSY1OCRc|-6-Eqf zK9)GhzXJ&<;RcVb1|<>rVgcsk*9*O5>E53#lbDbd80hxn`3hAlJV7Fxbm3|+Zpz=E z#rNDNfi&Bgf_$XCUETQX=}od%=^QZ%$}%B&n|9}Gu`H1!`k@Iivzb{OC%5RL66)Rw zNFx1n(Drd;EB^+BDfNi=R{T{oWDIM}D(BtWCmrG>z9>Ct?y`Ib7i)_=^#T9Z43}k^ z4aQseWW(*&&~aZB;k95Y{n#mZ|}q6=QFqaTSUn>#rWHm)@uFr&49&NQ5h0 zUABA#VJKoRsPAV6@b%Up?UboSkPpfMsSRQTJlY$5+(Ehw0iE#dFKlROwmso=yjRAU z9K1`hoz$cReDW6xwQ@as?2hyM&D4s@$LT{s=CeG^&I-}%Ih)UVkxFlE%%)C`KOOZp zqn;f67at>z*JFxwy{g^cTIpbh?07??#dZ3iY70%?MUdB{Y8d#O-+`kXKLOy&!KoqK zne`LHm>e0v89WcB1PvO3SD0Q1;TG>8#0>CiQ7)FbiSd;hPfuHf40!UMJ^I!@| zP>o`;wvaa4rZeo+Np)78H)^Ne7L(OCRjIaP&P3Lb5Lt@;2>cj!OQ?M!e76@*A~D!7 z_va#?wB1R=CjcP;;fC+tg2twx5Eo9oSCrs)RNjjtk3HkiQ7*T>Nrf#o3b#gLwvZQJ zh*~HSkp9}9+>?lc#y`6lPBl6tEP7nER zbgE)m#Tcr~$wpTJIn5IbutzA0vLA-Uio&@{G?*BidCP@z-Kvc?`bN8c`kEIE3!2Vq zkq+@97y{Nw3<^gohn@kFuC&Z6pH3!48=}IkuJBbDv>%piaUUX?xergT@G-`_XUnKF zf-L@MU_U9YJHl``Io3cQut}mKQ;MYE_B>Dda+ealz7f1r;7yzQB7D9qZ*pFe zM>qB<@yq`DFXJ3S|2&gZ@{W+<7j0&J7IDey$EkTo3d>6Lk*AW5?XC?AA>Wb&% z0JGDZ2b+x7K9;#%O|*>nD!cWq(%;k(eZuwlwoA{^U*>?X)`!Sw!Nv}uSXHM#ASKq6 zkMFEJ=walL?ocn;QPXH#As{6>+7Jp~C|i zZU)s9IiS9MkX@B$eAWvxU6R3P?2hpb2}5?tcq1GKt>qaI;+;5;EDSp_6%ze49<~us zh_CV#W$_L-rkNQny=1HkV-@EEkuf^w_J3(lbSJm9N9Xbx-0BJdIJmqJjJ-)jF%9E^ z9X;F~t9B|n{7tE$FB8!TBOpz&IO_pNLZ~Ew8Rp=deWS398`m&x&f4oY&nkg;*NfUE zETy}<=(DtH-3r<_`330H?56CIGl+ zn_V>b8GxmqJRhg-jBXPGvTYb;++k&WL8wIHsn&{vf(iBZ?QQ=(?vi`c9r z;|&ACnc`d~F$iY1zqE?u0uzhiL!<*3JghTShcYt%wjoaiDBzS#r-3K2fg1}d-e z+IXHRmA=Jx^9F8FH%`^((_8eHT==>C-7gf^9%-S(NfLoDPKe)xPto%_-6tcV_1ueR zjPojeMiV&%!5EmOea~lQ{~c_5qso_hq87}O6i(J)vTtp(=;Bw|)t7y*Y{opNGU9s> z;NCgMZWrLC`ur%20gdl1Y7PP`qre@1-uUkl{x^Op?c=uKXngCHF!mvqwd>iWb!h?< ze+Kj$#Z$@vc=NAr-`I3&J+r!v8Y%QpMJ zenOg2>|SY$7DxK+oJ)!lqyfdfGTSe__vNMFp^n2F-oABt6tILUHLxx|$cwWlVlBKn zlAH0UG49&}=&}3@vpWKlsGcDZn{jq0BD-R zJ>JrHB0lGadV*b}ZC$H>6)XRZ5g>6=@%qtP-c8&wS?u33!e;?*zd?Z@_d-yeynA ztf0v3t40tMYA&Y@o96HYk094tYoEo9s5J~RmwYGsrOK7~Kc|cIjHn=~lergQoc~^lL_ikoX*|zFJJi4{}MN%{I)`$v|QVx!ZcpaCFf15>Kw8L3vot}1^ zf8ye23ibx-LDLIF#8JT|y7+khyRT=jo40!jN*fsH*0vaOkI(gNc=J z2QuO~jgHNLEYG05lR2H7I*#_0a8*u|w(|&yx-n>3>XF!mb&bj8#U)XG<)nGMFqeO!O2BcU8VO-5wA&K>Eg`~cVxYLAQEsdV?ZP_!1(Nk>& zqxbxtuNlKzLZ8){Z`*@jL<-K_bQ%;~^04~loKlA6(tS!^3MEyZ-e=O1VGlCXq>nH> z*S11V2>C;Ln$r2vaA=x33rfnfd*yGOZOgSyKUyb?zEyM2PB_ zmMKn$`C&830QDHT4SCfX z_N11xS)=o|9kbWGFXpMily{i)r@-4O`hj@!H8ADqQiME@kdmoIz+F|)IgA4@IWm={ z9s3Lbc4#B0SIrHULb`D_*b}I}8m$Sqw?&PFx{iOXwL**u)2Y`F3{Lp2SO;6XR0bWb9;NC`9;t|(Xen> zOBA^gg-LL--OOZh{kok-evmnl;+07^`5f`&&|{ZNZ8+@IM4hwQ8xhO%z?YtIo5F!a zrz+cNs)q#tZn2E@zST6iEtzpnR%HrV!pn@5t6+oL7GRE%8UCH(Lm z!a9|GL^c=9u?p1owey6J+J@XdV(QG+WFw}Cs<@(b`k@gNxV17E{m<+d1hb*|P5r>4 z5Cazjh8f=YtA_SxgOU?f&HUFp{ zUA--MD)5nnkhNr$yw+Z8wkt(;MVW*z>CbL{lO-8c)ruUU3Al+p$tGCTzVwDlo5vFH z?BsivFkvVvgpD8R_CK@2>mriOyae=Or%rw|0#edkCCp`L2XyV{7ME1SbZQNbS*A-I z{eKF(%CI<=W(|wGYX}ZOLeSvu&JrX@g1b8ecMHB~un^omOK=Su+zIaP7VPfk`*Kgt z{c~%d>gu=prM9Q1hnabHs%Mt<d=VYrH?#W@*}u+K6QX! z8B!Ksq|*{M5Ndzw>%`lnNj1`l&*zx#WTdstU6sh0q0J%V82Sm|pQ>&00(N}2(a%WV zYN&-oEnOwOrI~sJVXUE6N*w&y+SR2GaIm_I8@stMS3WEp5qdoy6dth1ey28hIe~F9 z7^Ip-7tQX>y^oHQsos={>4DQi&>t1eV5+Md7f?IO_ZPLyYSBYWQ{& zD3WwPg)xo&=mz@*eTUVN_7XHDQi5g=E(I9_G#D7oVH&j3)kLgB5BYe$N_m8flI^j7 zLGMrbT0*h?E}Xut2w8FDb&r|nFsVOnU#npkb6#uZH=5FqczHyX%O~?0dCxnuO&suG z=(kt5JGhQcT?=G7;~F2OnKzXiP$8LGPnUXHM9-5$L5$s*L~i!8J3){5Z*`lZZ{X`2 zdK!HV!Pg7UFxKfw2`z}#L2G((VM7*AS3*fu9FC_#zrKp=;(l}BP&eFG@hmK++34Mg zX=Zm{yRN+pope+*Ys_voq~w?A<|8S`N`oa7a?(o4K}yn56xzM%3>7*c^Oja8ArW4= z?eSpIda&(OkU&0jX_36pCfSQJS#PsX34=W!4DJfzctvieUV}%9DeB-`v#f{EqgO$F zF^|`ZNxb-#55F%G&&Ux4Vbdlj8R-ZZBze=~xZ3R47&^edKYM-EF{5huMJg~cWa+I) zwT!r7z-t>e;62P{f*x;VgU`d8s8n*I9IdJ7@8N@^$YhVLvJ56ZB3!^cg~LSzBS(8@ zed1p09?wN2rdF$+S>`nS;x=5}XU-pE9tW^}wa|X4TIdd*n`qGM*9TriV2AYmn6~)D zCt!L(e?svRV53gr=`!Fqp2Ak8G3#!R);gM5$$Qb9{RySEm<~3_Db9oUyiBucBDx;7w zPpBuHNxmrE(ifc!cK*BP?aCzYqN@ij?GFKW-mRoGs<_CwP4{}kWOkW28Y3#laoe`B znSI*0_G?Z#j5BaU3uX)}oZag@L7Vwg-YVPJK}3Mn}e- z+q&>RAlzG`3$3_sc9-Uxr#KS3zJ%k)(zv`IL4CINDiaAI6`6`|RVWIv`=-^zxRFn) zAv%6z(D07XUUC36EQgdAck!Vc;UM9ZOxe1ZdM5Bk`<^PF8;Jgmd8PQVCMtSWov{Gs5MX|=zsjD1^jlaWe&rZ%o{I+Z8xN*Vp+qg|1Bv{pNf_HUub|;f*=EdV`uJp~fymtS z6t4#l))Qdu^K9{X37IL%D8@#qgimwJ10?c84c+}rI*PpW0w2ERt826Ll~g#`n9Xzc zifC{ma#-dB2(zaQ2gENkY;a?;e{w>ms5q6)(RnUw`D>93{e1?F!AZIg`T7E!$b_3p zkOZFmi*Cf!ey7x9CJLFgyExOiZ|^&7;5R~ME75H?gFzvmkfxAtZXyTNgkKxkB0i18 z%GPe+l#6%dF&^~^*>0Ace4ZDw2Ae*S4+g%!+fVs$^mW&e_|%(Nl|G;=0T`o|E_^6s zuCzEg;kZh(N||b7_X{pA%lbIR)YdesSblWN^Ny7D%hr9Se;p99_t))$AOhE!X6L+C zM=w?m+?rP8C+y9k-n-+(^T;;x!L+XJEAC!ov8V^0$?4Yv)m>}(R|^7u1);7%GD2Uk zmGAh-S@_q2wRj&oxPcbMBCfIdY70><5s4HG?D>7lt~7<`-&60Njh%kn+p{n~g4QzB2Bc)g>jf#$|8hw@g>HR(;zId+>ok(m>>`{C8uvm&M*^O>O-YNW0(g&F=06a$bpmv@ms+Z^uNP7|3W4 zhq%7qJ!@>ey$UCY)3&dDoYn!$ny@^+PJp|FCoqU1z#XTJ-Bp)OXUU5wdJ`sO zRBQRHpd~o*QW3URVCUE9GvEL)U3L7iV_d~+@n`9jyDRU@J=&VSQ#u!N+eaJ6{UNH= z^mY=lm^C6CP1SRfJN3Ta_Cn0JA(lQARBl)a4f!3_Lp1)j-5@g$o5J|Z z#@=~dXlb6lL>fViC7q?Foxm8%5+Nf-=sjJfsZDG$fEb=^0p<3r7sPzb8I(-FzoQ>s zyyfc{$9i>!LB*vbhec1prj!Nfeor$@H2_~W)bScm+h$K57(qruAGZjk2iKk+e4HO} zBo(zQ$Ta5TS@u`cZDZ)Qogg%dRi6WCJa3B0!9b+m&V$DWZDMlL^SnBQt`DL0+E}cv8i3F#3&XpQ={sk1Lg*A9yjna#I zz}uaa>877pOC5?1X#%t{f!8N=0=O9Wo+pw@(M6vHx|3gyN!1#nuL$Qb4|ettvGiY; zZEEmOdoc=za{LUyH9D4=4gb|*-%By+^WALcgUxN^vDYsicv6}5R90F<|3_#tu1SVU)dA zxt9q$oPX%`j`|q7bv-=DSc2o~%ygll{GE~#Vux#g7CDcUgUY!-*L+fnBJM9%18aR) z9~$ExO#}P|;?M)fgI7DQTpkuL`kzVm0g>VBf6XW*=%Cz zB-KvBMTDx|d(DKV*rtS6k2m1LsEe(rB86}*Ys>Ytjfi6*3R80+6MaZ|l}My8nM1t@ z&WYeNTubV0T_h5E>e>$?@jPmt8ot=&C*^Fm$5{UZD)8ghNhO2-smzcvW#WYDhB}BJ4B-@gZevE*~<2j)Pj}( zveXFAceBhk(og5kp9Jk~u;pu{Y7>EJ;>9a(KPM3n^VuOJqhmBFl~o?E_x1Y{bj6pv zII-xaWn*>2l)pQ`3-H)|AEl0I4xEiur7XU`lHiY#>{3XORKB+;N1L`#O}U+Jm59|6 z-@@rZ3HW##!ChnRVQX%1@RDJcRE<#p-svalio>ANGrA1D)L!eGn!fpcS{Jb6I1f+* zH(+Ll)0QY!Z%)bmkjruWTxKD}@M0>qVHox4P4gA2=wJbQMJ-VPN}jCeq?3yvGFN#% z$>320P;;Z1n&l=iIoZoohe0W_%|N2uN@eNjq4WHDRWXxbAs5{9I%~8FUii9A?bFMU zI936IO<|9fc2QZsphXPPN)3R!{fqe}ZbS?>gx!6P%F{Qnw}KCN6TuT?ErcKhl&|2! zjxH0l>x2lSPO-|kqF{4TY{jwhT}N5%kmjT!fQqG=ZJ=T7%)1fvm=_N{+BWZ})=a5}89)YCu<^ zV{l;8;1~Ix3E$P*tk4myi?ojy3vPK;OwvH3z1?Ujwr2j|$}85jS2McOs>O|=>%RU? zwjkJKmlE1|kZUh+J(N|ERT1)B{a-l@zcIwcs`xvHK{U$;`mFu1F{{URcJ$DZWMIf8 zV}jnXHM>y#OVEnyoa4f^#4!e3gW>1@FV6sX;(!zy%dFXIX?)ay62IbDt_}={xXx#Y zOLQ$OciTX1WxWhynGf(^kZ+o}$Y?@|-}~Gtsb_$;a+7=80O#IsB0_2Ld^wI{^-Z$U zJhKq&E9=o<21mGAKHSa4ucORcYnl=@0%x2r>Z}B|xjJo`$x~M@b`#U>-(ZmLxCJe( zb6VZK^}=#x?)+Fj2fE6Kw`}Sf_o1yIXrzV1T(HI#W^$(p`*dAp+-Z}xXtSNkG)V#= zAQh%dU%|Z}zDkgxs5HO`?W*>n3{JN)T{%4Vdm(H>pc>SGOc*;|c4T+ob-w0KT0u^C zaz;8nOtj@stB_CSG^g#H8X`meO{*k&@6GWK@r&DC3*Xe5ryARU3%5igCp}Wb%crC} z-8wi~jaQ)0iZ$UZ%+wpzM8~au31`F;Mtj8$pik;PKDyhpy4mb}>+qihit{1QoKing z$*jitwBlz@ zA|Z~uUTqnY0B&7>KM8?k_T{>?Vqfee;oU{^ie~!pNdgUOZcy-2Ex%bR5!8jo_ z1P;V{W(x@^Bx*wLa1;KEyWfZ>MIC<<-2@0s;8IiiCD7*Zd})|`3Z^=38+JoJVlwzn z1gOY@OZF&Sal|@5bQ>q0J2LqQAfr*hK;%);ekzRq%2gcNm<<#S#MR4 z5AJE?>Bkn6NRn!sVivzMnEBc}eHfE!lQiAL_!-Uaadw$tZBq1%cl<}p{Dj`XbH-o~ zQy_yti}9#%Zhh`{=uj5TDf}%!M* z71Lm%I%5hK(Yveoi+V+jMJ@O=?z`8fJH31Lv*mih7?}rqCiZ@D7wR3yu}~e>MBPCm zkDtZ)Ad%P{YYmG7xjGS~4S5}p4AWz7(SOig!QMc~nH|tXLFzbZCf0;no;&d-l`AW6%6aKSuKZ`yoLu_2edhMrw(G>2<@o&iJ6a}<_ub`3$B)Vs{lqY z!sDKn%3>62vml2TEkGrFP0Pc5MOAatVSDT98&_31F3e%nxj70E1()4V$7*6ie$@sw zuh04l4D$MI1_gqxhgV_IN&AHCsM2}deVYpccSY0MQH*)dVUXK=`NMbX>1H`2$X2`f zJ1vB@-Fz=O;Ay;eLIQBiFRQwr@u_?ms-*d`id=`dJA2j_b0c;BetCQ3%rHYv-+pxb z9tNTHQf^H1V-Al%HsP46xe`8IHnd4wj40HR5C^p+Tsy%bG87Q@P0Po8A!|Q1} zwsf5zBZ@Rh()9<++^}q$WZ{^YhdM0@%=gi2c49Ztg6_PY0?J**)Surcgr=P} zhEf|%$yW|J8;i>o<2ZwP8PuSGTcNByd=y@69!FNb z$HPc`N`Tf*t9Cplp))9XLvrN_q;wMKM8wx|G{Jho(u`f>vW^Qc{ zd0!C&D$8&skdxnydWQKb8#-RPWX)O;4)nXUn^R6Lg;!mfF&SX;9t8A1TL=3^z?td; z^xnT7%2h{(bATr`CMrWq3JvzHtP)J!H=>G;nqSmKl~s<*!QmcfZ`vB8`SjNDHdw?1 zHjGNK^eZ`Tj-)vQtD~V9vH!{jcTFN8@nzi|KG&MXXbRG9XFtT`@v9=_eu?E32G zb15b#rc8uaIg!J$7oF``wSr^MZTKe|U|+I4(;`}EgsfuM)m$nzbl$2hKTb9jQy7U4+i=2FM`U>vz_~weLeokMVK3J(+AkKx)L_@&D9i_wpP~fUFCMy8>O?yu_upL8z77(#LzfzmHL#9BL+RjFWTS0A8#|S& zLh`!tu$?mjrbf4LtxS5X1A+}YSF9w_qN^*EwZ()b9bmcV$~CUjk9`R zAmu=b3+5yIiR|yfR6>kR7fnLP>mmm_Vn#GB)+H$6?P6>KxM0~nfZ>U}P#Rk{S3`;K z4LIUf#T5n@N%mARlq|YenNXZs^lRIY3KnM~*TEF-AsUa3WaYRWqgsRAZ`9|~78)Iv zm|OBba^((rFS&kTtpf2GHpe{8+&lSPPzaPE7}dkJjK0=a@+v3f*E=r^E_CX;eSN=$ zw92}s)Obce`D(B~`Fz5z@E|`b<)!npumKRaLq1pt53bU)>T3fJy2p+|)+bkO1;cqJ zB@0~Qm|oNFRrU!BMO=xd}tI*=@da!62w6Z(jmC7e7sIf|4kG91p*}Y`n zqRsf+MOck*@u*#_a81!JbhPA>jL7}o;urHZP-BVt7Kq63OfIB!`=Msh4y~jDqx}KRmm@U zBiD6$OD8iAn~((n-Cn-IQs6;r?)aS>OAAB$?-;)^#05hOjj`-8=MRl>)$5iS*s#aK zu^IWJ)Z)hv3S%wj{L{|?y&Tm3ifO_|E+aEXQ2R%lqXh)kP$=&Ab+h+<0;i`jo2gzV zc;=|ShL<&_l9>~zz)o-uZ%Zl`LRm+Ay07zH)t4$^ zzn)n?_rP!dT3l%))7Mj%yx;c`SUoLZ*3;gb<+*DF7h<7|Lvt8bTv0`Z+# zoqkGV4N7usucf*HOgrTBuWRz(7`lD!(Cy2rB1(mBA6otxgSSS{H!@Y6nr-w9dE>eJ z&-l{-4)t~25d0!pFYOtj%H4@^uMHXDP}p#%cN`UElyiw$c+1FjT8gA;s}*!F3H#6k zKSOQNeQ9OR^$vBD`%O1z+_;8xA2u=ijvl}wLQttPif;6 z#bfu#+)(dl_!ZKUtR*47Eq_m;5dC zg&r^$kk7xz1d5?@0UZ;4H)Cgg6H{kPb31)YJ2QKI7(-iQRd;<87z-&=9Y_<5s}i3y zJH*Pq0ow6Ftdps>oC3spI`Jq${hq4go|+JAXJP5?39(W}R_dG(>*&F$Z3(dou9_x5 zh&AW2Fjs|G=pKN4I|4+}A&Lr7=&Iu=5QV9@{G|c4|K*55>wgI|v~Et8LJVz(iUn`9 zI>dzwtwZb|{-3)t=n?ZTzD*Mel>hr~>MuS3%7bK|i$cr4<9lMHK>5@Vg~t379}ZH7 z$~$QBH($w}0?KFlKmCD+@*o1eWBeE2qkI+0=YS}*4}aoA#|A1@(Bkj-0q>BYe13>R zWB%bILh2Auq2i}~59R;9;)d8id?Y9jB7gexzkHXI6DVIE`nM4KhmQ;; zL%KKwE&qhje*;lyAO7%v?@P#kCM}5XUwn^-Cn#SBqR=t?!-viRs7OMKzvI6t zONR1|APVjCAO4?J>Q^AXfAOuBdZBzPh(i1PhmQ`aLj)EdTK*j$iXj4>C(QrdW+*m> z`u@V{(7EvsCSQQGzxxMM@IbKQUpNSYtNy{1oX~yq4_3+kH(zBRI=26`zX3zX?jNiJ zUDRLlFRTW^EB|0!NPJlQe=wx=xBOoGA5!~cf4>L-iogA*9r{uLsJxr{kNwXJ0$^bD KrT&9qM*jyy6zS*y literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco/csrc/cginfo.json b/nco_dpi/codegen/dll/nco/csrc/cginfo.json new file mode 100644 index 0000000..7c7cf9a --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/cginfo.json @@ -0,0 +1,531 @@ +{ + "perf": [ + { + "stat": [ + "main", + "entry", + 0.020759820938110352, + 0.040495000000000003, + 0.028642000000000001, + 216488, + 216488, + 0.0, + 0.0, + 1729926932.8466339, + 11214457022666688 + ], + "sub": [ + { + "stat": [ + "doParsingAndDesignResolution", + "entry", + 0.15715885162353516, + 0.048363000000000003, + 0.043428000000000001, + 276604, + 277404, + 0.0, + 0.0, + 1729926932.9830329, + 11214457377270524 + ], + "sub": [] + }, + { + "stat": [ + "doParsingAndDesignResolution", + "exit", + 1.2490479946136475, + 1.09816, + 0.084857000000000002, + 317052, + 317700, + 0.0, + 0.0, + 1729926934.0749221, + 11214460216269202 + ], + "sub": [] + }, + { + "stat": [ + "doPostDesignResolutionToVir2Vcs", + "entry", + 1.2752327919006348, + 1.1243300000000001, + 0.084873000000000004, + 317052, + 317700, + 0.0, + 0.0, + 1729926934.1011069, + 11214460284295048 + ], + "sub": [ + { + "stat": [ + "doUptoVir2VcsNoSepCleanup", + "entry", + 1.8000190258026123, + 1.645086, + 0.088896000000000003, + 318308, + 318312, + 0.0, + 0.0, + 1729926934.6258931, + 11214461648758378 + ], + "sub": [] + }, + { + "stat": [ + "doUptoVir2VcsNoSepCleanup", + "exit", + 3.2844889163970947, + 3.0632570000000001, + 0.11797100000000001, + 326580, + 342024, + 0.0051050000000000002, + 0.031303999999999998, + 1729926936.110363, + 11214465508426866 + ], + "sub": [] + }, + { + "stat": [ + "doRadify_vir2vcsAll", + "entry", + 3.2845649719238281, + 3.0633319999999999, + 0.117974, + 326580, + 342024, + 0.0051050000000000002, + 0.031303999999999998, + 1729926936.1104391, + 11214465508542526 + ], + "sub": [] + }, + { + "stat": [ + "doRadify_vir2vcsAll", + "exit", + 3.4462788105010986, + 3.2170570000000001, + 0.12596299999999999, + 348532, + 348532, + 0.0051050000000000002, + 0.031303999999999998, + 1729926936.2721529, + 11214465929203040 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "doPostDesignResolutionToVir2Vcs", + "exit", + 3.4463768005371094, + 3.2171530000000002, + 0.12596599999999999, + 348532, + 348532, + 0.0051050000000000002, + 0.031303999999999998, + 1729926936.2722509, + 11214465929255946 + ], + "sub": [] + }, + { + "stat": [ + "doGAToPass2", + "entry", + 3.4463930130004883, + 3.217168, + 0.125967, + 348532, + 348532, + 0.0051050000000000002, + 0.031303999999999998, + 1729926936.2722671, + 11214465929287204 + ], + "sub": [ + { + "stat": [ + "DoPass2", + "entry", + 3.5035908222198486, + 3.233241, + 0.128969, + 341524, + 348532, + 0.022653, + 0.053351000000000003, + 1729926936.3294649, + 11214466078112876 + ], + "sub": [] + }, + { + "stat": [ + "DoPass2", + "exit", + 4.4550759792327881, + 4.149381, + 0.15997600000000001, + 348772, + 348800, + 0.024806999999999999, + 0.055504999999999999, + 1729926937.2809501, + 11214468551923492 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "doGAToPass2", + "exit", + 4.4631209373474121, + 4.1564249999999996, + 0.16097700000000001, + 348772, + 348800, + 0.024806999999999999, + 0.055504999999999999, + 1729926937.288995, + 11214468572853696 + ], + "sub": [] + } + ] + }, + { + "stat": [ + "main", + "exit", + 4.4645259380340576, + 4.1578140000000001, + 0.160992, + 348764, + 348800, + 0.024806999999999999, + 0.055504999999999999, + 1729926937.2904, + 11214468576474850 + ], + "sub": [] + } + ], + "PrevCompiledModules": { + "nco_dpi": { + "KuVdP_d": { + "bytes": 23506, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "nco_dpi", + "checksum": 0, + "out": "KuVdP_d.o" + } + }, + "uvm_pkg": { + "zr7M1_d": { + "bytes": 7318030, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "uvm_pkg", + "checksum": 0, + "out": "zr7M1_d.o" + } + }, + "nco_dpi_pkg": { + "qx3Yi_d": { + "bytes": 11842, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "nco_dpi_pkg", + "checksum": 0, + "out": "qx3Yi_d.o" + } + }, + "uvm_custom_install_verdi_recording": { + "EbjT3_d": { + "bytes": 1601500, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "uvm_custom_install_verdi_recording", + "out": "EbjT3_d.o", + "checksum": 0 + } + }, + "_vcs_DPI_package": { + "uM9F1_d": { + "bytes": 22570, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "_vcs_DPI_package", + "checksum": 0, + "out": "uM9F1_d.o" + } + }, + "vcs_paramclassrepository": { + "hEeZs_d": { + "bytes": 5816624, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "vcs_paramclassrepository", + "checksum": 0, + "out": "hEeZs_d.o" + } + }, + "tb": { + "g7hgQ_d": { + "bytes": 29686, + "archive": "archive.16/_37171_archive_1.a", + "mode": 4, + "mod": "tb", + "out": "g7hgQ_d.o", + "checksum": 0 + } + }, + "std": { + "reYIK_d": { + "bytes": 48136, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "std", + "checksum": 0, + "out": "reYIK_d.o" + } + }, + "_vcs_unit__938414897": { + "HRPn9_d": { + "bytes": 15198, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "_vcs_unit__938414897", + "checksum": 0, + "out": "HRPn9_d.o" + } + }, + "uvm_custom_install_recording": { + "nhiwz_d": { + "bytes": 546340, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "uvm_custom_install_recording", + "checksum": 0, + "out": "nhiwz_d.o" + } + }, + "...MASTER...": { + "amcQw_d": { + "bytes": 9888, + "mode": 4, + "mod": "...MASTER...", + "checksum": 0, + "out": "objs/amcQw_d.o" + } + }, + "_vcs_msglog": { + "zQBzV_d": { + "bytes": 11386, + "archive": "archive.16/_prev_archive_1.a", + "mode": 4, + "mod": "_vcs_msglog", + "checksum": 0, + "out": "zQBzV_d.o" + } + } + }, + "cycles_program_begin": 11214457022444904, + "CompileProcesses": [ + "cgproc.37171.json" + ], + "Misc": { + "csrc": "csrc", + "default_output_dir": "csrc", + "vcs_version": "O-2018.09-SP2_Full64", + "vcs_build_date": "Build Date = Feb 28 2019 22:34:30", + "hostname": "cryo1", + "VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2", + "cwd": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco", + "master_pid": 37171, + "archive_dir": "archive.16", + "csrc_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/csrc", + "daidir": "simv.daidir", + "daidir_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/simv.daidir" + }, + "MlibObjs": {}, + "CompileStrategy": "fullobj", + "NameTable": { + "_vcs_DPI_package": [ + "_vcs_DPI_package", + "uM9F1", + "module", + 1 + ], + "vcs_paramclassrepository": [ + "vcs_paramclassrepository", + "hEeZs", + "module", + 2 + ], + "_vcs_unit__938414897": [ + "_vcs_unit__938414897", + "HRPn9", + "module", + 3 + ], + "uvm_custom_install_recording": [ + "uvm_custom_install_recording", + "nhiwz", + "module", + 7 + ], + "std": [ + "std", + "reYIK", + "module", + 4 + ], + "nco_dpi": [ + "nco_dpi", + "KuVdP", + "module", + 10 + ], + "nco_dpi_pkg": [ + "nco_dpi_pkg", + "qx3Yi", + "module", + 9 + ], + "uvm_pkg": [ + "uvm_pkg", + "zr7M1", + "module", + 5 + ], + "...MASTER...": [ + "SIM", + "amcQw", + "module", + 12 + ], + "_vcs_msglog": [ + "_vcs_msglog", + "zQBzV", + "module", + 6 + ], + "uvm_custom_install_verdi_recording": [ + "uvm_custom_install_verdi_recording", + "EbjT3", + "module", + 8 + ], + "tb": [ + "tb", + "g7hgQ", + "module", + 11 + ] + }, + "cpu_cycles_pass2_start": 11214466078137298, + "stat": { + "ru_self_cgstart": { + "ru_nivcsw": 60, + "ru_minflt": 54330, + "ru_utime_sec": 3.233241, + "ru_stime_sec": 0.12906799999999999, + "ru_nvcsw": 55, + "ru_maxrss_kb": 147296, + "ru_majflt": 0 + }, + "ru_childs_cgstart": { + "ru_nivcsw": 23, + "ru_minflt": 10654, + "ru_utime_sec": 0.022653, + "ru_stime_sec": 0.053351000000000003, + "ru_nvcsw": 23, + "ru_maxrss_kb": 85004, + "ru_majflt": 0 + }, + "cpu_cycles_total": 11554122294, + "ru_childs_end": { + "ru_nivcsw": 25, + "ru_minflt": 11248, + "ru_utime_sec": 0.024806999999999999, + "ru_stime_sec": 0.055504999999999999, + "ru_nvcsw": 24, + "ru_maxrss_kb": 85380, + "ru_majflt": 0 + }, + "cpu_cycles_cgstart": 11214466078287532, + "ru_self_end": { + "ru_nivcsw": 63, + "ru_minflt": 71466, + "ru_utime_sec": 4.1578619999999997, + "ru_stime_sec": 0.160994, + "ru_nvcsw": 56, + "ru_maxrss_kb": 153612, + "ru_majflt": 0 + }, + "nQuads": 136042, + "CodeGen(%)": 22.157502781118939, + "nMops": 420651, + "outputSizePerQuad": 3.0558503991414416, + "totalObjSize": 415724, + "cpu_cycles_end": 11214468576567198, + "mopSpeed": 453886.86574411282, + "quadSpeed": 146790.75287960944, + "mop/quad": 3.0920671557313182, + "Frontend(%)": 77.842497218881064, + "peak_mem_kb": 348800, + "realTime": 4.4645998477935791 + }, + "CurCompileUdps": {}, + "incremental": "on", + "SIMBData": { + "out": "amcQwB.o", + "bytes": 386038, + "text": 0, + "archive": "archive.16/_37171_archive_1.a" + }, + "CurCompileModules": [ + "...MASTER...", + "vcs_paramclassrepository", + "_vcs_DPI_package", + "_vcs_unit__938414897", + "std", + "uvm_pkg", + "_vcs_msglog", + "uvm_custom_install_recording", + "uvm_custom_install_verdi_recording", + "nco_dpi_pkg", + "nco_dpi", + "tb", + "tb" + ], + "LVLData": [ + "SIM" + ], + "PEModules": [], + "rlimit": { + "stack": -1, + "data": -1 + }, + "CompileStatus": "Successful" +} \ No newline at end of file diff --git a/nco_dpi/codegen/dll/nco/csrc/cgproc.37171.json b/nco_dpi/codegen/dll/nco/csrc/cgproc.37171.json new file mode 100644 index 0000000..e9a6d29 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/cgproc.37171.json @@ -0,0 +1,3025 @@ +{ + "CompUnits": { + "g7hgQ_d": { + "archive": "archive.16/_37171_archive_1.a", + "mode": 4, + "mod": "tb", + "out": "g7hgQ_d.o", + "bytes": 29686, + "text": 9947, + "checksum": 0 + } + }, + "Modules": { + "...MASTER...": { + "start_perf": [ + 3.5037598609924316, + 3.233241, + 0.129138, + 341524, + 348532, + 1729926936.329634, + 11214466078462968 + ], + "child_modules": { + "uvm_pkg": 1, + "nco_dpi_pkg": 1, + "uvm_custom_install_verdi_recording": 1, + "_vcs_DPI_package": 1, + "vcs_paramclassrepository": 1, + "tb": 1, + "std": 1, + "_vcs_unit__938414897": 1, + "uvm_custom_install_recording": 1, + "_vcs_msglog": 1 + }, + "nRouts": 5, + "end_perf": [ + 3.5149219036102295, + 3.2352720000000001, + 0.133969, + 341536, + 348532, + 11214466107718732, + 0, + 0 + ], + "nMops": 0, + "nQuads": 0 + }, + "_vcs_msglog": { + "Compiled": "Yes", + "start_perf": [ + 4.3017518520355225, + 4.0060710000000004, + 0.14999100000000001, + 346824, + 348532, + 1729926937.1276259, + 11214468153270834 + ], + "child_modules": {}, + "nRouts": 9, + "end_perf": [ + 4.3031048774719238, + 4.0064359999999999, + 0.150978, + 346824, + 348532, + 11214468156794912, + 17179869185, + 0 + ], + "Compiled Times": 17, + "nMops": 34, + "nQuads": 23 + }, + "vcs_paramclassrepository": { + "Compiled": "Yes", + "start_perf": [ + 3.5151638984680176, + 3.2355049999999999, + 0.13397899999999999, + 341536, + 348532, + 1729926936.341038, + 11214466108208332 + ], + "child_modules": {}, + "end_perf": [ + 3.8002560138702393, + 3.5155829999999999, + 0.138983, + 341548, + 348532, + 11214466849419870, + 42949672961, + 0 + ], + "nRouts": 2041, + "Compiled Times": 17, + "svclass": [ + "std_mailbox_36951920133088784204_34", + 0, + 136, + 9, + 9, + 0, + "std_mailbox_2190068584822684823_31", + 0, + 136, + 9, + 9, + 0, + "std_mailbox_11784808032147832686_39", + 0, + 136, + 9, + 9, + 0, + "uvm_pkg_uvm_visitor_18580828213016376278_35", + 0, + 115, + 5, + 5, + 12884935295, + "uvm_pkg_uvm_component_name_check_visitor_11_0", + 0, + 428, + 7, + 7, + 12884935508, + "uvm_pkg_uvm_resource_db_17002614941379949671_32", + 0, + 1363, + 18, + 18, + 12884910285, + "uvm_pkg_uvm_resource_db_25863622094079981516_23", + 0, + 596, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_1056094291977123570_7", + 0, + 602, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_27000910942830678221_40", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_3733140680830918994_39", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_40194292771222167562_12", + 0, + 400, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_11265387052000028149_4", + 0, + 413, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_20178826502325633761_4", + 0, + 596, + 16, + 16, + 12884910285, + "uvm_pkg_uvm_resource_db_2720976050237557357_47", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_resource_db_30894295071101572826_35", + 0, + 0, + 0, + 0, + 12884910285, + "uvm_pkg_uvm_config_db_17002614941379949671_32", + 0, + 806, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_25863622094079981516_23", + 0, + 1042, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_1056094291977123570_7", + 0, + 1041, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_27000910942830678221_40", + 0, + 918, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_3733140680830918994_39", + 0, + 292, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_20178826502325633761_4", + 0, + 418, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_config_db_2720976050237557357_47", + 0, + 806, + 6, + 6, + 12884910702, + "uvm_pkg_uvm_pool_32868110861242157583_61", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_42371590912437262221_40", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_30886983041795320974_58", + 0, + 796, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_9945807051447260144_52", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_11732178212485972888_36", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_42834055751402745537_69", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_28656355422713151376_11", + 0, + 846, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2192879401607439242_68", + 0, + 1014, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2223740478782874312_68", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_19409840873840241338_85", + 0, + 833, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_2293449267561664548_67", + 0, + 804, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_35087391001878650323_33", + 0, + 804, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_13696848301000834817_33", + 0, + 538, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_pool_16650238291282474324_76", + 0, + 825, + 19, + 19, + 12884905445, + "uvm_pkg_uvm_object_string_pool_38085949473833222917_33", + 0, + 310, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_4130898749599190909_51", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_2759974267363547312_60", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_object_string_pool_22720307942187480989_26", + 0, + 398, + 10, + 10, + 12884905658, + "uvm_pkg_uvm_event_17002614941379949671_32", + 0, + 986, + 14, + 14, + 12884916750, + "uvm_pkg_uvm_queue_10131729133184263146_34", + 0, + 1387, + 18, + 18, + 12884905797, + "uvm_pkg_uvm_queue_12401831152739582252_34", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_22079939174174364057_39", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_31897947402315526169_41", + 0, + 0, + 0, + 0, + 12884905797, + "uvm_pkg_uvm_queue_1056094291977123570_7", + 0, + 721, + 18, + 18, + 12884905797, + "uvm_pkg_uvm_component_registry_6006117703813170688_115", + 0, + 888, + 11, + 11, + 12884907812, + "uvm_pkg_uvm_component_registry_5812522081072586346_105", + 0, + 0, + 0, + 0, + 12884907812, + "uvm_pkg_uvm_object_registry_213639362871615971_67", + 0, + 935, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_4097393981196760851_50", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2512958503635835114_81", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_33331550721853239193_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_5504462422480961701_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_24568272453111598808_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_3123479053724517434_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_36654213551487052203_87", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_146982615943691968_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_4533731593768468770_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_21048711651200398669_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_6469859573939592844_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2560846769148885325_99", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1906819324266042653_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38038377671621704812_71", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_3002397424346383469_47", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_747914060163035432_74", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_27138642393390246789_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_29344154181922724425_75", + 0, + 575, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_28767600201035024889_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_2164067094159664132_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1347888261580838246_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_30483053094059138737_73", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14439487293049952778_53", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_13870511283927333234_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1803450658736655051_140", + 0, + 561, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_20195001883255690309_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1837333109238589200_49", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_6547918343632128737_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_4364088662927209418_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14191343452413913236_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_342307119856880728_71", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_12899728703437824960_130", + 0, + 561, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_27002261902274500827_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_5609186164052521641_69", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_15399770231763244496_55", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_273851227787825907_61", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1116471086386374402_47", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_17692521782985197028_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38910011271399345106_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_37873060981902812299_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_433731176719709738_51", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_38280531571839998128_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_1348953888709792696_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_36353121283939136215_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_14423394572653327039_73", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_13218283181657985668_63", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_28828704562697250440_65", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_object_registry_31367509471821760984_73", + 0, + 575, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_2120333925708090850_59", + 0, + 0, + 0, + 0, + 12884907950, + "uvm_pkg_uvm_spell_chkr_29865849301974608402_58", + 0, + 1212, + 6, + 6, + 12884908175, + "uvm_pkg_uvm_resource_17002614941379949671_32", + 0, + 1547, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_25863622094079981516_23", + 0, + 1162, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_1056094291977123570_7", + 0, + 1168, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_27000910942830678221_40", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_3733140680830918994_39", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_40194292771222167562_12", + 0, + 755, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_32657157613078840017_21", + 0, + 784, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_20178826502325633761_4", + 0, + 1020, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_27061203961639428043_15", + 0, + 771, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_40973394903465487470_45", + 0, + 798, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_resource_2720976050237557357_47", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_30894295071101572826_35", + 0, + 0, + 0, + 0, + 12884909729, + "uvm_pkg_uvm_resource_11265387052000028149_4", + 0, + 890, + 15, + 15, + 12884909729, + "uvm_pkg_uvm_int_rsrc_11_0", + 0, + 156, + 5, + 5, + 12884910127, + "uvm_pkg_uvm_string_rsrc_11_0", + 0, + 133, + 5, + 5, + 12884910150, + "uvm_pkg_uvm_obj_rsrc_11_0", + 0, + 95, + 4, + 4, + 12884910171, + "uvm_pkg_uvm_set_get_dap_base_1056094291977123570_7", + 0, + 149, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_42077948452638614245_35", + 0, + 139, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_25072624061353900623_41", + 0, + 0, + 0, + 0, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_11265387052000028149_4", + 0, + 139, + 6, + 6, + 12884935620, + "uvm_pkg_uvm_set_get_dap_base_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884935620, + "uvm_pkg_uvm_simple_lock_dap_1056094291977123570_7", + 0, + 911, + 19, + 19, + 12884935709, + "uvm_pkg_uvm_set_before_get_dap_42077948452638614245_35", + 0, + 959, + 16, + 16, + 12884936080, + "uvm_pkg_uvm_set_before_get_dap_25072624061353900623_41", + 0, + 0, + 0, + 0, + 12884936080, + "uvm_pkg_uvm_event_callback_17002614941379949671_32", + 0, + 114, + 5, + 5, + 12884916436, + "uvm_pkg_uvm_typeid_12401831152739582252_34", + 0, + 159, + 3, + 3, + 12884917294, + "uvm_pkg_uvm_typeid_26457283571261833951_39", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3011313423768043667_40", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_1674154142423747761_34", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_9836671432282947766_35", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_1084049419402313263_44", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_11704699723925447941_44", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_34134683632350250451_29", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3401845221661803279_33", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_7929638273969762994_38", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_36840009753431794161_29", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_3836623090295522255_35", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_33180307611796608036_36", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_12470599392681938761_40", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_28427035364151357801_30", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typeid_19044983891253896198_34", + 0, + 0, + 0, + 0, + 12884917294, + "uvm_pkg_uvm_typed_callbacks_26457283571261833951_39", + 0, + 3316, + 10, + 10, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_2190068584822684823_31", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_9836671432282947766_35", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_34134683632350250451_29", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_7929638273969762994_38", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_36840009753431794161_29", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_3836623090295522255_35", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_33180307611796608036_36", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_typed_callbacks_28427035364151357801_30", + 0, + 0, + 0, + 0, + 12884917408, + "uvm_pkg_uvm_callbacks_798380094140731869_79", + 0, + 4137, + 17, + 17, + 12884917699, + "uvm_pkg_uvm_callbacks_3760896427231740967_73", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_760582431947678203_65", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_7829379421284599473_65", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_29816384132329754774_79", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_4125919662173130704_69", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_30350083383744950025_79", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_19226769593172006443_62", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_2406280077311694533_63", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_25874056332755742618_71", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_3453533772665706993_72", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_16157689474253550089_62", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_5019175871376577767_63", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_19000355451864305105_68", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_1537919828403250345_69", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_8485508411680905163_76", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_28796025763716974123_70", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_5292868251138386083_64", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callbacks_6692293671863204093_64", + 0, + 0, + 0, + 0, + 12884917699, + "uvm_pkg_uvm_callback_iter_798380094140731869_79", + 0, + 536, + 9, + 9, + 12884918279, + "uvm_pkg_uvm_callback_iter_19226769593172006443_62", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_25874056332755742618_71", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_16157689474253550089_62", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_19000355451864305105_68", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_8485508411680905163_76", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_5292868251138386083_64", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_29816384132329754774_79", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_callback_iter_760582431947678203_65", + 0, + 0, + 0, + 0, + 12884918279, + "uvm_pkg_uvm_structure_proxy_18580828213016376278_35", + 0, + 86, + 3, + 3, + 12884935338, + "uvm_pkg_uvm_component_proxy_11_0", + 0, + 101, + 3, + 3, + 12884935479, + "uvm_pkg_uvm_visitor_adapter_23438052802547792107_91", + 0, + 78, + 3, + 3, + 12884935357, + "uvm_pkg_uvm_top_down_visitor_adapter_23438052802547792107_91", + 0, + 241, + 3, + 3, + 12884935380, + "uvm_pkg_uvm_enum_wrapper_40973394903465487470_45", + 0, + 96, + 4, + 4, + 12884934699, + "uvm_pkg_uvm_get_to_lock_dap_11265387052000028149_4", + 0, + 838, + 16, + 16, + 12884935890, + "uvm_pkg_uvm_get_to_lock_dap_2190068584822684823_31", + 0, + 936, + 16, + 16, + 12884935890, + "uvm_pkg_uvm_tlm_if_base_24844159852957008461_78", + 0, + 302, + 14, + 14, + 12884936275, + "uvm_pkg_uvm_tlm_if_base_27081641443397297811_68", + 0, + 302, + 14, + 14, + 12884936275, + "uvm_pkg_uvm_sqr_if_base_24844159852957008461_78", + 0, + 257, + 13, + 13, + 12884936486, + "uvm_pkg_uvm_sqr_if_base_27081641443397297811_68", + 0, + 257, + 13, + 13, + 12884936486, + "uvm_pkg_uvm_port_component_34533239451891830907_126", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_23145110241044876076_126", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_2311547681826351055_116", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_component_234753672576675992_116", + 0, + 376, + 10, + 10, + 12884936812, + "uvm_pkg_uvm_port_base_29345012303560527042_103", + 0, + 3109, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_39390923434110787983_103", + 0, + 1936, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_21996234534275348455_93", + 0, + 3109, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_port_base_22000921013756544682_93", + 0, + 1936, + 26, + 26, + 12884936899, + "uvm_pkg_uvm_put_imp_3520587431117154525_105", + 0, + 235, + 6, + 6, + 12884937973, + "uvm_pkg_uvm_put_imp_14494172543974948263_95", + 0, + 235, + 6, + 6, + 12884937973, + "uvm_pkg_uvm_get_peek_imp_3520587431117154525_105", + 0, + 302, + 9, + 9, + 12884938027, + "uvm_pkg_uvm_get_peek_imp_14494172543974948263_95", + 0, + 302, + 9, + 9, + 12884938027, + "uvm_pkg_uvm_analysis_port_11784808032147832686_39", + 0, + 238, + 4, + 4, + 12884938781, + "uvm_pkg_uvm_analysis_port_36951920133088784204_34", + 0, + 238, + 4, + 4, + 12884938781, + "uvm_pkg_uvm_analysis_imp_32194365862697004679_115", + 0, + 210, + 4, + 4, + 12884938843, + "uvm_pkg_uvm_analysis_imp_12888305263488070193_105", + 0, + 210, + 4, + 4, + 12884938843, + "uvm_pkg_uvm_analysis_export_11784808032147832686_39", + 0, + 238, + 4, + 4, + 12884938866, + "uvm_pkg_uvm_analysis_export_36951920133088784204_34", + 0, + 238, + 4, + 4, + 12884938866, + "uvm_pkg_uvm_tlm_fifo_base_11784808032147832686_39", + 0, + 1262, + 20, + 20, + 12884938954, + "uvm_pkg_uvm_tlm_fifo_base_36951920133088784204_34", + 0, + 1264, + 20, + 20, + 12884938954, + "uvm_pkg_uvm_tlm_fifo_11784808032147832686_39", + 0, + 575, + 18, + 18, + 12884939207, + "uvm_pkg_uvm_tlm_fifo_36951920133088784204_34", + 0, + 708, + 20, + 18, + 12884939207, + "uvm_pkg_uvm_seq_item_pull_port_24844159852957008461_78", + 0, + 286, + 14, + 14, + 12884939796, + "uvm_pkg_uvm_seq_item_pull_imp_27764548292519292536_179", + 0, + 393, + 14, + 14, + 12884939831, + "uvm_pkg_uvm_seq_item_pull_imp_26815678913984971942_159", + 0, + 406, + 14, + 14, + 12884939831, + "uvm_pkg_uvm_driver_24844159852957008461_78", + 0, + 115, + 4, + 4, + 12884940887, + "uvm_pkg_uvm_sequencer_analysis_fifo_11784808032147832686_39", + 0, + 190, + 3, + 3, + 12884943694, + "uvm_pkg_uvm_sequencer_analysis_fifo_36951920133088784204_34", + 0, + 190, + 3, + 3, + 12884943694, + "uvm_pkg_uvm_sequencer_param_base_24844159852957008461_78", + 0, + 2037, + 19, + 19, + 12884943743, + "uvm_pkg_uvm_sequencer_param_base_27081641443397297811_68", + 0, + 2102, + 19, + 19, + 12884943743, + "uvm_pkg_uvm_sequencer_24844159852957008461_78", + 0, + 420, + 15, + 15, + 12884944204, + "uvm_pkg_uvm_sequencer_27081641443397297811_68", + 0, + 885, + 21, + 15, + 12884944204, + "uvm_pkg_uvm_sequence_24844159852957008461_78", + 0, + 217, + 7, + 7, + 12884946050, + "uvm_pkg_uvm_sequence_27081641443397297811_68", + 0, + 201, + 7, + 7, + 12884946050, + "uvm_pkg_uvm_random_sequence_11_0", + 0, + 927, + 16, + 13, + 12884947034, + "uvm_pkg_uvm_exhaustive_sequence_11_0", + 0, + 774, + 15, + 12, + 12884947147, + "uvm_pkg_uvm_simple_sequence_11_0", + 0, + 757, + 15, + 8, + 12884947253, + "uvm_pkg_uvm_reg_sequence_30395111473839290680_100", + 0, + 1459, + 34, + 20, + 12884951352, + "uvm_pkg_uvm_reg_sequence_15155903533960952999_90", + 0, + 1459, + 34, + 20, + 12884951352, + "uvm_pkg_uvm_reg_frontdoor_11_0", + 0, + 41, + 2, + 2, + 12884951812, + "uvm_pkg_uvm_reg_indirect_ftdr_seq_11_0", + 0, + 64, + 3, + 3, + 12884959222, + "uvm_pkg_uvm_reg_hw_reset_seq_11_0", + 0, + 1727, + 12, + 12, + 12884969968, + "uvm_pkg_uvm_reg_single_bit_bash_seq_11_0", + 0, + 1984, + 11, + 11, + 12884970176, + "uvm_pkg_uvm_reg_bit_bash_seq_11_0", + 0, + 1360, + 23, + 12, + 12884970332, + "uvm_pkg_uvm_mem_single_walk_seq_11_0", + 0, + 2524, + 10, + 10, + 12884970494, + "uvm_pkg_uvm_mem_walk_seq_11_0", + 0, + 1517, + 23, + 12, + 12884970631, + "uvm_pkg_uvm_mem_single_access_seq_11_0", + 0, + 2647, + 20, + 10, + 12884970786, + "uvm_pkg_uvm_mem_access_seq_11_0", + 0, + 1806, + 23, + 12, + 12884970931, + "uvm_pkg_uvm_reg_single_access_seq_11_0", + 0, + 2340, + 17, + 10, + 12884971108, + "uvm_pkg_uvm_reg_access_seq_11_0", + 0, + 1651, + 23, + 12, + 12884971265, + "uvm_pkg_uvm_reg_mem_access_seq_11_0", + 0, + 1023, + 18, + 11, + 12884971388, + "uvm_pkg_uvm_reg_shared_access_seq_11_0", + 0, + 2577, + 10, + 10, + 12884971495, + "uvm_pkg_uvm_mem_shared_access_seq_11_0", + 0, + 2492, + 10, + 10, + 12884971644, + "uvm_pkg_uvm_reg_mem_shared_access_seq_11_0", + 0, + 2231, + 27, + 12, + 12884971792, + "uvm_pkg_uvm_reg_mem_built_in_seq_11_0", + 0, + 2761, + 33, + 10, + 12884971957, + "uvm_pkg_uvm_reg_mem_hdl_paths_seq_11_0", + 0, + 4974, + 14, + 14, + 12884972115 + ], + "nQuads": 38864, + "nMops": 121839 + }, + "_vcs_DPI_package": { + "Compiled": "Yes", + "start_perf": [ + 3.8005199432373047, + 3.515838, + 0.13899300000000001, + 341548, + 348532, + 1729926936.626394, + 11214466850054836 + ], + "child_modules": {}, + "nRouts": 13, + "end_perf": [ + 3.8023049831390381, + 3.5176229999999999, + 0.13899300000000001, + 341548, + 348532, + 11214466854703842, + 0, + 0 + ], + "Compiled Times": 17, + "nMops": 297, + "nQuads": 151 + }, + "_vcs_unit__938414897": { + "Compiled": "Yes", + "start_perf": [ + 3.8023369312286377, + 3.5176560000000001, + 0.13899300000000001, + 341548, + 348532, + 1729926936.628211, + 11214466854761208 + ], + "child_modules": {}, + "nRouts": 9, + "end_perf": [ + 3.8033099174499512, + 3.5176560000000001, + 0.13996500000000001, + 341548, + 348532, + 11214466857323714, + 4294967297, + 0 + ], + "Compiled Times": 17, + "nMops": 49, + "nQuads": 32 + }, + "uvm_custom_install_recording": { + "Compiled": "Yes", + "start_perf": [ + 4.3031380176544189, + 4.0064679999999999, + 0.150979, + 346824, + 348532, + 1729926937.1290121, + 11214468156852306 + ], + "child_modules": {}, + "end_perf": [ + 4.3300487995147705, + 4.0323830000000003, + 0.151976, + 346824, + 348532, + 11214468226863238, + 21474836481, + 0 + ], + "nRouts": 192, + "Compiled Times": 17, + "svclass": [ + "uvm_vcs_recorder", + 0, + 1422, + 28, + 28, + 21474836856, + "uvm_vcs_tr_database", + 0, + 1084, + 16, + 16, + 21474836724, + "uvm_vcs_tr_stream", + 0, + 1235, + 14, + 14, + 21474836588, + "msglog_msgname", + 0, + 107, + 2, + 2, + 21474837191, + "msglog", + 0, + 1626, + 11, + 11, + 21474837227, + "vcs_smartlog_catcher", + 0, + 767, + 4, + 4, + 21474837377, + "uvm_pkg_uvm_object_registry_245147496162194765_101", + 0, + 779, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_37055773542958269253_107", + 0, + 574, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_32487807434073842908_103", + 0, + 779, + 11, + 11, + 12884907950 + ], + "nQuads": 3973, + "nMops": 11740 + }, + "std": { + "Compiled": "Yes", + "start_perf": [ + 3.8033440113067627, + 3.5176690000000002, + 0.139986, + 341548, + 348532, + 1729926936.6292181, + 11214466857385164 + ], + "child_modules": {}, + "end_perf": [ + 3.8056008815765381, + 3.5199160000000003, + 0.13999600000000001, + 341548, + 348532, + 11214466863271906, + 8589934594, + 0 + ], + "nRouts": 34, + "Compiled Times": 17, + "svclass": [ + "$vcs_nba_dyn_obj", + 0, + 35, + 2, + 2, + 0, + "sigprop$$", + 0, + 35, + 2, + 2, + 0, + "process", + 0, + 200, + 8, + 8, + 0, + "event", + 0, + 34, + 2, + 2, + 0, + "mailbox", + 0, + 140, + 9, + 9, + 0, + "semaphore", + 0, + 84, + 5, + 5, + 0 + ], + "nQuads": 224, + "nMops": 543 + }, + "nco_dpi": { + "Compiled": "Yes", + "start_perf": [ + 4.4392979145050049, + 4.1386240000000001, + 0.15498500000000001, + 346824, + 348532, + 1729926937.265172, + 11214468510874222 + ], + "child_modules": {}, + "nRouts": 50, + "end_perf": [ + 4.4416170120239258, + 4.1409310000000001, + 0.154997, + 346824, + 348532, + 11214468516988634, + 34359738369, + 0 + ], + "Compiled Times": 17, + "nMops": 552, + "nQuads": 279 + }, + "nco_dpi_pkg": { + "Compiled": "Yes", + "start_perf": [ + 4.4380378723144531, + 4.1383720000000004, + 0.153976, + 346824, + 348532, + 1729926937.263912, + 11214468507600332 + ], + "child_modules": {}, + "nRouts": 7, + "end_perf": [ + 4.4392650127410889, + 4.1385909999999999, + 0.15498400000000001, + 346824, + 348532, + 11214468510816182, + 30064771073, + 0 + ], + "Compiled Times": 17, + "nMops": 125, + "nQuads": 93 + }, + "uvm_pkg": { + "Compiled": "Yes", + "start_perf": [ + 3.8056588172912598, + 3.5199739999999999, + 0.13999600000000001, + 341548, + 348532, + 1729926936.6315329, + 11214466863417544 + ], + "child_modules": {}, + "end_perf": [ + 4.301455020904541, + 4.0057729999999996, + 0.14999100000000001, + 346824, + 348532, + 11214468152555216, + 12884901889, + 0 + ], + "nRouts": 3144, + "Compiled Times": 17, + "svclass": [ + "vcs_type_T_29780162601987252642_63_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_Blasted_uvm_reg_bus_op", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_reg_bus_op", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_hdl_path_slice", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_cmdline_parsed_arg_t", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_m_verbosity_setting", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_uvm_printer_row_info", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_Blasted_access_t", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_access_t", + 0, + 0, + 0, + 0, + 0, + "uvm_cmdline_processor", + 0, + 2238, + 12, + 12, + 12884934849, + "uvm_factory", + 0, + 418, + 18, + 18, + 12884906044, + "uvm_default_factory", + 0, + 11510, + 21, + 21, + 12884906299, + "uvm_report_server", + 0, + 1003, + 23, + 23, + 12884920149, + "uvm_default_report_server", + 0, + 4786, + 30, + 30, + 12884920346, + "uvm_root", + 0, + 9239, + 48, + 31, + 12884929021, + "uvm_component", + 0, + 15411, + 152, + 125, + 12884927287, + "uvm_tr_database", + 0, + 1066, + 13, + 13, + 12884914186, + "uvm_text_tr_database", + 0, + 986, + 14, + 14, + 12884914484, + "uvm_default_coreservice_t", + 0, + 802, + 11, + 11, + 12884902431, + "uvm_coreservice_t", + 0, + 344, + 12, + 12, + 12884902358, + "uvm_printer", + 0, + 3178, + 21, + 21, + 12884911131, + "uvm_table_printer", + 0, + 1457, + 4, + 4, + 12884911366, + "uvm_tree_printer", + 0, + 1103, + 3, + 3, + 12884911415, + "uvm_line_printer", + 0, + 116, + 2, + 2, + 12884911447, + "uvm_comparer", + 0, + 1901, + 11, + 11, + 12884912325, + "uvm_packer", + 0, + 3700, + 37, + 37, + 12884912755, + "uvm_recorder", + 0, + 2704, + 41, + 41, + 12884915294, + "uvm_void", + 0, + 41, + 2, + 2, + 12884903278, + "uvm_object", + 0, + 3553, + 41, + 41, + 12884904112, + "uvm_scope_stack", + 0, + 1036, + 13, + 13, + 12884903302, + "uvm_status_container", + 0, + 707, + 6, + 6, + 12884903445, + "uvm_seed_map", + 0, + 41, + 2, + 2, + 12884903583, + "uvm_report_object", + 0, + 1367, + 41, + 41, + 12884921955, + "uvm_object_wrapper", + 0, + 146, + 6, + 6, + 12884906779, + "uvm_objection", + 0, + 8023, + 70, + 37, + 12884932450, + "uvm_barrier", + 0, + 1048, + 15, + 15, + 12884916949, + "uvm_factory_override", + 0, + 308, + 2, + 2, + 12884906822, + "uvm_factory_queue_class", + 0, + 41, + 2, + 2, + 12884906001, + "uvm_resource_base", + 0, + 1207, + 18, + 18, + 12884908552, + "uvm_resource_types", + 0, + 36, + 2, + 2, + 12884908464, + "uvm_resource_options", + 0, + 160, + 6, + 6, + 12884908511, + "get_t", + 0, + 41, + 2, + 2, + 12884908942, + "uvm_resource_pool", + 0, + 3807, + 27, + 27, + 12884909010, + "uvm_resource_db_options", + 0, + 278, + 6, + 6, + 12884910580, + "uvm_phase", + 0, + 18582, + 205, + 63, + 12884923469, + "m_uvm_waiter", + 0, + 91, + 2, + 2, + 12884910675, + "uvm_config_db_options", + 0, + 278, + 6, + 6, + 12884910997, + "uvm_printer_knobs", + 0, + 1010, + 3, + 3, + 12884911473, + "uvm_link_base", + 0, + 305, + 11, + 11, + 12884913815, + "uvm_parent_child_link", + 0, + 711, + 14, + 14, + 12884913897, + "uvm_cause_effect_link", + 0, + 667, + 14, + 14, + 12884913976, + "uvm_related_link", + 0, + 711, + 14, + 14, + 12884914055, + "uvm_tr_stream", + 0, + 1390, + 21, + 21, + 12884914708, + "uvm_text_tr_stream", + 0, + 680, + 13, + 13, + 12884915168, + "m_uvm_tr_stream_cfg", + 0, + 41, + 2, + 2, + 12884914685, + "uvm_text_recorder", + 0, + 1947, + 30, + 30, + 12884915980, + "uvm_report_message", + 0, + 3071, + 44, + 44, + 12884918919, + "uvm_event_base", + 0, + 1147, + 22, + 16, + 12884916524, + "uvm_callback", + 0, + 436, + 7, + 7, + 12884918382, + "uvm_callbacks_base", + 0, + 844, + 11, + 11, + 12884917320, + "uvm_typeid_base", + 0, + 41, + 2, + 2, + 12884917280, + "uvm_report_handler", + 0, + 5065, + 32, + 32, + 12884921127, + "uvm_report_message_element_base", + 0, + 362, + 14, + 14, + 12884918485, + "uvm_report_message_int_element", + 0, + 177, + 8, + 8, + 12884918555, + "uvm_report_message_string_element", + 0, + 175, + 8, + 8, + 12884918621, + "uvm_report_message_object_element", + 0, + 170, + 8, + 8, + 12884918675, + "uvm_report_message_element_container", + 0, + 1166, + 19, + 19, + 12884918731, + "uvm_report_catcher", + 0, + 2943, + 37, + 37, + 12884919496, + "sev_id_struct", + 0, + 36, + 2, + 2, + 12884919421, + "uvm_env", + 0, + 122, + 4, + 4, + 12884941262, + "uvm_transaction", + 0, + 3039, + 26, + 26, + 12884922661, + "uvm_test_done_objection", + 0, + 2124, + 56, + 15, + 12884933512, + "uvm_sequencer_base", + 0, + 14620, + 127, + 59, + 12884941935, + "uvm_domain", + 0, + 2010, + 9, + 9, + 12884925660, + "uvm_task_phase", + 0, + 979, + 11, + 5, + 12884926106, + "uvm_phase_cb", + 0, + 79, + 3, + 3, + 12884924065, + "uvm_phase_state_change", + 0, + 496, + 12, + 12, + 12884924009, + "uvm_build_phase", + 0, + 279, + 6, + 6, + 12884926275, + "uvm_connect_phase", + 0, + 279, + 6, + 6, + 12884926321, + "uvm_end_of_elaboration_phase", + 0, + 279, + 6, + 6, + 12884926363, + "uvm_start_of_simulation_phase", + 0, + 279, + 6, + 6, + 12884926410, + "uvm_run_phase", + 0, + 276, + 7, + 6, + 12884926479, + "uvm_extract_phase", + 0, + 277, + 6, + 6, + 12884926525, + "uvm_check_phase", + 0, + 277, + 6, + 6, + 12884926563, + "uvm_report_phase", + 0, + 277, + 6, + 6, + 12884926602, + "uvm_final_phase", + 0, + 277, + 6, + 6, + 12884926643, + "uvm_pre_reset_phase", + 0, + 276, + 6, + 6, + 12884926740, + "uvm_reset_phase", + 0, + 276, + 6, + 6, + 12884926788, + "uvm_post_reset_phase", + 0, + 276, + 6, + 6, + 12884926829, + "uvm_pre_configure_phase", + 0, + 276, + 6, + 6, + 12884926872, + "uvm_configure_phase", + 0, + 276, + 6, + 6, + 12884926914, + "uvm_post_configure_phase", + 0, + 276, + 6, + 6, + 12884926956, + "uvm_pre_main_phase", + 0, + 276, + 6, + 6, + 12884926995, + "uvm_main_phase", + 0, + 276, + 6, + 6, + 12884927038, + "uvm_post_main_phase", + 0, + 276, + 6, + 6, + 12884927077, + "uvm_pre_shutdown_phase", + 0, + 276, + 6, + 6, + 12884927116, + "uvm_shutdown_phase", + 0, + 276, + 6, + 6, + 12884927158, + "uvm_post_shutdown_phase", + 0, + 276, + 6, + 6, + 12884927201, + "uvm_bottomup_phase", + 0, + 676, + 4, + 4, + 12884925852, + "uvm_topdown_phase", + 0, + 805, + 4, + 4, + 12884925966, + "uvm_sequence_base", + 0, + 6519, + 94, + 61, + 12884944744, + "uvm_sequence_item", + 0, + 2079, + 33, + 33, + 12884941431, + "uvm_port_component_base", + 0, + 240, + 9, + 9, + 12884936754, + "uvm_config_object_wrapper", + 0, + 41, + 2, + 2, + 12884931664, + "uvm_objection_context_object", + 0, + 281, + 3, + 3, + 12884933766, + "uvm_objection_callback", + 0, + 131, + 5, + 5, + 12884933816, + "uvm_objection_events", + 0, + 41, + 2, + 2, + 12884932422, + "uvm_heartbeat_callback", + 0, + 330, + 6, + 6, + 12884934144, + "uvm_heartbeat", + 0, + 288, + 12, + 12, + 12884933907, + "uvm_cmd_line_verb", + 0, + 36, + 2, + 2, + 12884934826, + "uvm_tlm_event", + 0, + 36, + 2, + 2, + 12884938932, + "uvm_monitor", + 0, + 122, + 4, + 4, + 12884940821, + "uvm_scoreboard", + 0, + 122, + 4, + 4, + 12884941069, + "uvm_agent", + 0, + 171, + 6, + 6, + 12884941130, + "uvm_test", + 0, + 122, + 4, + 4, + 12884941347, + "uvm_sequence_request", + 0, + 41, + 2, + 2, + 12884943659, + "uvm_sequence_process_wrapper", + 0, + 41, + 2, + 2, + 12884941921, + "uvm_sequence_library_cfg", + 0, + 537, + 9, + 9, + 12884946536, + "uvm_tlm_time", + 0, + 343, + 12, + 12, + 12884947399, + "uvm_tlm_extension_base", + 0, + 134, + 6, + 6, + 12884948692, + "uvm_tlm_generic_payload", + 0, + 8570, + 49, + 48, + 12884947806, + "uvm_reg_field", + 0, + 3272, + 62, + 61, + 12884952804, + "uvm_vreg_field", + 0, + 1508, + 32, + 32, + 12884954836, + "uvm_reg", + 0, + 4325, + 89, + 89, + 12884955831, + "uvm_reg_file", + 0, + 1434, + 21, + 21, + 12884959619, + "uvm_vreg", + 0, + 2038, + 45, + 45, + 12884961211, + "uvm_reg_block", + 0, + 2954, + 72, + 72, + 12884967646, + "uvm_mem", + 0, + 4125, + 73, + 73, + 12884962750, + "uvm_reg_item", + 0, + 1636, + 12, + 11, + 12884950495, + "uvm_reg_map", + 0, + 12118, + 101, + 63, + 12884965190, + "uvm_reg_map_info", + 0, + 36, + 2, + 2, + 12884965145, + "uvm_reg_adapter", + 0, + 338, + 6, + 6, + 12884950811, + "uvm_reg_indirect_data", + 0, + 473, + 19, + 19, + 12884958979, + "uvm_hdl_path_concat", + 0, + 305, + 5, + 5, + 12884950385, + "uvm_reg_tlm_adapter", + 0, + 1846, + 11, + 11, + 12884950942, + "uvm_predict_s", + 0, + 36, + 2, + 2, + 12884951062, + "uvm_reg_backdoor", + 0, + 821, + 26, + 26, + 12884952414, + "uvm_reg_cbs", + 0, + 226, + 9, + 9, + 12884951889, + "uvm_reg_read_only_cbs", + 0, + 533, + 13, + 13, + 12884952214, + "uvm_reg_write_only_cbs", + 0, + 533, + 13, + 13, + 12884952300, + "uvm_vreg_field_cbs", + 0, + 268, + 6, + 6, + 12884955165, + "uvm_reg_fifo", + 0, + 326, + 14, + 13, + 12884959307, + "uvm_mem_mam_cfg", + 0, + 36, + 3, + 2, + 12884960698, + "uvm_mem_region", + 0, + 424, + 16, + 16, + 12884960412, + "uvm_mem_mam_policy", + 0, + 36, + 3, + 2, + 12884960656, + "uvm_mem_mam", + 0, + 236, + 10, + 10, + 12884960200, + "uvm_vreg_cbs", + 0, + 246, + 6, + 6, + 12884961771, + "uvm_reg_transaction_order_policy", + 0, + 75, + 3, + 3, + 12884965160, + "snps_uvm_reg_bank_group", + 0, + 649, + 17, + 17, + 12884972295, + "snps_uvm_reg_banked", + 0, + 257, + 10, + 10, + 12884972433, + "snps_uvm_reg_bank_set", + 0, + 66, + 3, + 3, + 12884972402, + "snps_uvm_reg_map", + 0, + 489, + 11, + 11, + 12884972683 + ], + "nQuads": 73044, + "nMops": 232439 + }, + "uvm_custom_install_verdi_recording": { + "Compiled": "Yes", + "start_perf": [ + 4.330115795135498, + 4.0324470000000003, + 0.151979, + 346824, + 348532, + 1729926937.1559899, + 11214468226997470 + ], + "child_modules": {}, + "end_perf": [ + 4.4379379749298096, + 4.1382760000000003, + 0.153973, + 346824, + 348532, + 11214468507393580, + 25769803777, + 0 + ], + "nRouts": 621, + "Compiled Times": 17, + "svclass": [ + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_42098745823728729451_7", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_17604091792765680068_12", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_33888099752757866797_48_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_33000166982961514326_48_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "uvm_custom_install_verdi_recording__vcs_type_T_17516288123831238565_54_29057405201454559803_10", + 0, + 0, + 0, + 0, + 0, + "_vcs_struct_rsrc_msg_struct", + 0, + 0, + 0, + 0, + 0, + "uvm_verdi_pli_base", + 0, + 882, + 28, + 28, + 25769803922, + "verdi_cmdline_processor", + 0, + 2781, + 26, + 26, + 25769804151, + "uvm_verdi_recorder", + 0, + 5267, + 24, + 24, + 25769805038, + "uvm_verdi_tr_database", + 0, + 1112, + 12, + 12, + 25769804716, + "uvm_verdi_tr_stream", + 0, + 1595, + 14, + 14, + 25769804478, + "uvm_map_access_recorder", + 0, + 2644, + 11, + 11, + 25769805729, + "verdi_report_catcher", + 0, + 13974, + 19, + 19, + 25769806520, + "uvm_verdi_factory", + 0, + 1323, + 19, + 19, + 25769807814, + "uvm_dhier_component", + 0, + 450, + 7, + 7, + 25769809316, + "uvm_verdi_pli", + 0, + 1459, + 27, + 27, + 25769809449, + "uvm_pkg_uvm_component_registry_234631716908298885_119", + 0, + 533, + 11, + 11, + 12884907812, + "uvm_pkg_uvm_object_registry_27790525464149621041_117", + 0, + 792, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_40988282182517526879_123", + 0, + 586, + 11, + 11, + 12884907950, + "uvm_pkg_uvm_object_registry_10606089621202890939_119", + 0, + 792, + 11, + 11, + 12884907950 + ], + "nQuads": 18527, + "nMops": 50947 + }, + "tb": { + "Compiled": "Yes", + "start_perf": [ + 4.4416728019714355, + 4.1409310000000001, + 0.155053, + 346824, + 348532, + 1729926937.2675469, + 11214468517047992 + ], + "child_modules": { + "nco_dpi": 1 + }, + "nRouts": 64, + "end_perf": [ + 4.4533238410949707, + 4.1486479999999997, + 0.15898599999999999, + 348776, + 348780, + 11214468547380162, + 38654705665, + 0 + ], + "Compiled Times": 17, + "nMops": 2086, + "nQuads": 832 + } + }, + "reusePaths": { + "amcQw_d": { + "icPath": "csrc" + }, + "reYIK_d": { + "icPath": "csrc" + }, + "hEeZs_d": { + "icPath": "csrc" + }, + "uM9F1_d": { + "icPath": "csrc" + }, + "HRPn9_d": { + "icPath": "csrc" + }, + "zr7M1_d": { + "icPath": "csrc" + }, + "nhiwz_d": { + "icPath": "csrc" + }, + "zQBzV_d": { + "icPath": "csrc" + }, + "EbjT3_d": { + "icPath": "csrc" + }, + "qx3Yi_d": { + "icPath": "csrc" + }, + "KuVdP_d": { + "icPath": "csrc" + } + }, + "ObjArchives": [ + { + "archive": "archive.16/_37171_archive_1.a", + "objects": [ + [ + "g7hgQ_d.o", + 29686 + ], + [ + "amcQwB.o", + 386038 + ] + ], + "size": 415724 + } + ], + "stat": { + "ru_self_end": { + "ru_nivcsw": 63, + "ru_minflt": 71461, + "ru_utime_sec": 4.1564899999999998, + "ru_stime_sec": 0.16098000000000001, + "ru_nvcsw": 56, + "ru_maxrss_kb": 153612, + "ru_majflt": 0 + }, + "ru_childs_end": { + "ru_nivcsw": 25, + "ru_minflt": 11248, + "ru_utime_sec": 0.024806999999999999, + "ru_stime_sec": 0.055504999999999999, + "ru_nvcsw": 24, + "ru_maxrss_kb": 85380, + "ru_majflt": 0 + }, + "cpu_cycles_end": 11214468572964964, + "peak_mem_kb": 348800 + } +} \ No newline at end of file diff --git a/nco_dpi/codegen/dll/nco/csrc/checksum b/nco_dpi/codegen/dll/nco/csrc/checksum new file mode 100644 index 0000000000000000000000000000000000000000..37b6d6b9aa01b268fb75d591fa0b0103d659cc3b GIT binary patch literal 262 zcmZ?ENlY(x%u8|3&r8cpFIKQ(00OS~vgG1;mjKWBg2d$P#Pn1JE7f_4x41x}1&Kw8 zxyd<+#l=Ob1^LC9CHX~_3Ra)L+r&V0mF8uZ#K&72TbLM{SXi1XShe%iJ>x1aNl~!c zzj1dhS7}*pd_i`)f|aJw`zCPZCFjSd6l6l|6VW_U2NO}SDxPrYH&;oLg4M!+ HpyONs&~;#} literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco/csrc/cwidincr.db b/nco_dpi/codegen/dll/nco/csrc/cwidincr.db new file mode 100644 index 0000000000000000000000000000000000000000..8ebc9abd4ec249050159f03b735cb333311b290e GIT binary patch literal 298 zcmZwByAA>|3`Ei8&4)ojx1xb=hH bTtMrdb^MaFbbg4y)FKM!Pf_?RHgxy`NIPpf literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist b/nco_dpi/codegen/dll/nco/csrc/filelist new file mode 100644 index 0000000..15adb1d --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist @@ -0,0 +1,39 @@ + + +AR=ar +DOTLIBS=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libzerosoft_rt_stubs.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so + +uvm_dpi.o : uvm_dpi.d /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc + g++ $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc +uvm_dpi.d : /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc + @g++ -MM $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc > uvm_dpi.d +uvm_verdi_dpi.o : uvm_verdi_dpi.d /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + g++ $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp +uvm_verdi_dpi.d : /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + @g++ -MM $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp > uvm_verdi_dpi.d +# This file is automatically generated by VCS. Any changes you make to it +# will be overwritten the next time VCS is run +VCS_LIBEXT= +XTRN_OBJS=uvm_dpi.o uvm_verdi_dpi.o + +DPI_WRAPPER_OBJS = +DPI_STUB_OBJS = +# filelist.dpi will populate DPI_WRAPPER_OBJS and DPI_STUB_OBJS +include filelist.dpi +PLI_STUB_OBJS = +include filelist.pli + +include filelist.hsopt + +include filelist.cu + +VCS_INCR_OBJS= + + +AUGDIR= +AUG_LDFLAGS= +SHARED_OBJ_SO= + + + +VLOG_OBJS=$(XTRN_OBJS) $(VCS_OBJS) $(CU_OBJS) $(VCS_ARC0) $(DPI_WRAPPER_OBJS) $(VCS_INCR_OBJS) $(SHARED_OBJ_SO) $(HSOPT_OBJS) diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.cu b/nco_dpi/codegen/dll/nco/csrc/filelist.cu new file mode 100644 index 0000000..1c8650a --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.cu @@ -0,0 +1,50 @@ +PIC_LD=ld + +ARCHIVE_OBJS= +ARCHIVE_OBJS += _37171_archive_1.so +_37171_archive_1.so : archive.16/_37171_archive_1.a + @$(AR) -s $< + @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_37171_archive_1.so --whole-archive $< --no-whole-archive + @rm -f $@ + @ln -sf .//../simv.daidir//_37171_archive_1.so $@ + + +ARCHIVE_OBJS += _prev_archive_1.so +_prev_archive_1.so : archive.16/_prev_archive_1.a + @$(AR) -s $< + @$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_prev_archive_1.so --whole-archive $< --no-whole-archive + @rm -f $@ + @ln -sf .//../simv.daidir//_prev_archive_1.so $@ + + + +VCS_ARC0 =_csrc0.so + +VCS_OBJS0 =objs/amcQw_d.o + + +O0_OBJS = + +$(O0_OBJS) : %.o: %.c + $(CC_CG) $(CFLAGS_O0) -c -o $@ $< + + +%.o: %.c + $(CC_CG) $(CFLAGS_CG) -c -o $@ $< + +$(VCS_ARC0) : $(VCS_OBJS0) + $(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//$(VCS_ARC0) $(VCS_OBJS0) + rm -f $(VCS_ARC0) + @ln -sf .//../simv.daidir//$(VCS_ARC0) $(VCS_ARC0) + +CU_UDP_OBJS = \ + + +CU_LVL_OBJS = \ +SIM_l.o + +MAIN_OBJS = \ + + +CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(VCS_ARC0) $(CU_UDP_OBJS) $(CU_LVL_OBJS) + diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.dpi b/nco_dpi/codegen/dll/nco/csrc/filelist.dpi new file mode 100644 index 0000000..bc5e8d7 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.dpi @@ -0,0 +1,4 @@ +DPI_STUB_OBJS += ./../simv.daidir/vc_hdrs.o +./../simv.daidir/vc_hdrs.o: ./../simv.daidir/vc_hdrs.c + @$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o ./../simv.daidir/vc_hdrs.o ./../simv.daidir/vc_hdrs.c + @strip -g ./../simv.daidir/vc_hdrs.o diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt new file mode 100644 index 0000000..c5a3e18 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt @@ -0,0 +1,13 @@ +rmapats_mop.o: rmapats.m + @/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/cgmop1 -tls_initexe -dump_profinf -rtloopreport -pic -gen_obj rmapats.m rmapats_mop.o; rm -f rmapats.m; touch rmapats.m; touch rmapats_mop.o + +rmapats.o: rmapats.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmapats.o rmapats.c +rmapats%.o: rmapats%.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $< +rmar.o: rmar.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmar.o rmar.c +rmar%.o: rmar%.c + @$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $< + +include filelist.hsopt.objs diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.llvm2_0.objs b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.llvm2_0.objs new file mode 100644 index 0000000..4c31419 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.llvm2_0.objs @@ -0,0 +1 @@ +LLVM_OBJS += rmar_llvm_0_1.o rmar_llvm_0_0.o diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.objs b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.objs new file mode 100644 index 0000000..f40e57c --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.hsopt.objs @@ -0,0 +1,7 @@ +HSOPT_OBJS +=rmapats_mop.o \ + rmapats.o \ + rmar.o rmar_nd.o + +include filelist.hsopt.llvm2_0.objs +HSOPT_OBJS += $(LLVM_OBJS) + diff --git a/nco_dpi/codegen/dll/nco/csrc/filelist.pli b/nco_dpi/codegen/dll/nco/csrc/filelist.pli new file mode 100644 index 0000000..f943d17 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/filelist.pli @@ -0,0 +1,4 @@ +PLI_STUB_OBJS += _vcs_pli_stub_.o +_vcs_pli_stub_.o: _vcs_pli_stub_.c + @$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o _vcs_pli_stub_.o _vcs_pli_stub_.c + @strip -g _vcs_pli_stub_.o diff --git a/nco_dpi/codegen/dll/nco/csrc/import_dpic.h b/nco_dpi/codegen/dll/nco/csrc/import_dpic.h new file mode 100644 index 0000000..a638311 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/import_dpic.h @@ -0,0 +1,146 @@ +typedef struct _vcs_dpi_rsrc_msg_struct rsrc_msg_struct; + +struct _vcs_dpi_rsrc_msg_struct { + SV_STRING scope_name; + SV_STRING field_name; + SV_STRING type_name; + SV_STRING action; + SV_STRING accessor; + SV_STRING resource; +}; + + + + extern int uvm_hdl_check_path(/* INPUT */const char* path); + + extern int uvm_hdl_deposit(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_force(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value); + + extern int uvm_hdl_release_and_read(/* INPUT */const char* path, /* INOUT */svLogicVecVal *value); + + extern int uvm_hdl_release(/* INPUT */const char* path); + + extern int uvm_hdl_read(/* INPUT */const char* path, /* OUTPUT */svLogicVecVal *value); + + extern SV_STRING uvm_hdl_read_string(/* INPUT */const char* path); + + extern int uvm_memory_load(/* INPUT */const char* nid, /* INPUT */const char* scope, /* INPUT */const char* fileName, /* INPUT */const char* radix, /* INPUT */const char* startaddr, /* INPUT */const char* endaddr, /* INPUT */const char* types); + + extern SV_STRING uvm_dpi_get_next_arg_c(/* INPUT */int init); + + extern SV_STRING uvm_dpi_get_tool_name_c(); + + extern SV_STRING uvm_dpi_get_tool_version_c(); + + extern void* uvm_dpi_regcomp(/* INPUT */const char* regex); + + extern int uvm_dpi_regexec(/* INPUT */void* preg, /* INPUT */const char* str); + + extern void uvm_dpi_regfree(/* INPUT */void* preg); + + extern int uvm_re_match(/* INPUT */const char* re, /* INPUT */const char* str); + + extern void uvm_dump_re_cache(); + + extern SV_STRING uvm_glob_to_re(/* INPUT */const char* glob); + + extern int parse_rsrc_msg(/* INPUT */const char* message, /* OUTPUT */rsrc_msg_struct *_msg_fields); + + extern int parse_phase_msg(/* INPUT */const char* message, /* OUTPUT */SV_STRING *domain, /* OUTPUT */SV_STRING *schedule, /* OUTPUT */SV_STRING *phase); + + extern int find_substr_by_C(/* INPUT */const char* org_str, /* INPUT */const char* search_str); + + extern SV_STRING verdi_dump_resource_value(/* INPUT */const char* rsrc); + + extern int verdi_dump_component_interface(/* INPUT */const char* scope_name, /* INPUT */int streamId); + + extern SV_STRING verdi_upper_scope(/* INPUT */const char* inst_scope_name, /* OUTPUT */void* *upper_scope_pointer); + + extern void verdi_dhier_interface(/* INPUT */const char* var_name); + + extern void retrieve_reg_def_class(/* INPUT */const char* var_name, /* INPUT */int _handle, /* INPUT */int is_objid_only); + + extern SV_STRING retrieve_def_class(/* INPUT */const char* var_name, /* OUTPUT */int *objid); + + extern int record_reg_decl_name(/* INPUT */int handle, /* INPUT */const char* parent_var_name, /* INPUT */const char* var_name, /* INPUT */const char* obj_name); + + extern int check_is_sequencer(); + + extern SV_STRING remove_array_index(/* INPUT */const char* name_w_ary_idx, /* OUTPUT */void* *name_c_ptr); + + extern void fsdbTransDPI_scope_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_string_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_real_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_scope_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern int fsdbTransDPI_create_stream_begin(/* OUTPUT */int *state, /* INPUT */const char* stream_fullname, /* INPUT */const char* description, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_define_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_stream_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_create_stream_end(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* options); + + extern long long fsdbTransDPI_begin(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* trans_type, /* INPUT */const char* options); + + extern void fsdbTransDPI_set_label(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* label, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_tag(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* tag, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_logicvec_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, const /* INPUT */svLogicVecVal *expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_string_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_real_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */double expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_enum_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options); + + extern void fsdbTransDPI_end(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* options); + + extern void fsdbTransDPI_add_relation(/* OUTPUT */int *state, /* INPUT */const char* rel_name, /* INPUT */long long master_tid, /* INPUT */long long slave_tid, /* INPUT */const char* options); + + extern unsigned int fsdbTransDPI_get_enum_id(/* OUTPUT */int *state, /* INPUT */const char* enum_var_name); + + extern SV_STRING fsdbTransDPI_get_class_str(/* OUTPUT */int *state, /* INPUT */const char* class_var_name, /* INPUT */const char* options); + + extern void* DPI_nco_initialize(/* INPUT */void* existhandle); + + extern void* DPI_nco_reset(/* INPUT */void* objhandle, /* INPUT */double fcw, /* INPUT */double ptw, /* INPUT */double clr, /* INPUT */double acc, /* OUTPUT */double *result_cos, /* OUTPUT */double *result_sin, /* OUTPUT */double *result_acc); + + extern void DPI_nco(/* INPUT */void* objhandle, /* INPUT */double fcw, /* INPUT */double ptw, /* INPUT */double clr, /* INPUT */double acc, /* OUTPUT */double *result_cos, /* OUTPUT */double *result_sin, /* OUTPUT */double *result_acc); + + extern void DPI_nco_terminate(/* INPUT */void* existhandle); diff --git a/nco_dpi/codegen/dll/nco/csrc/pre.cgincr.sdb b/nco_dpi/codegen/dll/nco/csrc/pre.cgincr.sdb new file mode 100644 index 0000000000000000000000000000000000000000..8cf164018e48b1f677b53a63dfe568c00a60764b GIT binary patch literal 24677 zcmZ6y1B_@*)GRv28QW)U+qP}nwr$(CZQHhO+cWPw_q}`b{<~H>)m2%&(%IQb?@na{ z03!|o{GZS>{(q-haQ{d8UlT3S|G?qDfeiovWcN>sN=XQx&&)~5Oo;}7`Y!tsZF{Uc<`j-wxqb2C4UMql`wnCO^8Jjr=0oX8sZ>I%e}t}QH{X}uQd$R+gCJ>- zde$Gv5qON$i482}q;sI^yXb|79>K6#_YqeQ(%Xgzv{b<_QvAIU_+uYAMKyF#M!TNP3%?U^6dD33p`=nGe=TfXm2LV&i#x-=5_aU7i9&@>X zT=`DQ@3*(?cA+>q^trh7imSX}QalOmKNAamwxqJuHy2eCFo*Ts$=L#LM@10@%rPyeO1M@39gCqhmh0ef-meV}l3VIXh#cBE)|Anwd!dX%07}tN$=b5&)v^ zK!D!b7#z_Wau4yJ=Gm%L?>%NX$!v0&ke5K|_D}ncA-MjBT99JN$J5ttVscE>#AeVX z)OjZ?e290*vBtFQR%&x;|7u1TMXdhezk$U?vr0I2mb{e$OS`%sPP-^=6!leBIUGXb z|7%qN*H+etym`!2eq!7a|J&QJ7?I{czfvr-l<7o$c{&cnwA6d}pb=qCl-SkA5h_sa zk59F(Y{bwfks&PvN3o|5%fPUssZ2%|J6Z#J9E^TR=)I8}5P128aRB}NnlktdmduY zUQXZ45Flx3K__d2mz1F`d(n{S7n7yvrgmFW)Xl$QmOB0iJH`yU#{{bc>!o9aE7LL# zAL#2Dn?s8YCwLSX{n2d)Wtqo-^jkxr(1G}ek~W!Al9IYFjetfnn7INZDc4h)lPxj9 zKzshv4i%NCL0*a}Ljtj!V6YHjWd3bDIPEj0JtSIwV;vt;R1s4vk~=z?COLAAk?T>S zao_yI+Sjzh0)qwk;K5r$v+*H%6WTmW)HzJ3y)@Uo(_YZimRTH4N!2dF{c%G%N`;}Ou3|&f z{T8GF3L*vfpTUEqhhJ)OLG0SA>|5;jIubNs+TcUuzoT6;$tVKRt1{b)`vFM!+*t%05l$9YLkDwQYm%a26lRE9O6wN%fR@HF<;KzRH))_)L^ zV~@2kqD)D5_D)jIq&|MX+CDbMS#dJxcm1ac^ZUoP=I$t;x2vHPttruZhvLd%PiA zrC>liKz?lKlX3a;6@5cFdfg}p8RRvdI`o3{S4$i_+ihOkJn`=UM#jP^u@ydJa{nxh zk4x5N`4pg)@EVBxkzxQwZVSwVXA0Zhytue{qC^t&Zd`@eKF6yuv$sR1H|{KLA?l9r zje8Zuz1lFxid(V@_0v7;E~t{g)9^s`^5gHdh?}js!lkJa)ZdlPoGseRp}09FW|H+N zSO(5O;@57Yc)k4705I}Gmx5SC6m`So|Eq}c$x|lpsfk1=wlgPkQf=ZttgiXexmx3u zsFdBkEs-xXQ0aT=G}o`pEF$uh#vix$F&{94M%ML#v7J-znUX^oSLxssUxh9H*# zwZDB{IrL$jAn;diUfu&X)?y(ISRBI&N;QOB+iXAXyY#Sx2>BfEvt4z zk~6le%`>?YAAXGSaKsK3jx-So($kE9eOgzE!g`BL2#M|e6Ig#*OGt^Ragiui@fcIA z%J$aax1=_X+3T(SXH*7Ch%LkV9*zZzup?Lk899%}3gkjKg;AJ)-Nm^xwL{dkSS%Bx z6?N>^FI6Hm|B#6&Ja{+oAS2G-5}5P57OzYsbQ2>y6L~a=+v3Ah-XGaV&UxTI#Lc zZ)XEj$bwy+?0K~%1q^VD$LYWaH-V0XfRkrAIZCasv6qqun^~8aOvUvuJ=NVHstU=3 za)qJSPMxF^Ce4^73)loT3eQ7{$G@?#9ZjB&CGFRGiN?H~LKWvo(D6@b~^UfK1norHHm>n8o zP#>D^3=9^%h^$b>%gYD{k@7tXrYUkRX+Vo{a79KpKL<+|mMLI4 zJ4`|bfXYDBfsT*we8)lB? z%SbH^J86k9(;O0FQQCn0L(tTz9qgk#BndQgpaOIASgd2ULz{2vh^HV@={Q7&>J~(K z5#+`Gm&a(k6d~9kTD}Z<=^*Q)-swCG+_zO=6f(?SUerqvhYb%y(Q#yhX0xY7F+rNx zQ?F;TgMWCYQDjKpA&Yz{)?md=RQzdB;EwLU6GmBb5<71@Cv&(m!}2uZBYqOsDd}2p z;?cZ_R+fE=K4+itvyU>nYR((J6k;Dm-p#6=NN9p%#icke_8c!B9?yLhn7F$H=Zb96 zNjwDQey8h?C1+e<$Ru<7lBLFBI+EySFBHzL35mnThm>)BvK42A`0YwYcA$u|k4;EGBq>f#dM*vjBG=Zm~G;p}^u+W!s4DFu! zBtp&1;cH=%V@(DHj4OL;RzHw!bQ3JOR>wV)Pj2nQh52gw2_HwJ^%nQex*Byn+tYzu zW~e-VzzFo@uTjK(j*VpsXt*w7n>w+G@X^rlSJTa(qBmUi@O1@82IzMA&f8n3(dCjj z4{BvSq?<)SiWirG)E%AznF%hZ$kSSLQO8y&jB4`*_y$(52AawxmnC@o8n6y4Lj%2r z)r<+q!f4cN3n{RHPKMchQ&i{tv1|bu?J1J&iIE1I9;+ z-6anMiOujSmt|MxeLu-1t=I_Ggh+i6jx%Vp%91EH!f#q0`NS%@o%i-J{K<-L93~Uf z!F(T!H#n3NOJZjK*QDPrYbOx3bj0P>pbTF|a>kdFK3Kco$*mbABip!Z$1eyMB`|44#tLUu)n8(zg=6HIx!(&3Arb&va2@SD$wZPAu%6!Z%b~XBi|EI z?In^q`?N_yFlvf|@=P>i2|WM~ukzV~Oc)ygB@6+_FgPXoxm2>~JzEu6ySl!L?N{w= z!S)Pbb4;|XL&ZDPqUv$`plCdOxG#m|LJzf}A_~qpH*Q%Vb+d_v{X2&!FVmNm^9VLc z7-R~z;z}0)$j2KM)z^}{=o9HU)p{-5O$5@01=WXy;<~l?wjY>1Kii5I477Ce@|9i$ zA$BBw@{-qc$T?l`?T3WWAX#s_sSK@x)4chyWqHb9Nu^?WUuY-e?p5%x~b-1A}fGBhMtionN8v>4h^kW@oy?<=?4kTf* z{f#8ShvK4|w(}`w4@8C>g`PUIxzZL5jo{e_##$wq^#hWd3zjKM-yY zB`=*Sy&DktvnATFE&e{GAdk|D?zQgKET9_A;Qe_lT&%W`*gU=Fs)s@!5ABQ7Cb1o8fM^N-W_u232y($zM*&1C#X7Bt#0}>_{ zNCwl!kkJGlInr3r5n29rRP;LuHC2dx^H4yyNA8miVgcQzg3LzO%AVP- zlA%RmX<9g(uDtyA8RrH?YPtZrp-_kdm$gl2WtWj^?_4m6AaKr(x`ggWa~9;~zM>a+ zbqL@wT1L3L=S{sTui)jxC&8ERaj)~Y99;%szp?U&?=c%i(5N+Q2-eD5+fuU(z9d@_*Q~^3gguPSw2DSQ^i{#Yvtmr?F9Q;^JkP?hKDJZxLZ%|x7Ttc;vR+}N z-Z(vDX+gjPcfom5lgKFht6e!Lo(XD?Z9_VDz^1)a!SN7Y2GLj^Ns7BGx$8*Dp|m%a zeUNIa4k8BauLxVQR zMiS#6+blOepjGN43{<%cktiHB3yxWe%06LJFDl5?H~5WwGRhYVaf56qHj^Onjsm?`$A&a5DZlb9BNJb3GW3ykGN~U8nK{}DoVpCJ}A~u zIV18)MLN-U@Pzo|w|OP**MaJZHFFu%%D;}xrzwp|79{Ac*Fh5Mzv0n~$uG~UOws*$RKDvTt(Aj3A9%z0z`iRU zAuZU-2d7b=DCEiAAFQ-GU>m<8zDQYFQ>d8UdcU~7WAc;!S?ji3v53o8=W@9#r|L6d z+6vs>DAbDCogbe4ee51e*NgdND90tSOChddNjN~C_>!qkopJQ-MB2P@wx9o9h?tUk zeQ>lICVuCAE;>C>{pGa%&N_HzOGD-A0FkYsAy+}xmq02abHWig$|^kch*8MPfWDpR zg%$19{0rf}RLLPliwRXfGMB4Q0w?b_k|eG4VVpwyY#@fnzz0#M5id{^WshRgbSQ!m z9c3yxavA3alq0S^6I(cXNgveq<&vC`KVDifl+u(edN=uJV+*7fA9~Gvbfa=?kcJoI zNMctB$?Ed6PT=UIhGH@cMkA;iie#Pw4yM-6=IEqj_3LrAr;#FX9I zaZ8c?p2$NY!HZvS?nD%dnrwLnyZ@@O27Y#5Ti>PjCXOkA0s&%wMPvOwWSOaB)Zv&3 zJ=(^+PfY1`3tf}M2zUD3v2YD;aNFvp3dO}Fm*~+1i&Ab;0mRj^!*0Jzs;q_BRI39& zE0p{hfttjV2^uLeHJd1AY_sgTV_sw_5eTeQf>GJ@VfAFqRP#xBhkC{5YHRzIcEP3| zDH=ayg|w?%+vbR?BhP4y#A+OzaXFr6fa*Y9b7JP|t79tECg zOz<=UiMaGC`VM}hn?1K2 z%lU5N5@|FI;PZVUEx?#!>ngE$DeX$yS$&FFv*Q7uRThG-^6W^|idDXp*WU&awXc3Sc zf zJ1gJ5ZEM#&%4OsxToo=mvlC9KOcrhE=fJWK4oE7zGBH|=qmL&j1_bY!K2qa2wLGk6 z=3Z1!L}+inF6XS8(E3duT4el+0EAjCuZE�{J#W#di^}89q8%#Uhr{Y!4dbwK&O9 zvsP#ynOCgn>~74nCw-BmdjU%X+fkir9ORG7kqEbIi36Ai$`ffUA0GY1+zg^kDcPXg z=Y@%F%}!aWZ8SDa6=HsK7gTwv643RoNGMMHSUC{6{mw%KY2ssD=MoH-+tw-s*hSux zikd3<2gmnWOwXT^B&d}KXJRqQ)iMt!!C03@XS=r>$}=Q`;)G+>j=l?5vVcs%839=i z(DMxW5pnX~BRDBoCHazKzyk?ps@cY)w=-&-XP{~uQ%4v2PMPKHW{J6sG=qg?Ao|bw zm6RrWBWqd#I90yd_QE-Bv_)L#Z$M7_t+&TWZ6660u+&B~(V?@sUaF_^^gF@Bjcl*) z{^Wh1Gz+$5zz6I-)EPbRsNa3bPnnEeo``}yd@)5zk+umEcmw!$5RMM_3}TQidZ7w18pIvbEng5SaFoFDz1OGVU`5_=%Q(p7PqxFBw4+DuGv8G7CY z)&f3;%2C2SgM#86$Ma&0_o=IdOE(9;%wlH|4)kQc6gt!oy@2%Bq_i^=#acqKJdt$* zw?u^Ok#X)|3l?co6+B1G@Fxy|^@Vnsm{p4` z5`z2{b1hCf60?tq+>}7WI$2}Rqg1?Gd?9#%)vSCYi4>n#Y_p^L+ z`0YiRi0PQbJ-+7|EGW%WFKsGjEE*L%W~G!ctzggiuVLCw-J-$yFnVf0rGED)2#uQe zPP%^Cdy+jdV9Hq#7DI4lSB0LV?OPHW}sGhgcufcd(xDL27X`c(W@M zDR(aiOdX7s4;PQ7Fk$-b#Vj3(+d!jKibhFyplesD+DQA1PB13kg-8@W{TYcqPC+n= zR-v7ZEAejnPB~Ucgmfs~*Q-R6;l+;~QSX<9WE{_982O{@&PYt(5R3~XI}Hn@;lA@O zm?anR=E| zemboArsA|$m%Ye5(p*xAISOAs*Toq49Wt@FdpU;PR4?*|sVYj0?+%Y6z_oo@f3f@> zfH&qS&{KsU`E(^m4=}`gm1YS9)*$Q!iy)Ei2Il*1bb8tUxU|7nKc7}jD~S>J7y!j2 zW=ICB3Gi7I1UmIx!{zVe?m6=;|88q08UC+5yE^jw6YF967_W)Da37h`xrj48{$eFB z*J6sLS1`slfa%%If?&zO9I;&*OlDmzensq#ir#ILIsf6T%ia5IkcfRpjmVn8jZRpb zRIT0$1%w`KOT`7WyQWnPr*8Zj(p|5AOA7$6r){r#RWV_<__wX=s^!8qFzeW&=voyf z{;a{eS?IZL&=!+Mq72-4^{n1nyHGVrMn1WyBR{Z!YMUSOAE|QY>*RVf)}~7z+)VEE z{y#gi^u;>-lKFEKUg!aU+{)olb-F`?`RF6r19D2W7Hzhg-Q?B$4sK#+yx5X4i5QoIQX%GpiEw7 z6x= zWB9y*!g_4RFzX!-STSreC3*mH|K*f)UcEZlw0}hG0-N``d{Tu_3T=M27X&H@8M)xcju>x2u>% z)K>+i4L7m+18_q4=bUJGct8MRF^Z?BvJEEz1=VyYM>u1{P)2v@ARU*Zj*dCYZP51A z5_f9ZyMk_LSNme2&tw*>@E2K(GY7MCTD8|XR$(TWrY5oWCB}$D8TvEf14CoAt4Qjf z*9!U(qH!0ykH=|6o)h$jXDE_z6J*f&*W5r0OI5bN&nUwh%IsK-A$bOL;oJ@}2`s95 z(wWK3l8ey*w18;zpd;n9Us3iuWt_Tgr{+v#%nwOpgNjAOPZ=pHrWiN_Q~vhprgrS9 z)wl%GT1NGSS4arDu|Q3MO+B*-A1tH&sQino9q6tsa&Caa@CCBKJUljrgB54^WLW_I%E!fp$SAf=&As)H<@)&+PP!s9`$Cq=FQ%@YYbR$u!8H2;*GHrz1TH<3V>E?Z+1 ztKfI|{+!fs%_85J>oYOd)<0ovm{M9p@nU<}nyWXguyFU+2#-Ef4C+Thr^yjVZ}w8` zq71x=1N#EVYZkt$y4~?_Yf8-I`pN7epty*`?T=Fp9lk1g$r1HA>0M{b55+Dn#G0^7 zOYg(Qu~@wF9Fgd0-gSBISOx$WF{Q7zdu^YR4}+PU;5_3AjjSUm%($TNMBEH>gcBz* zuybS8@YiA%LTp656lJTpEjC%LBnxEv9~F?&FhP1k=tigU5MDmv3&`@`^CKW6m- zT(a0|vypiagE8CR2Du{IvDA@0Y2h4UBw-rhCjC%bMby2qdx48=xey|>;dnQWiqIl7W1=OmFa0YN%3++SKI7)aSk zPY~C>K0}TF_}LkEgh5}t=RLG((U;ItLZ#7XX4?jR?7dq5$8vs3DCzX!Zku-bK!f>- z#U+j9N4_wkq8T?Wd^a)p6)!k#hip_g?nT#dP>O~Z>=tEg4qI?=ZOlT`+LqUcF6ZwO zKSgL2GEdN8v<-NDub;>a_tX#WIH|B=w(ZBW;_Ch=S=~(fV2EZ)fc1wtG+04tLD**Y zByLyRZDFiCo=-d_8YjvH9$@ylQDfk$8|13%FeNEGWgQgGpK$jNIA^J)E;2m^H04~N znV8khbwmvG#{H4oHo`Z3Qt5h)Gy`{txA(%EI-vro>D=ajE&VPm-Vdjd2ZhS? z%dic752HQqs~1YYTs-Fex9R3o;=e8N=2vG4qTt5hMZlN}U%!`~>%n`ku-v*%<& za%;xOCxkDJxITYbx=9j`klVKwotOHID#l3OE8SI=D%TG>KDDyB+qi#d$4^#E41c_T z6(f2|vDC*$R28Ksu}?_hceH4526&c)qQ*RD;WM$J9LaVLYKhg7LnE_&jDqDiPun0U z;O!+;G(mX>Q;XQ7c*%p{heWu=_zlZ&i^b!<7xkTPm1`=38zvE-lKIE6Y)_?j8_fin zU%$5zZ8js46m>&g$eosAz~OzFw`hL31x1QtV%9XDtAtGAqKGrnrkp!3wfUQc`Uix3 zKMx%ckg2YZloe|HWdZ6L$ROjBzW*c~^PNx@=((#_sStfLkh>QEm%%wl1^9I_bt6Rn zX!-Hi=i$}1BhA$7&_hHiN|5rT!lTa-GA%m#%D0<;_>UjkM_@Xyo>35(7Q?VME9JxR z!eZnH$XZMch-X%8?58r9(lspcU5Ev&!Sad%Q@iOZGDdv`F=!tTK~Jkd#BrKqr22d1 zf+I3PEg!2;wr}sZ?=A3{2$NIDw_>jSZYLWla;aL!r6nG5azdn;R$QV%>typU!fXT@ zthJkA)qG@Fs$aOQ21-=)8;Sn};aDHF->!7(1n!EFy}GS|$R``R)CPcwY_tPk>#gIU z1cNtGkpDIbX7!qrX|;{42Za@_IZ)rH73s%zUW_wJaOVdzVM~D1IOtPt=|BC7GCG`a zE4id&!$;+;(H}OG3cll48KUK-2pGmJ8|4FW5l;jOc3*XhQ(&*6C47CO(I?AbjcTk; zc@V`<>0>dtK{?}6?V`|)&!vqWJ|VY%AwuO4yK-$KaCTUwP5qp~MUU(^39Anj`|~Wp zyd&>fa^q(|(T}WC?etXqwquYCBf1SQXu~2CTy(e77ybEF-L>s&{(F4B+$x0=-ZDo^ z&i6o87&#Atvk#J6P}J($2f~DL2?eJqB#eoidzcZ6hfkj85*n@JLqiQ1(TNMV>}~-Q z59tb07No!tVlxh0O$|540DQ7Adn)PLUcG3q%^RJu4d5c9AMNlYL&fLJi*UhQNiY?Q zb3WrYHS9o=wWCrt93bMw4sRCz%piE}rMIn-7Zvot*}NHdkzOj|P?BcQF0(N*)UX~3 z;+c(U?-#^oAUg zLFz=8|MU5~xY(VFCf+umDj6mxX8m-ll~A1v2Pa=*YC4$8Yz)dXNl^wBsCcbGZt&-P z#Jc|Q1!%FLaDuCnLkNHm%#qu1e!=+$FDP(bhJk`~G(P%+edeIFzs&!)sR!^W5T+a3 zAXoZcnur_3bfVF`Ca(nVi+!^jc;E_P$-dDXGZs`Jc9HXJ%8`*SQlVU!jX)CJ3Urb~ z?yuFMsEVj(xf&_euxIf%kc0V>i@jpRgzh=#c&KwK$({`sQ; z^xyXtdm!B-nQ5)1c>kkvz~5a<-14-F*8ly_~`*CW$G25pNm>*!v6_D1uM`c8&hTCcJwcRk!6hPlfo^`)d(J$VN9Yas;-J2XAlk89L-oq{eFfh zH1DlKVXNwW(3GeDkay(7#JEp#pHz|{*BY~?FS?4z)VUY4XHbCN(_71tiH-$dY!fZ= zty*k@`xF^IZLf9WqZdJ@rLu+4!#MZayt=IjZ)#LK_mE%D4qTQ;&YMrLMUih6tHf~n zP4t7p&ylH)aJkVAuLc~Cs+$W@<=VcS<+o8J{)01fotR(M(ox3)yJ zV~3x%dTx~TbqbW-2oL}daW9p~$&qx(hv6Fu62>U>v`Py>*3FEohXZEmU}$*&O>U{W z^rNjTGf}b>!%zu%9~|^Q4Y|u6 z8?1nv?_}zzw{iwZD5aqi(!zk1USWinj-H~0H;)Xa8l(1cQ7NBt6FQ{BeR5#NTx^Ri zu3H01NCG%Gq{SQ$9q0*c*ozCva6Af3#(CP5nT)z%V*O(}5oteW8de!rJB340q5LCF zNWDV0)Rfk{7d6vwW|c=zD1s+wF|jCfYW2S21uYO*7(E zsIjX{f0?ND#BDsWW~8j|0y8f~D7N7nrAH}l#4^VDp8Cf;4|1#)>YvsBD)RYY_MAF5 z9jYW-Tw$MRY;@@K03(190MMnO1*-n$$qGcUJOy~WWSau!L6ya(>JTt@w)DjYbPH8b zx?vzbc!1Ppp_7>Z=LNHjQ7$iHOoDVvg;wYA->pTZfA`{y5cFrLw3f+aZ&$1{BJq2h|1UD%zNy@IQgN>q@JB-)6PTY1gvtWM+H1g<-g z;)h>z?dRu%h6neA2GD$^(UxW0c_rJU>BJbO^$PmgcA&;Nf)#O+C3aLr{k+?_BC{03 zb(mYr9(eg=RCS_VH{ZbH1GA%nYbdoPj?MpRx7>C>UFXGk@42mU$B2jc?sF-|B) ziOaeVquI>P(-k2-rtD*;AZ@x9Db7tFXcYEJ4E%r=<6)iGtBp`*h`C8D0{4atLIJ}U zS-p3S^_e6B@1P7nx>N9BKe}8D*4&WBap7MYX79%)q6ET;zFG@spoVVl#@9*s9$5Zu zYGD?mX3-bFv{oK>lUJUe+ItJ@BSLDp;okK|X;H@lXzmPe^@3as0JBA8=!P*tZp26y zne;OY-n7ZlZQMGKmRXuuOw2@3DXT+9qMn~zobdyw5^dgS&@`)Rk8_Ep;BLW#3>=YD z2lp;oNQTT4JnW_BmSv{?Y@mtRGHl%X1&TbudZH2=@SuVj+5+}og6(3D*o+pe_fjNr zdFaSY1OCRc|-6-Eqf zK9)GhzXJ&<;RcVb1|<>rVgcsk*9*O5>E53#lbDbd80hxn`3hAlJV7Fxbm3|+Zpz=E z#rNDNfi&Bgf_$XCUETQX=}od%=^QZ%$}%B&n|9}Gu`H1!`k@Iivzb{OC%5RL66)Rw zNFx1n(Drd;EB^+BDfNi=R{T{oWDIM}D(BtWCmrG>z9>Ct?y`Ib7i)_=^#T9Z43}k^ z4aQseWW(*&&~aZB;k95Y{n#mZ|}q6=QFqaTSUn>#rWHm)@uFr&49&NQ5h0 zUABA#VJKoRsPAV6@b%Up?UboSkPpfMsSRQTJlY$5+(Ehw0iE#dFKlROwmso=yjRAU z9K1`hoz$cReDW6xwQ@as?2hyM&D4s@$LT{s=CeG^&I-}%Ih)UVkxFlE%%)C`KOOZp zqn;f67at>z*JFxwy{g^cTIpbh?07??#dZ3iY70%?MUdB{Y8d#O-+`kXKLOy&!KoqK zne`LHm>e0v89WcB1PvO3SD0Q1;TG>8#0>CiQ7)FbiSd;hPfuHf40!UMJ^I!@| zP>o`;wvaa4rZeo+Np)78H)^Ne7L(OCRjIaP&P3Lb5Lt@;2>cj!OQ?M!e76@*A~D!7 z_va#?wB1R=CjcP;;fC+tg2twx5Eo9oSCrs)RNjjtk3HkiQ7*T>Nrf#o3b#gLwvZQJ zh*~HSkp9}9+>?lc#y`6lPBl6tEP7nER zbgE)m#Tcr~$wpTJIn5IbutzA0vLA-Uio&@{G?*BidCP@z-Kvc?`bN8c`kEIE3!2Vq zkq+@97y{Nw3<^gohn@kFuC&Z6pH3!48=}IkuJBbDv>%piaUUX?xergT@G-`_XUnKF zf-L@MU_U9YJHl``Io3cQut}mKQ;MYE_B>Dda+ealz7f1r;7yzQB7D9qZ*pFe zM>qB<@yq`DFXJ3S|2&gZ@{W+<7j0&J7IDey$EkTo3d>6Lk*AW5?XC?AA>Wb&% z0JGDZ2b+x7K9;#%O|*>nD!cWq(%;k(eZuwlwoA{^U*>?X)`!Sw!Nv}uSXHM#ASKq6 zkMFEJ=walL?ocn;QPXH#As{6>+7Jp~C|i zZU)s9IiS9MkX@B$eAWvxU6R3P?2hpb2}5?tcq1GKt>qaI;+;5;EDSp_6%ze49<~us zh_CV#W$_L-rkNQny=1HkV-@EEkuf^w_J3(lbSJm9N9Xbx-0BJdIJmqJjJ-)jF%9E^ z9X;F~t9B|n{7tE$FB8!TBOpz&IO_pNLZ~Ew8Rp=deWS398`m&x&f4oY&nkg;*NfUE zETy}<=(DtH-3r<_`330H?56CIGl+ zn_V>b8GxmqJRhg-jBXPGvTYb;++k&WL8wIHsn&{vf(iBZ?QQ=(?vi`c9r z;|&ACnc`d~F$iY1zqE?u0uzhiL!<*3JghTShcYt%wjoaiDBzS#r-3K2fg1}d-e z+IXHRmA=Jx^9F8FH%`^((_8eHT==>C-7gf^9%-S(NfLoDPKe)xPto%_-6tcV_1ueR zjPojeMiV&%!5EmOea~lQ{~c_5qso_hq87}O6i(J)vTtp(=;Bw|)t7y*Y{opNGU9s> z;NCgMZWrLC`ur%20gdl1Y7PP`qre@1-uUkl{x^Op?c=uKXngCHF!mvqwd>iWb!h?< ze+Kj$#Z$@vc=NAr-`I3&J+r!v8Y%QpMJ zenOg2>|SY$7DxK+oJ)!lqyfdfGTSe__vNMFp^n2F-oABt6tILUHLxx|$cwWlVlBKn zlAH0UG49&}=&}3@vpWKlsGcDZn{jq0BD-R zJ>JrHB0lGadV*b}ZC$H>6)XRZ5g>6=@%qtP-c8&wS?u33!e;?*zd?Z@_d-yeynA ztf0v3t40tMYA&Y@o96HYk094tYoEo9s5J~RmwYGsrOK7~Kc|cIjHn=~lergQoc~^lL_ikoX*|zFJJi4{}MN%{I)`$v|QVx!ZcpaCFf15>Kw8L3vot}1^ zf8ye23ibx-LDLIF#8JT|y7+khyRT=jo40!jN*fsH*0vaOkI(gNc=J z2QuO~jgHNLEYG05lR2H7I*#_0a8*u|w(|&yx-n>3>XF!mb&bj8#U)XG<)nGMFqeO!O2BcU8VO-5wA&K>Eg`~cVxYLAQEsdV?ZP_!1(Nk>& zqxbxtuNlKzLZ8){Z`*@jL<-K_bQ%;~^04~loKlA6(tS!^3MEyZ-e=O1VGlCXq>nH> z*S11V2>C;Ln$r2vaA=x33rfnfd*yGOZOgSyKUyb?zEyM2PB_ zmMKn$`C&830QDHT4SCfX z_N11xS)=o|9kbWGFXpMily{i)r@-4O`hj@!H8ADqQiME@kdmoIz+F|)IgA4@IWm={ z9s3Lbc4#B0SIrHULb`D_*b}I}8m$Sqw?&PFx{iOXwL**u)2Y`F3{Lp2SO;6XR0bWb9;NC`9;t|(Xen> zOBA^gg-LL--OOZh{kok-evmnl;+07^`5f`&&|{ZNZ8+@IM4hwQ8xhO%z?YtIo5F!a zrz+cNs)q#tZn2E@zST6iEtzpnR%HrV!pn@5t6+oL7GRE%8UCH(Lm z!a9|GL^c=9u?p1owey6J+J@XdV(QG+WFw}Cs<@(b`k@gNxV17E{m<+d1hb*|P5r>4 z5Cazjh8f=YtA_SxgOU?f&HUFp{ zUA--MD)5nnkhNr$yw+Z8wkt(;MVW*z>CbL{lO-8c)ruUU3Al+p$tGCTzVwDlo5vFH z?BsivFkvVvgpD8R_CK@2>mriOyae=Or%rw|0#edkCCp`L2XyV{7ME1SbZQNbS*A-I z{eKF(%BVP+W(|wGYX}ZOLeSvu&JrX@g1b8ecMHB~un^omOK=Su+zIaP7VPfkyE$*( z@BX;8r@H#7eok#qPtVTG*{Pmc){k$`6e_YTmPFMMZ8C}HDyl;xc9%Z#WXX@<-ucu4 zeq~5me34E|*g&ZLsjm}nlP1+jBR-#Fx|5OCHg{DbXNES1jAQ60fPbpC$qU%=-9|qn zeXF4s618-d^p^D7Q?-zDOqkQx;9 z8n1`7K=(d6PNsTOCZ-2Y3z3go^BpY0pc4{aENywFl#O10 zO`u59{S?MD_M;o@7xW!gN7_r!lt>AhJ-8HP4A5X;G>2)>N>>xH55OZ9lxE&kZa{@(YCT=*X%Rh74h1oGXA-&D&+Y_0;=k2xioSub zZ|G_CH3VNTIKx<{CndBXRtK%=#f1%7JY5MTRdG0;3jO*ju8aH4fkWMJTg9`mm}aAQ zC#IR*eeJsTE_BjS(X27M*^rW7qMMJT94if$P{>IuB?l=9%KUEcMjVuyfwoTi)IotsrBZcU#?LC7IW(!OZ1F_#{$o@T!bL z#yp{(a3=YpbW2}!GT8a=p0_KLyo;_Lw6s42+(x~Dh<2K#v4U^er;%JPh9LH_j z#%A_uPN+ZZ;29km zcW&##`+#t7i7vF_zS&)xZ=T{v?D`UpA4}u%egyT|+N(??gj8fIx>cbl#O|9`6XQlc zsfOtIjX}dZ;vdqv*PbOe5>3S5{DT;_E#QUg&bKvVUb|T#pi5Sudbs!-a|Ci5A3uWD zl;MbIp8AuRc4bocoE-Xh$iCGaFPLl@-dP?;-Jy|QUvb^Z!;IkYHG#i8N|=%ltdi4; z+)aI_N_Sg#lIc0}y!+u!IuR6|b{R&Z>w&8gTrQuJ_v%Y-K!FW>?+ThYO~k-j-10kd z72!-$?VI8gKht2`2sT9d(rGQOs+9W0C=Fe6eAB%r-JZOR_6gS5;7d> zxoZ~jbmNnnX*bhlp!Af$bUSTI)xIoqz@$WrzT-++q{B?Gi94GpXuY1nFbG~=OtvOD5Dq~r4l~PEf0{$4>ff6GwCSu&I^3_maneO(pOU9U}HAV z*(;*KiO6A@6Cli{VtmUsoGW7QuGzKT>KIH2Qa3T|K zDnSx>@-Mm(Q~RA#kC`ZB*6!j==f1t~uz}wQnXN>(-3$hWd_tN+zPX7UP!oP_WQ+JT z5-VG~fm1Htk;iz{CuF->a`Jgz$Qo?=L_Qe!{%$|z!_n7WL*i3!VpaNpt^{C=R=V(^ zjJeX{lY%_?Q8jomM}xGd}A7*ku*tYZ1mEzdhr)-PN4nf`S^#NJ=G3xWt-XPTY! zS{=PuIdE%Qk)NCBWK}X3)bR&=->ug6pOgV=Bq74wL~ORFtF$MDZA1Xo_|lhdp36Zac|GU{1k4X zVIA-m2RE?MQH+#gsWd7&u4?pE@~8Lvi1^~YK6E0nIk89W;g44aEw+bPdcmdc3LdXk zILQ3TK6Og{iU{%W|J7JlMt*xcuU-~=pEb4hQy}er!#BIT7sz=f0@A|NS-u?;bz&f+ zK^)@xe)p`g_4X>9AWqx9_HkMVENjB@_&NdZ5}v?VlHQ}uU;uZVHg;ECHk~CeqUcSS zkWsDWvx1i3#7jlkT7jKkqtAc?z;xB|$BuCouf?CGQ|_+3FZXC``cCOw$Za2O9QTK) zR@2)_#A4Qna5PoVN$%A9dfN*z--cNFP*AyLF=Nk?{rTB)!e%VBS$V)m%eEmju76EQy>&8-8 z*p8Wu_;wYavx#)Mey#=qCH}RvO^l-}i*@=$^OS%{U}P5W&Nk$KR1eYk+jfJ@JZuW% zFB^O3b)lts`VwgbF_v_ensx$XC`*Kl7@_xcm8Lea$pB(_vIUgevtAJMF=tRR{r--A zc=496V;t+%83q-XjvN*}1)EY9p!+?|Fx3Ej*-*!8JZ+mjd0+$?4Sn1qkRDundhl_6 zz>!qct{~Hxk7wCmO}CAq*LH%?C{}$Ar188dCInthd~4b`lWMHYg7vz^|GP!gv!6y=&;yG7p*!dSwoEFyLc{NHe z?g4LiQl^`JVl8zjI;08E#sprU&~yC#{7IA zpX4SO<7ihH&Y0e;1u_Iw|I{NJ6bi8Q-|ENbJ6Ajy6uMQ=5B#{K=ia0}8*^f}XATq2 zju7_?h95U`r1xM0pIspeW3?b9ABS1VrTU48ls%__-{;8Pl>@G~b&F@LPPH^)2K=jl zcHD)rQVB5|rL@~6v>1uJaMAk8M1Z+)U?U4Xaxdj$8s{-*iX|+A7q|)N*-OYXOU8iv z^)8!`N}?DSQ7?U3AQ@e9=ng~hf$$Z-NvoLFG=V?>8B+k6(+;--J15w^L(jrf?g{7B z4~Z1L&7IsSXT@#(0c9EWUQ2~Q{}*E{NC=+^b{AY%!Rt25JuhVpkxN{Ah<{aNHZQVuHT{#^4(DT=tiSPiW8 zVSQ+fv(UUcJR?Ou%UZ8T140~y*(N^;G-Ph8wsT@fny7uTGT>N#aXT63*ib%~ts7!I zM1iY)+TQasOhk{av$BCu-5f_O<+V3uRO1klMS_mSYLZWh8mk`9k5zVULXPNe?q{=! zrIS=U2^SHncJDP4nqr$0UOnD`3!^T!qKXv4wX7}I(>5ZGg(ytTflTxv}mS|4xIOuq|aFy6scONCQ zzVXBE)Dguivu1wnFtA|AmQ$hNtp24@+njPHGcX>rDuTIaqq8^Lb6&^<&#=_H79U`y z;uWoyjosP~%vwf1pomC<*Z%3qf-}n3_jGnPwr4ZGsnR;RY+(>=d)r`*2BS|va`1x2 zauw+@59LjZ3#*oFfL+ECLAIUY$rzeiPI{xuu*fo&Nvj+HWbY7-stoGyP-QFIM^Xz~ z0?1M$Jm1YS+ekm1JAV?ix51XLk*ZAurimA?y#1U+Jj`c@kc^Jeq*PXUyx!OEOVAZx z^5Vpzo0g5$4O9N^058B}_kEN)ra5pnR+X~&{z`&BMzTvGK~nkNq8x47LN(=fx>X`p zOMDBb2PNR+X#{tTwTG>_!NE(0SyDAd0eGjMq$>`CO3&yr^iq4RZ)*DH_i0_gj^jK) z4cvg48BSZGSiLzV_d_nn@pGAl5W|b9*oI-$r#H=4tfGSj=oPg@0VsL0o|8^4g2-Iu z`6Po!5kSq2W@?t3z~p2vPaOuO$TkCsax0akqleD(>s7@}f`wdg&+DwwDtO`RHnmSL zL*iHk2sVX1R@y~n{el)TL@PA_?)ER{m$(rz+z@v6IVw-zz}^Zz;7tTikhKtk5Kz8? z4?DU{(5@3Aj5@_CX10Nbu`};R&|_Xa^pG2;0Et*| z^Sdn^#CWW5{8TCDJ0J#p*4fjiMYxX%m(Yk>uYV8#%q+6x&=aF8r+Ew- zM7SQ0@=uo5A|=J!6z~g}^>bSfZq}xMBfEd!Mvk2Y@>&8_Fzv$%aJ=0Ml1pR`RjC18 zg^t02O@m+LdnSBWZ?i&2v@X&?!c)Cin$zNoVj*yifAWhPHux!6riw||2{y5knK zw9aXD_tp!`mAUg{`5fpfAKtR5Yutynf}oKW4s*d8TbRk6BJ9(3m2sy{+M>;NCetJd zfPhq(E`0^}e)uXuhN98{Bebj9hcY%M*zbj~34v-*12SRkblH*Jeb@P#J81~3x$ z_KT+?s%0Jm3hlPL4Nh$sxCt~yDQay!Ph7;riAUV<+DUV2X_ZrVaZ9}0r)T9_R{Sde z80Y!trXNQ#h}i>ceV^TbTK5$hM|DObJ|sp~VAu#Qc$B%kr9fbbM%y^#{;JK4uoHJ} z>!Df4>8#e5#gmBD8(*9~I6M~eL6qq@bH!em7R$QOpV*J$rik_}Og#gTMZ zsv|mlLh2yq@ILP-@hU}Ee*?;=H;6fw_@V=ts?6$}Q4R|T+|S}to3vX0gyb#Oeaet# zU}B2Zoyo3ITU7}(0MIDLA>q{-Qx-O9YUqVJ2&;CFk;m|fkf^-5|S`mx*SmuC4YC$Wq0Awag<6$)hM&;f!#{}bq z&=5Ef>zOSiq>!iyxx-EPFYbOLo)mTbNpuq+Fo8==>6bv8!}FzK@+p|=v~Ac8`H0Ej zI}xBF3ohBCaK#bp{LpQjc<#vLBY=!X0RxdoMf<5VPOw>a@M-=$ZsF@Xp8yE0r_9-D zwjJNw3(I3Rw_@YkT&FXJTgp=xkdj$cLjR`A!l|#69uW`q?uR~YI*MPlZ7lD z$tB@u_4Y`U$$G0ka91#_$7Z!0Uh*0qXdTez*qu6vbtAN+;wNT4f|@gEwJx|?=B)x4 z!3d9gS}KcCtj&TPUbFy}@HH(D_Z3ymO^5BRt8ZLY<+w11QRn6;L=;?hKOL)y3HenU z)Vx0HD=^6Gw;2=&wjN%EMJMeOvZG4parbR52;3D-YezBWJ%>SV^W_iUt*4vij38U> z;_tK&)^_u~#Ieq)l z@p~AA)=RlD&5t=e0@;LPs^&)QZLlZB`DTuTJu%GfC!*MFDbbBevBy6BuUpFEOW!MZIXeXO8XC8``VFOmq%F*(!b>tc{S9GpG-=-8N%Ftn6P(( zX@x9)!34)`w^4Y_X)~52ppWfgX9G{xwI1rUBrxAcui1&+L<_p}dI~6a6;pqHpAedM z))-1{G$mg-yR6~!0&G}1XoTINY_k*4dnMzt8v&d`&mc)4a_oGBZ!|IX>2GAo$~WqBgDQuC78Lj zJ>-2w45%!_l|W8@H|iPYt8D0a>5?^TML5v!(r!*UwG>`;WyWNH$$Jpc|7;!X7XfFg z572x6dMH;N8O{No)R?FYEh#kEx3Wqwb>E08I%Xr zw2t9$wNO%eF>YBlYw6Mp{48?v#px@!&*Pgbs`@#7b^2hXZh<%#J`)WE15~Zm6spm< zf&fq;c*wu8Tfn??!S_J!tDv>$D^NVe&w^Z3_zai4Ia3E+Zd`v8U~@7US&dYYSFK4Ln>IDiChO$xQA#wHj-P2i z7Sbx~no{E#{p72`{^ausyTXI~sFat^&%y>k+z$C*Aw0NB&#JEtJm?-f23en6wG|BK znUpMWiDP8Wzfp*d{-);sG!CweLdP1pJexv zeTz2Za~EMXzQv<tB^!OdjAd6UNv{ZQrW9I0em*=~o5Cq1ec&-P90!Mk8r{oHlr!pvnQ~*p zoGpi6lRf+tmEA2%G>BU&k5ZD1i(*T{JM;No(pAEx-?+`R0?{Mpi#|u&GSV~7ih7kXaJxv7AKo>wKm z=#5<0=`EeiJZwT10Cao#21|hlt-0fOZY(Vf?Z0FE#t;__Ei}fm$DBVj##OIdW?;h} z3&&>Uk5Y>tKPZf~obyjV2lR4K`zxji8@Y_k96{|LZH^WYSVN(>-`CCF_X(Vy#%!i~ znc$hD`Wjx=m`Y|o;!EkDs>V{87s9mr8OpdbwqOHDN8VbaB zVs-i{jWsCAvAve+1~BcA&%dt8e`DzOwL`ZrtBNQUx_xN*V+`IJJ>SSwacZ{FGvtlu z?my#C131*zbwlurWWBU!gerF@#=SOVghOG&o!)U&lu^zlX5lR(*J&w|rma@cy(H{I z5BvwQw(XBflaS-Rx&;pH~VZ%R%Yu;gnCspy;~HLlN#!ra1FhAB!~)7JJRKR>07 zQxuQgBXdWQZWo9Xx8PSuOR|>WIM+mdWO~kBHK&;O&}RZb_diu4gX&c+C>d&x;4k@G z=nFkyE+C(Oj|mh*pG^xQ6LIaarsLFYX6rb2Ce@k%+R_yS&}!j9V!;Q z(drNvF0>A@fB1jy%AiNgzxXyyBvAhEyQ#nU04NWVeJ%~N zNKigMM4>VN@DU+(h_Ko~%fI=4+V@cY?<;PI{liCs@*whm`r~qP0_Ds9SO1ZJvta;3 zQ2Td$h1d^J{u_uw`|v0J?|ljRWzvH9pnP9wJg9gyJVE(75QUE6A3k&rKt&Q-{LOz; zmJH<^K@{5OKm0$d)UQB%|KeLM^+Nep5QX;n4<8*;hX^b_wER0h6hj0$PneM!{hR)gS`f3PkjJ}mw}7}EM%elPwHsr|9PUjzWf-~Q7MeJKD`-c9|-{^tb& NFfjU3|G_Y${{vj+?XLg; literal 0 HcmV?d00001 diff --git a/nco_dpi/codegen/dll/nco/csrc/product_timestamp b/nco_dpi/codegen/dll/nco/csrc/product_timestamp new file mode 100644 index 0000000..e69de29 diff --git a/nco_dpi/codegen/dll/nco/csrc/rmapats.c b/nco_dpi/codegen/dll/nco/csrc/rmapats.c new file mode 100644 index 0000000..986e973 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/rmapats.c @@ -0,0 +1,59 @@ +// file = 0; split type = patterns; threshold = 100000; total count = 0. +#include +#include +#include +#include "rmapats.h" + +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0_core(struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685) +{ + U I1547; + U I1548; + U I1549; + struct futq * I1550; + struct dummyq_struct * pQ = I1289; + I1547 = ((U )vcs_clocks) + I685; + I1549 = I1547 & ((1 << fHashTableSize) - 1); + I1283->I727 = (EBLK *)(-1); + I1283->I731 = I1547; + if (I1547 < (U )vcs_clocks) { + I1548 = ((U *)&vcs_clocks)[1]; + sched_millenium(pQ, I1283, I1548 + 1, I1547); + } + else if ((peblkFutQ1Head != ((void *)0)) && (I685 == 1)) { + I1283->I733 = (struct eblk *)peblkFutQ1Tail; + peblkFutQ1Tail->I727 = I1283; + peblkFutQ1Tail = I1283; + } + else if ((I1550 = pQ->I1190[I1549].I745)) { + I1283->I733 = (struct eblk *)I1550->I744; + I1550->I744->I727 = (RP )I1283; + I1550->I744 = (RmaEblk *)I1283; + } + else { + sched_hsopt(pQ, I1283, I1547); + } +} +#ifdef __cplusplus +extern "C" { +#endif +void mopcProfileInit(void); +void SinitHsimPats(void); +#ifdef __cplusplus +} +#endif +#ifdef __cplusplus +extern "C" { +#endif +void rmaFunctionDummyEnd_simv_daidir(UB * pcode, scalar val); +#ifdef __cplusplus +} +#endif +#ifdef __cplusplus +extern "C" { +#endif +void SinitHsimPats(void); +#ifdef __cplusplus +} +#endif diff --git a/nco_dpi/codegen/dll/nco/csrc/rmapats.h b/nco_dpi/codegen/dll/nco/csrc/rmapats.h new file mode 100644 index 0000000..204559b --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/rmapats.h @@ -0,0 +1,2519 @@ +#ifndef __DO_RMAHDR_ +#define __DO_RMAHDR_ + +#ifdef __cplusplus + extern "C" { +#endif + +#define VCS_RTLIB_TLS_MODEL __attribute__((tls_model("initial-exec"))) + +typedef unsigned long UP; +typedef unsigned U; +typedef unsigned char UB; +typedef unsigned char scalar; +typedef struct vec32 vec32; +typedef unsigned short US; +typedef unsigned char SVAL; +typedef unsigned char TYPEB; +typedef struct qird QIRD; +typedef unsigned char UST_e; +typedef unsigned uscope_t; +typedef U NumLibs_t; +struct vec32 { + U I1; + U I2; +}; +typedef unsigned long RP; +typedef unsigned long RO; +typedef unsigned long long ULL; +typedef U GateCount; +typedef U NodeCount; +typedef unsigned short HsimEdge; +typedef unsigned char HsimExprChar; +typedef struct { + U I706; + RP I707; +} RmaReceiveClock1; +typedef NodeCount FlatNodeNum; +typedef U InstNum; +typedef unsigned ProcessNum; +typedef unsigned long long TimeStamp64; +typedef unsigned long long TimeStamp; +typedef enum { + PD_SING = 0, + PD_RF = 1, + PD_PLSE = 2, + PD_PLSE_RF = 3, + PD_NULL = 4 +} PD_e; +typedef TimeStamp RmaTimeStamp; +typedef TimeStamp64 RmaTimeStamp64; +typedef struct { + int * I708; + int * I709; + int I710; + union { + long long enumDesc; + long long classId; + } I711; +} TypeData; +struct etype { + U I586 :8; + U I587; + U I588; + U I589 :1; + U I590 :1; + U I591 :1; + U I592 :1; + U I593 :1; + U I594 :1; + U I595 :1; + U I596 :1; + U I597 :1; + U I598 :4; + U I599 :1; + U I600 :1; + U I601 :1; + U I602 :1; + U I603 :1; + U I604 :1; + U I605 :1; + U I606 :1; + U I607 :2; + U I608 :1; + U I609 :2; + U I610 :1; + U I611 :1; + U I612 :1; + U I613 :1; + U I614 :1; + U I615 :1; + TypeData * I616; + U I617; + U I618; + U I619 :1; + U I620 :1; + U I621 :1; + U I622 :1; + U I623 :2; + U I624 :2; + U I625 :1; + U I626 :1; + U I627 :1; + U I628 :1; + U I629 :1; + U I630 :1; + U I631 :1; + U I632 :1; + U I633 :1; + U I634 :1; + U I635 :1; + U I636 :13; +}; +typedef union { + double I718; + unsigned long long I719; + unsigned I720[2]; +} rma_clock_struct; +typedef struct eblk EBLK; +typedef int (* E_fn)(void); +typedef struct eblk { + struct eblk * I727; + E_fn I728; + struct iptmpl * I729; + unsigned I731; + unsigned I732; + struct eblk * I733; +} eblk_struct; +typedef struct { + RP I727; + RP I728; + RP I729; + unsigned I731; + unsigned I732; + RP I733; +} RmaEblk; +typedef struct { + RP I727; + RP I728; + RP I729; + unsigned I731; + unsigned I732; + RP I733; + unsigned val; +} RmaEblklq; +typedef union { + double I718; + unsigned long long I719; + unsigned I720[2]; +} clock_struct; +typedef clock_struct RmaClockStruct; +typedef struct RmaRetain_t RmaRetain; +struct RmaRetain_t { + RP I769; + RmaEblk I726; + U I771; + US I772 :1; + US I773 :4; + US I181 :2; + US state :2; + US I775 :1; + US I776 :2; + US I777 :2; + US fHsim :1; + US I569 :1; + scalar newval; + scalar I780; + RP I781; +}; +struct retain_t { + struct retain_t * I769; + EBLK I726; + U I771; + US I772 :1; + US I773 :4; + US I181 :2; + US state :2; + US I775 :1; + US I776 :2; + US I777 :2; + US fHsim :1; + US I778 :1; + scalar newval; + scalar I780; + void * I781; +}; +typedef struct MPSched MPS; +typedef struct RmaMPSched RmaMps; +struct MPSched { + MPS * I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + EBLK I766; + void * I767; + UP I768[1]; +}; +struct RmaMPSched { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + RmaEblk I766; + RP I767; + RP I768[1]; +}; +typedef struct RmaMPSchedPulse RmaMpsp; +struct RmaMPSchedPulse { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar I181; + U I765; + RmaEblk I766; + scalar I777; + scalar I786; + scalar I787; + scalar I788; + U I789; + RmaClockStruct I790; + RmaClockStruct I791; + U state; + U I792; + RP I729; + RP I793; + RP I794; + RP I768[1]; +}; +typedef struct MPItem MPI; +struct MPItem { + U * I796; + void * I797; +}; +typedef struct { + RmaEblk I726; + RP I798; + scalar I799; + scalar I777; + scalar I800; +} RmaTransEventHdr; +typedef struct RmaMPSchedPulseNewCsdf RmaMpspNewCsdf; +struct RmaMPSchedPulseNewCsdf { + RP I760; + scalar I761; + scalar I762; + scalar I763; + scalar fHsim :1; + scalar I181 :6; + U I765; + RmaEblk I766; + scalar I777; + scalar I786; + scalar I787; + scalar I788; + U state :4; + U I802 :28; + RmaClockStruct I790; + RmaClockStruct I791; + RP I803; + RP I729; + RP I804; + RP I768[1]; +}; +typedef struct red_t { + U I805; + U I806; + U I685; +} RED; +typedef struct predd { + PD_e I181; + RED I807[0]; +} PREDD; +union rhs_value { + vec32 I808; + scalar I799; + vec32 * I777; + double I809; + U I810; +}; +typedef struct nbs_t { + struct nbs_t * I811; + struct nbs_t * I813; + void (* I814)(struct nbs_t * I781); + U I815 :1; + U I816 :1; + U I817 :1; + U I818 :1; + U I819 :1; + U I820 :1; + U I821 :26; + U I822; + void * I823; + union rhs_value I824; + vec32 I718; + union { + struct nbs_t * first; + struct nbs_t * last; + } I826; +} NBS; +typedef struct { + RP I827; + RP I793; + RP I729; + RP I794; + RmaEblk I726; + RmaEblk I828; + RP I829; + scalar I799; + scalar I777; + char state; + uscope_t I830; + U I831; + RP I832; + scalar I786; + scalar I787; + scalar I788; + RmaClockStruct I790; + RmaClockStruct I791; + RP I767; +} RmaPulse; +typedef enum { + QIRDModuleC = 1, + QIRDSVPackageC = 2, + QIRDSpiceModuleC = 3 +} QIRDModuleType; +typedef struct { + U I836 :1; + U I837 :1; + U I838 :1; + U I839 :1; + U I840 :1; + U I841 :1; + U I842 :1; + U I843 :1; + U I844 :1; + U I845 :1; + U I846 :1; + U I847 :1; + U I848 :1; + U I849 :1; + U I850 :1; + U I851 :1; + U I852 :1; + U I853 :1; + QIRDModuleType I854 :2; + U I855 :1; + U I856 :1; + U I857 :1; + U I858 :1; + U I859 :1; + U I860 :1; + U I861 :1; + U I862 :1; + U I863 :1; + U I864 :1; + U I865 :1; + U I866 :1; + U I867 :1; + U I868 :1; + U I869 :1; + U I870 :1; + U I871 :1; + U I872 :1; + U I873 :1; + U I874 :1; +} BitFlags; +struct qird { + US I4; + US I5; + U I6; + U I7; + char * I8; + char * I9; + U * I10; + char * I11; + char * I12; + U I13; + U I14; + struct vcd_rt * I15; + U I17; + struct _vcdOffset_rt * I18; + U I20; + U I21; + U * I22; + U * I23; + void * I24; + void * I25; + U I26; + int I27; + UP I28; + U I29; + U I30; + U I31; + UP I32; + U * I33; + UP I34; + U I35; + BitFlags I36; + U I37; + U I38; + U I39; + U I40; + U I41; + U * I42; + U I43; + U * I44; + U I45; + U I46; + U I47; + U I48; + U I49; + U I50; + U I51; + U * I52; + U * I53; + U I54; + U I55; + U * I56; + U I57; + U * I58; + U I59; + U I60; + U I61; + U I62; + U * I63; + U I64; + U * I65; + U I66; + U I67; + U I68; + U I69; + U I70; + U I71; + U * I72; + char * I73; + U I74; + U I75; + U I76; + U I77; + U I78; + U * I79; + U I80; + U I81; + U I82; + UP * I83; + U I84; + U I85; + U I86; + U I87; + U I88; + U I89; + U * I90; + U I91; + U I92; + U * I93; + U * I94; + U * I95; + U * I96; + U * I97; + U I98; + U I99; + struct taskInfo * I100; + U I102; + U I103; + U I104; + int * I105; + U * I106; + UP * I107; + U * I108; + U I109; + U I110; + U I111; + U I112; + U I113; + struct qrefer * I114; + U * I116; + unsigned * I117; + void * I118; + U I119; + U I120; + struct classStaticReferData * I121; + U I123; + U * I124; + U I125; + U * I126; + U I127; + struct wakeupInfoStruct * I128; + U I130; + U I131; + U I132; + U * I133; + U I134; + U * I135; + U I136; + U I137; + U I138; + U * I139; + U I140; + U * I141; + U I142; + U I143; + U * I144; + U I145; + U I146; + U * I147; + U * I148; + U * I149; + U I150; + U I151; + U I152; + U I153; + U I154; + struct qrefee * I155; + U * I157; + U I158; + struct qdefrefee * I159; + U * I161; + int (* I162)(void); + char * I163; + U I164; + U I165; + void * I166; + void * I167; + NumLibs_t I168; + char * I169; + U * I170; + U I171; + U I172; + U I173; + U I174; + U I175; + U * I176; + U * I177; + int I178; + struct clock_load * I179; + int I194; + struct clock_data * I195; + int I211; + struct clock_hiconn * I212; + U I216; + U I217; + U I218; + U I219; + U * I220; + U * I221; + U I222; + void * I223; + U I224; + U I225; + UP * I226; + void * I227; + U I228; + UP * I229; + U * I230; + int (* I231)(void); + U * I232; + UP * I233; + U * I234; + U I235 :1; + U I236 :31; + U I237; + U I238; + UP * I239; + U * I240; + U I241 :1; + U I242 :1; + U I243 :1; + U I244 :1; + U I245 :28; + U I246; + U I247; + U I248; + U I249 :31; + U I250 :1; + UP * I251; + UP * I252; + U * I253; + U * I254; + U * I255; + U * I256; + UP * I257; + UP * I258; + UP * I259; + U * I260; + UP * I261; + UP * I262; + UP * I263; + UP * I264; + char * I265; + U I266; + U I267; + U I268; + UP * I269; + U I270; + UP * I271; + UP * I272; + UP * I273; + UP * I274; + UP * I275; + UP * I276; + UP * I277; + UP * I278; + UP * I279; + UP * I280; + UP * I281; + UP * I282; + UP * I283; + UP * I284; + U * I285; + U * I286; + UP * I287; + U I288; + U I289; + U I290; + U I291; + U I292; + U I293; + U I294; + U I295; + char * I296; + U * I297; + U I298; + U I299; + U I300; + U I301; + U I302; + UP * I303; + UP * I304; + UP * I305; + UP * I306; + struct daidirInfo * I307; + struct vcs_tftable * I309; + U I311; + UP * I312; + UP * I313; + U I314; + U I315; + U I316; + UP * I317; + U * I318; + UP * I319; + UP * I320; + struct qird_hil_data * I321; + UP (* I323)(void); + UP (* I324)(void); + UP (* I325)(void); + UP (* I326)(void); + UP (* I327)(void); + int * I328; + int (* I329)(void); + char * I330; + UP * I331; + UP * I332; + UP (* I333)(void); + int (* I334)(void); + int * I335; + int (* I336)(void); + int * I337; + char * I338; + U * I339; + U * I340; + U * I341; + U * I342; + void * I343; + U I344; + void * I345; + U I346; + U I347; + U I348; + U I349; + U I350; + U I351; + char * I352; + UP * I353; + U * I354; + U * I355; + U I356 :15; + U I357 :14; + U I358 :1; + U I359 :1; + U I360 :1; + U I361 :3; + U I362 :1; + U I363 :1; + U I364 :17; + U I365 :3; + U I366 :5; + U I367 :1; + U I368 :1; + U I369; + U I370; + struct scope * I371; + U I373; + U I374; + U I375; + U * I376; + U * I377; + U * I378; + U I379; + U I380; + U I381; + struct pcbt * I382; + U I392; + U I393; + U I394; + U I395; + void * I396; + void * I397; + void * I398; + int I399; + U * I400; + U I401; + U I402; + U I403; + U I404; + U I405; + U I406; + U I407; + void * I408; + UP * I409; + U I410; + U I411; + void * I412; + U I413; + void * I414; + U I415; + void * I416; + U I417; + int (* I418)(void); + int (* I419)(void); + void * I420; + void * I421; + void * I422; + U I423; + U I424; + U I425; + U I426; + U I427; + U I428; + char * I429; + U I430; + U * I431; + U I432; + U * I433; + U I434; + U I435; + U I436; + U I437; + U I438; + U I439; + U * I440; + U I441; + U I442; + U * I443; + U I444; + U I445; + U I446; + U * I447; + char * I448; + U I449; + U I450; + U I451; + U I452; + U * I453; + U * I454; + U I455; + U * I456; + U * I457; + U I458; + U I459; + U I460; + UP * I461; + U I462; + U I463; + U I464; + struct cosim_info * I465; + U I467; + U * I468; + U I469; + void * I470; + U I471; + U * I472; + U I473; + struct hybridSimReferrerData * I474; + U I476; + U * I477; + U I478; + U I479; + U * I480; + U I481; + U * I482; + U I483; + U * I484; + U I485; + U I486; + U I487; + U I488; + U I489; + U I490; + U I491; + U I492; + U I493; + U * I494; + U * I495; + void (* I496)(void); + U * I497; + UP * I498; + struct mhdl_outInfo * I499; + UP * I501; + U I502; + UP * I503; + U I504; + void * I505; + U * I506; + void * I507; + char * I508; + int (* I509)(void); + U * I510; + char * I511; + char * I512; + U I513; + U * I514; + char * I515; + U I516; + struct regInitInfo * I517; + UP * I519; + U * I520; + char * I521; + U I522; + U I523; + U I524; + U I525; + U I526; + U I527; + U I528; + U I529; + UP * I530; + U I531; + U I532; + U I533; + U I534; + UP * I535; + U I536; + UP * I537; + U I538; + U I539; + U I540; + U * I541; + U I542; + U I543; + U I544; + U * I545; + U * I546; + UP * I547; + UP * I548; + void * I549; + UP I550; + void * I551; + void * I552; + void * I553; + void * I554; + void * I555; + UP I556; + U * I557; + U * I558; + void * I559; + U I560 :1; + U I561 :31; + U I562; + U I563; + U I564; + int I565; + U I566 :1; + U I567 :1; + U I568 :1; + U I569 :29; + void * I570; + void * I571; + void * I572; + void * I573; + void * I574; + UP * I575; + U * I576; + U I577; + char * I578; + U * I579; + U * I580; + char * I581; + int * I582; + UP * I583; + struct etype * I584; + U I637; + U I638; + U * I639; + struct etype * I640; + U I641; + U I642; + U I643; + U * I644; + void * I645; + U I646; + U I647; + void * I648; + U I649; + U I650; + U * I651; + U * I652; + char * I653; + U I654; + struct covreg_rt * I655; + U I657; + U I658; + U * I659; + U I660; + U * I661; + U I662; + U I663; + U * I664; +}; +typedef struct pcbt { + U * I384; + UP I385; + U I386; + U I387; + U I388; + U I389; + U I390; + U I391; +} PCBT; +struct iptmpl { + QIRD * I734; + struct vcs_globals_t * I735; + void * I737; + UP I738; + UP I739; + struct iptmpl * I729[2]; +}; +typedef unsigned long long FileOffset; +typedef struct _RmaMultiInputTable { + U I881 :1; + U I882 :1; + U I672 :2; + U I673 :4; + U I674 :5; + U I883 :1; + U I884 :1; + U I885 :1; + U I886 :1; + U I887 :1; + U I888 :1; + U I889; + U I890; + U I203; + U I891; + U I892 :1; + U I893 :31; + union { + U utable; + U edgeInputNum; + } I699; + U I894 :4; + U I895 :4; + U I896 :4; + U I897 :4; + U I898 :4; + U I899 :4; + U I900 :1; + U I901 :1; + U I902 :1; + U I903 :1; + U I904 :5; + HsimExprChar * I905; + UB * I906; + UB * I907; + struct _RmaMultiInputTable * I880; + struct _RmaMultiInputTable * I909; +} RmaMultiInputTable; +typedef struct _HsCgPeriod { + U I955; + U I956; +} HsCgPeriod; +typedef struct { + U I957[2]; + U I958 :1; + U I959 :1; + U I960 :8; + U I961 :8; + U I962 :8; + U I963 :4; + U I964 :1; + U I965 :1; + unsigned long long I966; + unsigned long long I967; + unsigned long long I968; + unsigned long long I969; + unsigned long long I956; + U I955; + U I970; + U I971; + U I972; + U I973; + U I974; + HsCgPeriod * I975[10]; +} HsimSignalMonitor; +typedef struct { + FlatNodeNum I976; + InstNum I977; + U I915; + scalar I978; + UB I979; + UB I980; + UB I981; + UB I982; + UB I983; + UB I984; + U I985; + U I986; + U I987; + U I988; + U I989; + U I990; + U I991; + U I992; + U I993; + HsimSignalMonitor * I994; + RP I995; + RmaTimeStamp64 I996; + U I997; + RmaTimeStamp64 I998; + U I999; + UB I1000; +} HsimNodeRecord; +typedef RP RCICODE; +typedef struct { + RP I1005; + RP I729; +} RmaIbfIp; +typedef struct { + RP I1005; + RP pcode; +} RmaIbfPcode; +typedef struct { + RmaEblk I726; +} RmaEvTriggeredOrSyncLoadCg; +typedef struct { + RO I877; + RP pcode; +} SchedGateFanout; +typedef struct { + RO I877; + RP pcode; + U I936[4]; +} SchedSelectGateFanout; +typedef struct { + RP pcode; + RmaEblklq I726; +} SchedGateEblk; +typedef struct { + RP pcode; + RmaEblklq I726; + UB * I1006; +} SchedSelectGateEblk; +typedef struct { + RP I1007; + RP pfn; + RP pcode; +} RmaSeqPrimOutputEblkData; +typedef struct { + RmaEblk I726; + RP I1008; +} RmaAnySchedSampleSCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + vec32 I1009; +} RmaAnySchedVCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + vec32 I776[1]; +} RmaAnySchedWCg; +typedef struct { + RmaEblk I726; + RP I1006; + RP I1008; + scalar I1010[1]; +} RmaAnySchedECg; +typedef struct { + U I1011; + U I714; + U I915; + U I1012; + RmaIbfIp * I1013; + EBLK I726; + void * val; +} RmaThreadSchedCompiledLoads; +typedef struct { + U I714; + U I722; + RmaThreadSchedCompiledLoads * I1014; +} RmaSchedCompileLoadsCg; +typedef struct { + RP I1015; +} RmaRootCbkCg; +typedef struct { + RP I1016; +} RmaRootForceCbkCg; +typedef struct { + RmaEblk I726; + RP I1017; +} RmaForceCbkJmpCg; +typedef struct { + U I5; + U I722 :31; + U I1018 :1; + vec32 I808; + U I1019; + RP I1020; + RP I1021; +} RmaForceSelectorV; +typedef struct { + U I5; + RmaIbfPcode I1027; +} RmaNetTypeDriverGate; +typedef struct { + U I5; + U I668; + RmaIbfPcode I1027[1]; +} RmaNetTypeScatterGate; +typedef struct { + U I5; + RmaIbfPcode I1027; +} RmaNetTypeGatherGate; +typedef struct { + RmaIbfPcode I1028; + U I1029 :3; + U I1030 :1; + U I1031 :1; + U I890 :16; +} RmaNbaGateOfn; +typedef struct { + U I5; + NBS I1032; + RmaIbfPcode I1028; +} RmaNbaGate1; +typedef struct { + RP ptable; + RP pfn; + RP pcode; +} Rma1InputGateFaninCgS; +typedef struct RmaSeqPrimOutputS_ RmaSeqPrimOutputOnClkS; +struct RmaSeqPrimOutputS_ { + RP pfn; + RP I1035; + U state; + U I1036; + RP I1037; + U I706; + scalar val; +}; +typedef struct { + U I5; + U iinput; + UB I1039; + RP I1040; +} RmaCondOptLoad; +typedef struct { + U I5; + U iinput; + UB I1039; + RP I1040; +} RmaMacroStateUpdate; +typedef struct { + U I5; + U state; + U I1041; + UB I1039; + U * I1042; +} RmaMacroState; +typedef struct { + U iinput; + RP I1043; +} RmaMultiInputLogicGateCg; +typedef struct { + U iinput; + RP ptable; + RP I1043; +} RmaSeqPrimEdgeInputCg; +typedef struct { + RmaEblk I726; + RP pcode; +} RmaSched0GateCg; +typedef struct { + RmaEblk I726; + RP pcode; + RP pfn; +} RmaUdpDeltaGateCg; +typedef struct { + RmaEblk I726; + RP pcode; + RP pfn; + scalar I1044; +} RmaSchedDeltaGateCg; +typedef struct { + UB I1045; + RP I1046; + RP I1047; +} RmaPropNodeSeqLhsSCg; +typedef struct { + RmaEblk I726; + RP pcode; + U I915; + U I715[1]; +} RmaBitEdgeEblk; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaGateDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaGateBehavioralDelay; +typedef struct { + U I5; + union { + RP I1290; + RP I1578; + RP I1592; + } I781; + RmaIbfPcode I1028; +} RmaMPDelay; +typedef struct { + U I5; + RmaPulse I1048; + RmaIbfPcode I1028; +} RmaMPPulseHybridDelay; +typedef struct { + U I5; + RmaIbfPcode I1028; + RmaMps I1049; +} RmaMPHybridDelay; +typedef struct { + U I5; + U I1050; + RmaIbfPcode I1028; + RmaEblk I766; +} RmaMPHybridDelayPacked; +typedef struct { + U I5; + RmaIbfPcode I1028; + RmaMpspNewCsdf I1051; +} RmaMPPulseDelay; +typedef struct { + U I5; + RmaMpsp I1051; + RmaIbfPcode I1028; +} RmaMPPulseOptHybridDelay; +typedef struct _RmaBehavioralTransportDelay { + U I5; + RP I685; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaBehavioralTransportDelayS; +typedef struct { + U I5; + U I685; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaNtcTransDelay; +typedef struct { + U I5; + U I685; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransMpwOptDelay; +typedef struct { + U I5; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransZeroDelay; +typedef struct { + U I5; + U I1052; + U I1053; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaNtcTransDelayRF; +typedef struct { + U I5; + U I1052; + U I1053; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaNtcTransMpwOptDelayRF; +typedef struct { + U I5; + RP I1054; + RmaTransEventHdr I921; + RP I804; + RmaIbfPcode I1028; +} RmaICTransDelay; +typedef struct { + U I5; + RP I1054; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICTransMpwOptDelay; +typedef struct { + U I5; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICTransZeroDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaICSimpleDelay; +typedef struct { + U I5; + union { + RP psimple; + RP I1578; + RP I1592; + } I781; + RmaIbfPcode I1028; +} RmaICDelay; +typedef struct { + U I5; + RP I807; + RmaEblk I726; + RmaIbfPcode I1028; +} RmaPortDelay; +typedef struct { + U I890; + RP I1058; +} RmaRtlXEdgesLoad; +typedef struct { + U I5; + RmaRtlXEdgesLoad I1058[(5)]; +} RmaRtlXEdgesHdr; +typedef struct { + U I5; + US I1059; + US I1060 :1; + US I904 :15; + RP I1061; + RP I1062; + RP I1063; +} RmaRtlEdgeBlockHdr; +typedef struct { + RP I1064; + RP I1065; +} RemoteDbsedLoad; +typedef struct { + RmaEblk I726; + RP I1066; + RP I1067; + U I1068 :16; + U I1069 :2; + U I1070 :2; + U I1071 :1; + U I1072 :8; + U I904 :3; + U I471; + RP I1073; + RP I811[(5)]; + RP I813[(5)]; + US I1074; + US I1075; + RemoteDbsedLoad I1076[1]; +} RmaRtlEdgeBlock; +typedef struct TableAssign_ { + struct TableAssign_ * I880; + struct TableAssign_ * I798; + U I5; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RP ptable; + RP I1043; +} TableAssign; +typedef struct TableAssignLayoutOnClk_ { + struct TableAssignLayoutOnClk_ * I880; + struct TableAssignLayoutOnClk_ * I798; + U I5; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RP ptable; + RmaSeqPrimOutputOnClkS I1089; + RmaEblk I726; +} TableAssignLayoutOnClk; +typedef struct { + U state; + U I1090; +} RmaSeqPrimOutputOnClkOpt; +typedef struct TableAssignLayoutOnClkOpt_ { + struct TableAssignLayoutOnClkOpt_ * I880; + struct TableAssignLayoutOnClkOpt_ * I798; + U I1092; + U I1078 :1; + U I1079 :1; + U I1080 :2; + U I1081 :1; + U I706 :8; + U I1082 :1; + U I1083 :1; + U I1084 :1; + U I1085 :1; + U I1086 :1; + U I1087 :1; + U I904 :13; + RmaSeqPrimOutputOnClkOpt I1089; + RmaSeqPrimOutputEblkData I1093; +} TableAssignLayoutOnClkOpt; +typedef struct { + U I5; + RP I798; + RP I1094; +} RmaTableAssignList; +typedef struct { + U I5; + RP I798; + RP I1094; + RP I1095; + RP I1037; + US I706; + UB I978; + UB I1096; + UB I1097; + UB I772; + RP I1098[0]; +} RmaThreadTableAssignList; +typedef struct { + RP I1095; + RP I1037; + US I706; + UB I978; + UB I1096; + UB I1097; + UB I772; +} RmaThreadTableHeader; +typedef struct { + RP I1064; +} RmaWakeupListCg; +typedef struct { + RP I1064; +} RmaWakeupArrayCg; +typedef struct { + RP I1064; + RP I1099; +} RmaPreCheckWakeupListCg; +typedef struct { + RP I1064; + RP I1099; +} RmaPreCheckWakeupArrayCg; +typedef struct { + U I1100; + U I706; + RmaTimeStamp I1101[1]; +} RmaTsArray; +typedef struct { + U iinput; + RP I1102; +} RmaConditionsMdb; +typedef struct { + RP I1103; + RP I1104; + U I1105; +} RmaTcListHeader; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; +} RmaTcCoreSimple; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; +} RmaTcCoreConditional; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1118; +} RmaTcCoreConditionalOpt; +typedef struct { + RP I880; + RP I1106; + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtc; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; +} RmaTcCoreSimpleNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1035; +} RmaTcCoreSimpleNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; +} RmaTcCoreConditionalNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1118; +} RmaTcCoreConditionalOptNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtcNoList; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1118; + RP I1119; + RP I1035; + U I1120; + RmaConditionsMdb arr[1]; +} RmaTcCoreConditionalMtcNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + RP I1117; + RP I1035; +} RmaTcCoreConditionalNoListMdb; +typedef struct { + RP I1107; + RP I721; + U I1108; + scalar I890; + scalar I1109; + US I1110 :1; + US I1111 :1; + US I1112 :1; + US I1113 :1; + US I1114 :1; + US I1115 :1; + US I1116 :5; + U I1122; + RP I1123; + RP I1124; + RP I1117; + RP I1125; + RP I1126; + RmaTimeStamp I1127; +} RmaTcCoreNochange; +typedef struct { + RP I1128; + RP I880; +} RmaTcCoreNochangeList; +typedef struct { + RP I1102; + RmaTimeStamp I1129; + scalar I1130; +} RmaConditionalTSLoadNoList; +typedef struct { + RP I880; + RP I1102; + RmaTimeStamp I1129; + scalar I1130; +} RmaConditionalTSLoad; +typedef struct { + RmaTimeStamp I1129; + scalar I1130; + US I890; + RP I1118; +} RmaConditionalTSLoadOptNoList; +typedef struct { + RP I880; + RmaTimeStamp I1129; + scalar I1130; + US I890; + RP I1118; +} RmaConditionalTSLoadOpt; +typedef struct { + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtcNoList; +typedef struct { + RP I1035; + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtcNoListMdb; +typedef struct { + RP I880; + RP I1118; + RP I1131; + U I1120; + RmaConditionsMdb arr[1]; +} RmaConditionalTSLoadMtc; +typedef struct { + U I1132; + U I1133; + FlatNodeNum I1004; + U I915; + U I1134; + U I1135; + RmaIbfPcode I1028; + union { + scalar I1136; + vec32 I1137; + scalar * I1138; + vec32 * I1139; + } val; +} RmaScanSwitchData; +typedef struct { + RP I880; + RP I798; + RP I1140; +} RmaDoublyLinkedListElem; +typedef struct { + RP I1141; + U I1142 :1; + U I1143 :1; + U I1144 :1; + U I1145 :4; + U I904 :25; + U I1146; +} RmaSwitchGateInCbkListInfo; +typedef struct { + union { + RmaDoublyLinkedListElem I1640; + RmaSwitchGateInCbkListInfo I2; + } I699; + RmaIbfPcode I1028; +} RmaSwitchGate; +typedef struct RmaNonEdgeLoadData1_ { + US I1147; + scalar val; + scalar I1148 :1; + scalar I1149 :1; + scalar I1150 :1; + scalar I1151 :1; + scalar I1152 :1; + U I1153; + RP I811; + RP I1154; + RP I1004; + RP I1155; + RP I1156; +} RmaNonEdgeLoadData1; +typedef struct RmaNonEdgeLoadHdr1_ { + UB I1148; + UB I1157; + UB I978; + RmaNonEdgeLoadData1 * I1058; + RmaNonEdgeLoadData1 * I798; + void * I1158; +} RmaNonEdgeLoadHdr1; +typedef struct RmaNonEdgeLoadHdrPrl1_ { + U I1159; + RP I721; +} RmaNonEdgeLoadHdrPrl1; +typedef struct RmaChildClockProp_ { + RP I811; + RP I1160; + RP I1004; + RP pcode; + scalar val; +} RmaChildClockProp; +typedef struct RmaChildClockPropList1_ { + RmaChildClockProp * I1058; + RmaChildClockProp * I798; +} RmaChildClockPropList1; +typedef struct { + U I5; + U I1161; +} RmaHDLCosimDUTGate; +typedef struct { + UB I1162; + UB I1163 :1; + UB I1164 :1; + UB I1165 :1; + UB I1166 :1; + UB I904 :4; + US cedges; +} RmaMasterXpropLoadHdr; +typedef struct { + UB I1167; + UB I1168; + UB I1169; + UB I1170; + U cedges :30; + U I1164 :1; + U I1171 :1; + U I1172; + U I1173; + RP I1174; + RP I1175; + RmaRtlEdgeBlockHdr * I1176; +} RmaChildXpropLoadHdr; +struct clock_load { + U I181 :5; + U I182 :12; + U I183 :1; + U I184 :2; + U I185 :1; + U I186 :1; + U I187 :1; + U I188 :9; + U I189; + U I190; + void (* pfn)(void * I192, char val); +}; +typedef struct clock_data { + U I197 :1; + U I198 :1; + U I199 :1; + U I200 :1; + U I181 :5; + U I182 :12; + U I201 :6; + U I202 :1; + U I184 :2; + U I185 :1; + U I188 :1; + U I203; + U I204; + U I205; + U I189; + U I206; + U I207; + U I208; + U I209; + U I210; +} HdbsClockData; +struct clock_hiconn { + U I214; + U I215; + U I189; + U I184; +}; +typedef struct _RmaDaiCg { + RP I1177; + RP I1178; + U I1179; +} RmaDaiCg; +typedef union _RmaCbkMemOptUnion { + RP I1177; + RP I1180; + RP I1181; +} RmaCbkMemOptUnion; +typedef struct _RmaDaiOptCg { + RmaCbkMemOptUnion I1182; +} RmaDaiOptCg; +struct futq_slot2 { + U I758; + U I759[32]; +}; +struct futq_slot1 { + U I755; + struct futq_slot2 I756[32]; +}; +struct futq_info { + scalar * I750; + U I751; + U I752; + struct futq_slot1 I753[32]; +}; +struct futq { + struct futq * I740; + struct futq * I742; + RmaEblk * I743; + RmaEblk * I744; + U I731; + U I1; +}; +struct sched_table { + struct futq * I745; + struct futq I746; + struct hash_bucket * I747; + struct hash_bucket * I749; +}; +struct dummyq_struct { + clock_struct I1183; + EBLK * I1184; + EBLK * I1185; + EBLK * I1186; + struct futq * I1187; + struct futq * I1188; + struct futq * I1189; + struct sched_table * I1190; + struct futq_info * I1192; + struct futq_info * I1194; + U I1195; + U I1196; + U I1197; + U I1198; + U I1199; + U I1200; + U I1201; + struct millenium * I1202; + EBLK * I1204; + EBLK * I1205; + EBLK * I1206; + EBLK * I1207; + EBLK * I1208; + EBLK * I1209; + EBLK * I1210; + EBLK * I1211; + EBLK * I1212; + EBLK * I1213; + EBLK * I1214; + EBLK * I1215; + EBLK * I1216; + EBLK * I1217; + EBLK * I1218; + EBLK * I1219; + EBLK * I1220; + EBLK * I1221; + MPS * I1222; + struct retain_t * I1223; + EBLK * I1224; + EBLK * I1225; + EBLK * I1226; + EBLK * I1227; + EBLK * I1228; + EBLK * I1229; + EBLK * I1230; + EBLK * I1231; + EBLK * I1232; + EBLK * I1233; + EBLK * I1234; + EBLK * I1235; + EBLK * I1236; + EBLK * I1237; + EBLK * I1238; + EBLK * I1239; + EBLK * I1240; + EBLK * I1241; + EBLK * I1242; + EBLK * I1243; + EBLK * I1244; + EBLK * I1245; + EBLK * I1246; + EBLK * I1247; + EBLK * I1248; + EBLK * I1249; + EBLK I1250; + EBLK * I1251; + EBLK * I1252; + EBLK * I1253; + EBLK * I1254; + int I1255; + int I1256; + struct vcs_globals_t * I1257; + clock_struct I1258; + unsigned long long I1259; + EBLK * I1260; + EBLK * I1261; + void * I1262; +}; +typedef void (* FP)(void * , scalar ); +typedef void (* FP1)(void * ); +typedef void (* FPRAP)(void * , vec32 * , U ); +typedef U (* FPU1)(void * ); +typedef void (* FPV)(void * , UB * ); +typedef void (* FPVU)(void * , UB * , U ); +typedef void (* FPLSEL)(void * , scalar , U ); +typedef void (* FPLSELV)(void * , vec32 * , U , U ); +typedef void (* FPFPV)(UB * , UB * , U , U , U , U , U , UB * , U ); +typedef void (* FPFA)(UB * , UB * , U , U , U , U , U , U , UB * , U ); +typedef void (* FPRPV)(UB * , U , U , U ); +typedef void (* FPEVCDLSEL)(void * , scalar , U , UB * ); +typedef void (* FPEVCDLSELV)(void * , vec32 * , U , U , UB * ); +typedef void (* FPNTYPE_L)(void * , void * , U , U , UB * , UB * , UB * , UB * , UB * , UB * , UB * , U ); +typedef void (* FPNTYPE_H)(void * , void * , U , U , UB * , UB * , UB * , UB * , U ); +typedef void (* FPNTYPE_LPAP)(void * , void * , void * , U , U , UB * , UB * , U ); +typedef void (* FPNTYPE_HPAP)(void * , void * , void * , U , U , UB * , UB * , UB * , UB * , U ); +typedef struct _lqueue { + EBLK * I727; + EBLK * I1263; + int I1264; + struct _lqueue * I769; +} Queue; +typedef struct { + void * I1266; + void * I1267; + void * I1268[2]; + void * I1269; +} ClkLevel; +typedef struct { + unsigned long long I1270; + EBLK I1171; + U I1271; + U I1272; + union { + void * pHeap; + Queue * pList; + } I699; + unsigned long long I1273; + ClkLevel I1274; + Queue I1275[1]; +} Qhdr; +extern UB Xvalchg[]; +extern UB X4val[]; +extern UB X3val[]; +extern UB X2val[]; +extern UB XcvtstrTR[]; +extern UB Xcvtstr[]; +extern UB Xbuf[]; +extern UB Xbitnot[]; +extern UB Xwor[]; +extern UB Xwand[]; +extern U Xbitnot4val[]; +extern UB globalTable1Input[]; +extern __thread unsigned long long vcs_clocks; +extern UB Xunion[]; +extern U fRTFrcRelCbk; +extern FP txpFnPtr; +extern FP rmaFunctionArray[]; +extern UP rmaFunctionRtlArray[]; +extern FP rmaFunctionLRArray[]; +extern U rmaFunctionCount; +extern U rmaFunctionLRCount; +extern U rmaFunctionLRDummyCount; +extern UP rmaFunctionDummyEndPtr; +extern FP rmaFunctionFanoutArray[]; +extern __thread UB dummyScalar; +extern __thread UB fScalarIsForced; +extern __thread UB fScalarIsReleased; +extern U fNotimingchecks; +extern U fFsdbDumpOn; +extern RP * iparr; +extern FP1 * rmaPostAnySchedFnPtr; +extern FP1 * rmaPostAnySchedFnSamplePtr; +extern FP1 * rmaPostAnySchedVFnPtr; +extern FP1 * rmaPostAnySchedWFnPtr; +extern FP1 * rmaPostAnySchedEFnPtr; +extern FP1 * rmaPostSchedUpdateClockStatusFnPtr; +extern FP1 * rmaPostSchedUpdateClockStatusNonCongruentFnPtr; +extern FP1 * rmaPostSchedUpdateEvTrigFnPtr; +extern FP1 * rmaSched0UpdateEvTrigFnPtr; +extern FP1 * rmaPostSchedRecoveryResetDbsFnPtr; +extern U fGblDataOrTime0Prop; +extern UB rmaEdgeStatusValArr[]; +extern FP1 * propForceCbkSPostSchedCgFnPtr; +extern FP1 * propForceCbkMemoptSPostSchedCgFnPtr; +extern UB * ptableGbl; +extern U * vcs_ptableOffsetsGbl; +extern UB * expandedClkValues; +extern __thread Qhdr * lvlQueue; +extern __thread unsigned threadIndex; +extern int cPeblkThreads; +extern US xedges[]; +extern U mhdl_delta_count; +extern U ignoreSchedForScanOpt; +extern U fignoreSchedForDeadComboCloud; +extern int fZeroUser; +extern U fEveBusPullVal; +extern U fEveBusPullFlag; +extern U fFutEventPRL; +extern U fParallelEBLK; +extern U fBufferingEvent; +extern __thread UB fNettypeIsForced; +extern __thread UB fNettypeIsReleased; +extern EBLK * peblkFutQ1Head; +extern EBLK * peblkFutQ1Tail; +extern US * edgeActionT; +extern unsigned long long * derivedClk; +extern U fHashTableSize; +extern U fSkipStrChangeOnDelay; +extern U fHsimTcheckOpt; +extern scalar edgeChangeLookUp[4][4]; +extern U fDoingTime0Prop; +extern U fLoopDetectMode; +extern int gFLoopDectCodeEna; +extern U fLoopReportRT; + + +extern void *mempcpy(void* s1, void* s2, unsigned n); +extern UB* rmaEvalDelays(UB* pcode, scalar val); +extern UB* rmaEvalDelaysV(UB* pcode, vec32* pval); +extern void rmaPopTransEvent(UB* pcode); +extern void rmaSetupFuncArray(UP* ra, U c, U w); +extern void rmaSetupRTLoopReportPtrs(UP* funcs, UP* rtlFuncs, U cnt, U cntDummy, UP end); +extern void SinitHsimPats(void); +extern void VVrpDaicb(void* ip, U nIndex); +extern int SDaicb(void *ip, U nIndex); +extern void SDaicbForHsimNoFlagScalar(void* pDaiCb, unsigned char value); +extern void SDaicbForHsimNoFlagStrengthScalar(void* pDaiCb, unsigned char value); +extern void SDaicbForHsimNoFlag(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimNoFlag2(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimWithFlag(void* pRmaDaiCg, unsigned char value); +extern void SDaicbForHsimNoFlagFrcRel(void* pRmaDaiCg, unsigned char reason, int msb, int lsb, int ndx); +extern void SDaicbForHsimNoFlagFrcRel2(void* pRmaDaiCg, unsigned char reason, int msb, int lsb, int ndx); +extern void VcsHsimValueChangeCB(void* pRmaDaiCg, void* pValue, unsigned int valueFormat); +extern U isNonDesignNodeCallbackList(void* pRmaDaiCg); +extern void SDaicbForHsimCbkMemOptNoFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptNoFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagScalar(void* pDaiCb, unsigned char value, unsigned char isStrength); +extern void VVrpNonEventNonRegdScalarForHsimOptCbkMemopt(void* ip, U nIndex); +extern void SDaicbForHsimCbkMemOptNoFlagDynElabScalar(U* mem, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptWithFlagDynElabScalar(U* mem, unsigned char value, unsigned char isStrength); +extern void SDaicbForHsimCbkMemOptNoFlagDynElabFrcRel(U* mem, unsigned char reason, int msb, int lsb, int ndx); +extern void SDaicbForHsimCbkMemOptNoFlagFrcRel(void* pDaiCb, unsigned char reason, int msb, int lsb, int ndx); +extern void hsimDispatchCbkMemOptForVcd(RP p, U val); +extern void* hsimGetCbkMemOptCallback(RP p); +extern void hsimDispatchCbkMemOptNoDynElabS(RP* p, U val, U isStrength); +extern void* hsimGetCbkPtrNoDynElab(RP p); +extern void hsimDispatchCbkMemOptDynElabS(U** pvcdarr, U** pcbkarr, U val, U isScalForced, U isScalReleased, U isStrength); +extern void hsimDispatchCbkMemOptNoDynElabVector(RP* /*RmaDaiOptCg* */p, void* pval, U /*RmaValueType*/ vt, U cbits); +extern void copyAndPropRootCbkCgS(RmaRootCbkCg* pRootCbk, scalar val); +extern void copyAndPropRootCbkCgV(RmaRootCbkCg* rootCbk, vec32* pval); +extern void copyAndPropRootCbkCgW(RmaRootCbkCg* rootCbk, vec32* pval); +extern void copyAndPropRootCbkCgE(RmaRootCbkCg* rootCbk, scalar* pval); +extern void Wsvvar_callback_non_dynamic1(RP* ptr, int); +extern void rmaExecEvSyncList(RP plist); +extern void Wsvvar_callback_virt_intf(RP* ptr); +extern void Wsvvar_callback_hsim_var(RP* ptr); +extern void checkAndConvertVec32To2State(vec32* value, vec32* svalue, U cbits, U* pforcedBits); +extern unsigned int fGblDataOrTime0Prop; +extern void SchedSemiLerMP1(UB* pmps, U partId); +extern void SchedSemiLerMPO(UB* pmpso, U partId); +extern void rmaDummyPropagate(void); +extern RP rmaTestCg(RP pcode, U vt, UB* value); +extern void hsUpdateModpathTimeStamp(UB* pmps); +extern void doMpd32One(UB* pmps); +extern void doMpdCommon(MPS* pmps); +extern TimeStamp GET_DIFF_DELAY_FUNC(TimeStamp ts); +extern void SchedSemiLerMP(UB* ppulse, U partId); +extern EBLK *peblkFutQ1Head; +extern EBLK *peblkFutQ1Tail; +extern void scheduleuna(UB *e, U t); +extern void scheduleuna_mp(EBLK *e, unsigned t); +extern void schedule(UB *e, U t); +extern void sched_hsopt(struct dummyq_struct * pQ, EBLK *e, U t); +extern void sched_millenium(struct dummyq_struct * pQ, void *e, U thigh, U t); +extern void schedule_1(EBLK *e); +extern void sched0(UB *e); +extern void sched0Raptor(UB *e); +extern void sched0lq(EBLK *e); +extern void sched0lqnc(EBLK *e); +extern void sched0una(UB *e); +extern void sched0una_th(struct dummyq_struct *pq, UB *e); +extern void hsopt_sched0u_th(struct dummyq_struct *pq, UB *e); +extern void scheduleuna_mp_th(struct dummyq_struct *pq, EBLK *e, unsigned t); +extern void schedal(UB *e); +extern void sched0_th(struct dummyq_struct * pQ, EBLK *e); +extern void sched0u(UB *e); +extern void sched0u_th(struct dummyq_struct *pq, UB *e); +extern void sched0_hsim_front_th(struct dummyq_struct * pQ, UB *e); +extern void sched0_hsim_frontlq_th(struct dummyq_struct * pQ, UB *e); +extern void sched0lq_th(struct dummyq_struct * pQ, UB *e); +extern void schedal_th(struct dummyq_struct * pQ, UB *e); +extern void scheduleuna_th(struct dummyq_struct * pQ, void *e, U t); +extern void schedule_th(struct dummyq_struct * pQ, UB *e, U t); +extern void schedule_1_th(struct dummyq_struct * pQ, EBLK *peblk); +extern void SetupLER_th(struct dummyq_struct * pQ, EBLK *e); +extern void FsdbReportClkGlitch(UB*,U); +extern void AddToClkGLitchArray(EBLK*); +extern void SchedSemiLer_th(struct dummyq_struct * pQ, EBLK *e); +extern void SchedSemiLerTXP_th(struct dummyq_struct * pQ, EBLK *e); +extern void SchedSemiLerTXPFreeVar_th(struct dummyq_struct * pQ, EBLK *e); +extern U getVcdFlags(UB *ip); +extern void VVrpNonEventNonRegdScalarForHsimOpt(void* ip, U nIndex); +extern void VVrpNonEventNonRegdScalarForHsimOpt2(void* ip, U nIndex); +extern void SchedSemiLerTBReactiveRegion(struct eblk* peblk); +extern void SchedSemiLerTBReactiveRegion_th(struct eblk* peblk, U partId); +extern void SchedSemiLerTr(UB* peblk, U partId); +extern void SchedSemiLerNBA(UB* peblk, U partId); +extern void NBA_Semiler(void *ip, void *pNBS); +extern void sched0sd_hsim(UB* peblk); +extern void vcs_sched0sd_hsim_udpclk(UB* peblk); +extern void vcs_sched0sd_hsim_udpclkopt(UB* peblk); +extern void sched0sd_hsim_PRL(UB* peblk); +extern void sched0lq_parallel_clk(EBLK* peblk); +extern U isRtlClockScheduled(EBLK* peblk); +extern void doFgpRaceCheck(UB* pcode, UB* p, U flag); +extern void doSanityLvlCheck(); +extern void sched0lq_parallel_ova(EBLK* peblk); +extern void sched0lq_parallel_ova_precheck(EBLK* peblk); +extern void rmaDlpEvalSeqPrim(UB* peblk, UB val, UB preval); +extern void appendNtcEvent(UB* phdr, scalar s, U schedDelta); +extern void appendTransEventS(RmaTransEventHdr* phdr, scalar s, U schedDelta); +extern void schedRetainHsim(MPS* pMPS, scalar sv, scalar pv); +extern void updateRetainHsim(MPS* pMPS,scalar sv, scalar pv); +extern void hsimCountXEdges(void* record, scalar s); +extern void hsimRegisterEdge(void* sm, scalar s); +extern U pvcsGetPartId(); +extern void HsimPVCSPartIdCheck(U instNo); +extern void debug_func(U partId, struct dummyq_struct* pQ, EBLK* EblkLastEventx); +extern struct dummyq_struct* pvcsGetQ(U thid); +extern EBLK* pvcsGetLastEventEblk(U thid); +extern void insertTransEvent(RmaTransEventHdr* phdr, scalar s, scalar pv, scalar resval, U schedDelta, int re, UB* predd, U fpdd); +extern void insertNtcEventRF(RmaTransEventHdr* phdr, scalar s, scalar pv, scalar resval, U schedDelta, U* delays); +extern U doTimingViolation(RmaTimeStamp ts,RP* pdata, U fskew, U limit, U floaded, U fcondopt, RmaTimeStamp tsNochange); +extern void sched_gate_hsim(EBLK* peblk, unsigned t, RP* offset, U gd_info, U encodeInPcode, void* propValue); +extern int getCurSchedRegion(); +extern FP getRoutPtr(RP, U); +extern U rmaChangeCheckAndUpdateE(scalar* pvalDst, scalar* pvalSrc, U cbits); +extern void rmaUpdateE(scalar* pvalDst, scalar* pvalSrc, U cbits); +extern U rmaChangeCheckAndUpdateEFromW(scalar* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaLhsPartSelUpdateE(scalar* pvalDst, scalar* pvalSrc, U index, U width); +extern void rmaUpdateWithForceSelectorE(scalar* pvalDst, scalar* pvalSrc, U cbits, U* pforceSelector); +extern void rmaUpdateWFromE(vec32* pvalDst, scalar* pvalSrc, U cbits); +extern U rmaLhsPartSelWithChangeCheckE(scalar* pvalDst, scalar* pvalSrc, U index, U width); +extern void rmaLhsPartSelWFromE(vec32* pvalDst, scalar* pvalSrc, U index,U width); +extern U rmaChangeCheckAndUpdateW(vec32* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaUpdateW(vec32* pvalDst, vec32* pvalSrc, U cbits); +extern void rmaUpdateEFromW(scalar* pvalDst, vec32* pvalSrc, U cbits); +extern void *VCSCalloc(size_t size, size_t count); +extern void *VCSMalloc(size_t size); +extern void VCSFree(void *ptr); +extern U rmaLhsPartSelWithChangeCheckW(vec32* pvalDst, vec32* pvalSrc, U index,U width); +extern void rmaLhsPartSelEFromW(scalar* pvalDst, vec32* pvalSrc, U index,U width); +extern U rmaLhsPartSelWithChangeCheckEFromW(scalar* pvalDst, vec32* pvalSrc, U index,U width); +extern void rmaLhsPartSelUpdateW(vec32* pvalDst, vec32* pvalSrc, U index, U width); +extern void rmaEvalWunionW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalWorW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalWandW(vec32* dst, vec32* src, U cbits, U count); +extern void rmaEvalUnionE(scalar* dst, scalar* src, U cbits, U count, RP ptable); +typedef U RmaCgFunctionType; +extern RmaIbfPcode* rmaEvalPartSelectsW(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsWLe32(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsWToE(vec32* pvec32, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce); +extern RmaIbfPcode* rmaEvalPartSelectsEToE(scalar* pv, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce, UB* pevcdStatus); +extern RmaIbfPcode* rmaEvalPartSelectsEToW(scalar* pv, U startIndex, U onWidth, U offWidth, U count, RmaIbfPcode* pibfPcode, U fnonRootForce); +extern U rmaEvalBitPosEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitNegEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitChangeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U VcsForceVecVCg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U/*RmaValueConvType*/ convtype, U/*RmaForceType*/ frcType, UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecVCg(UB* pcode, UB* pvDst, U fullcbits, U ibeginDst, U width, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecWCg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U/*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType, UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecWCg(UB* pcode, UB* pvDst, U fullcbits, U ibeginDst, U width, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecECg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U /*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType,UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsForceVecACg(UB* pcode, UB* pval, UB* pvDst, UB* pvCur, U fullcbits, U ibeginSrc, U ibeginDst, U width, U /*RmaValueConvType*/ convtype, U /*RmaForceType*/ frcType,UB* prhs, UB* prhsDst, U frhs, U* pforcedbits, U fisRoot); +extern U VcsReleaseVecCg(UB* pcode, UB* pvDst, U ibeginDst, U width, U /*RmaValueType*/ type,U fisRoot, UB* prhsDst, U frhs, U* pforcedbits); +extern U VcsDriveBitsAndDoChangeCheckV(vec32* pvSel, vec32* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern U VcsDriveBitsAndDoChangeCheckW(vec32* pvSel, vec32* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern U VcsDriveBitsAndDoChangeCheckE(scalar* pvSel, scalar* pvCur, U fullcbits, U* pforcedbits, U isRoot); +extern void cgvecDebug_Eblk(UB* pcode); +extern U rmaCmpW(vec32* pvalDst, vec32* pvalSrc, U index, U width); +extern void copyVec32ArrMask(vec32* pv1, vec32* pv2, U len, U* mask); +extern void* memcpy(void*, const void*, size_t); +extern int memcmp(const void*, const void*, size_t); +extern void propagateScanOptPathVal(EBLK *peblk); +extern UB* rmaProcessScanSwitches(UB* pcode, scalar val); +extern UB* rmaProcessScanSwitchesV(UB* pcode, vec32 *pval); +extern UB* rmaProcessScanoptDump(UB* pcode, scalar val); +extern UB* rmaProcessScanoptDumpV(UB* pcode, vec32 *pval); +extern UB* rmaProcessScanChainOptSeqPrims(UB* pcode, scalar val); +extern void rmaProcessPvcsCcn(UB* pcode, scalar val); +extern void rmaProcessPvcsCcnE(UB* pcode, scalar* val); +extern void rmaProcessPvcsCcnW(UB* pcode, vec32* val); +extern void rmaProcessPvcsCcnV(UB* pcode, vec32* val); +extern void rmaProcessPvcsCcnCompiledS(UB* pcode, U offset, scalar ibnval); +extern void rmaProcessPvcsCcnCompiledV(UB* pcode, U offset, vec32* pval); +extern void schedResetRecoveryDbs(U cedges, EBLK* peblkFirst); +extern UB* rmaEvalUnaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpVOneFanoutCount(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpVLargeFanoutCount(UB* pcode, vec32* pval); +extern UB* rmaEvalAndOpVOneFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalAndOpVLargeFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalAndOpV(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpVOneFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpVLargeFanoutCount(UB* pcode, vec32* value); +extern UB* rmaEvalOrOpV(UB* pcode, vec32* value); +extern UB* rmaEvalTernaryOpV(UB* pcode, vec32* pval); +extern UB* rmaEvalUnaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalBinaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalTernaryOpW(UB* pcode, vec32* pval); +extern UB* rmaEvalUnaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalBinaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalTernaryOpE(UB* pcode, scalar* pv); +extern UB* rmaEvalTernaryOpS(UB* pcode, scalar val); +extern scalar rmaGetScalarFromWCg(vec32* pval, U index); +extern void rmaSetScalarInWCg(vec32* pval, U index, scalar s); +extern void rmaSetWInW(vec32* dst, vec32* src, U index, U indexSrc, U width); +extern void rmaCountRaptorBits(void* pval, void* pvalPrev, U cbits, U vt); +extern void setHsimFunc(void* ip); +extern void unsetHsimFunc(void* ip); +extern UB* getEvcdStatusByFlagsE(scalar* pscalar, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsV(vec32* pvec32, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsW(vec32* pvec32, UB* pevcdTBDriverFlags, U cdrivers, UB* table, U cbits); +extern UB* getEvcdStatusByFlagsS(scalar* pscalar, UB* pevcdTBDriverFlags, U cdrivers, UB* table); +extern UB* getSingleDrvEvcdStatusS(UB value, U fTBDriver); +extern UB* getSingleDrvEvcdStatusE(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getSingleDrvEvcdStatusV(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getSingleDrvEvcdStatusW(scalar* pscalars, U fTBDriver, U cbits); +extern UB* getEvcdStatusByDrvEvcdStatus(UB* pdrvevcdStatus, U cdrivers, UB* table, U cbits); +extern void evcdCallback(UP pcode, U cbits); +extern UB* getSavedEvcdStatus(void); +extern void saveEvcdStatus(UB*); +extern void mhdlMarkExport(void*, U); +extern void levelInsertQueue(int); +extern void VcsRciRtl(RP pcode); +extern U fLoopDetectMode; +extern int gFLoopDectCodeEna; +extern U fLoopReportRT; +extern void rtSched0LoopDectDumpProcess(void* e, void* rtn, void* PQ); +extern void pushHsimRtnCtxt(void* pcode); +extern void popHsimRtnCtxt(); +extern EBLK* loopReportInlinedSched0Wrapper(EBLK *peblk); +extern void loopReportSched0Wrapper(EBLK *peblk, unsigned int sfType, unsigned int fTH, struct dummyq_struct* pq); +extern void loopReportSchedSemiLerWrapper(EBLK *peblk, int sfType); +extern void CallGraphPushNodeAndAddToGraph(UP flatNode, UP instNum, U dummy); +extern void CallGraphPopNode(void); +extern RP elabGetIpTpl(U in); +extern U rmaEvalBitBothEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ1W(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQXW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ0W(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval01EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval0XEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval10EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEval1XEdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalX1EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalX0EdgeW(vec32* pvalCurr, vec32* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitPosEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitNegEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitBothEdgeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ1E(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitEdgeQ0E(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern U rmaEvalBitChangeE(scalar* pvalCurr, scalar* pvalPrev, U cbits, U* pedges); +extern void rmaScheduleNbaGate(RP pcode, scalar val); +extern void rmaEvalRtlEdgeLoads(RmaRtlEdgeBlockHdr *phdr, US clkEdge, scalar clkVal, scalar prevClkVal, scalar val4, scalar prevval4, scalar master4val); +extern void rmaEvaluateDynamicGateLoadsCg(RP p, scalar s); +extern void rmaEvaluateFusedWithDynamicGateLoadsCg(RP p, scalar s); +extern void rmaScheduleGatedClockEdgeLoadNew(UB* p, US* ea, U flags, UB* plist, UB* pprevlist, scalar v); +extern void rmaScheduleGatedClockEdgeLoad(UB* p, US* ea, U flags, UB* plist, UB* pprevlist, scalar v); +extern void rmaRemoveNonEdgeLoads(UB* pcode); +extern void rmaRecordEvents(HsimNodeRecord *pnr); +extern void handlePCBs(UB* p, U i); +extern void markMasterClkOvaLists(U fdbs, RP p); +extern void rmaChildClockPropAfterWrite(UB* p); +extern void rmaSchedChildClockPropAfterWrite(UB* p, UB* pmasterList, UB val); +extern void HDLCosimProcessDUTInputChange(U inputId, void* val); +extern void rmaChangeListForMovedGates(UB clkVal, UB f10Edge, UB* subMasterVal, UB* plist, RP* p, U count); +extern void rmaEvalSeqPrimLoadsByteArray(UB* pcode, UB val, UB prevval4); +extern void rmaEvalSeqPrimLoadsByteArrayX(UB* pcode, UB val, UB prevval4); +extern void vcsRmaEvalSeqPrimLoadsByteArraySCT(UB* pcode, UB val, UB prevval4, U c); +extern void vcsAbortForBadEBlk(void); +extern scalar edgeChangeLookUp[4][4]; +extern void Wsvvar_sched_virt_intf_eval(RP* ptr); +extern void vcs_hwcosim_drive_dut_scalar(uint id, char val); +extern void vcs_hwcosim_drive_dut_vector_4state(uint id, vec32* val); +extern U vcs_rmaGetClkValForSeqUdpLayoutOnClkOpt(UB* poutput); +extern U rmaIsS2State(scalar s); +extern U rmaIsV2State(vec32* pval, U cbits); +extern U rmaIsW2State(vec32* pval, U cbits); +extern U rmaIsE2State(scalar* pval, U cbits); +extern void rmaUpdateRecordFor2State(HsimNodeRecord* record, U f2state); +typedef void (*FuncPtr)(); +static inline U asm_bsf (U in) +{ +#if defined(linux) + U out; +#if !defined(__aarch64__) + asm ("movl %1, %%eax; bsf %%eax, %%eax; movl %%eax, %0;" + :"=r"(out) + :"r"(in) + :"%eax" + ); +#else + out = ffs(in) - 1; +#endif + return out; +#else + return 0; +#endif +} + + +#ifdef __cplusplus +extern "C" { +#endif +void hs_0_M_0_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_0_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_0_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_0_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_0_2__simv_daidir (UB * pcode); +void hs_0_M_0_2__simv_daidir_core(UB * pcode); +void hs_0_M_0_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_0_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_0_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_0_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_1_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_1_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_1_2__simv_daidir (UB * pcode); +void hs_0_M_1_2__simv_daidir_core(UB * pcode); +void hs_0_M_1_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_1_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_1_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_1_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_2_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_2_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_2_2__simv_daidir (UB * pcode); +void hs_0_M_2_2__simv_daidir_core(UB * pcode); +void hs_0_M_2_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_2_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_2_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_2_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_3_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_3_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_3_2__simv_daidir (UB * pcode); +void hs_0_M_3_2__simv_daidir_core(UB * pcode); +void hs_0_M_3_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_3_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_3_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_3_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_4_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_4_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_4_2__simv_daidir (UB * pcode); +void hs_0_M_4_2__simv_daidir_core(UB * pcode); +void hs_0_M_4_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_4_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_4_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_4_11__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_21__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_21__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_0__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_0__simv_daidir_core(UB * pcode, scalar val); +void hs_0_M_5_1__simv_daidir (UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_5_1__simv_daidir_core(UB * pcode, scalar val, U I677, scalar * I1303, U did); +void hs_0_M_5_2__simv_daidir (UB * pcode); +void hs_0_M_5_2__simv_daidir_core(UB * pcode); +void hs_0_M_5_5__simv_daidir (UB * pcode, UB val); +void hs_0_M_5_5__simv_daidir_core(UB * pcode, UB val); +void hs_0_M_5_11__simv_daidir (UB * pcode, scalar val); +void hs_0_M_5_11__simv_daidir_core(UB * pcode, scalar val); +void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685); +void hsG_0__0_core(struct dummyq_struct * I1289, EBLK * I1283, U I685); +#ifdef __cplusplus +} +#endif + +#ifdef __cplusplus + } +#endif +#endif /*__DO_RMAHDR_*/ + diff --git a/nco_dpi/codegen/dll/nco/csrc/rmapats.m b/nco_dpi/codegen/dll/nco/csrc/rmapats.m new file mode 100644 index 0000000..e69de29 diff --git a/nco_dpi/codegen/dll/nco/csrc/rmar.c b/nco_dpi/codegen/dll/nco/csrc/rmar.c new file mode 100644 index 0000000..21b81fa --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/rmar.c @@ -0,0 +1,13 @@ +#include +#include +#include "rmar0.h" + +// stubs for Hil functions +#ifdef __cplusplus +extern "C" { +#endif +void __Hil__Static_Init_Func__(void) {} +#ifdef __cplusplus +} +#endif + diff --git a/nco_dpi/codegen/dll/nco/csrc/rmar.h b/nco_dpi/codegen/dll/nco/csrc/rmar.h new file mode 100644 index 0000000..77865aa --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/rmar.h @@ -0,0 +1,18 @@ +#ifndef _RMAR1_H_ +#define _RMAR1_H_ + +#ifdef __cplusplus +extern "C" { +#endif + +#ifndef __DO_RMAHDR_ +#include "rmar0.h" +#endif /*__DO_RMAHDR_*/ + +extern UP rmaFunctionRtlArray[]; + +#ifdef __cplusplus +} +#endif +#endif + diff --git a/nco_dpi/codegen/dll/nco/csrc/rmar0.h b/nco_dpi/codegen/dll/nco/csrc/rmar0.h new file mode 100644 index 0000000..48e8516 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/rmar0.h @@ -0,0 +1,13 @@ +#ifndef _RMAR0_H_ +#define _RMAR0_H_ + +#ifdef __cplusplus +extern "C" { +#endif + + +#ifdef __cplusplus +} +#endif +#endif + diff --git a/nco_dpi/codegen/dll/nco/csrc/uvm_dpi.d b/nco_dpi/codegen/dll/nco/csrc/uvm_dpi.d new file mode 100644 index 0000000..1275ffa --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/uvm_dpi.d @@ -0,0 +1,9 @@ +uvm_dpi.o: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm/dpi/uvm_dpi.cc \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm/dpi/uvm_regex.cc \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm/dpi/uvm_hdl.c \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/svdpi.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm/dpi/uvm_svcmd_dpi.c diff --git a/nco_dpi/codegen/dll/nco/csrc/uvm_verdi_dpi.d b/nco_dpi/codegen/dll/nco/csrc/uvm_verdi_dpi.d new file mode 100644 index 0000000..74d0e66 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/csrc/uvm_verdi_dpi.d @@ -0,0 +1,8 @@ +uvm_verdi_dpi.o: \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm/verdi/dpi/uvm_verdi_dpi.cpp \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/vcs_vpi_user.h \ + /opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h diff --git a/nco_dpi/codegen/dll/nco/files.f b/nco_dpi/codegen/dll/nco/files.f new file mode 100644 index 0000000..0694b7c --- /dev/null +++ b/nco_dpi/codegen/dll/nco/files.f @@ -0,0 +1,3 @@ +./nco_dpi_pkg.sv +./nco_dpi.sv +./tb.sv diff --git a/nco_dpi/codegen/dll/nco/html/report.mldatx b/nco_dpi/codegen/dll/nco/html/report.mldatx new file mode 100644 index 0000000000000000000000000000000000000000..ad69e71c3dcac2426c8615cd891de2088e914172 GIT binary patch literal 30487 zcmc$_V~{4%(=Yh6ZQHhOPusR_+qP}nw(Xv_r!j52d*{8o_s0Gs?t5duZANCrIaLuE zaekSVm32<0f;0#yDgXe0{3k>J2mF9iIv@Zb0t5g+`FBTK#NN)u)Xqg;#nZvmS(o0! z)}}UjUT&BXcI?JGic)>W%s~*cbt^D%1dN;&f1qVoD~(*y=K1u>ktd82vQc*X@8);k zUOMH5$FfH2kYuZSC%=5SRw0d#X17>fzPbBks@ll@uZCU2x^_veSczbpHaN*&ZFqmW zjNpHdDJU9(q`U{naXS;>7*HJzfl{V52s$(2>SYb_h>t_HV|%eGP-pMs?$TCg>sG7I zvxOL30Bfwz(0G=wUWs?{tX+q5*?9h$QcDd4eHu#2)2!iWPv{^eX?Me8IUumjAWbMS74ui%KjYNJ3pE z6s82f@aVW?eDyQ+l6mPKWcD>Z)j!NzC`Q=h?(EMwmtM-JVAf^MiroXE@DE+O|Ap?| z<=4~azZvE~bYTFf0DUJ@8)pXk|GEMJ5dS^+Ps&}I{V${;ZoTt~?|o;r$U!U4;X=Ud{#~NG&*l4S?_R@1$JAG z$E|uoW?dhf`J0@)t?aoM*;v1U^8qkWQEQZ8U`a+XsNr`;DAaXf{+?vyjbxcphk7GwMyktaywZX`kC zpiVH)fkpVp4~dj+nUwsqyATj_1mWN$&83jZj^k~q-4`5I9g*iPAj%rm5A?7mduzq3 zY_}?MpL1^V6JBQDO9|L&OkUJ+9qe*>(BRX>#NbCuK884RcWMV#eB8JAWi61%48wz%=ZruRf!~@hffu zYROg>9@c!R+uyz0cW(m`TL92f*9d_dh zedK+_TF#7{?zXVZEj}?P)+%Ofr9H-O`|v!yUV3hKD6vOzH+?nC<2IZ^7aCW85yk?W z6$6(Z=Z_G+8rG~si0OdZaD-wu*H)GET}O4GUKCNOF=K-sx_#G}@=7kcM&dQm8qT~+ zrR!Wf84dXkje%<0Y&V*8T4f|-1q~*GRTcql_KfxsC@h`YKTiVK+fNr+~{QO5eR_!xxpp4J z7OvDvn`Fg%B*WZ!fL_B(w@7eT-F;}oj5ve9As z_o>8nbojn_)B3s7^@J?7UXpB$#=#ZMn<~DTn&{6-w6q)BHR1_7&bTKs{w726X6nC;Dl(TmuBxE9FV&`UL<7VO@ zWM*V$CH((L|K+y-gMg%D5&jL-{)tD*VrH&gNR~A$+iLSZBP?en6dl-CeJQG7*5+&x8a>do(z^j4K7 zVzZF1L~bGPnLCgbv4j~JNKm;Kioa2O%eYyL+d{n3HPBHKl#IA(StDy(Sp>HiCAwfX zZviZ|yBI@d-%?O~S}2tNSD`^SmNjK29@KWSu7I@RYPvE8nK%suS-MRCQC|uqsI|^U zIF~}HMg%DZLG6^kB@{t+qmGi$RvgKE)X^1G%&GGk2M0wIpz zxvA)UL9CGJzyNBjtsA}wyRH?cKz=Bp^5(IjKy?dfG-$GKv~}o6`E9`UMZ+|)5Y=8` zkkcM)R&3Bv^nDA&lO8T}zSMx55Rj~pAG=1D@*n2I`T~}zsd=7HS&36~xgXo`pX~z- zyl%nhpYUMuLl z2jroSqps67vTi#&@7c#rua<3>z)#KPlQ#Kme)ahnDvkP&Z%V8!yUeb&htSIY>Zznk z|C*`vGQa7^4cBJpTI0z+3H#wQZWRUn)ffSw;Z6pk4d$d#>(D1zqD&UaJ-B5ztjRf| z4fmOhyo2(@ZP=t`N7u;}^H{$ao_Gfg#B1UqUFwDll8|hP4xG4e8p&(wByWUs|NenG z1jK9XAzg_M1elWt@}w_NxiGUu<#J~?fsKx_H=Mb0dQAR5Nm8!AtbHy3pKK8Io(xf? zzm;#~pWODOdw7ZN+0)R|)412_#7f+k7Of}$wmN$1_}dJn{waj?pBt1PI_q2eTbuX!51{p;EbQ+!e7$v~LoA+NIF{bfGi6>{UXL-?5FD_>r%NZp>^zShQp zjsH@7MQD~cXW&Nl62!P-`y=kN%Qp~@HI6XLavg3dcxs$GGJN-<^_VV5J@XwyLIbQen6Xp9HEQuF&`K$6Lm0yg<=a2o^VE0F> z)ff-G>9d}H^Xc$>YVnS-F54&GQ?Q+j_(W$nmcktw{JwP#lGC-zw%dzS`I#FSBiioU@iT0FGvc4$LJUbCdd_8Cm2i=2y}4*Kr+7ugNJBir{5EKU=j6!T}#qFf8= zDf7P|0DzyLD~&aU)f!J6+sdVl(%`Mwu z3_|)(aDoAeTPPi`8}kO7`rKICZB9oNYS+?KzUB`zb8|EE@m*2K9rIpNKF=-m$L3xT z)eAqLyNKR?lV0Li9HZT`f?@I_&!djLKU8(N!>J|sw;T(~LO+JRM?7(|e6g1B74_aDZQT2<2hsABF$4EHsO{#hIkxFd9^J!e@mt9b%bYUt z*8D$3DGYh-pkGn0aNZPllquonqL(PYneI9wKMac6SDx`K-$uTvf1hclI!GYf(S&Wq zoKK%#f!3!V><+yZ@`8QwAUJTvlX-3h`3=jP3}dg?ew#1!j`-alC+EX#_J;u{6C5cz zRCTfxrE0+oln>!;%n3^QA20Sp>fry-U|rM>>DMc^^_$?nq+j++eV+6P2>TN>_aT57 zg@)JI#p9m*h@c?osuaINN#6i1lCD|ahBf8tuXsY+s-^T4&40*FDKB^(-7O=(ec;8E z4|%UY7QYkgf9r|$DKI-!H#}Six%tJNa9r88&8w2-`?`VErUt2)Y1gF0&^H&jUMV-| zq*$QzTDxEpDBV##GRhKi3Yc>LjoBeTr}*;%nFAtw-<<(JxJ>B~iq&L!ft=68{cRvf zU-ZU{^dP*qV_wSKY`?AnX|UWfKbUbDQYph&U%WLCZGWAx;c6}{Ze{7tWk3CT=ih^1 zr?gvjkxuMTJ<%?<{uW4T&ttyxAiA)pDbVnVq%b3`^^F!qhu7s1@t53fM`KEmc+06R z+k_%{GGwO#wrC#qKKLAo#y7G<_vktDrW{%0+X-oV4fmoD)UN8(buakt{gL|%@t3|i zkoWfAn}F(ApSvECGyNJ0f4FOtK%k7CnIV^923%arvL4fQkWsDEkbfBi<&TRGd?{qIuHD_=P0 zP06KOeMQBm74mjYA>g}%YTxPxI4F06ZdkWx-)64#1n>nh$sE$p#($Bcf9HW{HU@Mo zZdT8<_mqTDe`k4l`JY&QDc+|Q{ag zuSWIjfGrST?bPNl-W4m<#T0KPKHNzD1%&=>C_hdca#CWBHzLsX{VryPR7MV$W4xsf zf2UlX8p#y76DwSo2LVPqRs1!y;tmYrg)MDk{93dKCAGb-Um8HgiWeek09*j~8!DD6 z(0>i;E@lf1D%J(4AIR8PP8gYoDyCJ3q7o_DD!3F&DLi_+OQh8WDmNiI! z&B~C<$n1?3U)L3NXk1En0><7C{=xLNQsW5eAD$=CkXK zAvg|m=od;>my?(KSSPXLpQ8&){`2DHw8)2-pC9X;YQJi$f)#?_`7r!e$BK02P6DN^ z#Qs@KNjz{Vo?sE`1NxZ`aOSE!pwDrT3y@!4?`NS@wq*nbrHvz2aDiov}c z;4v+oM1DZ ze$mvZ3;&IINU&ns5N#u4hMp42^#H5u>EO+U74N+mJoDk?#Fwe_?#~;R!_Ubb688gk zw=*li>rhse3!>;&fYzRNFN8)U3jE8nm!?4AX^lY|b^uucxYG-Q{R_ckw}wdp`nn3zEA}2oa5mE!>6^1!<|i4* z>2|Fcyu;ZTFKy0Q2g3(ci+T>LEb9~BD2pgYv#oEKf<3t@Z|S@~zJVH$8PP4S)Vr11 zE}byF@dlPS?a}4U#l>@@r<4CU=S^rPAMgG9>)N1#x)cUjI$W1P;stJ}U>>nSHbz@O zHvs%F)`aX}K+cp!^B`jYixbTprJFx!n_G^nRUc3eoXX%ukv@)+fJP>YAJU+Y7`X$b zvt)Wv7iTuWyb$Unozxtiv5=y`f{67uZ_XWSFnmakV{NRbq6J`_yZ6rhsAwH=$^A?G(>%z-aPl zL{p_UZJ9NeWsufu-H@&2>45`+d2 zrV-dDVhz-kAd0ODZ=Qt8>iE2*A{JAstUh$(>@hz-51xF0qU&3?PT*+F*dxh^y%fP2 zBN97uy0b+@84SS}fe_)!H2Sp45=WP(_XX)#*5;Qa3WUwW$DS1btMN!njCUWoKmE1# z$lKZHeUbY%o>kh+xfd7Uqh)_=gZIt|A;%3Bi^XYLc8ir|#0Yty9Vd!Oj!K82+<+3G z%*|o2(l|+L#K}T&6C>q=5EcT{k1WpK*~@-UqV`KzL#tc>F%|($`Tn*5>b(2#X(lFrYWpgVz{%b5h7z#A(93TROF&1)*?ZW zB|#%Rv)Y?*52hLd;s^B8)rRGb$?)Czh2 zZ3T0Dt_BumP}97AUxD`cT^yB>rs9nqnurK#mdd7nxQ#8P+TriYX3-zTGx5}($^DQb zp0aP^J7Y1O^sl(Xg2?z=Y0#9ZT3$88jO8%Gg4`sn2ZwG@*AV=>GC!kP+N;(m{ zy4b}$BdJrKIio+gUp>FsnvV9i7)R1J_z}1Ew5o&0wGQdu=k#G!JM?{FG zYZ4S#VoZVO;#i)gxuH2KIS(#Z!$#qgg@m|K5H2o?P@eMxtuzbuSz)=VDhcwsQf?S8ZyoF)hg>Jgp3c8u{ zB-!%d8yS(AlXVaIYA~l1jP-_S=6csNO#PVgONn@I0%WIiDLg>?Kf_Dwn zTTm;3D8Ykx!Gbtp!fG{ZdlH4y`f(vM*M|{0R{fT)Rt*2t`*Ogv3>#|sd6NQW=b zsnszgMc&QU6TMh4POnK#;0(Y9-(6G^bQE0@6Ae~ss%pkTo)0=pdy%>|`z;lzvh3>F z^TAoqQ+{_rb+e)mG3YsyPZF?s=hayl_VR%`s^_OD$#W(~a#~m7xZJiF4U?*cp*Pt5 zXdF(Q3#Tz%eal_y-X10FwdWq7%BZG^Kud4W2VaDC?)9TbLB;H|H@L3lHrlO+|0XBQ zFwZ$M8|xWdGu)V5B4Gla13Vn@AqG_EwC<_Sagk;^49|WgUTv%03!)s{T)E0IM`GO5 zo6}4qm7^|067m2+O5Ub)zqa z2@>Mq3ADRNf;q@8`5dfz9DZKN6zYq!hx3ThYhr{1RupX!=QB=&KqJvI&*e7ggeWrt zvY3o3rkH@lP9wHkCQwj2qhj%R5fcbO=INp}Af@lG-aMPOW0jq@!xP|?>)X^;dYNz+ zn1OvguwIUe6+)=_+0wMkt)v(<6eb}rI;|>r&1|$hEqd1VFXKZmoxj2SFi@LixE!DK zJ)^yg!nvtnBZu6Ly_Um*Q1B!-Fg^6b;cR}(*{8)8-WQ1n!$`h_(3^n3^4ZM>CuC~d z4Jjm5&kr)&V=&Oz6GwDYrWUEv-jhlkGu{u(sP(7d%^o^Bf>^{ANy-!M8XA}#N4oar zs#M)CLC)LndWP}|OY;f##5Wca*RSQ|z4)ge!9BxZ7 zltSd4Z)ziGzw1-C%*ahI>sQ?p|5-~8XVb@ZwCpx2xBLYe8cuqEsbD5kk`q zTcpDrQPMfUXyJVe)})Zp>o9hE^wpy=5Dwz#LSAd5(8CaPFDRq%uU@| z9O?~WW?hoMuH`PvzJl-?rWF8PT!E@UDgt*@dKx+D`9+Y^{KV(H=EEL4Zm_c%(TX#I zU|5UrMOni(KPA$#ruM5huxWPusSP?Yes&yjc2|;h+*LoNcQt#m>#4)C`nS?-IHnjy z7Cys!(S;C7EyPKku4X1(^Y;K?YP+_H(K8eH zWLjCUm)){GE50NE9e=%w4PPYiT?Dm3FArGDAyIP~M3A5)5M5~Vl9x3=ICu<%Rr0w3 zq2-on*`^g=0^|x9j87xJ5U6g@jrh`##)3%LOr#&wD*+Olq80l}0%_1E0`g-WE{n5$ zD?T+6FBbA?t~la0K_N!U=}LT3M6{tl8d7V*=b-ITNN&L>)G8idFdD5gyLDWlu@?jh zMZias*vhe=ZYRS#0bGkWu`)bQfHMGHacKc0sSTx3`Io5I{By0AW+bTv#dnN=kQfR+ zed!TAtMO!>#jJ+U*Jt>KQAGON) z{r){_)puK~d;1aFTYJ5ogT1n{(f(E({GT;KVgs2{UUw&z)A$!!1X zX!|;A=MKB!Lx=KZ@^JQ6D<6|`+t&5=xKoE5p0wpa`>9D@Oh-;x z;s%Q>^l*#c**=e9y6qe7Ud?}+?A59V?*f$pH`>;YGc}sdQ=6J2ZU#LJ{t{uvKO(Fh zy+70D)s~sDUTEJ!%9rgcR#~y9N4IwhPjaFqD{|M(zOAFJN0shHle)EEAevK{s7ov z_5hJBJKuwzDFo<#pE^~z;?+v*g0|`~ReRM~ZjEwryg3u`VpCPPtuS)gg0jUbAu*T= zi z01To9OPolA6dj31Gf=H;^-joq9GG*v4eS0zK{JvS$lP$OIF6ZZw%KsiW-WMt%}~~` zc{#|RS~Ix5b~>1WmMzx?Jnn(glq^gUxKk*Y1SVNbM9N?}un_g|!2Nu91<;AD0Z8P~ zA_HG7$(yj9kd0u$Ggh0|a?8zI7MG;@b{L`$Kt7n3fpSR1tJ?Q52H}`;#g3Q z4Aw8Lh_?onU)C)fxM&=D#y5De@D2q_H|G#UoLVdA1%8rWwHgQLPnsaT{bJEA~~QMXK` zJEDL?TOo)yIthKJWZLo zRM9Qy8R=;oAO}eUe%!0z7&&YkOcvLggbX)rKX)qI6xafwHUgI!H_&>!HQi5P(i1Wt#}Mz15^Q+D>f(sSr)Z{X04kQ zN<0;EiaT2cL4#3a_7;^}v*dr>Eg;w-v9IZJpl2#0QLNf3nE)Uy4Mm|O#bS1?4Mnjc ziqk+>VlaFQ21*5^X2eVZCTitUaB=8a_!hH)MiAAgGr?LY{Xl_#4e$>j*t8c?EDO*; zB7$3&U+-h_M}AkU3Nq@zlNhf@L!FSCY(zBdgG?WYYfKeh9=eK19BuUwWL&%dKi^q6-gfvQQ?#x@%?R>-_m}DbCYR93F&9QvAEnHk!-u7{vCvD z+*$D%o}X0Ahb4kbp4DBiicw8Eq|W+=<7)WS80FRH1fW**Xu-Kqy;%xKCfl9m%773~ z|ByJ6f}?PKHWT5?Jk0a(XE^BEhi5VxYL_^oMxf)CXdq#8_yE%qkWbabF)8IalUpB^ zz{lo}3L0h(nA0G~%{6y6!jUee-YxR)*S$bSlcPgrcwr<*qRdP6jgQk_om-+l`w|i^ zV)0a;4U5Zxvn(L!jU8u@{PMVuYxXhqJWYH^o%z&B257_Gue&A}#Z8OrHkaI(W#dG8 zU;#scSSH#g*yGRA2$10?Tg=Q(-1nLavApgN^N5B^_gb04XW5T#hRwiClIgZKMKPUg zQ}31yRl85ExD6k6VvXhH*LVcDgGm?gCkD%>In_wv|6nC!?Z{ltqT}nMyWBa+uzKbS z{h6i+E)|;xQ&)ua4xZXCG{y(zam6$*VDi%r`&gFeQb2Dg2mC2i<`Je{b@bLcph1=| z2Hm-N)A9UFWY(wskOJTI*v{#fWR#z9;mc=XPjeTPLl-OSxqX+8IA~+zoNtCOoZ>iJ z+(hVr)i9R@dwQ1xCSDHUBIQOZr4CIq1G><~I`haaS#&}c17f`!Zbnm9#bURhpQiNr z{u~_uOfYP1q=!oKL+bJZfNXFBfErBBhrx*A5@i~4U8p0RLP4*}l>lrY5tA$9nGX=< zPPk(Ora-Is+~}UMU8p=argxNb^wcb|FT&pKkcpZ~?(kzoak%b|o`s`}Lfi6V9oyv8FIM&3<5M)krStiNyN65pPKZ!3h4fqHSWGn{G4I$?w|TPN$yJh@rsX6a28$uC>&zV zv8h9+fGoId zbRNVzxP6A`>nCcRqCmAmTEW8#*?It!ujkH8q8RrMv?=d5Z_jN(Fr!Ea&%cSq;sfoh z_|H+E%N9AsrQRS0?}&G3U1!G2$cv*@3;>#!(&0?x}T@On_Ti%Dp;uPfN2>7`NQb zpXOc{vg+<0(b0zrivgl;`_1tX7K1O!vG8vuZcfGcrkOwW#)2@ZTw8mA;^($o-x;#~ zv)+mm_h~arUkF11y~412-ZJ*kaHA@;d&MmnT*T*eL`3v^6gvEw>MQ~WQ3*kwQZV`E zaA-1&Q$Q`7{usjk;K+Yd?ZMS`zNQQ`H(oMYnf33sbR}dwf+P0a$hy>N8ZPC$?foGB zRQc8~pK#22vv8vP9RWFvgUVrF3X+%Vmwk)f4D45JGfHScRp{RKO55f+4fnsg{NbhG zX9{?z4s>UNCCkMb7e+r;-U7jkLe z{pnzUzYW3zM|C_W1%oa%OIx;363(Ej!&G0(Q`ezI7{Gp`@np2vRtNahp4b(`-V z|M4*_u-b>$#1c6iIY`^bvmxCyVRFEMt(b4^&KeXxiQ0+F3E@R7Zz?U%NPIIGh`%+p z=|c}178n>E;ORk6hWu*!4UqZcqruZy{-M1;GWG;gyXLr1wMsKcLGb$+Fa?VSTase< zd-jxR3wkiq+nL)3G-`+Y&MYkf+vf1%$|swQ)H?%=ld=cIF!1T`Mm=D?hvhAd`sUq~ zCb#Vg^-Sx%^bY^Nd)*HwvwOrGul*jHs_l!oGd7UB=lbe zGej;S5=nNrjiczq8Z_qN91yS3wi%NcZuRo=Ano@UVRjq&0%VM1vhyxcPCN=h%d$7o zdfsGSZjc2rZRA-lL-TGHTrN6QaNjMl5!H%eggWKeSZi()J$rv{&BfZzzOKr~if`}; zl%QtE23O=`@#P(C09o`4R8g@U!w+V~h&1ia6017fTxQjX$QYi4OLCt$@A#3CE}D7= z?x)aR8qd68)WZ10P{}PYr^tJ&qHi$z=@~&!4M9Qj6vZ`stQ4|$bOOINt)|sJFGgg=W8GXcM@<)dv+N^~neN6)thSYCkC!p~H zk9YGZ0_3m1C}*_a&SnyQYF_jZd(5e*Hj)SCxz0Ysx<p%oP zxy$S?hSglEc0A~vY8qZ8zCm%2L5^ze-awG~C`}pGrj!s})T{UL>vh*_MbVWKI0|lN zc(QY4?}2Q-jR?aRkHY1X9b!g z;Y@$z<@?(fyp4fsp^QH(11e;%CCw=(sx8At&#c<)pAodp3bly@P3|%aHWV&Tqs5ak zp^jvu?++Vf#J<4+jeOEkDzWYa%V%Ef#Dz{<;;HV95y&v{(R7 zHkm8UrEJruFpM{-zw(fND8@K;I5}nDAeZwxDM9C?nDrHo(ndi@m!REoQbZCJ^0Ar@ zN^vcxnzK#Pa6lwB)k-it+`5ki@XE)u_f0Po-kG1U>=)(KfFA8@0r8M44JD%l*?;s1 zI(iH7QtOt`ve!IEjeNQWXqMvy+4m>^`V8TZL;|J&ZmAD|m@x(J;!NDyhuhecM`xA6 zWT*5+D_=31aIFvs&GfdBVa<^%TBhU!Z%j*KT7W+Pvp9+yLQE!14b}&JIesd#M`k#L z3}!NVVJeOUyFNYelEc|S!2E{;lFupph6vOv5|@d0JhB^LIjD#J>!m2?J%pa_PvoE` zKYjguc^BY-jTH;h7_T-80)tufo+51+iQh5FB32+r--?VNci;um|3s`b26KcoRmt7*N{D~&34{Wykh=5$sWJRD zX)$v?q;2UA)p#k>fk_Q}?q^$ z+}^T)EyehaVj)e618hZc!O5FF`%6hB&>+Jx`qwg*MllAEw)n%kW{^$Jw9Nxk5Oxe) zzUZrzZH7Ed*1W7K3%?wyIIAE%{)~tZ7d@M7Nmt-p0SabK_?-{280yvdp+j@F?mC@% zuwvMX0hE!7xom>jF}xVIKhxD61R{8kM%)vA$P)P>qX@?d)kO!?XbWqeUdCW@yJ&8s zgPLX&4Ghei2eEhVh>GWDkP!p9At&l zTgOtKw}Lkv4T0Vcs0Nqnowx)p=$s;HjC->F>&)_oZ2ZaBpkou)-2i=SmeiQQjsE0SM~?dDM$$`?d}g42Yw9F zg0Pxg$6|leDDU^w04vq*sT4)p~D4^Ad4xjxU*{@ zx5j8{IfeDHM|Vo?9niU;6Tbq@k4FMn|5YKH%8F-ZS9QFzWM@!%YvUN~Jz(~~@?L?m zg`;3+<=fZEM$aq81$lEK*P{2)q#coX!xa_C<~4_IfMmhV?k>s2iMceWz!OS5a_vaD z$o-_!ST2yOYME!E=80AlWxX5WYh6q`OdDSY8RR%REX)9Vy+UAEc?jTZal=n|eGaWa%I8wR?6&+FAPd(JU^F z9;H55E%H7oX2=fD9FFFX1##ka&Z%H~PZ`tyTAfrpN+MtqqsnPU@#;&+6IP)q<&x5f z>9#=%Y*Qyylgl__)p9kb(i=N><1acXTRGwnYQz}eaq835qG4}7Y}{{F%n{exfr4V{ zVq2I_9L(X$#bT-Aq<F&DRnug9_=yU2b= z7F(lZAt3{)+o=;c!!^`RLGJe@niToUuB9Mj;Ay=JLwWT=k#IE?k-0P(G?aT4`mo4W12f2 zb8|fUziGPMHWco0TXr)e>w1~w#@>%66Q&4TUH+BeSbkHl_r94zpF)FZEtMR5zEcrr%{XD;@$mt!lKgP#3=ftlRX-IBP6_}g zIudEin@_YD&B!j=HuwL?SeU(DVe7_W~^ zbe6J0EhaWF#0b92#zm0?hP(*j>cu4a@`A4U;PmuD7;0oDN<_vTcLouDUgZANl)?*K zWGy^~@l{gl@=<%OFEkY7U08379w7`*yISfaVh|hWQj1TOu|o2=<8#pSIZaQLi6}BO ztYLF^@XX}NkOHQGKHIs#;R8*&GW?BPPmOY^&LY(LcSz>1G9@ENO%j>;7}P5uM`660 z)V<<>AZfin#%9D-7)~3b09PlN;*{0K^LB$05`g@cfqjgfKbhu544GFP5J()R@tH@#~RWGmMQw-je7L?5^ ze*uO&erBQLP>Gqi>R)R!dA>&6el)4NND|f>BcYQ>Zm-D_Mi%^+S3~lS^sZm>2K5#2 z1)8kR&afKjotd+o22v!+_{8Zt zF|;L<@U_S4=v3~f*z+eP=oNf_GQ5)RKSN6H4G_nU=8GPXm70|f>LQsi7sSbJcIm@} z9k-g$*Qg(lTR}}r@npd_e%6MwwZb?$JuD-g6oK1cK$G&A?m%c_Y zFj0Zgxv1S8<68(A2%%Y=2_%?_e%!%<{QDMBR_K$?X0oSiTo*~Q`7DOWTOpdux!^p6 ze6#?DUdfC%w!BPQ12~`g2+ljutJKB`o$(nMOy#ci^Tuh;yTfZ8J|qZH?KultV#%Jm z;PJ#%2skJ{vPf9EiA&n&Q@;vSqN0_RRXgvv$xGBCsf}S4ebOgN_m{ z?}S~6NZ$rUS^5QG1H^o*Dd%)yUT{yA%gEL#b9>yxWxC@^)gJpPims zLh1_FqQW;vP9ktO;Z)bJ*>o1)%4Y=_u{qsIV`qRS%hI(_^;>%19%|8G3D|#i8w=Wj zX_k_)p&&IujZ&`qve7f9ZRBTjvi((@^wE{kGU1xr@id`p;PF!P8r4xP zSi`JzZV=jZypS}Wu`CimsVo>S2eche@&;!%ffKT zpQ*&a4}dLl(cKvj0taq{+pwv&hQOrm`Ibn6nI1SI6Z(G4BU*CFt}uAHNt=Elnp0LW z1}0}#sq}RJVhWR3{C+b8jr-LQz$}M`R2N&WK#s-YzQ*1x%MIpRyoF$YcOC9W9Bxg+ z8*jD^k>sYEe#hX{`Th_R;PxEh((qUA0Q9(HYE>-b)d|I`nBhwLuN;;%J#vc@UMHBs zZO9BRr}z!0x~bbQ8~p)h-^H;#2fzUn4u!^D33$9JThW?+C(cgGD=Z(Oo;V87|Cs zN;uP_hxUFJ7Ho};?1HU6)a|B=J$$;dx!uZ!wR< zcyVF|kL&MORzKl{x0{yp`Zl6p7?b^aDt%rR&0%cjV{B@pVSjTh2gZQ;8tNW@V-!g` zhxZwucn9b8(A{VQfgA%I;m^n0zdh3*>zVX9b)%kxn_A5GgLnAx{QGf$*|!fJd|fL4 zI%L4qD7TD(J>Uz^?>59XKJ2sI{)gZ{OUestVSvoQ006^(g7UAV+|IpZ>=0e@&_$Ikn1)ayU$gxw=DPEo4xrzXX;+ zS`Ja}l+iXTBn1_eTi@6krF5<~7wdwPa2}IzgHTfLt}`;g+Q?i=68F~q9>$(Kpa8kt zf+0uPUVzYAFGtDZ9p}lz$_YSLg#U0*yHL-*Tg~94VZHqkdY9xJ*lCb|M*vY1eW)xd-bXB4A99Ls6*)sH8!03b;*I5Ucgl^6WchqL21vzX*?ct zA8@Z?B%D~wJ4ZLHZj;-%?j>Byo#(WLVX6FFIQ^)7jjt|!M_2_xd>2o*U;MESS$!ue zJiP_SuYVr!-1F>V|G$bm>!7%{ZC~ROG&lqa9^BnMxLa@t?(XjH5S#>ehoDVxZ`|G8 z9h!cedv3kA_pWpAzptz2YN;N-HLH8Asbh?<-*dDpZ?SmeBKtrnKUpd#rmO$@0P&3+ zcxQ%P(@9ZtC%3+i>4B0-{qm@`hOO51)}Y%tx?a)rDJUb<{$FvZGw)Z0NA{fN(tDs1 zR;OEXny|D=no8PqeY*U1onp}^ND5K1>&a`i43B9%p4=g%Tg*pf;wNOm;K4V@SIDtD z-NBtmXbi|Ad`tF&+*b2*{86^FQ3!|f56sa8hm;9bU+YMpTcQZ-GO&WL>f;=>Z|`Kv zxtwpM(|sWKzew8pmP}!YEnM5Ae{|PXSkU>L!-9uY2e;GkYk@HR>`4Ovd<}-4_Sd+z z)WhGHel>jabG&_9h+6VV{vCuzLe2tRE7M8ytfD+YeTEXx-#%drN8I3p6O!cE(aVd} zz8bb5&LzkU*tw2(v@;A%v=6oEwrdg#_nwq_EC!yH5)BMD4Y_6sTJwoyW86`k5VEvS z)wHgDkZ5W_bv_fWkMxRvxu@GF3e!`gQC{OEQ26+rGg`%}3p;B@i~jbs6ezGC`nw}7 zEGBo_$urM(>7wBCDPlPl%<5pI?cj%`(3@lnR)y8NAcrj1-@p);T02R!_I98%7tPbD zAZ&U&O(^i3A!n$Xwb6V#Y_{Nda7DKFRf_aZ`gmxNcE27?Mn@A{Z170C2l1rSq?tiK ze=E#i=0}cm2X))i&QtCEfNKIOlWjBmWu<52|7UB5m45culnLz!ERgy+oN?u zXjJK)%RCPd#uLz`h|$MEEXb1$nOeXwx^>QA_UaJD6VRuO5v&pM;$p;<1T0_!=t8D0 zXpL^YgPET@rSU*i?!?cX@*c{b^M?J`1z8#$Gcs@`iRCRD4{fmpev+U^3%MFAL?RnG zww?PNQD5i$WxLTvK902{&4U zV0rHJY=yYnygSo(W<83mtLYhNhRTj%n?Vq6n=bCjJ8BPJgJmb@qr)@DHwak|0oY&3Em$k4$NUcKn=`crFr@k&ecr5v&>wdaW3s+ zzwKP7liil=l_?7N(i9>o{-_u+IN1W1SCsoxeIiSpQE!9Y*=&Pg^aG|uln^1dDi1Y9 z{?Fo=H=tfHNa*2DoYDV%L(LEW6K@KSW^>0G1G3-?ji`KJqv-*IKSe63YsLs7aQjuLK> zmo1cK1+*kijGshIMezCU+T1ItViJ#59D9_)z9|p$Usnel0=U{W6Tkd0?x;!ODJL}i0 zY0gzERtI~@E)*cuo5#|eL3>9lb2{%Xkixz!arTa$QM)zNbcOkL-(sJvYe+<1r$k`W zhY-AK7e_I0CRo_CxA^0eJ}J`<)EivikKcO8m&MLWPQPvk|4>3Xc3HrIYl{DT|4bN; zh{jQ`o)X0&x2iWH_ZHJ^c)EE+E4R1AXI9^Yx;&5p0<~|$&U)92i0}%25GMJJ6{bz;T72?N1q9R$g~>lI~HJQ!1WL;fOWiu3}!PNfz8Uk1pWq^eP)1RNO9krXTs-M~-e8Z}7yUW8ra=vIucZQwLE ze@C&iBou8tkQWl-C*C&Tsef`RFg4DoH%irZ6Ex*YCV0i1C zplIe+uumCG**|{6Oe6gk4fNfX`emgE`+T01AhA^5sAjwF?&W(uZR62;|I9MKDV-yf zBVhn|%7|k6(~;4=A_mUji(plJs408x`=e0v?s4t3&ZtA*85WztgV3lx)Abv;d(+js zF0)W^A7RzU|E))vVC^crL04nURjFj$bk1=7#&5ju^?e6;F{HqIc0255Q$EER(cMvs zi4+YQXyRKNu?oL!NPlYK?{B(H(IanZLA=I$#_|i>mx?IKKZOlO;ka~-Bj;IV zpXZpR&Z?>!K+bpJ{ais~4bYn`2Y$Ecfq?S6^Glv#-Toa+d0D_Lq?O5>WfjD+i(Q6d zudPz%xvG&LGS60>vse8gk(F1J1-Gj;Vx!F`+e|XVc+7tf%kC+`v(WsLGDFV6P?9^# zu-a3Xuew(Una!Hg{B0J4?4@rC=QmaD^24hQi1DMJ06J%KqJEhuDf*@J>}_-2O`x->eOT#&^&(aNlX8ixuj;dG04d;$u&SMO=z3LZlB~(og0A|w&Dd^i zetvUiO70Df;sJb6LQ9(zX!uA|h_ieha1v^ZwvOykcQJKo#yYl4)KZ18(4R&V0q}VD zOoIp9U~=b^BtH(T8zoGBPul*?Up$55{rp986E*q`x8Vbu@ywtx-D}f;m%-UKap~bo z4iECz&To)AnTu&`AJ;5S`(qTuN!Jj$?<;N=mr?(`dYX!us#X)`Y>b4~3CAn_keQn4 zLx#S-ojJMqmB-(oGq^(^3O>D1p3Y1+hv=N}LA=kT;!=B1=;aDvZkcSfIUJS8VEKBB ze)`7oh3QlI7dXWF-gwka4I%v?5BGpmo9=#8gC~MRVC&-ASyz15)|&LQhqknT)AqSM z>)uh!O?&7O8l$K0@7G-lA@{4=+Arp9JXLi`+o);>f@xg0Obh)HSjDlM)1O2;5lBPK zITVh_2%3BC8b*}oZm}q=>qn%sNQ(vTq?4G~z}EA3SoXzl@K#_*d#@$5%Hpl^-V?B} z1DBBvD=uI#*JeiOv+=8cM%0WTY z;lYq%8Ew-LaTK(|YJe3N(Y_tpDW(#}Ja$=k-hpDTi^uKHHt*R_%=%f-(>U)aLw6RU zRnuTL<+oEtGPCo5!}<6@m&V6${DlF-JzIy#!YS#fXwlD=N|MrsmClTKI&3t=9W&$M z-(*%_Id{6F42avTvV7?zVQC35(NGNoe|CEs+Nc6N9K0#;PI3?jo+*Os4p@UgcZdF# zIjvpYsA5FNZxszVET2!Za-Z9j`hr{?iu-hQi>Yy5tRmoM#;}lboW7rHM8KL{`2~S~ ztQGT325og)qQX(3%x{vCrLAU(S3tAB1SE@0yDH)pf43NkdR1jmu;Mt}x#c(m>pN4rFc9Tim`Jg6nhTFPn*Z)vxIHR04(F?nE{Q>9_QO>3xE zAlX8A>}O<4U&pmf=msDUw9P}|8UeV-6=iJIX#%yPbnult^frQou*{5r+mW4WM9XS!*ov~ zl}QS_DmfU@*F!;ai2m`c{R&4IMo1zK6(SDtvr`xk>Q}r9>p3g|dIIhjNLs zx$$^k;~{cyp*B7y)FX8N!X1(lwoy`2ppQVM77f%S8#GUZfcn_|YWoVs;(!M!Eh4O@ zJV~v^%`lOJaUq55A`YR~a)pNxKo6yK20M)&!1Li60f~PAYE?@Z9Z3lT9in?`yK8R* zNrQE^RHp8&J!4)-{U(+Z(IsSe9}Wsdw9Z!uCCB{xxv!PSRG;o|+R`4#o;MYO#5x|u zXUzA&%?n9=cfM+^>}xC^t13^=oVF~sK(h-9>^-O;{KTRw`4=N1;7IIi5S2 zGHH)^{Py~$r>t|f8?ITXq&$gz2Qj1GT*nFA%iF7;>%*2-u__GZhd73Tv}90FN}r*G zQDDhny1z^^bW;!*{+XA8D%5&FKwzn^g1?41Sb#R_GdjFnKtO;L#DOFoKqLPg^5_H; zf(3{Uz$(b^3xN0_^MPMjL>j^qD+T$pm`DU=SbV`&_*L?TBM$kJUy=*s5t>OB z;$U=hco|npqY{8UhXYqM==ngayffM9pu#_FD-3%FGm3|5+DmyW8tJ&)ImySZWgi8h zfU2$tVLDhx`n_j!cTMf*Lmg&B^B{MizB|UDUN%#CE_zQmM|8+Wk1VP1T&Wis0=XM0 zhQh~@2tA3*yY7qaC1nwppJa>ZFjN?#A*qo{WZllj9Lnb*G8;+{(<3@* zKoEI)d$xo2fa@`)^Yc{48LAJ#li88<&_=GqxZhdt?bxy2U_<7^e$Wush6%-|PovqQ zUehN_-?BgeV#46aB2v!-plSh0rg35iT1 zbluX0f^rJoPat>M8Erj0+&h`MAQm1$Lc`Rou`~$7Ip2)sT;z&XDdIIW4H9R$tn^Qi z1;>vs>Gal?ZBV3N>z3T5tie-Z?egWjI)VD{uL& zVB=Q$VE-hGV|yro^yUltVA=ABc}zcglN6$q0K}<)P18T zXzLz+)IJ3>g}5G6kq@7;b}#lQqx#V)uD^+(;Ds~6gQD2=r7AB*q86Jr!EuoDTQj@9X*3g!c^zY0@{QX zgzMFRwE&4p@u)srf+u8-v=sCkCepq+NHGlM$*5+x;gms(Tfe!{76KBAE9%VoXT~RP z$x`$M=KUpR;R`67Mo$U8+=Hu%g@!MV-_zV;M(s34PH3Wgw{Sna<#MX{6B@wvh5;T5R&^ajLLZ(vtT_vgh+?(rkGX z;!xk<0sm94w=ZP|X3Y5b+^o?q=dva?0jj*w(Y=__1ku$oMn_;%IuX;BG!y_dxeQMv zOF3lPoM4iM`SlE$s-Nk^YTQht02AX>Gjc5J@?nHQ3LtBZQ#0=>DkX?{y|-qrF+#)p zWau?gg=uZ+8SWJuHQh3($=+RBxJ}2pwROB=8gftnne<_-n01dEvaC1{q{kna~zW-*}$i+ zl+3tdKUYB(1x+oV)s5CupX|pXzvfkkVMs#mLt=I{x0J+O`|2u_%+0npp>*}kbslo@ z4CrAejI$N@qWAZLTToC?QZqEgzSid0ENo=)8ANIh)t6(SLRku@G(D5pwxgS`F7`3 z+VgO!>rA-tU6X2B8LVd?C`Cq&;`hIASHUz*oQA;lbyD+@Ww(P1NQ3|kt(Dcqec))d^CX$gh?mVCu?CW$pa*=1p4DJxa zJ$-dlOZ5=a(d8K@^5%aS%D|BwizxS!CD&S`Q(A5|SA+!3f1%>xbplj!EbMu7CQ3@I zFy!5iepZ)`0{wmszEii2@*V=t0B0>t^smE{E3)pV1YVth8cR{S$zYZ(DT4AZs!#BD zOfmQR1R9O(UbQ^KuT(_8iKLTXGe##uJd_?N-Bs{zy5Z7i;OtYSiO+1!rc>aK1x(bE zR&7Cj;cBx^b-%ER?iyXHpEO7;W=qGP0Fr>$JHzg+(qG>>>a^(4U%viK9eUXEfQHm@ z!0ElbS-4umvb6FpTZq6=VBoN4Xj*ow8K8dNxkaKQX6BUZBDF2B0t)=WrRa}9PHw^9 z>@Qi3k#EVHZm{@xCziVp8(=^SynuJSftE6hc%cBVVoY&;=ZI51; zvS~h}e`s{MO)UF;mpBnpz_P_muOOTVJ01Iro{W+8h^!V90$V+WurH^!O|wicR=GYv zO1nsxPL5m2Ozg7v^~ab@ZEo$UQ8$Bw5U8M zS~JxK7b!kEN!B$0J+5bYy)MACNYWo{x0Uz{M(YPT*jJQWzHRNsiD&>jGsA3I^^|HZ zWVhvH1rN!6V^Dy6Rd!oJ`# z_5prVf6I)WV9=CkjIrz@z7T_@VebOxtPD=QU5{Fbj-^_I+d&rMrQ zJX7@ZLWf*RRD}#?FWmK#bgi0uEiIOku^MfmKOc2xV+`*?3AI8oRX(Jk&@v& z+N1XURkcVq*P26bv8EPYxJ zl$j&X`mlAuN_PeqIqq#5>Y&}NQ& zFx;0}9p9zth$_xuD~)M9u_XXh)8Wx3tzGa-P&4z?{FSe@Q{5&@7o{U(RE|2{{v=ID z=RVQUhRgul@^U*hB}TIctiizSI{g){J~?FPgTH{{te%C^r(q;Q`uN8v{I-QC_E%-H z-!2PQVM}d_w)-E?UW33t5j0w3#3Bo3^^%E@X=qy(VH=Q0Zt?UR4AlPiz&2_6X>7MQ zcY@*hv}rex@bm_|vQ^5E+<= zVZu0e8UHvTx%&iY2Bfok2V>8qH-$PTL8BrXy;?4~j>lqjh(&JtPKpLiLmf!*dyVj>cb^tt#0M7zv)0ASvOn4}E;eAUf!YDM<)l2h^AQZWP~BSxuN8ucSmr z;TDR24V2xxHeL8s6}D6BMKQYBU+3Pb8)^4v<(zjXD&1fPSF|=1M8h`;PpL9G=6C%^|W0J11qreDrZN}hnNdFOP zKGs8eU}boG4Z~t*aWmLzTQ%(LJ`XXuUQmI;(+eAz9(AUDM>cb?oZo^26v^>j8yYw# zkr*dK0rS3DnFoI_a+PT&&C3Ey{p2$hpf2a9XN4CqiNciB6q_VpFi;Itw{-{R?H`vE z6eqXG>)9&=BTIH1lp^EuzFiHlh-|q^{2@C%n(Qr9lsQ1gw8;;gQU6A?A{tLrMk3s~ zy`6=JagN`FVKwyH2KNk)G8zp90&buHju;lq>>S{<5?}>#CHnG4IF-~E4LRnU&rSLsdE#2 zdC>K}f5JRe`vi6<|JLXg6sp^)EYhCIcLMP|h=t8{o0T;b>`PEmqIyyQQPgLG6RT0XX@k9~=KRtw`<}T}6))Gq6!ryAHm_E0AAi-kcVFuC=b+VHH%vi? zfqxhQSulXndE+qTWovpYVeKo|$KD>CZ(*=yOP?ZtvYDH1Jb#dP6>wGLZaqXS<7b^gWu3^i!TGY(09*Vn9Q!hL2O^_>Xi6dHygQ$9Zh$BjZ`x^S~Ip|kw4iB687@tnQF!Ru} z%~Y3t%L2V2>DS!+x|&iH&3dOM!31ZRv>@-C`1trvjTZNfBmTQKw6lP5*hTT9lpY~q zE&#O+4NCxvut@KW4IaG=kL$}Y{{pR;Ef2xG|HD0c)qbM3VR570H?$rn%j+?G=3)vv z!9CqRRm;i=RoZCB2)=nmVLap?_hkn8F)~;us)lQ9^aQ_eb2vQm2T}{e=39#()#Q)c zzXq&UreNsWWv3QH$~MmYFz)h2TjbU=AvEFt?1U(O-`CPmN*N(bvPCpHbHOd`A+8#I zj|*`+Mv{%6>o{s>A4C;EusQ}75@E!gou$=xVoTi5;INxgpF9i5s4v7qHSS9`QFo49 z!*k{Pty&{Yt&WZoapYkV^iB86=DRow(#$e*B>-vNu%|rwuGcHjyQ_j#1&ZgNkd5 zCX_At)Sr8q3XulzF^BA&GB;3X?p2tU24yTTq-m?q7-EnEzm*^HlH?->x(8k)5$YOP zN&@7Pdzp9)lXWHU9|af!ts}SW@;OeU6(81HYeH3;<+9_l_aw@zT!0p-j~_C7zY+3) zj=ZWTWkV((iT^lPd{j$00dmLq(bfbS#zx_>1)ZW4OElyAl++ArdRh;YM*nM8=d2F(I- z*@DLXFLy7d_gnbCumjGYIItF$?X0L>w?h(Kt|5Que-%URX6a{91m|6qgy3pA~(;>UaTO(6mQ3dfAD7dAE0)m?N-OD6mGvZm!f)GS;KX zw59HX&CthfTF5?bfyKDBAiZF_p*Eu_KDO`UD$N#BTf7?ni1OzRGzOxPLMJN9CY)d* z4zq`vQkHgbHNngtaoeeV=KQlvSX_M|5-_uFKz$BwOnwf|e?!H#b_>27WoM-v#h0Y~ zzBx0zVE+iOO*4l2wu+|BsuFr%Rr5@}XhXS!({Ak-7rhq}gZ_N%!NHfvm#AZ|o78!v zqMwJ!y}`Y`4K~;6vR%As8{ob)gA6kJXA${3@Z(7d@a^@fKuY^I%WqwYUHqBn>emyA z+V!4wCm?V5IV$Ei!836?X=J1lTuY24tzFxQIjepehV}4or8|YgiP4~y#{uIFaxIV-dRewYvz0bPyf?c_I2eC>tUfSECb2J zU-80z=pcuCLHF#Yd<~hA|FhKKa6iBu$n-rbwPn+U_njd`dk^UpE&JKmgzFDd`O|Cu z*6z)Mo=mM`_*Kj2QpJ1rrPD(6am~~Fq7AZItzXluq!;^b`UfAO-TD1H1gt?RP4SC zo)n-TY6X@)ffW-kIA?25K-n7tcFWbh*FNo;Pd`i7-xHau(l%;Of#2J-_dNR=f1GBR z(Not7<-?joa=D#$p?U)YiC3fvhL9n{n&oQBt0>^4M!vH4`A-73F`uDdk&>!V>Wz&T zbOZ7;h`en(OXg;*io`-DBkT1{iA)(%TAHr}O!aPNtIXerg`s$ck>d}&1pM%*@%JzE z6h3kx-Ku2BKu1``!L6ycfK4UmxyYT|;Dxs(r$6dg9}9ln`;55YQ?Vz|rDY$u!UCbg zrC!K+NwX&dw3ZHI}(!v9&9uQrhcz@ciF~_}?K5{>{W(>t+JWam4C7?@70W=N4&xTHz#Hd`m7l zxU@iuR2tDwW;Vxg^|&V@K4aq{C&W48+V39@a?D_uQ2Dk0M3(eKc*EW+)NrZiPh@`( zZNILoyY#!N=Qjh^7;{bV3eBb)dhyZnlgs9&TMl;t5O0-nqmG4Y+_X%hKEcB=PQqAyd3R>UUWkQ%{;qtFCb{V* zgVNe9`&oY#%O%pYS;O~j^RGce{5s^G#c&M^5be!c3n~V=~7zmsxDXN4V?`N6Eg`9rv zoX0lx$g)+Td?=9+0XvnWHY}YF; z*l0v*`gDRkomQ};Z!gcZZ{GV$`wp@-Ut4|76VM>eD82!~D53pQ>-iWscE;c9;-Ymz zZ{%vhM}GCeVenPe%`?XM928!n$q#1Pt4q_7%^!o62OuXF?|(;3?<}1D zg|H^#JN%sHtFu1;>UI==78ZTaG=gk8V{L{d%iq(Db_^rv)d4AJ82^M#t zhp122S*pkGu(RjpkGG(vw}a~wO%1RUKS&7dYI{?0E#zcSUV`I=Bv0WsBYle8WT zz2rbbD9J*;&p-cXVCQ=;0P!Bq^52jDfbaaLz~7ma|F-+Lfd2c_UkH`|H2gc0@vnyI z?=}2i2#x;~`a4wcuRS_{e8*gueBvHegFCXx`6Ue)4$JP|9Zkv^S_wB&u9N> l`S-r*ua 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_vcs_recorder.sv, 77 : if (clp.get_arg_matches("+UVM_TR_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 124 : p = process::self(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 125 : if (p != null) +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 126 : rand_state = p.get_randstate(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 127 : verdi_clp = verdi_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 264 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 270 : return m_inst; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 128 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 129 : pli_inst = uvm_verdi_pli::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli.svh, 18 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_verdi_pli.svh, 19 : process p = process::self(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 20 : string p_rand = p.get_randstate(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 21 : m_inst = new; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 24 : return m_inst; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_custom_install_verdi_recorder.sv, 130 : cs = uvm_coreservice_t::get(); +uvm_custom_install_verdi_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_verdi_recorder.sv, 132 : env_vcs_home = getenv("VCS_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 133 : if (env_vcs_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 134 : is_vcs_home_exist = 1; +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 135 : env_vcs_uvm_home = getenv("VCS_UVM_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 136 : if (env_vcs_uvm_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 138 : if (clp.get_arg_matches("+UVM_VPD_RECORD", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 140 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 141 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 142 : if (vc_env_str!="")begin +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 156 : if (verdi_clp.is_verdi_trace_ral()) begin +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 389 : verdi_trace_option_check(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 310 : verdi_trace_option_check_by_sep("|"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 311 : verdi_trace_option_check_by_sep("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 313 : verdi_minus_option_check("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 323 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 324 : void'(clp.get_arg_values("-gui=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 325 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check .unnamed$$_0 +uvm_verdi_pli_base.svh, 315 : is_verdi_trace_option_checked = 1; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_custom_install_verdi_recorder.sv, 201 : if ((clp.get_arg_matches("+UVM_LOG_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 416 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 419 : return verdi_trace_fac_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 367 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 370 : return verdi_trace_msg_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 381 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 384 : return verdi_trace_uvm_aware_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 374 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_verdi_pli_base.svh, 377 : return verdi_trace_dht_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 395 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 398 : return verdi_trace_ralwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 402 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_verdi_pli_base.svh, 405 : return verdi_trace_compwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_custom_install_verdi_recorder.sv, 259 : if ((clp.get_arg_matches("+UVM_TR_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 346 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_verdi_pli_base.svh, 349 : return verdi_trace_tlm_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 280 : if (p != null) +uvm_custom_install_verdi_recording +nco_dpi.sv, 31 : objhandle=DPI_nco_initialize(objhandle); +tb.inst_nco_dpi +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 28 : reset = 1'b1; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 29 : #100; +tb +tb.sv, 35 : clk = 1'b1; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 41 : clk_enable = 1'b0; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 42 : clr = 1'b0; +tb +tb.sv, 43 : fcw = 48'h0200_0000_0000; +tb +tb.sv, 44 : ptw = 16'h0; +tb +tb.sv, 45 : acc = 16'h0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 30 : reset = 1'b0; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 46 : else if(clk_enable) begin +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[10]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +uvm_custom_install_vcs_recorder.sv, 56 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_vcs_recorder.sv, 57 : cs = uvm_coreservice_t::get(); +uvm_custom_install_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_vcs_recorder.sv, 58 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 59 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 60 : if (vc_env_str!="")begin +uvm_custom_install_recording +uvm_custom_install_vcs_recorder.sv, 70 : if (clp.get_arg_matches("+UVM_LOG_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_vcs_recorder.sv, 77 : if (clp.get_arg_matches("+UVM_TR_RECORD", tr_args) && (!clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args) +uvm_custom_install_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 124 : p = process::self(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 125 : if (p != null) +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 126 : rand_state = p.get_randstate(); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 127 : verdi_clp = verdi_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 264 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 270 : return m_inst; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 128 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_custom_install_verdi_recorder.sv, 129 : pli_inst = uvm_verdi_pli::get_inst(); +uvm_custom_install_verdi_recording +uvm_verdi_pli.svh, 18 : if(m_inst == null) begin +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_verdi_pli.svh, 19 : process p = process::self(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 20 : string p_rand = p.get_randstate(); +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 21 : m_inst = new; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst .unnamed$$_0 +uvm_verdi_pli.svh, 24 : return m_inst; +uvm_custom_install_verdi_recording.\uvm_verdi_pli::get_inst +uvm_custom_install_verdi_recorder.sv, 130 : cs = uvm_coreservice_t::get(); +uvm_custom_install_verdi_recording +uvm_coreservice.svh, 107 : if(inst==null) +uvm_pkg.\uvm_coreservice_t::get +uvm_coreservice.svh, 110 : return inst; +uvm_pkg.\uvm_coreservice_t::get +uvm_custom_install_verdi_recorder.sv, 132 : env_vcs_home = getenv("VCS_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 133 : if (env_vcs_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 134 : is_vcs_home_exist = 1; +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 135 : env_vcs_uvm_home = getenv("VCS_UVM_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 136 : if (env_vcs_uvm_home!="") +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 138 : if (clp.get_arg_matches("+UVM_VPD_RECORD", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 140 : env_str = getenv("SNPS_SIM_DEFAULT_GUI"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 141 : vc_env_str = getenv("VC_HOME"); +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 142 : if (vc_env_str!="")begin +uvm_custom_install_verdi_recording +uvm_custom_install_verdi_recorder.sv, 156 : if (verdi_clp.is_verdi_trace_ral()) begin +uvm_custom_install_verdi_recording +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 389 : verdi_trace_option_check(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 310 : verdi_trace_option_check_by_sep("|"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 311 : verdi_trace_option_check_by_sep("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 277 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 278 : void'(clp.get_arg_values("+UVM_VERDI_TRACE=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 279 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check_by_sep .unnamed$$_0 +uvm_verdi_pli_base.svh, 313 : verdi_minus_option_check("+"); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 323 : clp = uvm_cmdline_processor::get_inst(); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 61 : if(m_inst == null) +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_cmdline_processor.svh, 63 : return m_inst; +uvm_pkg.\uvm_cmdline_processor::get_inst +uvm_verdi_pli_base.svh, 324 : void'(clp.get_arg_values("-gui=",verdi_trace_values)); +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check +uvm_cmdline_processor.svh, 207 : int chars = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 209 : values.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 211 : if(m_argv[i].len() >= chars) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 212 : if(m_argv[i].substr(0,chars-1) == match) +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 210 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_values .unnamed$$_0 +uvm_cmdline_processor.svh, 216 : return values.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_values +uvm_verdi_pli_base.svh, 325 : foreach (verdi_trace_values[i]) begin +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_minus_option_check .unnamed$$_0 +uvm_verdi_pli_base.svh, 315 : is_verdi_trace_option_checked = 1; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::verdi_trace_option_check +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_custom_install_verdi_recorder.sv, 201 : if ((clp.get_arg_matches("+UVM_LOG_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 416 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 419 : return verdi_trace_fac_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_fac +uvm_verdi_pli_base.svh, 367 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 370 : return verdi_trace_msg_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_msg +uvm_verdi_pli_base.svh, 381 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 384 : return verdi_trace_uvm_aware_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_uvm_aware +uvm_verdi_pli_base.svh, 388 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 391 : return verdi_trace_ral_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ral +uvm_verdi_pli_base.svh, 374 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_verdi_pli_base.svh, 377 : return verdi_trace_dht_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_dht +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 395 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 398 : return verdi_trace_ralwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_ralwave +uvm_verdi_pli_base.svh, 402 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_verdi_pli_base.svh, 405 : return verdi_trace_compwave_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_compwave +uvm_custom_install_verdi_recorder.sv, 259 : if ((clp.get_arg_matches("+UVM_TR_RECORD", tr_args)&&clp.get_arg_matches("+UVM_VERDI_TRACE", tr_args)) +uvm_custom_install_verdi_recording +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_verdi_pli_base.svh, 346 : if (!is_verdi_trace_option_checked) +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_verdi_pli_base.svh, 349 : return verdi_trace_tlm_flag; +uvm_custom_install_verdi_recording.\verdi_cmdline_processor::is_verdi_trace_tlm +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 131 : chandle exp_h = null; +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 132 : int len = match.len(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 133 : args.delete(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 134 : if((match.len() > 2) && (match[0] == "/") && (match[match.len()-1] == "/")) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 143 : if(exp_h != null) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 147 : else if((m_argv[i].len() >= len) && (m_argv[i].substr(0,len - 1) == match)) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 142 : foreach (m_argv[i]) begin +uvm_pkg.\uvm_cmdline_processor::get_arg_matches .unnamed$$_0 +uvm_cmdline_processor.svh, 151 : if(exp_h != null) +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_cmdline_processor.svh, 155 : return args.size(); +uvm_pkg.\uvm_cmdline_processor::get_arg_matches +uvm_custom_install_verdi_recorder.sv, 280 : if (p != null) +uvm_custom_install_verdi_recording +nco_dpi.sv, 31 : objhandle=DPI_nco_initialize(objhandle); +tb.inst_nco_dpi +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 28 : reset = 1'b1; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 29 : #100; +tb +tb.sv, 35 : clk = 1'b1; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 41 : clk_enable = 1'b0; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 42 : clr = 1'b0; +tb +tb.sv, 43 : fcw = 48'h0200_0000_0000; +tb +tb.sv, 44 : ptw = 16'h0; +tb +tb.sv, 45 : acc = 16'h0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 17 : cnt <= 22'd0; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 40 : objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); +tb.inst_nco_dpi +nco_dpi.sv, 41 : result_cos<=result_cos_temp; +tb.inst_nco_dpi +nco_dpi.sv, 42 : result_sin<=result_sin_temp; +tb.inst_nco_dpi +nco_dpi.sv, 43 : result_acc<=result_acc_temp; +tb.inst_nco_dpi +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 30 : reset = 1'b0; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +nco_dpi.sv, 39 : if(reset== 1'b1) begin +tb.inst_nco_dpi +nco_dpi.sv, 46 : else if(clk_enable) begin +tb.inst_nco_dpi +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 37 : always #5 clk = ~clk; +tb +tb.sv, 15 : always@(posedge clk or negedge reset)begin +tb +tb.sv, 49 : nco_dpi inst_nco_dpi( +tb +tb.sv, 16 : if(reset) +tb +tb.sv, 19 : cnt <= cnt + 22'd1; +tb +tb.sv, 22 : wait(cnt[2]==1'b1) +tb +tb.sv, 23 : $finish(0); +tb +nco_dpi.sv, 35 : DPI_nco_terminate(objhandle); +tb.inst_nco_dpi diff --git a/nco_dpi/codegen/dll/nco/loop_detect.tcl b/nco_dpi/codegen/dll/nco/loop_detect.tcl new file mode 100644 index 0000000..452bb3b --- /dev/null +++ b/nco_dpi/codegen/dll/nco/loop_detect.tcl @@ -0,0 +1,23 @@ +#!/usr/bin/tclsh + +proc loop_detect {args} { + set help "-help" + if { [string equal $args $help] != 1 } { + config followactivescope on + set i 0; + while {$i < $args} { + run_step + incr i + } + + } else { + puts "Usage: loop_detect " + } +} + +proc run_step {} { +redirect -f loop.txt -a {set x [step]}; +redirect -f loop.txt -a {set y [scope]}; +puts $x; +puts $y; +} diff --git a/nco_dpi/codegen/dll/nco/makefile b/nco_dpi/codegen/dll/nco/makefile new file mode 100755 index 0000000..0c6a545 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/makefile @@ -0,0 +1,36 @@ +all_sv:com_sv sim_sv +all_ucli:com_ucli sim_ucli verdi_ucli + +com_sv: + vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all -debug_region+cell+encrypt \ + -f files.f -l com.log -fsdb +define+FSDB + + +com_ucli: + vcs -full64 -sverilog -debug_acc+all -debug_region+cell+encrypt \ + -LDFLAGS \ + -rdynamic \ + -P $(VERDI_HOME)/share/PLI/VCS/linux64/novas.tab \ + $(VERDI_HOME)/share/PLI/VCS/linux64/pli.a \ + -f files.f \ + +vcs+lic+wait \ + -l com.log + +sim_sv: + ./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log + +sim_ucli: + ./simv \ + -ucli -i loop_detect.tcl +fsdb+autoflush \ + -l sim.log +dve: + dve -full64 -vpd vcdplus.vpd & + +dbg: + verdi & -sv -f files.f -ssf *.fsdb -nologe + +clean: + rm -rf csrc *.log *.key *simv* *.vpd *DVE* + rm -rf verdiLog *.fsdb *.bak *.conf +file: + find -name "*.sv" > files.f diff --git a/nco_dpi/codegen/dll/nco/nco.def b/nco_dpi/codegen/dll/nco/nco.def new file mode 100644 index 0000000..875d538 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/nco.def @@ -0,0 +1,8 @@ +DLL { + global: + nco; + nco_initialize; + nco_terminate; + local: + *; +}; diff --git a/nco_dpi/codegen/dll/nco/nco_dpi.sv b/nco_dpi/codegen/dll/nco/nco_dpi.sv new file mode 100644 index 0000000..821b022 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/nco_dpi.sv @@ -0,0 +1,54 @@ +// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/codegen/dll/nco/nco_dpi.sv +// Created: 2024-10-26 13:13:02 +// Generated by MATLAB 9.13 and HDL Verifier 7.0 + +//`timescale 1ns / 1ns + +import nco_dpi_pkg::*; + + + +module nco_dpi( + input bit clk, + input bit clk_enable, + input bit reset, + input real fcw, + input real ptw, + input real clr, + input real acc, + output real result_cos, + output real result_sin, + output real result_acc +); + + chandle objhandle=null; + real result_cos_temp; + real result_sin_temp; + real result_acc_temp; + + + initial begin + objhandle=DPI_nco_initialize(objhandle); + end + + final begin + DPI_nco_terminate(objhandle); + end + + always @(posedge clk or posedge reset) begin + if(reset== 1'b1) begin + objhandle=DPI_nco_reset(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); + result_cos<=result_cos_temp; + result_sin<=result_sin_temp; + result_acc<=result_acc_temp; + + end + else if(clk_enable) begin + DPI_nco(objhandle,fcw,ptw,clr,acc,result_cos_temp,result_sin_temp,result_acc_temp); + result_cos<=result_cos_temp; + result_sin<=result_sin_temp; + result_acc<=result_acc_temp; + + end + end +endmodule diff --git a/nco_dpi/codegen/dll/nco/nco_dpi_pkg.sv b/nco_dpi/codegen/dll/nco/nco_dpi_pkg.sv new file mode 100644 index 0000000..fa704d7 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/nco_dpi_pkg.sv @@ -0,0 +1,16 @@ +// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/codegen/dll/nco/nco_dpi_pkg.sv +// Created: 2024-10-26 13:13:02 +// Generated by MATLAB 9.13 and HDL Verifier 7.0 + +//`timescale 1ns / 1ns +package nco_dpi_pkg; + +// Declare imported C functions +import "DPI-C" function chandle DPI_nco_initialize(input chandle existhandle); +import "DPI-C" function chandle DPI_nco_reset(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real result_cos,output real result_sin,output real result_acc); +import "DPI-C" function void DPI_nco(input chandle objhandle,input real fcw,input real ptw,input real clr,input real acc,output real result_cos,output real result_sin,output real result_acc); +import "DPI-C" function void DPI_nco_terminate(input chandle existhandle); + + + +endpackage : nco_dpi_pkg diff --git a/nco_dpi/codegen/dll/nco/nco_rtw.mk b/nco_dpi/codegen/dll/nco/nco_rtw.mk new file mode 100644 index 0000000..b16b010 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/nco_rtw.mk @@ -0,0 +1,366 @@ +########################################################################### +## Makefile generated for component 'nco'. +## +## Makefile : nco_rtw.mk +## Generated on : Sat Oct 26 13:13:04 2024 +## Final product: ./libnco_dpi.so +## Product type : dynamic-library +## +########################################################################### + +########################################################################### +## MACROS +########################################################################### + +# Macro Descriptions: +# PRODUCT_NAME Name of the system to build +# MAKEFILE Name of this makefile +# DEF_FILE Definition file + +PRODUCT_NAME = nco +MAKEFILE = nco_rtw.mk +MATLAB_ROOT = /opt/mathWorks/Matlab/R2022b +MATLAB_BIN = /opt/mathWorks/Matlab/R2022b/bin +MATLAB_ARCH_BIN = $(MATLAB_BIN)/glnxa64 +START_DIR = /data/work/yzzhang/hdl/sv/readout_AWG_RM +TGT_FCN_LIB = ISO_C +SOLVER_OBJ = +CLASSIC_INTERFACE = 0 +MODEL_HAS_DYNAMICALLY_LOADED_SFCNS = +RELATIVE_PATH_TO_ANCHOR = ../../.. +DEF_FILE = $(PRODUCT_NAME).def +C_STANDARD_OPTS = -fwrapv +CPP_STANDARD_OPTS = -fwrapv + +########################################################################### +## TOOLCHAIN SPECIFICATIONS +########################################################################### + +# Toolchain Name: GNU gcc/g++ | gmake (64-bit Linux) +# Supported Version(s): +# ToolchainInfo Version: 2022b +# Specification Revision: 1.0 +# +#------------------------------------------- +# Macros assumed to be defined elsewhere +#------------------------------------------- + +# C_STANDARD_OPTS +# CPP_STANDARD_OPTS + +#----------- +# MACROS +#----------- + +WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wstrict-prototypes -Wnested-externs -Wpointer-arith -Wcast-align +WARN_FLAGS_MAX = $(WARN_FLAGS) -Wcast-qual -Wshadow +CPP_WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wpointer-arith -Wcast-align +CPP_WARN_FLAGS_MAX = $(CPP_WARN_FLAGS) -Wcast-qual -Wshadow + +TOOLCHAIN_SRCS = +TOOLCHAIN_INCS = +TOOLCHAIN_LIBS = + +#------------------------ +# BUILD TOOL COMMANDS +#------------------------ + +# C Compiler: GNU C Compiler +CC = gcc + +# Linker: GNU Linker +LD = g++ + +# C++ Compiler: GNU C++ Compiler +CPP = g++ + +# C++ Linker: GNU C++ Linker +CPP_LD = g++ + +# Archiver: GNU Archiver +AR = ar + +# MEX Tool: MEX Tool +MEX_PATH = $(MATLAB_ARCH_BIN) +MEX = "$(MEX_PATH)/mex" + +# Download: Download +DOWNLOAD = + +# Execute: Execute +EXECUTE = $(PRODUCT) + +# Builder: GMAKE Utility +MAKE_PATH = %MATLAB%/bin/glnxa64 +MAKE = "$(MAKE_PATH)/gmake" + + +#------------------------- +# Directives/Utilities +#------------------------- + +CDEBUG = -g +C_OUTPUT_FLAG = -o +LDDEBUG = -g +OUTPUT_FLAG = -o +CPPDEBUG = -g +CPP_OUTPUT_FLAG = -o +CPPLDDEBUG = -g +OUTPUT_FLAG = -o +ARDEBUG = +STATICLIB_OUTPUT_FLAG = +MEX_DEBUG = -g +RM = @rm -f +ECHO = @echo +MV = @mv +RUN = + +#-------------------------------------- +# "Faster Runs" Build Configuration +#-------------------------------------- + +ARFLAGS = ruvs +CFLAGS = -c $(C_STANDARD_OPTS) -fPIC \ + -O3 -fno-loop-optimize -fno-aggressive-loop-optimizations +CPPFLAGS = -c $(CPP_STANDARD_OPTS) -fPIC \ + -O3 -fno-loop-optimize -fno-aggressive-loop-optimizations +CPP_LDFLAGS = +CPP_SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined +DOWNLOAD_FLAGS = +EXECUTE_FLAGS = +LDFLAGS = +MEX_CPPFLAGS = +MEX_CPPLDFLAGS = +MEX_CFLAGS = +MEX_LDFLAGS = +MAKE_FLAGS = -f $(MAKEFILE) +SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined + + + +########################################################################### +## OUTPUT INFO +########################################################################### + +PRODUCT = ./libnco_dpi.so +PRODUCT_TYPE = "dynamic-library" +BUILD_TYPE = "Dynamic Library" + +########################################################################### +## INCLUDE PATHS +########################################################################### + +INCLUDES_BUILDINFO = -I$(START_DIR)/codegen/dll/nco -I$(START_DIR) -I$(MATLAB_ROOT)/extern/include + +INCLUDES = $(INCLUDES_BUILDINFO) + +########################################################################### +## DEFINES +########################################################################### + +DEFINES_CUSTOM = +DEFINES_STANDARD = -DMODEL=libnco_dpi + +DEFINES = $(DEFINES_CUSTOM) $(DEFINES_STANDARD) + +########################################################################### +## SOURCE FILES +########################################################################### + +SRCS = $(START_DIR)/codegen/dll/nco/rt_nonfinite.c $(START_DIR)/codegen/dll/nco/rtGetNaN.c $(START_DIR)/codegen/dll/nco/rtGetInf.c $(START_DIR)/codegen/dll/nco/nco.c $(START_DIR)/codegen/dll/nco/nco_dpi.c + +ALL_SRCS = $(SRCS) + +########################################################################### +## OBJECTS +########################################################################### + +OBJS = rt_nonfinite.o rtGetNaN.o rtGetInf.o nco.o nco_dpi.o + +ALL_OBJS = $(OBJS) + +########################################################################### +## PREBUILT OBJECT FILES +########################################################################### + +PREBUILT_OBJS = + +########################################################################### +## LIBRARIES +########################################################################### + +LIBS = + +########################################################################### +## SYSTEM LIBRARIES +########################################################################### + +SYSTEM_LIBS = -lm + +########################################################################### +## ADDITIONAL TOOLCHAIN FLAGS +########################################################################### + +#--------------- +# C Compiler +#--------------- + +CFLAGS_BASIC = $(DEFINES) $(INCLUDES) + +CFLAGS += $(CFLAGS_BASIC) + +#----------------- +# C++ Compiler +#----------------- + +CPPFLAGS_BASIC = $(DEFINES) $(INCLUDES) + +CPPFLAGS += $(CPPFLAGS_BASIC) + +########################################################################### +## INLINED COMMANDS +########################################################################### + +########################################################################### +## PHONY TARGETS +########################################################################### + +.PHONY : all build clean info prebuild download execute + + +all : build + @echo "### Successfully generated all binary outputs." + + +build : prebuild $(PRODUCT) + + +prebuild : + + +download : $(PRODUCT) + + +execute : download + + +########################################################################### +## FINAL TARGET +########################################################################### + +#---------------------------------------- +# Create a dynamic library +#---------------------------------------- + +$(PRODUCT) : $(OBJS) $(PREBUILT_OBJS) + @echo "### Creating dynamic library "$(PRODUCT)" ..." + $(LD) $(SHAREDLIB_LDFLAGS) -o $(PRODUCT) $(OBJS) $(SYSTEM_LIBS) $(TOOLCHAIN_LIBS) + @echo "### Created: $(PRODUCT)" + + +########################################################################### +## INTERMEDIATE TARGETS +########################################################################### + +#--------------------- +# SOURCE-TO-OBJECT +#--------------------- + +%.o : %.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : %.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/codegen/dll/nco/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/codegen/dll/nco/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/%.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +%.o : $(START_DIR)/%.cpp + $(CPP) $(CPPFLAGS) -o "$@" "$<" + + +rt_nonfinite.o : $(START_DIR)/codegen/dll/nco/rt_nonfinite.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +rtGetNaN.o : $(START_DIR)/codegen/dll/nco/rtGetNaN.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +rtGetInf.o : $(START_DIR)/codegen/dll/nco/rtGetInf.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +nco.o : $(START_DIR)/codegen/dll/nco/nco.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +nco_dpi.o : $(START_DIR)/codegen/dll/nco/nco_dpi.c + $(CC) $(CFLAGS) -o "$@" "$<" + + +########################################################################### +## DEPENDENCIES +########################################################################### + +$(ALL_OBJS) : rtw_proj.tmw $(MAKEFILE) + + +########################################################################### +## MISCELLANEOUS TARGETS +########################################################################### + +info : + @echo "### PRODUCT = $(PRODUCT)" + @echo "### PRODUCT_TYPE = $(PRODUCT_TYPE)" + @echo "### BUILD_TYPE = $(BUILD_TYPE)" + @echo "### INCLUDES = $(INCLUDES)" + @echo "### DEFINES = $(DEFINES)" + @echo "### ALL_SRCS = $(ALL_SRCS)" + @echo "### ALL_OBJS = $(ALL_OBJS)" + @echo "### LIBS = $(LIBS)" + @echo "### MODELREF_LIBS = $(MODELREF_LIBS)" + @echo "### SYSTEM_LIBS = $(SYSTEM_LIBS)" + @echo "### TOOLCHAIN_LIBS = $(TOOLCHAIN_LIBS)" + @echo "### CFLAGS = $(CFLAGS)" + @echo "### LDFLAGS = $(LDFLAGS)" + @echo "### SHAREDLIB_LDFLAGS = $(SHAREDLIB_LDFLAGS)" + @echo "### CPPFLAGS = $(CPPFLAGS)" + @echo "### CPP_LDFLAGS = $(CPP_LDFLAGS)" + @echo "### CPP_SHAREDLIB_LDFLAGS = $(CPP_SHAREDLIB_LDFLAGS)" + @echo "### ARFLAGS = $(ARFLAGS)" + @echo "### MEX_CFLAGS = $(MEX_CFLAGS)" + @echo "### MEX_CPPFLAGS = $(MEX_CPPFLAGS)" + @echo "### MEX_LDFLAGS = $(MEX_LDFLAGS)" + @echo "### MEX_CPPLDFLAGS = $(MEX_CPPLDFLAGS)" + @echo "### DOWNLOAD_FLAGS = $(DOWNLOAD_FLAGS)" + @echo "### EXECUTE_FLAGS = $(EXECUTE_FLAGS)" + @echo "### MAKE_FLAGS = $(MAKE_FLAGS)" + + +clean : + $(ECHO) "### Deleting all derived files..." + $(RM) $(PRODUCT) + $(RM) $(ALL_OBJS) + $(ECHO) "### Deleted all derived files." + + diff --git a/nco_dpi/codegen/dll/nco/novas.conf b/nco_dpi/codegen/dll/nco/novas.conf new file mode 100644 index 0000000..ab09ba8 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/novas.conf @@ -0,0 +1,372 @@ +[qBaseWindowStateGroup] +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\x4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2z\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\x1\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\x2\x15\0\0\x1=\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2\x80\0\0\x5\0\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1`\xfc\x1\0\0\0\x1\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\0\0\a\x80\0\0\0\xa0\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\xf1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1f\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3\x43\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3g\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x8b\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xaf\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\qDockerWindow_defaultLayout\geometry_height=977 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1\xd5\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\geometry_height=977 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\backup_layout_to_restore\qBaseDockWidgetGroup\windowDock_nWave_2\SELECTION_MESSAGE_TOOLBAR=false +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\Verdi=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\hdlHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\hdlSrc=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\messageWindow=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\svtbHier=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\OneSearch=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1=7 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_0=widgetDock_hdlHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_1=widgetDock_messageWindow_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_2=widgetDock_hdlSrc_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_3=widgetDock_signalList_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_4=widgetDock_svtbHier_1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_5=windowDock_OneSearch_1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_messageWindow_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_hdlSrc_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_signalList_1\isVisible=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\widgetDock_svtbHier_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_OneSearch_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\isNestedWindow=1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\SELECTION_MESSAGE_TOOLBAR=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qBaseDockWidgetGroup\windowDock_nWave_1\dockIsFloating=false +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\ProductVersion=201712 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\x9f\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0*\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0v\0t\0\x62\0H\0i\0\x65\0r\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0s\0i\0g\0n\0\x61\0l\0L\0i\0s\0t\0_\0\x31\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0&\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0h\0\x64\0l\0S\0r\0\x63\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xe0\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0\x34\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0m\0\x65\0s\0s\0\x61\0g\0\x65\0W\0i\0n\0\x64\0o\0w\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0,\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x31\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1-\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x92\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\xb6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xda\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\isNestedWindow=0 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\isVisible=true +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\size=@Size(1920 977) +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_x=-1 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_y=27 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_width=1920 +Verdi_1\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\0\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\0\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\0\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\0\Layout="@ByteArray(\0\0\0\xff\0\0\0\0\xfd\0\0\0\x2\0\0\0\x2\0\0\x3\xb2\0\0\x1x\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x1\x35\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x1;\0\0\x2w\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\x3\xb2\0\0\0r\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\x3\xb2\0\0\0\xa0\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\x3\xb2\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2\xf8\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3^\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\x82\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\0\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\0\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\0\size=@Size(946 572) +Verdi_1\qBaseWindowNextStateGroup\0\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_width=946 +Verdi_1\qBaseWindowNextStateGroup\0\geometry_height=572 +Verdi_1\qBaseWindowNextStateGroup\1\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\1\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\1\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\1\Layout="@ByteArray(\0\0\0\xff\0\0\0\x1\xfd\0\0\0\x2\0\0\0\x2\0\0\x3\xb2\0\0\x1O\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x1\x35\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x1;\0\0\x2w\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\x3\xb2\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\x3\xb2\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\x3\xb2\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2\xe6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x16\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3^\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\x82\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\1\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\1\size=@Size(946 572) +Verdi_1\qBaseWindowNextStateGroup\1\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_width=946 +Verdi_1\qBaseWindowNextStateGroup\1\geometry_height=572 +Verdi_1\qBaseWindowNextStateGroup\2\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\2\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\2\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\2\Layout="@ByteArray(\0\0\0\xff\0\0\0\x2\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\2\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\2\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\2\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\2\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\2\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\3\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\3\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\3\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\3\Layout="@ByteArray(\0\0\0\xff\0\0\0\x3\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\0\xa0\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\0\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\0\0V\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\3\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\3\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\3\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\3\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\3\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\4\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\4\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\4\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\4\Layout="@ByteArray(\0\0\0\xff\0\0\0\x4\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\4\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\4\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\4\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\4\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\4\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\5\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\5\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\5\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\5\Layout="@ByteArray(\0\0\0\xff\0\0\0\x5\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\5\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\5\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\5\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\5\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\5\geometry_height=977 +Verdi_1\qBaseWindowNextStateGroup\6\qDockerWindow_restoreNewChildState=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CInst._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CMessage%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_MTB_SOURCE_TAB_1\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CSignal_List%3E\isVisible=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\widgetDock_%3CDecl._Tree%3E\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_OneSearch\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\isNestedWindow=1 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeMax=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\qBaseWindowBeFix=0 +Verdi_1\qBaseWindowNextStateGroup\6\qBaseDockWidgetGroup\windowDock_nWave_2\dockIsFloating=false +Verdi_1\qBaseWindowNextStateGroup\6\ProductVersion=201712 +Verdi_1\qBaseWindowNextStateGroup\6\Layout="@ByteArray(\0\0\0\xff\0\0\0\x6\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x2\xe4\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\0\x9b\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1\xd5\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\0\0\0\x3:\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\x88\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xac\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +Verdi_1\qBaseWindowNextStateGroup\6\isNestedWindow=0 +Verdi_1\qBaseWindowNextStateGroup\6\isVisible=true +Verdi_1\qBaseWindowNextStateGroup\6\size=@Size(1920 977) +Verdi_1\qBaseWindowNextStateGroup\6\geometry_x=-1 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_y=27 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_width=1920 +Verdi_1\qBaseWindowNextStateGroup\6\geometry_height=977 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindow_qDockContentType\nWave=1 +qDockerWindowMgr_C\qBaseWindowRestoreStateGroup\VERDI_LAST_RUN_LAYOUT\qDockerWindowMgr_saveDockerChildList\Verdi_1_6=windowDock_nWave_1 + +[QwMainWindow] +window\Verdi_1\layout="@ByteArray(\0\0\0\xff\0\x3\x13\xf0\xfd\0\0\0\x2\0\0\0\x2\0\0\a\x80\0\0\x1\x9f\xfc\x1\0\0\0\x3\xfc\0\0\0\0\0\0\x2u\0\0\0\x89\0\xff\xff\xff\xfa\0\0\0\0\x1\0\0\0\x2\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0I\0n\0s\0t\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0.\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0\x44\0\x65\0\x63\0l\0.\0_\0T\0r\0\x65\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0V\0\xff\xff\xff\xfb\0\0\0\x30\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0S\0i\0g\0n\0\x61\0l\0_\0L\0i\0s\0t\0>\0\0\0\0\xce\0\0\x1\x18\0\0\x1\x18\0\xff\xff\xff\xfb\0\0\0\x36\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0M\0T\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0T\0\x41\0\x42\0_\0\x31\x1\0\0\x2{\0\0\x5\x5\0\0\0k\0\xff\xff\xff\0\0\0\x3\0\0\a\x80\0\0\x1\xe0\xfc\x1\0\0\0\x1\xfc\0\0\0\0\0\0\a\x80\0\0\x2,\0\xff\xff\xff\xfa\0\0\0\x2\x1\0\0\0\x3\xfb\0\0\0(\0w\0i\0\x64\0g\0\x65\0t\0\x44\0o\0\x63\0k\0_\0<\0M\0\x65\0s\0s\0\x61\0g\0\x65\0>\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\xa0\0\xff\xff\xff\xfb\0\0\0(\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0O\0n\0\x65\0S\0\x65\0\x61\0r\0\x63\0h\x1\0\0\0\0\xff\xff\xff\xff\0\0\x2,\0\xff\xff\xff\xfb\0\0\0$\0w\0i\0n\0\x64\0o\0w\0\x44\0o\0\x63\0k\0_\0n\0W\0\x61\0v\0\x65\0_\0\x32\x1\0\0\0\0\xff\xff\xff\xff\0\0\x1-\0\xff\xff\xff\0\0\a\x80\0\0\0\0\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x6\0\0\0\x2\0\0\0\x10\0\0\0.\0H\0\x42\0_\0I\0M\0P\0O\0R\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0N\0\x45\0W\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0$\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0(\0H\0\x42\0_\0S\0I\0G\0N\0\x41\0L\0_\0P\0\x41\0N\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0~\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0M\0U\0L\0T\0I\0_\0T\0\x41\0\x42\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xa2\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0H\0\x42\0_\0\x45\0\x44\0I\0T\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\0\xc6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\x1\0\0\0\xea\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0H\0\x42\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x1\x18\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0.\0H\0\x42\0_\0S\0O\0U\0R\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x2/\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0T\0O\0G\0G\0L\0\x45\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3\x1\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x2\x97\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x30\0t\0o\0o\0l\0\x62\0\x61\0r\0H\0\x42\0_\0P\0R\0O\0\x44\0T\0Y\0P\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x3\r\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0<\0\x41\0\x42\0V\0_\0\x41\0\x44\0\x44\0_\0T\0\x45\0M\0P\0O\0R\0\x41\0R\0Y\0_\0\x41\0S\0S\0\x45\0R\0T\0_\0P\0\x41\0N\0\x45\0L\x1\0\0\x3@\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0U\0V\0M\0_\0\x41\0W\0\x41\0R\0\x45\0_\0\x44\0\x45\0\x42\0U\0G\x1\0\0\x3\x64\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0V\0\x43\0_\0\x41\0P\0P\0S\0_\0T\0O\0O\0L\0_\0\x42\0O\0X\x1\0\0\x3\x92\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x14\0L\0O\0G\0_\0V\0I\0\x45\0W\0\x45\0R\x1\0\0\x3\xb6\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0\x41\0M\0S\0_\0\x43\0O\0N\0\x46\0I\0G\0_\0T\0O\0O\0L\0\x42\0\x41\0R\x1\0\0\x3\xda\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\0\x30\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0&\0H\0\x42\0_\0\x42\0\x41\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\x1\xe0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x32\0t\0o\0o\0l\0\x42\0\x61\0r\0\x46\0o\0r\0m\0\x61\0l\0V\0\x65\0r\0i\0\x66\0i\0\x63\0\x61\0t\0i\0o\0n\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\a\0\0\0>\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0R\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0W\0I\0N\0\x44\0_\0U\0N\0\x44\0O\0_\0R\0\x45\0\x44\0O\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x5\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0@\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0R\0\x45\0V\0\x45\0R\0S\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\x1\x95\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x38\0H\0\x42\0_\0P\0O\0W\0\x45\0R\0_\0T\0R\0\x41\0\x43\0\x45\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0P\0\x44\0M\0L\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0$\0H\0\x42\0_\0P\0\x41\0_\0\x44\0O\0M\0\x41\0I\0N\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0H\0\x42\0_\0P\0\x41\0_\0S\0T\0\x41\0T\0\x45\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0T\0\x42\0\x42\0R\0_\0\x44\0\x45\0\x42\0U\0G\0_\0V\0S\0I\0M\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0:\0N\0O\0V\0\x41\0S\0_\0\x45\0M\0U\0L\0\x41\0T\0I\0O\0N\0_\0\x44\0\x45\0\x42\0U\0G\0_\0\x43\0O\0M\0M\0\x41\0N\0\x44\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x1a\0\x43\0V\0G\0_\0\x43\0\x45\0R\0_\0P\0\x41\0N\0\x45\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +window\Verdi_1\geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\xff\xff\xff\xff\0\0\0\x1b\0\0\a\x80\0\0\x4\x12\0\0\0\0\0\0\0\0\xff\xff\xff\xfe\xff\xff\xff\xfe\0\0\0\0\x2\0) +window\Verdi_1\menubar=true +window\Verdi_1\splitters\tbvConstrDbgSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x8d\0\0\0\x8d\x1\0\0\0\x6\x1\0\0\0\x1) +window\Verdi_1\splitters\tbvConstrRerandSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0G\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvConstrOriginSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0!\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\ThreadPane\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x37\0\0\0\x37\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvInteractiveSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1f\0\0\0\x1f\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvVSimSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x1f\0\0\0\x1f\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\tbvTBHSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0-\0\0\0?\x1\0\0\0\x6\x1\0\0\0\x2) +window\Verdi_1\splitters\splitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\x1\0\0\0\x2\0\x1\0\0\0\x6\x1\0\0\0\x1) +window\nWave_2\layout="@ByteArray(\0\0\0\xff\0\x3\x13\xf0\xfd\0\0\0\0\0\0\a\x80\0\0\x1z\0\0\0\x4\0\0\0\x4\0\0\0\b\0\0\0\b\xfc\0\0\0\x2\0\0\0\x2\0\0\0\f\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0O\0P\0\x45\0N\x1\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0\x45\0\x44\0I\0T\x1\0\0\0?\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x16\0W\0\x41\0V\0\x45\0_\0\x43\0U\0R\0S\0O\0R\x1\0\0\0\xb4\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0V\0I\0\x45\0W\x1\0\0\x2\x1d\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\"\0W\0\x41\0V\0\x45\0_\0S\0\x45\0\x41\0R\0\x43\0H\0_\0\x45\0V\0\x45\0N\0T\x1\0\0\x2w\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x1e\0W\0\x41\0V\0\x45\0_\0R\0\x45\0P\0L\0\x41\0Y\0_\0S\0I\0M\0\0\0\x2\xcb\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x12\0W\0\x41\0V\0\x45\0_\0G\0O\0T\0O\x1\0\0\x3\x13\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0,\0W\0\x41\0V\0\x45\0_\0G\0O\0T\0O\0_\0N\0\x41\0M\0\x45\0\x44\0_\0M\0\x41\0R\0K\0\x45\0R\0\0\0\x3\x32\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0W\0\x41\0V\0\x45\0_\0T\0R\0\x41\0N\0S\0\x41\0\x43\0T\0I\0O\0N\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0*\0W\0\x41\0V\0\x45\0_\0\x45\0X\0P\0L\0O\0R\0\x45\0_\0P\0R\0O\0P\0\x45\0R\0T\0Y\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0 \0W\0\x41\0V\0\x45\0_\0\x46\0I\0N\0\x44\0_\0S\0I\0G\0N\0\x41\0L\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x18\0W\0\x41\0V\0\x45\0_\0P\0R\0I\0M\0\x41\0R\0Y\0\0\0\x3`\xff\xff\xff\xff\0\0\0\0\0\0\0\0\0\0\0\x2\0\0\0\x1\0\0\0\x32\0S\0\x45\0L\0\x45\0\x43\0T\0I\0O\0N\0_\0M\0\x45\0S\0S\0\x41\0G\0\x45\0_\0T\0O\0O\0L\0\x42\0\x41\0R\0\0\0\0\0\xff\xff\xff\xff\0\0\0\0\0\0\0\0)" +window\nWave_2\geometry=@ByteArray(\x1\xd9\xd0\xcb\0\x1\0\0\0\0\0\0\0\0\0\x1c\0\0\a\x7f\0\0\x1\xc6\0\0\0\0\0\0\0\x1c\0\0\a\x7f\0\0\x1\xc6\0\0\0\0\0\0) +window\nWave_2\menubar=true +window\nWave_2\splitters\splitter_5\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x3\0\0\x1W\x1\0\0\0\x1\0\0\0\0\x2) +window\nWave_2\splitters\splitter_2\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\x1[\0\0\x6%\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\splitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x3\0\0\x1\xf\0\0\0\0\0\0\x5\x10\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\Pane_Upper\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\x1[\0\0\x6\"\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\splitter_3\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\x1\xf\0\0\x5\x10\x1\0\0\0\x1\0\0\0\0\x1) +window\nWave_2\splitters\wholeSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x3\0\0\0\x41\0\0\0\xa3\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x1) +window\nWave_2\splitters\middleSplitter\layout=@ByteArray(\0\0\0\xff\0\0\0\0\0\0\0\x2\0\0\0\x4\0\0\0\x4\x1\0\0\0\x6\x1\0\0\0\x2) + +[qBaseWindow_saveRestoreSession_group] +10=/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses + +[qDockerWindow_C] +Verdi_1\position.x=-1 +Verdi_1\position.y=27 +Verdi_1\width=1920 +Verdi_1\height=977 diff --git a/nco_dpi/codegen/dll/nco/novas.rc b/nco_dpi/codegen/dll/nco/novas.rc new file mode 100644 index 0000000..039d7f9 --- /dev/null +++ b/nco_dpi/codegen/dll/nco/novas.rc @@ -0,0 +1,1259 @@ +@verdi rc file Version 1.0 +[Library] +work = ./work +[Annotation] +3D_Active_Annotation = FALSE +[CommandSyntax.finsim] +InvokeCommand = +FullFileName = TRUE +Separator = . +SimPromptSign = ">" +HierNameLevel = 1 +RunContinue = "continue" +Finish = "quit" +UseAbsTime = FALSE +NextTime = "run 1" +NextNTime = "run ${SimBPTime}" +NextEvent = "run 1" +Reset = +ObjPosBreak = "break posedge ${SimBPObj}" +ObjNegBreak = "break negedge ${SimBPObj}" +ObjAnyBreak = "break change ${SimBPObj}" +ObjLevelBreak = +LineBreak = "breakline ${SimBPFile} ${SimBPLine}" +AbsTimeBreak = "break abstimeaf ${SimBPTime}" +RelTimeBreak = "break reltimeaf ${SimBPTime}" +EnableBP = "breakon ${SimBPId}" +DisableBP = "breakoff ${SimBPId}" +DeleteBP = "breakclr ${SimBPId}" +DeleteAllBP = "breakclr" +SimSetScope = "cd ${SimDmpObj}" +[CommandSyntax.ikos] +InvokeCommand = "setvar debussy true;elaborate -p ${SimTop} -s ${SimArch}; run until 0;fsdbInteractive; " +FullFileName = TRUE +NeedTimeUnit = TRUE +NormalizeTimeUnit = TRUE +Separator = / +HierNameLevel = 2 +RunContinue = "run" +Finish = "exit" +NextTime = "run ${SimBPTime} ${SimTimeUnit}" +NextNTime = "run for ${SimBPTime} ${SimTimeUnit}" +NextEvent = "step 1" +Reset = "reset" +ObjPosBreak = "stop if ${SimBPObj} = \"'1'\"" +ObjNegBreak = "stop if ${SimBPObj} = \"'0'\"" +ObjAnyBreak = +ObjLevelBreak = "stop if ${SimBPObj} = ${SimBPValue}" +LineBreak = "stop at ${SimBPFile}:${SimBPLine}" +AbsTimeBreak = +RelTimeBreak = +EnableBP = "enable ${SimBPId}" +DisableBP = "disable ${SimBPId}" +DeleteBP = "delete ${SimBPId}" +DeleteAllBP = "delete *" +[CommandSyntax.verisity] +InvokeCommand = +FullFileName = FALSE +Separator = . +SimPromptSign = "> " +HierNameLevel = 1 +RunContinue = "." +Finish = "$finish;" +NextTime = "$db_steptime(1);" +NextNTime = "$db_steptime(${SimBPTime});" +NextEvent = "$db_step;" +SimSetScope = "$scope(${SimDmpObj});" +Reset = "$reset;" +ObjPosBreak = "$db_breakonposedge(${SimBPObj});" +ObjNegBreak = "$db_breakonnegedge(${SimBPObj});" +ObjAnyBreak = "$db_breakwhen(${SimBPObj});" +ObjLevelBreak = "$db_breakwhen(${SimBPObj}, ${SimBPValue});" +LineBreak = "$db_breakatline(${SimBPLine}, ${SimBPScope}, \"${SimBPFile}\");" +AbsTimeBreak = "$db_breakbeforetime(${SimBPTime});" +RelTimeBreak = "$db_breakbeforetime(${SimBPTime});" +EnableBP = "$db_enablebreak(${SimBPId});" +DisableBP = "$db_disablebreak(${SimBPId});" +DeleteBP = "$db_deletebreak(${SimBPId});" +DeleteAllBP = "$db_deletebreak;" +FSDBInit = "$novasInteractive;" +FSDBDumpvars = "$novasDumpvars(0, ${SimDmpObj});" +FSDBDumpsingle = "$novasDumpsingle(${SimDmpObj});" +FSDBDumpvarsInFile = "$novasDumpvarsToFile(\"${SimDmpFile}\");" +FSDBDumpMem = "$novasDumpMemNow(${SimDmpObj}, ${SimDmpBegin}, ${SimDmpSize});" +[CoverageDetail] +cross_filter_limit = 1000 +branch_limit_vector_display = 50 +showgrid = TRUE +reuseFirst = TRUE +justify = TRUE +scrollbar_mode = per pane +test_combo_left_truncate = TRUE +instance_combo_left_truncate = TRUE +loop_navigation = TRUE +condSubExpr = 20 +tglMda = 1000 +linecoverable = 100000 +lineuncovered = 50000 +tglcoverable = 30000 +tgluncovered = 30000 +pendingMax = 1000 +show_full_more = FALSE +[CoverageHier] +showgrid = FALSE +[CoverageWeight] +Assert = 1 +Covergroup = 1 +Line = 1 +Condition = 1 +Toggle = 1 +FSM = 1 +Branch = 1 +[DesignTree] +IfShowModule = {TRUE, FALSE} +[DisabledMessages] +version = Verdi_N-2017.12-SP2 +[Editor] +editorName = TurboEditor +[Emacs] +EmacsFont = "Clean 14" +EmacsBG = white +EmacsFG = black +[Exclusion] +enableAsDefault = TRUE +saveAsDefault = TRUE +saveManually = TRUE +illegalBehavior = FALSE +DisplayExcludedItem = FALSE +adaptiveExclusion = TRUE +favorite_exclude_annotation = "" +[FSM] +viewport = 65 336 387 479 +WndBk-FillColor = Gray3 +Background-FillColor = gray5 +prefKey_Link-FillColor = yellow4 +prefKey_Link-TextColor = black +Trap = red3 +Hilight = blue4 +Window = Gray3 +Selected = white +Trans. = green2 +State = black +Init. = black +SmartTips = TRUE +VectorFont = FALSE +StopAskBkgndColor = FALSE +ShowStateAction = FALSE +ShowTransAction = FALSE +ShowTransCond = FALSE +StateLable = NAME +StateValueRadix = ORIG +State-LineColor = ID_BLACK +State-LineWidth = 1 +State-FillColor = ID_BLUE2 +State-TextColor = ID_WHITE +Init_State-LineColor = ID_BLACK +Init_State-LineWidth = 2 +Init_State-FillColor = ID_YELLOW2 +Init_State-TextColor = ID_BLACK +Reset_State-LineColor = ID_BLACK +Reset_State-LineWidth = 2 +Reset_State-FillColor = ID_YELLOW7 +Reset_State-TextColor = ID_BLACK +Trap_State-LineColor = ID_RED2 +Trap_State-LineWidth = 2 +Trap_State-FillColor = ID_CYAN5 +Trap_State-TextColor = ID_RED2 +State_Action-LineColor = ID_BLACK +State_Action-LineWidth = 1 +State_Action-FillColor = ID_WHITE +State_Action-TextColor = ID_BLACK +Junction-LineColor = ID_BLACK +Junction-LineWidth = 1 +Junction-FillColor = ID_GREEN2 +Junction-TextColor = ID_BLACK +Connection-LineColor = ID_BLACK +Connection-LineWidth = 1 +Connection-FillColor = ID_GRAY5 +Connection-TextColor = ID_BLACK +prefKey_Port-LineColor = ID_BLACK +prefKey_Port-LineWidth = 1 +prefKey_Port-FillColor = ID_ORANGE6 +prefKey_Port-TextColor = ID_YELLOW2 +Transition-LineColor = ID_BLACK +Transition-LineWidth = 1 +Transition-FillColor = ID_WHITE +Transition-TextColor = ID_BLACK +Trans_Condition-LineColor = ID_BLACK +Trans_Condition-LineWidth = 1 +Trans_Condition-FillColor = ID_WHITE +Trans_Condition-TextColor = ID_ORANGE2 +Trans_Action-LineColor = ID_BLACK +Trans_Action-LineWidth = 1 +Trans_Action-FillColor = ID_WHITE +Trans_Action-TextColor = ID_GREEN2 +SelectedSet-LineColor = ID_RED2 +SelectedSet-LineWidth = 1 +SelectedSet-FillColor = ID_RED2 +SelectedSet-TextColor = ID_WHITE +StickSet-LineColor = ID_ORANGE5 +StickSet-LineWidth = 1 +StickSet-FillColor = ID_PURPLE6 +StickSet-TextColor = ID_BLACK +HilightSet-LineColor = ID_RED5 +HilightSet-LineWidth = 1 +HilightSet-FillColor = ID_RED7 +HilightSet-TextColor = ID_BLUE5 +ControlPoint-LineColor = ID_BLACK +ControlPoint-LineWidth = 1 +ControlPoint-FillColor = ID_WHITE +Bundle-LineColor = ID_BLACK +Bundle-LineWidth = 1 +Bundle-FillColor = ID_WHITE +Bundle-TextColor = ID_BLUE4 +QtBackground-FillColor = ID_GRAY6 +prefKey_Link-LineColor = ID_ORANGE2 +prefKey_Link-LineWidth = 1 +Selection-LineColor = ID_BLUE2 +Selection-LineWidth = 1 +[FSM_Dlg-Print] +Orientation = Landscape +[FileBrowser] +nWaveOpenFsdbDirHistory = "\"/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/TB.fsdb\"" +[Form] +version = Verdi_N-2017.12-SP2 +[General] +autoSaveSession = FALSE +TclAutoSource = +cmd_enter_form = FALSE +SyncBrowserDir = TRUE +version = Verdi_N-2017.12-SP2 +SignalCaseInSensitive = FALSE +ShowWndCtntDuringResizing = FALSE +[GlobalProp] +ErrWindow_Font = Helvetica_M_R_12 +[Globals] +app_default_font = Bitstream Vera Sans,10,-1,5,50,0,0,0,0,0 +app_fixed_width_font = Courier,10,-1,5,50,0,0,0,0,0 +text_encoding = Unicode(utf8) +smart_resize = TRUE +smart_resize_child_limit = 2000 +tooltip_max_width = 200 +tooltip_max_height = 20 +tooltip_viewer_key = F3 +tooltip_display_time = 1000 +bookmark_name_length_limit = 12 +disable_tooltip = FALSE +auto_load_source = TRUE +max_array_size = 4096 +filter_when_typing = TRUE +filter_keep_children = TRUE +filter_syntax = Wildcards +filter_keystroke_interval = 800 +filter_case_sensitive = FALSE +filter_full_path = FALSE +load_detail_for_funcov = FALSE +sort_limit = 100000 +ignoreDBVersionChecking = FALSE +[HB] +ViewSchematic = FALSE +windowLayout = 0 0 804 500 182 214 804 148 +import_filter = *.v; *.vc; *.f +designTreeFont = *-adobe-courier-medium-r-*-*-12-*-*-*-*-*-iso8859-* +import_filter_vhdl = *.vhd; *.vhdl; *.f +import_filter_verilog = *.v; *.vc; *.f +simulation_file_type = *.fsdb;*.fsdb.gz;*.fsdb.bz2;*.ff;*.dump +PrefetchViewableAnnot = TRUE +import_default_language = Verilog +import_from = source +[Hier] +filterTimeout = 1500 +[ImportLiberty] +SearchPriority = .lib++ +bSkipStateCell = False +bImportPowerInfo = False +bSkipFFCell = False +bScpecifyCellNameCase = False +bSpecifyPinNameCase = False +CellNameToCase = +PinNameToCase = +[Language] +EditWindow_Font = COURIER12 +Background = ID_WHITE +Comment = ID_GRAY4 +Keyword = ID_BLUE5 +UserKeyword = ID_GREEN2 +Text = ID_BLACK +SelText = ID_WHITE +SelBackground = ID_BLUE2 +[Library.Ikos] +pack = ./work.lib++ +vital = ./work.lib++ +work = ./work.lib++ +std = ${dls_std}.lib++ +ieee = ${dls_ieee}.lib++ +synopsys = ${dls_synopsys}.lib++ +silc = ${dls_silc}.lib++ +ikos = ${dls_ikos}.lib++ +novas = ${VOYAGER_LIB_VHDL}/${VOYAGER_MACHINE}/novas.lib++ +[MDT] +ART_RF_SP = spr[0-9]*bx[0-9]* +ART_RF_2P = dpr[0-9]*bx[0-9]* +ART_SRAM_SP = spm[0-9]*bx[0-9]* +ART_SRAM_DP = dpm[0-9]*bx[0-9]* +VIR_SRAM_SP = hdsd1_[0-9]*x[0-9]*cm4sw1 +VIR_SRAM_DP = hdsd2_[0-9]*x[0-9]*cm4sw1 +VIR_RF_SP = rfsd1_[0-9]*x[0-9]*cm2sw0 +VIR_RF_DP = rfsd2_[0-9]*x[0-9]*cm2sw1 +VIR_STAR_SRAM_SP = shsd1_[0-9]*x[0-9]*cm4sw0 +[NPExpanding] +functiongroups = FALSE +modules = FALSE +[NPFilter] +showAssertion = TRUE +showCoverGroup = TRUE +showProperty = TRUE +showSequence = TRUE +showDollarUnit = TRUE +[OtherEditor] +cmd1 = "xterm -font 9x15 -fg black -bg gray -e" +name = "vi" +options = "+${CurLine} ${CurFullFileName}" +[Power] +PowerDownInstance = ID_GRAY1 +RetentionSignal = ID_YELLOW2 +IsolationSignal = ID_RED6 +LevelShiftedSignal = ID_GREEN6 +PowerSwitchObject = ID_ORANGE5 +AlwaysOnObject = ID_GREEN5 +PowerNet = ID_RED2 +GroundNet = ID_RED2 +SimulationOnly = ID_CYAN3 +SRSN/SPA = ID_CYAN3 +CNSSignal = ID_CYAN3 +AcknowledgeSignal = ID_CYAN3 +BoundaryPort = ID_CYAN3 +DisplayInstrumentedCell = TRUE +ShowCmdByFile = FALSE +ShowPstAnnot = FALSE +ShowIsoSymbol = TRUE +ExtractIsoSameNets = FALSE +AnnotateSignal = TRUE +HighlightPowerObject = TRUE +HighlightPowerDomain = TRUE +BrightenPowerColorInSchematicWindow = FALSE +ShowAlias = FALSE +ShowVoltage = TRUE +MatchTreeNodesCaseInsensitive = FALSE +SearchHBNodeDynamically = FALSE +ContinueTracingSupplyOrLogicNet = FALSE +[Print] +PrinterName = lp +FileName = test.ps +PaperSize = A4 - 210x297 (mm) +ColorPrint = FALSE +[PropertyTools] +saveFsdbStat = TRUE +savePropStat = FALSE +savePropDtl = TRUE +[QtDialog] +QwQuestionMsgDlg = 788,475,324,114 +openFileDlg = 658,311,602,483 +QwUserAskDlg = 798,526,324,134 +qWaveSignalDialog = 559,313,800,479 +importDesignForm = 642,247,634,611 +[Relationship] +hideRecursiceNode = FALSE +[Session Cache] +2 = string (session file name) +3 = string (session file name) +4 = string (session file name) +5 = string (session file name) +1 = /data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/verdiLog/novas_autosave.ses +[Simulation] +scsPath = scsim +scsOption = +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +osciPath = gdb +osciOption = +vcsPath = simv +vcsOption = +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +speedsimPath = +speedsimOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +interactiveDebugging = {True, False} +KeepBreakPoints = False +ScsDebugAll = False +simType = {vcssv, xl, nc, vcs, mti, mti_vlog, vhnc, scs, mixnc} +thirdpartyIdx = -1 +iscCmdSep = FALSE +NoAppendOption = False +[SimulationPlus] +xlPath = verilog +xlOption = +ncPath = ncsim +ncOption = -f ncsim.args +vcsPath = simv +vcsOption = +mti_vlogPath = vsim +mti_vlogOption = novas_vlog +mtiPath = vsim +mtiOption = +vhncPath = ncsim +vhncOption = -log debussy.nc.log +speedsimPath = verilog +speedsimOption = +mixncPath = ncsim +mixncOption = -log debussy.mixnc.log +scsPath = scsim +scsOption = +vcs_mixPath = simv +vcs_mixOption = -vhdlrun "-vhpi debussy:FSDBDumpCmd" +scs_mixPath = scsim +scs_mixOption = -vhpi debussy:FSDBDumpCmd +vcs_svPath = simv +vcs_svOption = +simType = vcssv +thirdpartyIdx = -1 +interactiveDebugging = FALSE +KeepBreakPoints = FALSE +iscCmdSep = FALSE +ScsDebugAll = FALSE +NoAppendOption = FALSE +invokeSimPath = work +[SimulationPlus2] +eventDumpUnfinish = FALSE +[Source] +wordWrapOn = TRUE +viewReuse = TRUE +lineNumberOn = TRUE +warnOutdatedDlg = TRUE +showEncrypt = FALSE +loadInclude = FALSE +showColorForActive = FALSE +tabWidth = 8 +editor = vi +reload = Never +sync_active_to_source = TRUE +navigateAsColored = FALSE +navigateCovered = FALSE +navigateUncovered = TRUE +navigateExcluded = FALSE +not_ask_for_source_path = FALSE +expandMacroOn = TRUE +[SourceVHDL] +vhSimType = ModelSim +ohSimType = VerilogXL +[TclShell] +nLineSize = 1024 +[Test] +verbose_progress = FALSE +[TestBenchBrowser] +-showUVMDynamicHierTreeWin = FALSE +[Text] +hdlTypeName = blue4 +hdlLibrary = blue4 +viewport = 396 392 445 487 +hdlOther = ID_BLACK +hdlComment = ID_GRAY1 +hdlKeyword = ID_BLUE5 +hdlEntity = ID_BLACK +hdlEntityInst = ID_BLACK +hdlSignal = ID_RED2 +hdlInSignal = ID_RED2 +hdlOutSignal = ID_RED2 +hdlInOutSignal = ID_RED2 +hdlOperator = ID_BLACK +hdlMinus = ID_BLACK +hdlSymbol = ID_BLACK +hdlString = ID_BLACK +hdlNumberBase = ID_BLACK +hdlNumber = ID_BLACK +hdlLiteral = ID_BLACK +hdlIdentifier = ID_BLACK +hdlSystemTask = ID_BLACK +hdlParameter = ID_BLACK +hdlIncFile = ID_BLACK +hdlDataFile = ID_BLACK +hdlCDSkipIf = ID_GRAY1 +hdlMacro = ID_BLACK +hdlMacroValue = ID_BLACK +hdlPlainText = ID_BLACK +hdlOvaId = ID_PURPLE2 +hdlPslId = ID_PURPLE2 +HvlEId = ID_BLACK +HvlVERAId = ID_BLACK +hdlEscSignal = ID_BLACK +hdlEscInSignal = ID_BLACK +hdlEscOutSignal = ID_BLACK +hdlEscInOutSignal = ID_BLACK +textBackgroundColor = ID_GRAY6 +textHiliteBK = ID_BLUE5 +textHiliteText = ID_WHITE +textTracedMark = ID_GREEN2 +textLineNo = ID_BLACK +textFoldedLineNo = ID_RED5 +textUserKeyword = ID_GREEN2 +textParaAnnotText = ID_BLACK +textFuncAnnotText = ID_BLUE2 +textAnnotText = ID_BLACK +textUserDefAnnotText = ID_BLACK +ComputedSignal = ID_PURPLE5 +textAnnotTextShadow = ID_WHITE +parenthesisBGColor = ID_YELLOW5 +codeInParenthesis = ID_CYAN5 +text3DLight = ID_WHITE +text3DShadow = ID_BLACK +textHvlDriver = ID_GREEN3 +textHvlLoad = ID_YELLOW3 +textHvlDriverLoad = ID_BLUE3 +irOutline = ID_RED2 +irDriver = ID_YELLOW5 +irLoad = ID_BLACK +irBookMark = ID_YELLOW2 +irIndicator = ID_WHITE +irBreakpoint = ID_GREEN5 +irCurLine = ID_BLUE5 +hdlVhEntity = ID_BLACK +hdlArchitecture = ID_BLACK +hdlPackage = ID_BLUE5 +hdlRefPackage = ID_BLUE5 +hdlAlias = ID_BLACK +hdlGeneric = ID_BLUE5 +specialAnnotShadow = ID_BLUE1 +hdlZeroInHead = ID_GREEN2 +hdlZeroInComment = ID_GREEN2 +hdlPslHead = ID_BLACK +hdlPslComment = ID_BLACK +hdlSynopsysHead = ID_GREEN2 +hdlSynopsysComment = ID_GREEN2 +pdmlIdentifier = ID_BLACK +pdmlCommand = ID_BLACK +pdmlMacro = ID_BLACK +font = COURIER12 +annotFont = Helvetica_M_R_10 +[Text.1] +viewport = -1 27 1920 977 45 +[TextPrinter] +Orientation = Landscape +Indicator = FALSE +LineNum = TRUE +FontSize = 7 +Column = 2 +Annotation = TRUE +[Texteditor] +TexteditorFont = "Clean 14" +TexteditorBG = white +TexteditorFG = black +[ThirdParty] +ThirdPartySimTool = verisity surefire ikos finsim +[TurboEditor] +autoBackup = TRUE +[UserButton.mixnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +Button8 = "FSDB Ver" "call fsdbVersion" +Button9 = "Dump On" "call fsdbDumpon" +Button10 = "Dump Off" "call fsdbDumpoff" +Button11 = "All Tasks" "call" +Button12 = "Dump Selected Instance" "call fsdbDumpvars 1 ${SelInst}" +[UserButton.mti] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.mti_vlog] +Button1 = "Dump All Signals" "fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000\n" +Button3 = "Next ? Time" "run ${Arg:Next Time}\n" +Button4 = "Show Variables" "exa ${SelVars}\n" +Button5 = "Force Variable" "force -freeze ${SelVar} ${Arg:New Value} 0\n" +Button6 = "Release Variable" "noforce ${SelVar}\n" +Button7 = "Deposit Variable" "force -deposit ${SelVar} ${Arg:New Value} 0\n" +[UserButton.nc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.scs] +Button1 = "Dump All Signals" "call fsdbDumpvars(0, \"${TopScope}\");\n" +Button2 = "Next 1000 Time" "run 1000 \n" +Button3 = "Next ? Time" "run ${Arg:Next Time} \n" +Button4 = "Run Step" "step\n" +Button5 = "Show Variables" "ls -v {${SelVars}}\n" +[UserButton.vhnc] +Button1 = "Dump All Signals" "call fsdbDumpvars\n" +Button2 = "Next 1000 Time" "run 1000 -relative\n" +Button3 = "Next ? Time" "run ${Arg:Next Time} -relative\n" +Button4 = "Run Next" "run -next\n" +Button5 = "Run Step" "run -step\n" +Button6 = "Run Return" "run -return\n" +Button7 = "Show Variables" "value {${NCSelVars}}\n" +[UserButton.xl] +Button13 = "Dump Off" "$fsdbDumpoff;\n" +Button12 = "Dump On" "$fsdbDumpon;\n" +Button11 = "Delete Focus" "$db_deletefocus(${treeSelScope});\n" +Button10 = "Set Focus" "$db_setfocus(${treeSelScope});\n" +Button9 = "Deposit Variable" "$deposit(${SelVar},${Arg:New Value});\n" +Button8 = "Release Variable" "release ${SelVar};\n" +Button7 = "Force Variable" "force ${SelVar} = ${Arg:New Value};\n" +Button6 = "Show Variables" "$showvars(${SelVars});\n" +Button5 = "Next ? Event" "$db_step(${Arg:Next Event});\n" +Button4 = "Next Event" "$db_step(1);\n" +Button3 = "Next ? Time" "#${Arg:Next Time} $stop;.\n" +Button2 = "Next 1000 Time" "#1000 $stop;.\n" +Button1 = "Dump All Signals" "$fsdbDumpvars;\n" +[VIA] +viaLogViewerDefaultRuleOneSearchForm = "share/VIA/Apps/PredefinedRules/Misc/Onesearch_rule.rc" +[VIA.oneSearch.preference] +DefaultDisplayTimeUnit = "1.000000ns" +DefaultLogTimeUnit = "1.000000ns" +[VIA.oneSearch.preference.vgifColumnSettingRC] +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0] +parRuleSets = "" +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column0] +name = Message +width = 2000 +visualIndex = 4 +isHidden = FALSE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column1] +name = Severity +width = 60 +visualIndex = 1 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column2] +name = Time +width = 60 +visualIndex = 0 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column3] +name = Code +width = 60 +visualIndex = 2 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[VIA.oneSearch.preference.vgifColumnSettingRC.setting0.column4] +name = Type +width = 60 +visualIndex = 3 +isHidden = TRUE +isUserChangeColumnVisible = FALSE +[Vi] +ViFont = "Clean 14" +ViBG = white +ViFG = black +[Wave] +ovaEventSuccessColor = -c ID_CYAN5 +ovaEventFailureColor = -c ID_RED5 +ovaBooleanSuccessColor = -c ID_CYAN5 +ovaBooleanFailureColor = -c ID_RED5 +ovaAssertSuccessColor = -c ID_GREEN5 +ovaAssertFailureColor = -c ID_RED5 +ovaForbidSuccessColor = -c ID_GREEN5 +SigGroupRuleFile = +DisplayFileName = FALSE +waveform_vertical_scroll_bar = TRUE +scope_to_save_with_macro +open_file_dir +open_rc_file_dir +getSignalForm = 559 276 800 479 212 381 534 183 +viewPort = 0 28 1920 427 347 271 +signalSpacing = 5 +digitalSignalHeight = 15 +analogSignalHeight = 98 +commentSignalHeight = 98 +transactionSignalHeight = 98 +messageSignalHeight = 98 +minCompErrWidth = 4 +DragZoomTolerance = 4 +maxTransExpandedLayer = 10 +WaveMaxPoint = 512 +legendBackground = -c ID_BLACK +valueBackground = -c ID_BLACK +curveBackground = -c ID_BLACK +getSignalSignalList_BackgroundColor = -c ID_GRAY6 +glitchColor = -c ID_RED5 +cursor = -c ID_YELLOW5 -lw 1 -ls long_dashed +marker = -c ID_WHITE -lw 1 -ls dash_dot_l +usermarker = -c ID_GREEN5 -lw 1 -ls long_dashed +trace = -c ID_GRAY5 -lw 1 -ls long_dashed +grid = -c ID_WHITE -lw 1 -ls short_dashed +rulerBackground = -c ID_GRAY3 +rulerForeground = -c ID_YELLOW5 +busTextColor = -c ID_ORANGE8 +region(Active)Background = -c ID_YELLOW1 +region(NBA)Background = -c ID_RED1 +region(Re-Active)Background = -c ID_YELLOW3 +region(Re-NBA)Background = -c ID_RED3 +region(VHDL-Delta)Background = -c ID_ORANGE3 +region(Dump-Off)Background = -c ID_GRAY4 +High_Light = -c ID_GRAY2 +Input_Signal = -c ID_RED5 +Output_Signal = -c ID_GREEN5 +InOut_Signal = -c ID_BLUE5 +Net_Signal = -c ID_YELLOW5 +Register_Signal = -c ID_PURPLE5 +Verilog_Signal = -c ID_CYAN5 +VHDL_Signal = -c ID_ORANGE5 +SystemC_Signal = -c ID_BLUE7 +Dump_Off_Color = -c ID_BLUE2 +Compress_Bar_Color = -c ID_YELLOW4 +Vector_Dense_Block_Color = -c ID_ORANGE8 +Scalar_Dense_Block_Color = -c ID_GREEN6 +Composite_Dense_Block_Color = -c ID_ORANGE5 +DB_Power_Off_Layer = -c ID_BLUE4 -stipple dots +SPA_Driver_Power_Off_Layer = -c ID_ORANGE4 -stipple dots +SPA_Receiver_Power_Off_Layer = -c ID_GREEN5 -stipple dots +SRSN_Power_Off_Layer = -c ID_GREEN4 -stipple dots +Isolation_Power_Off_Layer = -c ID_RED4 -stipple dots +PD_Power_Off_Layer = -c ID_GRAY4 -stipple dots +Isolation_Layer = -c ID_RED4 -stipple vLine +Retention_Level_Trigger_Layer = -c ID_ORANGE1 -stipple fill_solid +Retention_Edge_Trigger_Layer = -c ID_YELLOW6 -stipple fill_solid +Driving_Power_Off_Layer = -c ID_YELLOW2 -stipple x +Toggle_Layer = -c ID_YELLOW4 -stipple slash +analogRealStyle = pwl +analogVoltageStyle = pwl +analogCurrentStyle = pwl +analogOthersStyle = pwl +busSignalLayer = -c ID_ORANGE8 +busXLayer = -c ID_RED5 +busZLayer = -c ID_ORANGE6 +busMixedLayer = -c ID_GREEN5 +busNotComputedLayer = -c ID_GRAY1 +busNoValueLayer = -c ID_BLUE2 +signalGridLayer = -c ID_WHITE +analogGridLayer = -c ID_GRAY6 +analogRulerLayer = -c ID_GRAY6 +keywordLayer = -c ID_RED5 +loadedLayer = -c ID_BLUE5 +loadingLayer = -c ID_BLACK +qdsCurMarkerLayer = -c ID_BLUE5 +qdsBrkMarkerLayer = -c ID_GREEN5 +qdsTrgMarkerLayer = -c ID_RED5 +arrowDefaultColor = -c ID_ORANGE6 +startNodeArrowColor = -c ID_WHITE +endNodeArrowColor = -c ID_YELLOW5 +propertyEventMatchColor = -c ID_GREEN5 +propertyEventNoMatchColor = -c ID_RED5 +propertyVacuousSuccessMatchColor = -c ID_YELLOW2 +propertyStatusBoundaryColor = -c ID_WHITE +propertyBooleanSuccessColor = -c ID_CYAN5 +propertyBooleanFailureColor = -c ID_RED5 +propertyAssertSuccessColor = -c ID_GREEN5 +propertyAssertFailureColor = -c ID_RED5 +propertyForbidSuccessColor = -c ID_GREEN5 +transactionForegroundColor = -c ID_YELLOW8 +transactionBackgroundColor = -c ID_BLACK +transactionHighLightColor = -c ID_CYAN6 +transactionRelationshipColor = -c ID_PURPLE6 +transactionErrorTypeColor = -c ID_RED5 +coverageFullyCoveredColor = -c ID_GREEN5 +coverageNoCoverageColor = -c ID_RED5 +coveragePartialCoverageColor = -c ID_YELLOW5 +coverageReferenceLineColor = -c ID_GRAY4 +messageForegroundColor = -c ID_YELLOW4 +messageBackgroundColor = -c ID_PURPLE1 +messageHighLightColor = -c ID_CYAN6 +messageInformationColor = -c ID_RED5 +ComputedAnnotColor = -c ID_PURPLE5 +fsvSecurityDataColor = -c ID_PURPLE3 +qdsAutoBusGroup = TRUE +qdsTimeStampMode = FALSE +qdsVbfBusOrderAscending = FALSE +openDumpFilter = *.fsdb;*.vf;*.jf +DumpFileFilter = *.vcd +RestoreSignalFilter = *.rc +SaveSignalFilter = *.rc +AddAliasFilter = *.alias;*.adb +CompareSignalFilter = *.err +ConvertFFFilter = *.vcd;*.out;*.tr0;*.xp;*.raw;*.wfm +Scroll_Ratio = 100 +Zoom_Ratio = 10 +EventSequence_SyncCursorTime = TRUE +EventSequence_Sorting = FALSE +EventSequence_RemoveGrid = FALSE +EventSequence_IsGridMode = FALSE +SetDefaultRadix = TRUE +DefaultRadix = Hex +SigSearchSignalMatchCase = FALSE +SigSearchSignalScopeOption = FALSE +SigSearchSignalSamenetInterface = FALSE +SigSearchSignalFullScope = FALSE +SigSearchSignalWithRegExp = FALSE +SigSearchDynamically = FALSE +SigDisplayBySelectionOrder = FALSE +SigDisplayRowMajor = FALSE +SigDragSelFollowColumn = FALSE +SigDisplayHierarchyBox = TRUE +SigDisplaySubscopeBox = TRUE +SigDisplayEmptyScope = TRUE +SigDisplaySignalNavigationBox = FALSE +SigDisplayFormBus = TRUE +SigShowSubProgram = TRUE +SigSearchScopeDynamically = TRUE +SigCollapseSubtreeNodes = FALSE +activeFileApplyToAnnotation = FALSE +GrpSelMode = TRUE +dispGridCount = FALSE +hierarchyName = FALSE +partial_level_name = FALSE +partial_level_head = 1 +partial_level_tail = 1 +displayMessageLabelOnly = TRUE +autoInsertDumpoffs = TRUE +displayMessageCallStack = FALSE +displayCallStackWithFullSections = TRUE +displayCallStackWithLastSection = FALSE +limitMessageMaxWidth = FALSE +messageMaxWidth = 50 +displayTransBySpecificColor = FALSE +fittedTransHeight = FALSE +snap = TRUE +gravitySnap = FALSE +displayLeadingZero = FALSE +displayGlitchs = FALSE +allfileTimeRange = FALSE +fixDelta = FALSE +displayCursorMarker = FALSE +autoUpdate = FALSE +restoreFromActiveFile = TRUE +restoreToEnd = FALSE +dispCompErr = TRUE +showMsgDes = TRUE +anaAutoFit = FALSE +anaAutoPattn = FALSE +anaAuto100VertFit = FALSE +displayDeltaY = FALSE +centerCursor = FALSE +denseBlockDrawing = TRUE +relativeFreqPrecision = 3 +showMarkerAbsolute = FALSE +showMarkerAdjacent = FALSE +showMarkerRelative = FALSE +showMarkerFrequency = FALSE +stickCursorMarkerOnWaveform = TRUE +keepMarkerAtEndTimeOfTransaction = FALSE +doubleClickToExpandTransaction = TRUE +expandTransactionAssociatedSignals = TRUE +expandTransactionAttributeSignals = FALSE +WaveExtendLastTick = TRUE +InOutSignal = FALSE +NetRegisterSignal = FALSE +VerilogVHDLSignal = FALSE +LabelMarker = TRUE +ResolveSymbolicLink = TRUE +signal_rc_abspath = TRUE +signal_rc_no_natural_bus_range = FALSE +save_scope_with_macro = FALSE +TipInSignalWin = FALSE +DisplayPackedSiganlInBitwiseManner = FALSE +DisplaySignalTypeAheadOfSignalName = TRUE ICON +TipInCurveWin = FALSE +MouseGesturesInCurveWin = TRUE +DisplayLSBsFirst = FALSE +PaintSpecificColorPattern = FALSE +ModuleName = TRUE +form_all_memory_signal = FALSE +formBusSignalFromPartSelects = FALSE +read_value_change_on_demand_for_drawing = FALSE +load_scopes_on_demand = on 5 +TransitionMode = TRUE +DisplayRadix = FALSE +SchemaX = FALSE +Hilight = TRUE +UseBeforeValue = FALSE +DisplayFileNameAheadOfSignalName = FALSE +DisplayFileNumberAheadOfSignalName = FALSE +DisplayValueSpace = TRUE +FitAnaByBusSize = FALSE +displayTransactionAttributeName = FALSE +expandOverlappedTrans = FALSE +dispSamplePointForAttrSig = TRUE +dispClassName = TRUE +ReloadActiveFileOnly = FALSE +NormalizeEVCD = FALSE +OverwriteAliasWithRC = TRUE +overlay_added_analog_signals = FALSE +case_insensitive = FALSE +vhdlVariableCalculate = TRUE +signal_vertical_scroll_bar = TRUE +showPortNameForDroppedInstance = FALSE +truncateFilePathInTitleBar = TRUE +filterPropVacuousSuccess = FALSE +includeLocalSignals = FALSE +encloseSignalsByGroup = TRUE +resaveSignals = TRUE +adjustBusPrefix = adjustBus_ +adjustBusBits = 1 +adjustBusSettings = 69889 +maskPowerOff = TRUE +maskIsolation = TRUE +maskRetention = TRUE +maskDrivingPowerOff = TRUE +maskToggle = TRUE +autoBackupSignals = off 5 "\"/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/verdiLog\"" "\"novas_autosave_sig\"" +signal_rc_attribute = 65535 +signal_rc_alias_attribute = 0 +ConvertAttr1 = -inc FALSE +ConvertAttr2 = -hier FALSE +ConvertAttr3 = -ucase FALSE +ConvertAttr4 = -lcase FALSE +ConvertAttr5 = -org FALSE +ConvertAttr6 = -mem 24 +ConvertAttr7 = -deli . +ConvertAttr8 = -hier_scope FALSE +ConvertAttr9 = -inst_array FALSE +ConvertAttr10 = -vhdlnaming FALSE +ConvertAttr11 = -orgScope FALSE +analogFmtPrecision = Automatic 2 +confirmOverwrite = TRUE +confirmExit = TRUE +confirmGetAll = TRUE +printTimeRange = TRUE 0.000000 0.000000 0.000000 +printPageRange = TRUE 1 1 +printOption = 0 +printBasic = 1 0 0 FALSE FALSE +printDest = -printer {} +printSignature = {%f %h %t} {} +curveWindow_Drag&Drop_Mode = TRUE +hspiceIncOpenMode = TRUE +pcSelectMode = TRUE +hierarchyDelimiter = / +RecentFile1 = "\"/data/work/yzzhang/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/TB.fsdb\"" +open_file_time_range = FALSE +value_window_aligment = Right +signal_window_alignment = Auto +ShowDeltaTime = TRUE +legend_window_font = -f COURIER12 -c ID_CYAN5 +value_window_font = -f COURIER12 -c ID_CYAN5 +curve_window_font = -f COURIER12 -c ID_CYAN5 +group_name_font = -f COURIER12 -c ID_GREEN5 +ruler_value_font = -f COURIER12 -c ID_CYAN5 +analog_ruler_value_font = -f COURIER12 -c ID_CYAN5 +comment_string_font = -f COURIER12 -c ID_RED5 +getsignal_form_font = -f COURIER12 +SigsCheckNum = on 1000 +filter_synthesized_net = off n +filterOutNet = on +filter_synthesized_instance = off +filterOutInstance = on +showGroupTree = TRUE +hierGroupDelim = / +MsgSeverityColor = {y \"Severity\"==\"1\" ID_RED5} {y \"Severity\"==\"2\" ID_RED6} {y \"Severity\"==\"3\" ID_RED7} {y \"Severity\"==\"4\" ID_RED8} {y \"Severity\"==\"5\" ID_ORANGE5} {y \"Severity\"==\"6\" ID_ORANGE6} {y \"Severity\"==\"7\" ID_ORANGE7} {y \"Severity\"==\"8\" \ +ID_GREEN7} {y \"Severity\"==\"9\" ID_GREEN6} {y \"Severity\"==\"10\" ID_GREEN5} +AutoApplySeverityColor = TRUE +AutoAdjustMsgWidthByLabel = off +verilogStrengthDispType = type1 +waveDblClkActiveTrace = on +autoConnectTBrowser = FALSE +connectTBrowserInContainer = TRUE +SEQShowComparisonIcon = TRUE +SEQAddDriverLoadInSameGroup = TRUE +autoSyncCursorMarker = FALSE +autoSyncHorizontalRange = FALSE +autoSyncVerticalScroll = FALSE +[cov_hier_name_column] +justify = TRUE +[coverageColors] +sou_uncov = TRUE +sou_pc = TRUE +sou_cov = TRUE +sou_exuncov = TRUE +sou_excov = TRUE +sou_unreach = TRUE +sou_unreachcon = TRUE +sou_fillColor_uncov = red +sou_fillColor_pc = yellow +sou_fillColor_cov = green3 +sou_fillColor_exuncov = grey +sou_fillColor_excov = #3C9371 +sou_fillColor_unreach = grey +sou_fillColor_unreachcon = orange +numberOfBins = 6 +rangeMin_0 = 0 +rangeMax_0 = 20 +fillColor_0 = #FF6464 +rangeMin_1 = 20 +rangeMax_1 = 40 +fillColor_1 = #FF9999 +rangeMin_2 = 40 +rangeMax_2 = 60 +fillColor_2 = #FF8040 +rangeMin_3 = 60 +rangeMax_3 = 80 +fillColor_3 = #FFFF99 +rangeMin_4 = 80 +rangeMax_4 = 100 +fillColor_4 = #99FF99 +rangeMin_5 = 100 +rangeMax_5 = 100 +fillColor_5 = #64FF64 +[coveragesetting] +urgAppendOptions = +group_instance_new_format_name = TRUE +showvalue = FALSE +computeGroupsScoreByRatio = FALSE +computeGroupsScoreByInst = FALSE +showConditionId = FALSE +showfullhier = FALSE +nameLeftAlignment = TRUE +showAllInfoInTooltips = FALSE +copyItemHvpName = TRUE +ignoreGroupWeight = FALSE +absTestName = FALSE +HvpMergeTool = +ShowMergeMenuItem = FALSE +fsmScoreMode = transition +[eco] +NameRule = +IsFreezeSilicon = FALSE +cellQuantityManagement = FALSE +ManageMode = INSTANCE_NAME +SpareCellsPinsManagement = TRUE +LogCommitReport = FALSE +InputPinStatus = 1 +OutputPinStatus = 2 +RevisedComponentColor = ID_BLUE5 +SpareCellColor = ID_RED5 +UserName = yzzhang +CommentFormat = Novas ECO updated by ${UserName} ${Date} ${Time} +PrefixN = eco_n +PrefixP = eco_p +PrefixI = eco_i +DefaultTieUpNet = 1'b1 +DefaultTieDownNet = 1'b0 +MultipleInstantiations = TRUE +KeepClockPinConnection = FALSE +KeepAsyncResetPinConnection = FALSE +ScriptFileModeType = 1 +MagmaScriptPower = VDD +MagmaScriptGround = GND +ShowModeMsg = TRUE +AstroScriptPower = VDD +AstroScriptGround = VSS +ClearFloatingPorts = FALSE +[eco_connection] +Port/NetIsUnique = TRUE +SerialNet = 0 +SerialPort = 0 +SerialInst = 0 +[finsim] +TPLanguage = Verilog +TPName = Super-FinSim +TPPath = TOP.sim +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[hvpsetting] +importExcelXMLOptions = +use_test_loca_as_source = FALSE +autoTurnOffHideMeetGoalInit = FALSE +autoTurnOffHideMeetGoal = TRUE +autoTurnOffModifierInit = FALSE +autoTurnOffModifier = TRUE +enableNumbering = TRUE +autoSaveCheck = TRUE +autoSaveTime = 5 +ShowMissingScore = TRUE +enableFeatureId = FALSE +enableMeasureConcealment = FALSE +enableBCCGVarNoEscapeName = FALSE +HvpCloneHierShowMsgAgain = 1 +HvpCloneHierType = tree +HvpCloneHierMetrics = Line,Cond,FSM,Toggle,Branch,Assert +autoRecalPlanAfterLoadingCovDBUserDataPlan = false +warnMeAutoRecalPlanAfterLoadingCovDBUserDataPlan = true +autoRecalExclWithPlan = false +warnMeAutoRecalExclWithPlan = true +autoRecalPlanWithExcl = false +warnMeAutoRecalPlanWithExcl = true +warnPopupWarnWhenMultiFilters = true +warnPopupWarnIfHvpReadOnly = true +unmappedObjsReportLevel = def_var_inst +unmappedObjsReportInst = true +unmappedObjsNumOfObjs = High +[ikos] +TPLanguage = VHDL +TPName = Voyager +TPPath = vsh +TPOption = -X +AddImportArgument = FALSE +LineBreakWithScope = FALSE +StopAfterCompileOption = -i +[imp] +options = NULL +libPath = NULL +libDir = NULL +[nCompare] +ErrorViewport = 80 180 800 550 +EditorViewport = 409 287 676 475 +EditorHeightWidth = 802 380 +WaveCommand = "novas" +WaveArgs = "-nWave" +[nCompare.Wnd0] +ViewByHier = FALSE +[nMemory] +dispMode = ADDR_HINT +addrColWidth = 120 +valueColWidth = 100 +showCellBitRangeWithAddr = TRUE +wordsShownInOneRow = 8 +syncCursorTime = FALSE +fixCellColumnWidth = FALSE +font = Fixed 14 +[planColors] +plan_fillColor_inactive = lightGray +plan_fillColor_warning = orange +plan_fillColor_error = red +plan_fillColor_invalid = #F0DCDB +plan_fillColor_subplan = lightGray +[schematics] +viewport = 178 262 638 516 +schBackgroundColor = black lineSolid +schBodyColor = orange6 lineSolid +schAsmBodyColor = blue7 lineSolid +schPortColor = orange6 lineSolid +schCellNameColor = Gray6 lineSolid +schCLKNetColor = red6 lineSolid +schPWRNetColor = red4 lineSolid +schGNDNetColor = cyan4 lineSolid +schSIGNetColor = green8 lineSolid +schTraceColor = yellow4 lineSolid +schBackAnnotateColor = white lineSolid +schValue0 = yellow4 lineSolid +schValue1 = green3 lineSolid +schValueX = red4 lineSolid +schValueZ = purple7 lineSolid +dimColor = cyan2 lineSolid +schPreSelColor = green4 lineDash +schSIGBusNetColor = green8 lineSolid +schGNDBusNetColor = cyan4 lineSolid +schPWRBusNetColor = red4 lineSolid +schCLKBusNetColor = red6 lineSolid +schEdgeSensitiveColor = orange6 lineSolid +schAnnotColor = cyan4 lineSolid +schInstNameColor = orange6 lineSolid +schPortNameColor = cyan4 lineSolid +schAsmLatchColor = cyan4 lineSolid +schAsmRegColor = cyan4 lineSolid +schAsmTriColor = cyan4 lineSolid +pre_select = True +ShowPassThroughNet = False +ComputedAnnotColor = ID_PURPLE5 +[schematics_print] +Signature = FALSE +DesignName = PCU +DesignerName = bai +SignatureLocation = LowerRight +MultiPage = TRUE +AutoSliver = FALSE +[sourceColors] +BackgroundActive = gray88 +BackgroundInactive = lightgray +InactiveCode = dimgray +Selection = darkblue +Standard = black +Keyword = blue +Comment = gray25 +Number = black +String = black +Identifier = darkred +Inline = green +colorIdentifier = green +Value = darkgreen +MacroBackground = white +Missing = #400040 +[specColors] +top_plan_linked = #ADFFA6 +top_plan_ignore = #D3D3D3 +top_plan_todo = #EECBAD +sub_plan_ignore = #919191 +sub_plan_todo = #EFAFAF +sub_plan_linked = darkorange +[spec_link_setting] +use_spline = true +goto_section = false +exclude_ignore = true +compare_strategy = 2 +auto_apply_margin = FALSE +margin_top = 0.80 +margin_bottom = 0.80 +margin_left = 0.50 +margin_right = 0.50 +margin_unit = inches +[spiceDebug] +ThroughNet = ID_YELLOW5 +InterfaceElement = ID_GREEN5 +Run-timeInterfaceElement = ID_BLUE5 +HighlightThroughNet = TRUE +HighlightInterfaceElement = TRUE +HighlightRuntimeInterfaceElement = TRUE +HighlightSameNet = TRUE +[surefire] +TPLanguage = Verilog +TPName = SureFire +TPPath = verilog +TPOption = +AddImportArgument = TRUE +LineBreakWithScope = TRUE +StopAfterCompileOption = -tcl +[turboSchema_Printer_Options] +Orientation = Landscape +[turbo_library] +bdb_load_scope +[vdCovFilteringSearchesStrings] +keepLastUsedFiltersMaxNum = 10 +[verisity] +TPLanguage = Verilog +TPName = "Verisity SpeXsim" +TPPath = vlg +TPOption = +AddImportArgument = FALSE +LineBreakWithScope = TRUE +StopAfterCompileOption = -s +[wave.0] +viewPort = 0 28 1920 427 347 271 +[wave.1] +viewPort = 127 219 960 332 100 65 +[wave.2] +viewPort = 38 314 686 205 100 65 +[wave.3] +viewPort = 63 63 700 400 65 41 +[wave.4] +viewPort = 84 84 700 400 65 41 +[wave.5] +viewPort = 92 105 700 400 65 41 +[wave.6] +viewPort = 0 0 700 400 65 41 +[wave.7] +viewPort = 21 21 700 400 65 41 diff --git a/nco_dpi/codegen/dll/nco/novas_dump.log b/nco_dpi/codegen/dll/nco/novas_dump.log new file mode 100644 index 0000000..5f5ec2f --- /dev/null +++ b/nco_dpi/codegen/dll/nco/novas_dump.log @@ -0,0 +1,346 @@ +####################################################################################### +# log primitive debug message of FSDB dumping # +# This is for R&D to analyze when there are issues happening when FSDB dump # +####################################################################################### +ANF: vcsd_get_serial_mode_status('./simv: undefined symbol: vcsd_get_serial_mode_status') +ANF: vcsd_get_power_scope_name('./simv: undefined symbol: vcsd_get_power_scope_name') +ANF: vcsd_begin_no_value_var_info('./simv: undefined symbol: vcsd_begin_no_value_var_info') +ANF: vcsd_end_no_value_var_info('./simv: undefined symbol: vcsd_end_no_value_var_info') +ANF: vcsd_remove_xprop_merge_mode_callback('./simv: undefined symbol: vcsd_remove_xprop_merge_mode_callback') +ANF: vhpi_get_cb_info('./simv: undefined symbol: vhpi_get_cb_info') +ANF: vhpi_free_handle('./simv: undefined symbol: vhpi_free_handle') +ANF: scsd_xprop_is_enabled('./simv: undefined symbol: scsd_xprop_is_enabled') +ANF: scsd_xprop_sig_is_promoted('./simv: undefined symbol: scsd_xprop_sig_is_promoted') +ANF: scsd_xprop_int_xvalue('./simv: undefined symbol: scsd_xprop_int_xvalue') +ANF: scsd_xprop_bool_xvalue('./simv: undefined symbol: scsd_xprop_bool_xvalue') +ANF: scsd_xprop_enum_xvalue('./simv: undefined symbol: scsd_xprop_enum_xvalue') +ANF: scsd_xprop_register_merge_mode_cb('./simv: undefined symbol: scsd_xprop_register_merge_mode_cb') +ANF: scsd_xprop_delete_merge_mode_cb('./simv: undefined symbol: scsd_xprop_delete_merge_mode_cb') +ANF: scsd_xprop_get_merge_mode('./simv: undefined symbol: scsd_xprop_get_merge_mode') +ANF: scsd_thread_get_info('./simv: undefined symbol: scsd_thread_get_info') +ANF: scsd_thread_vc_init('./simv: undefined symbol: scsd_thread_vc_init') +ANF: scsd_master_set_delta_sync_cbk('./simv: undefined symbol: scsd_master_set_delta_sync_cbk') +ANF: scsd_fgp_get_fsdb_cores('./simv: undefined symbol: scsd_fgp_get_fsdb_cores') +ANF: msvEnableDumpingMode('./simv: undefined symbol: msvEnableDumpingMode') +ANF: msvGetVersion('./simv: undefined symbol: msvGetVersion') +ANF: msvGetInstProp('./simv: undefined symbol: msvGetInstProp') +ANF: msvIsSpiceEngineReady('./simv: undefined symbol: msvIsSpiceEngineReady') +ANF: msvSetAddProbeCallback('./simv: undefined symbol: msvSetAddProbeCallback') +ANF: msvGetInstHandle('./simv: undefined symbol: msvGetInstHandle') +ANF: msvGetProbeByInst('./simv: undefined symbol: msvGetProbeByInst') +ANF: msvGetSigHandle('./simv: undefined symbol: msvGetSigHandle') +ANF: msvGetProbeBySig('./simv: undefined symbol: msvGetProbeBySig') +ANF: msvGetProbeInfo('./simv: undefined symbol: msvGetProbeInfo') +ANF: msvRelease('./simv: undefined symbol: msvRelease') +ANF: msvSetVcCallbackFunc('./simv: undefined symbol: msvSetVcCallbackFunc') +ANF: msvCheckVcCallback('./simv: undefined symbol: msvCheckVcCallback') +ANF: msvAddVcCallback('./simv: undefined symbol: msvAddVcCallback') +ANF: msvRemoveVcCallback('./simv: undefined symbol: msvRemoveVcCallback') +ANF: msvGetLatestValue('./simv: undefined symbol: msvGetLatestValue') +ANF: msvSetEndofSimCallback('./simv: undefined symbol: msvSetEndofSimCallback') +ANF: msvIgnoredProbe('./simv: undefined symbol: msvIgnoredProbe') +ANF: msvGetThruNetInfo('./simv: undefined symbol: msvGetThruNetInfo') +ANF: msvFreeThruNetInfo('./simv: undefined symbol: msvFreeThruNetInfo') +ANF: PI_ace_get_output_time_unit('./simv: undefined symbol: PI_ace_get_output_time_unit') +ANF: PI_ace_sim_sync('./simv: undefined symbol: PI_ace_sim_sync') +ANF: msvGetRereadInitFile('./simv: undefined symbol: msvGetRereadInitFile') +ANF: msvSetBeforeRereadCallback('./simv: undefined symbol: msvSetBeforeRereadCallback') +ANF: msvSetAfterRereadCallback('./simv: undefined symbol: msvSetAfterRereadCallback') +ANF: msvSetForceCallback('./simv: undefined symbol: msvSetForceCallback') +ANF: msvSetReleaseCallback('./simv: undefined symbol: msvSetReleaseCallback') +ANF: msvGetForceStatus('./simv: undefined symbol: msvGetForceStatus') +ANF: vhdi_dt_get_type('./simv: undefined symbol: vhdi_dt_get_type') +ANF: vhdi_dt_get_key('./simv: undefined symbol: vhdi_dt_get_key') +ANF: vhdi_dt_get_vhdl_enum_info('./simv: undefined symbol: vhdi_dt_get_vhdl_enum_info') +ANF: vhdi_dt_get_vhdl_physical_info('./simv: undefined symbol: vhdi_dt_get_vhdl_physical_info') +ANF: vhdi_dt_get_vhdl_array_info('./simv: undefined symbol: vhdi_dt_get_vhdl_array_info') +ANF: vhdi_dt_get_vhdl_record_info('./simv: undefined symbol: vhdi_dt_get_vhdl_record_info') +ANF: vhdi_def_traverse_module('./simv: undefined symbol: vhdi_def_traverse_module') +ANF: vhdi_def_traverse_scope('./simv: undefined symbol: vhdi_def_traverse_scope') +ANF: vhdi_def_traverse_variable('./simv: undefined symbol: vhdi_def_traverse_variable') +ANF: vhdi_def_get_module_id_by_vhpi('./simv: undefined symbol: vhdi_def_get_module_id_by_vhpi') +ANF: vhdi_def_get_handle_by_module_id('./simv: undefined symbol: vhdi_def_get_handle_by_module_id') +ANF: vhdi_def_get_variable_info_by_vhpi('./simv: undefined symbol: vhdi_def_get_variable_info_by_vhpi') +ANF: vhdi_def_free('./simv: undefined symbol: vhdi_def_free') +ANF: vhdi_ist_traverse_scope('./simv: undefined symbol: vhdi_ist_traverse_scope') +ANF: vhdi_ist_traverse_variable('./simv: undefined symbol: vhdi_ist_traverse_variable') +ANF: vhdi_ist_convert_by_vhpi('./simv: undefined symbol: vhdi_ist_convert_by_vhpi') +ANF: vhdi_ist_clone('./simv: undefined symbol: vhdi_ist_clone') +ANF: vhdi_ist_free('./simv: undefined symbol: vhdi_ist_free') +ANF: vhdi_ist_hash_key('./simv: undefined symbol: vhdi_ist_hash_key') +ANF: vhdi_ist_compare('./simv: undefined symbol: vhdi_ist_compare') +ANF: vhdi_ist_get_value_addr('./simv: undefined symbol: vhdi_ist_get_value_addr') +ANF: vhdi_set_scsd_callback('./simv: undefined symbol: vhdi_set_scsd_callback') +ANF: vhdi_cbk_set_force_callback('./simv: undefined symbol: vhdi_cbk_set_force_callback') +ANF: vhdi_trigger_init_force('./simv: undefined symbol: vhdi_trigger_init_force') +ANF: vhdi_ist_check_scsd_callback('./simv: undefined symbol: vhdi_ist_check_scsd_callback') +ANF: vhdi_ist_add_scsd_callback('./simv: undefined symbol: vhdi_ist_add_scsd_callback') +ANF: vhdi_ist_remove_scsd_callback('./simv: undefined symbol: vhdi_ist_remove_scsd_callback') +ANF: vhdi_ist_get_scsd_user_data('./simv: undefined symbol: vhdi_ist_get_scsd_user_data') +ANF: vhdi_add_time_change_callback('./simv: undefined symbol: vhdi_add_time_change_callback') +ANF: vhdi_get_real_value_by_value_addr('./simv: undefined symbol: vhdi_get_real_value_by_value_addr') +ANF: vhdi_get_64_value_by_value_addr('./simv: undefined symbol: vhdi_get_64_value_by_value_addr') +ANF: vhdi_xprop_inst_is_promoted('./simv: undefined symbol: vhdi_xprop_inst_is_promoted') +ANF: vdi_ist_convert_by_vhdi('./simv: undefined symbol: vdi_ist_convert_by_vhdi') +ANF: vhdi_ist_get_module_id('./simv: undefined symbol: vhdi_ist_get_module_id') +ANF: vhdi_refine_foreign_scope_type('./simv: undefined symbol: vhdi_refine_foreign_scope_type') +ANF: vhdi_flush_callback('./simv: undefined symbol: vhdi_flush_callback') +ANF: vhdi_set_orig_name('./simv: undefined symbol: vhdi_set_orig_name') +ANF: vhdi_set_dump_pt('./simv: undefined symbol: vhdi_set_dump_pt') +ANF: vhdi_get_fsdb_option('./simv: undefined symbol: vhdi_get_fsdb_option') +ANF: vhdi_fgp_get_mode('./simv: undefined symbol: vhdi_fgp_get_mode') +VCS compile option: + option[0]: ./simv + option[1]: +vcs+loopreport + option[2]: -sv_lib + option[3]: DPI_Component + option[4]: -l + option[5]: sim.log + option[6]: /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcs1 + option[7]: -Mcc=gcc + option[8]: -Mcplusplus=g++ + option[9]: -Masflags= + option[10]: -Mcfl= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include + option[11]: -Mxcflags= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include + option[12]: -Mldflags= -rdynamic + option[13]: -Mout=simv + option[14]: -Mamsrun= + option[15]: -Mvcsaceobjs= + option[16]: -Mobjects= /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so + option[17]: -Mexternalobj= + option[18]: -Msaverestoreobj=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o + option[19]: -Mcrt0= + option[20]: -Mcrtn= + option[21]: -Mcsrc=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp + option[22]: -Msyslibs=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl + option[23]: -l + option[24]: com.log + option[25]: +define+UVM_VERDI_VIF_RECORD + option[26]: +define+UVM_VCS_RECORD + option[27]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs + option[28]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv + option[29]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv + option[30]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi + option[31]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv + option[32]: -full64 + option[33]: -ntb_opts + option[34]: uvm-1.2 + option[35]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/ + option[36]: +vcs+loopreport+1000 + option[37]: -debug_acc+all + option[38]: +vpi + option[39]: +vcsd1 + option[40]: +itf+/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab + option[41]: -debug_region+cell+encrypt + option[42]: -fsdb + option[43]: -P + option[44]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab + option[45]: +define+FSDB + option[46]: -picarchive + option[47]: -debug_acc+fsdb + option[48]: -sverilog + option[49]: -gen_obj + option[50]: -f + option[51]: files.f + option[52]: -load + option[53]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/libnovas.so:FSDBDumpCmd +Chronologic Simulation VCS Release O-2018.09-SP2_Full64 +Linux 3.10.0-1160.92.1.el7.x86_64 #1 SMP Tue Jun 20 11:48:01 UTC 2023 x86_64 +CPU cores: 96 +Limit information: +====================================== +cputime unlimited +filesize unlimited +datasize unlimited +stacksize 8192 kbytes +coredumpsize 0 kbytes +memoryuse unlimited +vmemoryuse unlimited +descriptors 4096 +memorylocked 64 kbytes +maxproc 4096 +====================================== +(Special)Runtime environment variables: + +Runtime environment variables: +XMODIFIERS=@im=ibus +SPECTRE_DEFAULTS=-E +SHELL=/bin/csh +VTE_VERSION=5204 +_=/bin/dbus-launch +OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x +SNPSLMD_LICENSE_FILE=27050@cryo1 +MENTOR_HOME=/opt/mentor +DBUS_SESSION_BUS_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +MACHTYPE=x86_64 +LESSOPEN=||/usr/bin/lesspipe.sh %s +CDSROOT=/opt/cadence/IC618 +FM_HOME=/opt/synopsys/fm/O-2018.06-SP1 +CDS_LIC_ONLY=1 +CDSDIR=/opt/cadence/IC618 +PATH=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin +LM_LICENSE_FILE=/opt/synopsys/license/license.dat +MGC_PDF_REDER=evince +MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1 +CDS_ROOT=/opt/cadence/IC618 +QT_GRAPHICSSYSTEM_CHECKED=1 +SESSION_MANAGER=local/unix:@/tmp/.ICE-unix/4486,unix/unix:/tmp/.ICE-unix/4486 +SPECTRE_HOME=/opt/cadence/SPECTRE181 +XDG_RUNTIME_DIR=/run/user/1004 +VENDOR=unknown +CDS_AUTO_64BIT=ALL +XDG_MENU_PREFIX=gnome- +LS_COLORS=rs=0:di=38;5;27:ln=38;5;51:mh=44;38;5;15:pi=40;38;5;11:so=38;5;13:do=38;5;5:bd=48;5;232;38;5;11:cd=48;5;232;38;5;3:or=48;5;232;38;5;9:mi=05;48;5;232;38;5;15:su=48;5;196;38;5;15:sg=48;5;11;38;5;16:ca=48;5;196;38;5;226:tw=48;5;10;38;5;16:ow=48;5;10;38;5;21:st=48;5;21;38;5;15:ex=38;5;34:*.tar=38;5;9:*.tgz=38;5;9:*.arc=38;5;9:*.arj=38;5;9:*.taz=38;5;9:*.lha=38;5;9:*.lz4=38;5;9:*.lzh=38;5;9:*.lzma=38;5;9:*.tlz=38;5;9:*.txz=38;5;9:*.tzo=38;5;9:*.t7z=38;5;9:*.zip=38;5;9:*.z=38;5;9:*.Z=38;5;9:*.dz=38;5;9:*.gz=38;5;9:*.lrz=38;5;9:*.lz=38;5;9:*.lzo=38;5;9:*.xz=38;5;9:*.bz2=38;5;9:*.bz=38;5;9:*.tbz=38;5;9:*.tbz2=38;5;9:*.tz=38;5;9:*.deb=38;5;9:*.rpm=38;5;9:*.jar=38;5;9:*.war=38;5;9:*.ear=38;5;9:*.sar=38;5;9:*.rar=38;5;9:*.alz=38;5;9:*.ace=38;5;9:*.zoo=38;5;9:*.cpio=38;5;9:*.7z=38;5;9:*.rz=38;5;9:*.cab=38;5;9:*.jpg=38;5;13:*.jpeg=38;5;13:*.gif=38;5;13:*.bmp=38;5;13:*.pbm=38;5;13:*.pgm=38;5;13:*.ppm=38;5;13:*.tga=38;5;13:*.xbm=38;5;13:*.xpm=38;5;13:*.tif=38;5;13:*.tiff=38;5;13:*.png=38;5;13:*.svg=38;5;13:*.svgz=38;5;13:*.mng=38;5;13:*.pcx=38;5;13:*.mov=38;5;13:*.mpg=38;5;13:*.mpeg=38;5;13:*.m2v=38;5;13:*.mkv=38;5;13:*.webm=38;5;13:*.ogm=38;5;13:*.mp4=38;5;13:*.m4v=38;5;13:*.mp4v=38;5;13:*.vob=38;5;13:*.qt=38;5;13:*.nuv=38;5;13:*.wmv=38;5;13:*.asf=38;5;13:*.rm=38;5;13:*.rmvb=38;5;13:*.flc=38;5;13:*.avi=38;5;13:*.fli=38;5;13:*.flv=38;5;13:*.gl=38;5;13:*.dl=38;5;13:*.xcf=38;5;13:*.xwd=38;5;13:*.yuv=38;5;13:*.cgm=38;5;13:*.emf=38;5;13:*.axv=38;5;13:*.anx=38;5;13:*.ogv=38;5;13:*.ogx=38;5;13:*.aac=38;5;45:*.au=38;5;45:*.flac=38;5;45:*.mid=38;5;45:*.midi=38;5;45:*.mka=38;5;45:*.mp3=38;5;45:*.mpc=38;5;45:*.ogg=38;5;45:*.ra=38;5;45:*.wav=38;5;45:*.axa=38;5;45:*.oga=38;5;45:*.spx=38;5;45:*.xspf=38;5;45: +MOZILLA_HOME=/usr/bin/firefox +SSH_AUTH_SOCK=/run/user/1004/keyring/ssh +DISPLAY=unix:4 +MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +IMSETTINGS_INTEGRATE_DESKTOP=yes +HOME=/home/yzzhang +VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2 +PWD=/home/yzzhang/work/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco +SSH_AGENT_PID=5490 +CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1 +VERDI_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +MGLS_LICENSE_FILE=/opt/mentor/license/license.dat +PT_HOME=/opt/synopsys/pts/O-2018.06-SP1 +SYNOPSYS=/opt/synopsys +LD_LIBRARY_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/shared/pkgs/icv/tools/calibre_client/lib/64 +IDQ_HOME=/opt/synopsys/idq/O-2018.06-SP1 +CDS_SPECTRE_FBENABLE=1 +LOGNAME=yzzhang +INNOVUS_HOME=/opt/cadence/INNOVUS152 +CDS_LIC_FILE=/opt/cadence/license/license.dat +HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2 +GNOME_DESKTOP_SESSION_ID=this-is-deprecated +HOSTNAME=cryo1 +GENUS_HOME=/opt/cadence/GENUS152 +MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1 +COLORTERM=truecolor +PWR_HOME=/opt/synopsys/pwr/O-2018.06-SP3 +QT_IM_MODULE=ibus +OSTYPE=linux +SHLVL=4 +GNOME_SHELL_SESSION_MODE=classic +XDG_SESSION_ID=c10 +USER=yzzhang +QTLIB=/usr/lib64/qt-3.3/lib +XDG_CURRENT_DESKTOP=GNOME +VNCDESKTOP=cryo1:4 (yzzhang) +CDS=/opt/cadence/IC618 +CDS_LOAD_ENV=CWD +IMSETTINGS_MODULE=none +starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1 +MAKEFLAGS= +MFLAGS= +SYN_HOME=/opt/synopsys/syn/O-2018.06-SP1 +MAIL=/var/spool/mail/yzzhang +SPYGLASS_HOME=/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME +CADHOME=/opt/cadence +MGC_LIB_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/lib +CDSHOME=/opt/cadence/IC618 +LC_HOME=/opt/synopsys/lc/O-2018.06-SP1 +CADENCE_DIR=/opt/cadence/IC618 +CDS_INST_DIR=/opt/cadence/IC618 +NOVAS_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +DBUS_STARTER_BUS_TYPE=session +W3264_NO_HOST_CHECK=1 +SCL_HOME=/opt/synopsys/scl/2018.06 +HOSTTYPE=x86_64-linux +GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/814e6e44_eff5_41e2_947a_19d9b6dd3e12 +CDS_SPECTRERF_FBENABLE=1 +GNOME_TERMINAL_SERVICE=:1.160 +GROUP=cryo +TXS_HOME=/opt/synopsys/txs/O-2018.06-SP1 +CDS_Netlisting_Mode=Analog +QTINC=/usr/lib64/qt-3.3/include +QTDIR=/usr/lib64/qt-3.3 +CDS_ENABLE_VMS=1 +LANG=C +TERM=xterm-256color +CALIBRE_ENABLE_SKILL_PEXBA_MODE=1 +DBUS_STARTER_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +HOST=cryo1 +MAKELEVEL=1 +VCS_HEAP_EXEC=true +VCS_PATHMAP_PRELOAD_DONE=1 +VCS_STACK_EXEC=true +VCS_EXEC_DONE=1 +LC_ALL=C +DVE=/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve +SPECMAN_OUTPUT_TO_TTY=1 +SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:37412 +SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=37412:0xcd91b0 +Runtime command line arguments: +argv[0]=./simv +argv[1]=+vcs+loopreport +argv[2]=-sv_lib +argv[3]=DPI_Component +argv[4]=-l +argv[5]=sim.log +281 profile - 100 + CPU/Mem usage: 0.070 sys, 0.320 user, 299.36M sys, 0.00M vm +282 VC callback profile - scalar:0 vector:0 +283 Sat Oct 26 15:15:40 2024 +284 pliAppInit +285 Enable VD Dumper +286 FSDB_GATE is set. +287 FSDB_RTL is set. +288 Enable Parallel Dumping. +289 pliAppMiscSet: New Sim Round +290 pliEntryInit +291 LIBSSCORE=found /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/lib/LINUXAMD64/libsscore_vcs201712.so through $NOVAS_HOME setting. +292 FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018 +293 (C) 1996 - 2018 by Synopsys, Inc. +294 *********************************************************************** +295 * ERROR - * +296 * The simulator version is newer than the FSDB dumper version which * +297 * may cause abnormal behavior, please contact Synopsys support for * +298 * assistance. * +299 *********************************************************************** +300 sps_call_fsdbDumpfile_main at 0 : ./tb.sv(16) +301 argv[0]: (TB.fsdb) +302 *Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file. +303 *Verdi* : Create FSDB file 'TB.fsdb' +304 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(17) +305 argv[0]: (0) +306 argv[1]: (handle) tb +307 *Verdi* : Begin traversing the scope (tb), layer (0). +308 *Verdi* : End of traversing. +309 pliAppHDL_DumpVarComplete traverse var: profile - + CPU/Mem usage: 0.090 sys, 0.320 user, 396.27M sys, 0.00M vm + incr: 0.010 sys, 0.000 user, 7.28M sys, 0.00M vm + accu: 0.010 sys, 0.000 user, 7.28M sys, 0.00M vm + accu incr: 0.010 sys, 0.000 user, 7.28M sys, 0.00M vm + + Count usage: 25 var, 28 idcode, 22 callback + incr: 25 var, 28 idcode, 22 callback + accu: 25 var, 28 idcode, 22 callback + accu incr: 25 var, 28 idcode, 22 callback +310 VC callback profile - scalar:0 vector:0 +311 Sat Oct 26 15:15:40 2024 +312 pliAppHDL_DumpVarComplete: profile - + CPU/Mem usage: 0.090 sys, 0.320 user, 397.32M sys, 0.00M vm + incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm + accu: 0.010 sys, 0.000 user, 8.34M sys, 0.00M vm + accu incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm + + Count usage: 25 var, 28 idcode, 22 callback + incr: 0 var, 0 idcode, 0 callback + accu: 25 var, 28 idcode, 22 callback + accu incr: 0 var, 0 idcode, 0 callback +313 VC callback profile - scalar:1 vector:18 +314 Sat Oct 26 15:15:40 2024 +315 End of simulation at 163930 +316 VC callback profile - scalar:1 vector:18 +317 Sat Oct 26 15:15:40 2024 +318 Begin FSDB profile info: +319 FSDB Writer : bc1(32795) bcn(106334) mtf/stf(0/0) +FSDB Writer elapsed time : flush(0.026359) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000) +FSDB Writer cpu time : MT Compression : 0 +320 End FSDB profile info +321 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:1 BlockUsed:1 +322 ProduceTime:0.480340400 ConsumerTime:0.007691171 Buffer:64MB +323 SimExit +324 Sim process exit diff --git a/nco_dpi/codegen/dll/nco/sim.log b/nco_dpi/codegen/dll/nco/sim.log new file mode 100644 index 0000000..c1e092e --- /dev/null +++ b/nco_dpi/codegen/dll/nco/sim.log @@ -0,0 +1,41 @@ +Command: /home/yzzhang/work/hdl/sv/readout_AWG_RM/nco_dpi/codegen/dll/nco/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log +Chronologic VCS simulator copyright 1991-2018 +Contains Synopsys proprietary information. +Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Oct 26 15:15 2024 +UVM_INFO /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES] +---------------------------------------------------------------- +UVM-1.2.Synopsys +(C) 2007-2014 Mentor Graphics Corporation +(C) 2007-2014 Cadence Design Systems, Inc. +(C) 2006-2014 Synopsys, Inc. +(C) 2011-2013 Cypress Semiconductor Corp. +(C) 2013-2014 NVIDIA Corporation +---------------------------------------------------------------- + + *********** IMPORTANT RELEASE NOTES ************ + + You are using a version of the UVM library that has been compiled + with `UVM_NO_DEPRECATED undefined. + See http://www.eda.org/svdb/view.php?id=3313 for more details. + + You are using a version of the UVM library that has been compiled + with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined. + See http://www.eda.org/svdb/view.php?id=3770 for more details. + + (Specify +UVM_NO_RELNOTES to turn off this notice) + +*Verdi* Loading libsscore_vcs201712.so +FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018 +(C) 1996 - 2018 by Synopsys, Inc. +*********************************************************************** +* ERROR - * +* The simulator version is newer than the FSDB dumper version which * +* may cause abnormal behavior, please contact Synopsys support for * +* assistance. * +*********************************************************************** +*Verdi* FSDB WARNING: The FSDB file already exists. Overwriting the FSDB file may crash the programs that are using this file. +*Verdi* : Create FSDB file 'TB.fsdb' +*Verdi* : Begin traversing the scope (tb), layer (0). +*Verdi* : End of traversing. + V C S S i m u l a t i o n R e p o r t +Time: 163930 diff --git a/nco_dpi/codegen/dll/nco/simv b/nco_dpi/codegen/dll/nco/simv new file mode 100755 index 0000000000000000000000000000000000000000..4c73de49cedb528bb94895c0801c5c50ca05313a GIT binary patch literal 953720 zcmdqKc|cUv`#*j`P}~A{GtF^JaK#-hYe3K$MI}%(mj)PyC0VAK0he4zz?_iYWR_<2 z&K7%XnbBr0r6>y7N}6R^N|rT*3u>vk#P9W-bMA2FI`jVc`F;NT-a2#c^PF>^^PJ~A z+c|d_m&L@6_xJNt)XQJFS0O0iT|GnbPer-1{5A&DhhB+LTHv#v5~ef-9LR9gLT!4F zI^3UuidqM8P-%iX0L3cWt^RsI1na3%y0Xv4TIG;x8n5xvi(6i&ztob!YON?b2GeuI ztL{zSu6uJH!>jf7C1u{T_rCZHrB1juOyqS4uavk`jud*%VQ#@N4m0nd)&qv}j%uxrcR!w^ zF>m!!$BP%^`Qo!i44~E|f4T-|W+aasJ~%UFU}i>k?t*~}B1R4zIed^kXV6eKZ=z3q zCrp`6-4#{-I@}Y<_9!H3YX3Pc>zCH+ubvyB?(#iq6l! zO{k&^(fftyR=*OW+dn)mG;nB?f1#i9-fO*I*R9m)bX`L9z1t{{De)Z@|InG`21WTa zYQ0EDu8M>eM>6L&`KP5CE zpnT5WwuviSuUg(DTq$g|K{ujD$-S7=I&Db0*3f2L4HBT`04$XD8Cj(0Z;me_!rz}ZM7;|SEdXd@L(H7 zF+8T<*TFAD(Rc0~u2TY*4-H!0JGSL(Z34cIwkfTOB3mc{{v(6Hbo(ZSB-Lq6{UVh7 z!~!LtX>-UXAwOo!zi+0Y4BSfsf%3xK%~-qcz(EI4Y58QwxLkb{px?0qLd8P<+sS!D=@RYg){XlPwB&> z?hgr4UTvXli1FL7rd7x^t6$>#?W3a}$%k|y03GQ9T^o6&ypH-mD4S8;I_iH=8U##XrU{Dn3IHw;r721?(H?}lxwvm3 zYI?4vxNj}$VAO3;+M=`*xb~v%fVz{o?<{Jf(FONiQM#k(PjY6KaGC8GDqtA1CS<)CQD^D3ej*Q0_;e zD;{-%xaSx}nGSd+$}E)GD05KeqCA9>h$3G}fRjUk(x0?rXN z^?3yMb`%FnuE5Vny#Qq)%A*3e81)iyzZCT{l*dq(qZFXPw<=DQRVXDWPomKEFHv)d zf0hEbTHv3S+SlOz83|sC`*jlh9PZbnJdg4s%D+)wLZRzrQPcgaxZfzjujBp=lr1Q4 zN%%5x|DLF~q24aF6D_)SO1NFP|44#A7WaEZy;o|h!2Ny+uEc#6$|n+zj{qMc;XcLv zml9kp?!QKT80A}(?@*4S(Dgm)V<^W_PN3AF{ETuEg|1&keM;27qjrgVYOlrpX#t-> zeOBDpqrQOhmw>4+U6%k~7Pu>@uW8z^1HK_}|DbL_@ka~~L}`lB425DkT`ff2Qq+WN zE!`7*8{lAp3qjomr5#EKl#VE!QEo@M1EniUHxwO850qXgeNg(Mgrf9Ap{u{BIix6q z0EeR>NGn58hM|l?i9i{RLf077cZ>UbQIA837H|ye2`EMZ^CuK#65v>rDJWA>;!xsI z=$ejt2Fgs72T^9D&@~se2_+H5jAB8dD-CrzN``>viJICnai1mNY*FW+wu$>()Oq55 zzNigUA$dekqV{9C{;p?(ErBg*Rnw@K8SMg69zx1ioC?rHqD#eEs-L7TtmbM2KT z%}v40TX$>nSH$b1!(V&gmH)K(t5ema%+Mo0WNm-8IK8@dz*oJtSG2$L#^CawA2mC_ zXfm(xZdptreZ^FYYKgf7|P$msD0wIkN9R*Pi+$pz6#+Z{xX>kAE}u>kE0i zeyUC=`SiJ#e?A}9Q(u!lY-D_sy9$1`?Z0Q%)%YV%U;IAy;rENiUyT{O=D~@Dg@Z?a z+O|>`(&PJM)-Gd1a;Mp5-F|%NPZ3A^{B$s_)tI#{pE%^)eDdD!<4mh#%N||x{r!7W zzW%cR$Sni@Rs2qT3v*c8k{Liw>?|(c_MXCf@m8!DkH{$Bpn2TeD1Sa5dy)Bil!F*Y{tjt-M=@7KI&-{D~=M&Gk!tYO#0fxQY}c+q~? z_)E7T1HQd@X~_3;?>PA4fvd9?7Uj$=dGhCH7Eg#dzG_(Vu`@x7avpeR$%n;FueSQ^ z!H%aM{QBaqi!o1K+dgcEwaJ-~NtaH=cmHujod4;m*S3v*aLLBCg)0~NKl-nl_iI+( z@l_W3cfGRo_=L@!7QNKB&4`?sjbT+MDq7}lU6FoVS2v?5=j*>W_kDlK@-su*wr+p& z-<6qv{*m+jq+r889mjsV?wf5{mhKuT$!Bh90sQT&eSEr`k5%K#MJsUQC z@Y7}8kw@md6*6`D=bQ3^ABb#w{%OZogRXCRY~FXH@>-v_#>E^t zeRzGZu4S)JOnG&FY_|~el9UII?hAW%Y~sir$5!VW=l<*IF3%M?pZjI(+-db!T}`(*2otiSy5IfBTt& z58qMhm%sOBFUN)A&GXilJ+Y|MnfubJe_HeLya{s}BKjSDt9Yj}svdD2i(1+Zu$dRYoES*?-!3fvvzo5+Hd3c9N&DfAhhnE7ycdj zO5jFA^;ZE~{|IRj*FL?lqRnTg0^+tNeBU9U`JvI>+MF!yvA8}EHVH>+jAXLIwCUZ1z`t<;1eC1ER` zJOAc01tCjIwzfO50u1{`$^Y#y3uyuQF zM`GgpCTG=;pWNR1@4$>F`h4?tRNWriUGJ{AfAGC)!+S+eO`db_zH=)ktT-S1{meV2 z`W>8Y7*XNuyju79l`a?S4m|zMGnL~K^M}6HGHctgpZo86VNGzW@7_Io{Jnk;$7Jv5 z>%9J^ef}%&?0M1LZq1mv*=skKe>f}QTGRP0@9G<|;^nBt&Q326?w-H5;isspZ%z88 z+`0XsCMySzIW?z$`IEC_X1_MS@Y4<7$zV#(^DobYewt{PB0uJ^>|P1~%F zI5O>yjcq#qIAUzqq!HKeK7CimNvqaXWd{E~_ssb?#}hU0pQ=tCu*SM2ve&T1iwkGn z)8WMdU2m`Gd~!v0^XKoouVVPLK#PC#p3eBDF2ip_cg_9dheM|x?@?T>uc^ykS36ff z=)?0n;%vRIO{?6NG`0IjL(WZmCu8KOgBO>T7N50reERScA3Rp{{Dpn_2S4a}f9uOl z`d=;`le)w*pnKofA8tPGTmMblE)V;v{mKXW8)nqK-S(X?`_>;n_?W)=r`O*(n6&Qi z?2!ZZ@7dh#h~x2*$KKv@`Fz~2anH2hxIZl6xgXAS?`)Ib}`r*HWr~DauSMs#htzRDX#{uJ& zg&ilHJ@C)I{!d(d{=jQ1cQ=c=HhJ&brM*oB zWj)%~_89c(?MpAj?KzQC`|cah6x{PoO4P!D@j1Pd5-uP5sc)t6jqOu+{jz%TfdP{) z&Cy@#Jt}tWA4PksYAUY(G&kdkQFk7!|7+{KW$PEGukX=**Q%e&Pq#@qI^voCr1e;_ zeD;JbmtsFV-Td%~zc-IwzPEkxvNxU^HucQLq@xQmzMSx?DdW`2br~NW*>Qes*ZXrP z9{B5${jPoY+-O~EkKX89_HmzAUwh%JCLc#Ne=5aqM#S=^zwK*w?UCc9&F-18ruEjS zy)z3Rd+}O}=RS;R^3ds+S2n)%{UbBGKJ@F~{|vqK%kiIGuQ~rXeD1b69a_Io(d%N< z6S`ip4=)Ry_VTQ(VK4Rj_Jx|>Sr>nv_~bhUZ3`o}JP`eOn_)fAef(F3_34YzU)6r{ z9c_bsUaz&op43b0OE^{WhHu}=J3h99cYMa}ih>i7o32qEz4y-reH6d&^W(?|c-KJ>qbkNN(` z2fo$^{;UuDRLr;MP1=t$J|Fz}`=GPd2Y#pzIc#a>UCu50c(>m{KJY(4p5F8=7_T$D ztz*0oyW8Z$z8-}$@#arP`_PAFKK#H0AN^youlL61JwDc@#D|>6`_QX>KGv~6_IKXK z9p!`0ioV|Ea1!*r$^X2MbzkU%zR?GrDL&@=rw=^snZ3=cw-0{a^kMJ&eCW?5AO7=q zAN>5=2Y!hUd`4^U{O3WhdU&zZ^FG!$*@v9_`_NCD5BWs<(9afu-uVpoG43=U_*Z=3 z>CD61IzH}${}dnVxW|W|ALD~gxDP*Yp}%+iU*JRjD}C7euaL7hd-=de{~vwKE7^zq zAM&ABdBA%cx2F&JjPoI%>pti=_kn-g2mVeUa+@;PyPSvk=>M4y`Yo}L-u(7&KJ+ch zhu!^#b@3J-U-!Y!Odt4HeArR85B~4-DjZ{vkf-ckqF~!v{XphrUhs(Ld9N z{ygV{&xofeT-Y>!;Xge=>NQrb)1j>-t6c>AO7hn#!(kWZlxdA{Hy@0#p`pEEw_-|K^)XMNDW;zRxkKICKZfnVy2Ps9tIm-yJ+ zNB^g>q4MS*^1!Dzz53Y4e5d-rH}RoAU;6O#^uETM{!eFlNNc6s5Xoj|5OWMg-BxL> zT>41QFku3JC(CbJD~XGFfA!l*QMjT0`ehtH!jC_&AcW(qqd8?vpIvj|KdFD`TD`#V z>Ot(U2_LbG<1u}9-HtDn5WYH})4x;TVu=$^ScEddKXOe)5W@|^7#pT5*^)cPkxdyk&a3i z<U!bd(& z8(o+_yZi*72EnI_KLvdt`Ik-N<3erNbzvx%!v>9>e2V=7$#X>yF3(G%|BF~3T6fAf z=~CDIr%*1RIAIs+xcBwoctyj{d7+2;?pzNya&k(bu)F-}oX=!|Zva8!XJ#kP&$|LY zsV$#Z^+euZ^#dP?{%1Sz{yRng9%5cCI&-}Gou9`b5TgHR2*+;~{a=PZr*+qfd4&r6 z&wcs0`FcLCx{mRqIKE!vH%_+U_&B2{pIL**|Mygs54e2^@`|%N?;jrT+5hMOzFspm zdOJ+`G5rsmf0z}!W(qy&?8o6Cfqz@9<8T41>li8QqO*pdouQnc>Nrn+HVZ!Erg-94 zV<3{}8DWoaiE&y9{SS%d{Z&28#ur4%&ve$zD^;v-h|pVgeUJ9${FL4AIqpU=?)vsz z4sVEYy9m8X?8WuXDe$8p09xPhOm5ez9KuBZdZCBmqT!%e#}LgrPD0>d_NB4Y;C`Hb zqQ)-zh;c*3xL})IHqaqC)M)H634V$2;U986QNO?P1^6WVmF*m_@*l6`{IvR0&k2jq z(V#7&x`WfVb2Pq{%KLXau4kAhg+8>=as0E}IUZ)tu5EC1OwLiB{JbpW z6DRCiwbQ*2EX{YjMh**wUTx3dc8BS(>m6Z7Co1&}{trhh=Y+qSDPUF3uZVRo)A*lu z&_f#c6V12>TXO#MMSgt&mn%QHS2yojLSjU!R4Up$w#mY(uYKiKiLU=Cx4aN zoYQ|-@P8NzPx91j_^E+15I#|ZUk-mB0*->j_w)rBWfj()#?^~)Lqx+7*gN5)Z+P-q zb{o;@sT@7S@hTky^qJ;c8sjPd?QOY!>Ne}uuB?8&Kkpwd^8ANHYrL={`d%qrqEhZBx1WW-vTE}DEn?hK&A1Chev&Bs58NZWeqnyTr()2^^NjEV z^ELjb9P(#+sF8ym21WSUqQCn0CM#NUe4>WWi^3ku{?ao(R5|p*0x|j;KhPR}kJde3 z!>7N9Yp1{9bm%*AbX|g7klac&eqf8x+fq$lw@S?$r+Th$8{z-Mdqpw2s@yIJyNK?> z^>#aJ#rI!@KE%DP7Z_gIQPI5a{(<9P5v?->|J53K)`33pUnBe{=L+AohaC}}dQILn zU&PHgP2B7t_9Jl`{b?ffIYjtFi{NLJ$XBfb-XQ7(EGUip{ysg!oD=wW1-?wPUgbh= zAsYXAm+)g{8b5ZpBcJa|&3bKY&BqNFc}q_*?qJB7>4|3F;V1Z?Bl@ZSrvY|N{cD6C zE)eZ~)p)Am$0Fj(q;7nDH;VoVojITR8a`uKJ_)~ccpmE+j``5_frzu^n*KLL+);#` zA{?-5E&MR?8LrWXzhOtD59EO2>r$=pt4)GXqb3j8E%GRx(8GJg z=zGQbhG_P=bA(<^*~R(nBIN&t@DJtUoT0a%^Mc^ts)-}?y=>yA`^TJ)YDbkKUh9_d zb$LqAi2^~Ar%vOa^Vqs$Kd#xAosHo1>oxn4#mE=vTnK-7#xAG% zU$O3RBkVdX=qGOH>!`}DTIkz`yA7;gJ0Z80f_}9o4t^{2IZlI57y7R}#_4wuS`a_mxUUfX2^~9xgnZ;ZiukELeWpP(>wc$`D*m z+uy^_6QBDv`nFQUkr2&(>Rw@|)fzjU+@9oyzu_(8^A%!KbYdU$jb?q@_u%V(&kde$ ztMlCeJ*PMrB-DidW{a+Ggq-U&^V%r#_A46s&lG%yXyn$nKcBB|79W8AR*J5cLY|*! z=!B^2uF)T}$e%lF&PlEazcHX*&*-Wj1_+1b9H+6PwHTM_v&PRmT5!Bo#6hHs>}nFq z@!?IP7(5^S=%T+LB|3d}=^3o*^9jTS!do@#coO?8nPAdcHvTt3C(xjFK96TEYPmKgu#L2e8eq=R~~FL#}kGc}`-m;9sK; zz1cny?|C)(UNrOnZIp81_f-DB0zn!#^P-;7S3gRd^L2UUPR@_IZ~YD(sQ=QBc>j*# zfm-BOv|b^a_f4^)zoVM>r@xh^D@M(iH2eJy!asZ@;>+*6yV6DIPratUS?F!P$j`AH z?0N>D#OKGK=^0$eKJho2LZ8ET@p&Z(e1%xAaE(8?D(t0N^PbBo;@SpHTr>9Ia){9A z?NP)L((?)3`M7V0aVIgqg!R(s?fW9`wCTnBKP3A1fxVDlQr}z59M2nc{rG&h*Xc!1 zUUgCP4S`kHeI4^xy_5*eIcko`4>xG?ghvIPP(My6NYMLK=U zvoB5;d811s|1-j$*Nc4w+!wpjkk1hR5gPuV5_Vm$q2F5QxmDwro`?N1el&Iz)|=yh zbm_&2yxJw~u}m}HG%@Zw6}9}mTAs~UKRY5?cw~W`uwu$mo#$w`Yz5-wZ^U^gue6s-?s;+vq~fX*M)rIH2i!4en@WN!k+{Q zgWoOUOUO<>-vmK_hS>jRp4JNtukQ2b<2LN%cy(Sc3qMn>iQ_$?hcw>}UHQ5!6V$GY z{HIhS|8a=#)W1yQZ@UUU>&5p;=(i~7nk)2k!x#gD53x`Dt-i2#MaT_eVb?t5ll1;Y z`+bc0s(;X&?+p|7Zq?*z?;sEppW&MRdqh5O)#OVb3VV+edIfc5*Itn~>NS4vIM$c^ z(#o!UT`ENX4sH3k)f%~((VzH<)5QJz1^<;_>luZKg3esQr|x4;U(Mg%hJ2X(HS;}! zxJG!Z@Y`U6U2}(Ue28Yg_X;}In*8Ag%S(GHM>T$I74SsAROrtbL0>QIB}Bx1fmS{j zb`h@O^P18*CWLK_Y=X78V6^H^{N)KO!D6Idtawrp5~g z^8#Bz(=o36I~s*s2#8Uadey^VRL)_>3kTuY+Tu{?;uV&$0OZ6HJ`w zZ|cbDmx+eY;AaRQe;dc|68KC(M|sDSPE*(&^}jCOuc_;}TKIFlMhkOEvK{UgQ;>g`PkS*wshaOSr~gNCO=#$`iG1D zsy;u`ocvyIB{VBrjL55}MBI$i*vs#oIsE||`XPePdX2t4ChS5l_JeqwUF${MQ8ep& z@NumgKd?jCb)v}kK$cx=5${Of(lvR-8r45*^6Dp9f1E37{6?DaZ{eCeVH5L{$X9R7 zW8e#JrVBmU*n`sv z*Vt))aSjlt;qxBkjU1bU+VKFK7pPqb?Grxu|nH66Ho0tG{DFkhP2QO&&WV01#1O`3DjW~!cx z_y~|)AHmO%eHH7tU0f6Rd*E+ry-GECLOI$A|I-h8)>Hk>pVx&Sn<>^wRP1+_sDI`A zy#EBza2yk%{qHnJrSW^q;}DN%Uf~-5vtQ7krJ;XD_`_0-pFcl{==4%nYV7fX$Q$D{ z`cos~a)|hTX@=k{Q^;+D$fN86ub7678Zv5#DamF|&&acwhTtbQ6_eFw$@4_z=4F{u ztQjVoCC#$HVpgn~8K#u<42#WV$xbm@@+{d7CC_3@0X8?wif;CtT$|Zq%1g@3wJ7v- zdP*kHNhylW;;?1l2~&zC)nv|0vfCAFlFe>0+3Ys6Da)RwnA0uhd8Q1z$!>Wh*OG0v z*rf52Qc_Gw4v)UGrkb552uCmXXgH9n&bf`_P?@#wH6W!p`}V_rro@cj8YP`BnjO+}D!Jd&7m*lW3Ce!4UWOJIyl;B8mWSC7xG@8ceW}8hW1-~7;$YRT}=cGDJ zHiyaXz?7L@o6@qN19nFeu&i5N2Gk;p4aC<($wQqE;b&S%L|hHTa&cXz4t8@=HtR{N zn3tK827#x7Su|xpqmrPQjda+pH5VP3El>kJhDBg4=Ja_ci_Ml}BevNMXc0#M?b%j) zR#GPBFA#aDY$9laV6rXq37wi?PRdNO8SU{FraCE#)smb!Z+x!f{vp$nGBTCa_-W&9 zX0(n=o~PKdk`gSATq}txl5|C}Vzc_@tH|!oLMe58_X@0vuMi7$jr24XXIuHINhFObtvf|5S?L%9+}f6LeUGeW1yo6 zN~$5ro<1!pIn$DmvB<)@Prw}1A;&?y$w}sU-s!lh{5O6@C&9LRIWRf11@2A=Jbqei zPL4GmhGKKXIc#>ez8P6oTTW_5rbVo8t{Fdf41LaHh}765yCY!+2+f<8&YVGNBrHBV zB_=9%5;KkY8IJVHNw#_Lkf{&?%`$}y!V+nohp|(Qb`LCj25OVw0JW^~nK|>hfhA>{ z6PUl|4NOpEdF1LRTO8>*DN|EZVfCzcM#=(K!*(3GNtuyx7!dNK{%#b5=xGwK#TIF| zTWk&`&5Vi1AvRcSc^1V1-AsrZZ_CLt#wq4pn=K)39HI!nQ&R0I$)-oFrj#T{l9D<; z&8kXZipAkrXthY{p6W&kks}0UE#y|AB+R#1tkEEXp_Qr04_nL*&M#B@T!=f3Q#LY} z>0ol^_nCQ__vcy=zDzVX@{?o}CTngc1uIjM*__L~m%zaHsu%|%hUlg=-b4A4?BjB> zQzDr$Q0z*ALw7im(nuLF2rWNpLQ1B6A+$V?6qO0k$lQzBKG~keHE0ME&@_rR(Q!r| z0$A9ATfvm12uXr;_cLSN9TxIESbq}>D4v86lQXg{6y$u75Iz3;IAQ>bga5swCqqze zPjH*1R{fua1 z=0stFlS@%(m9xz`pq$~zNXjHvrlQE894e&NCd8pE@+B4}%VeVL!p2+=WpTc;DPmih zF)qQx^bhW8Uapla*{yX`a=4oq0uALci&!M<$jMAGnUm+4QiKyy9H|&EEyE5rkWS{9 zEp|Kjq{z#B1MYK?_i%GVpaBVc64DpylYjKM zaD@xAkt9%zVw8zVH(@v$O5?I}QV^uoVO2h|ELmpj!bZIM6j4aBXHvGye5_Bh@F!|2 zCb$_7?>^0vWt~D9C4bz+gp)+N)F=_sYjrsCfgVhHn}OYI%Ru}~$%FzSm7uA3At8Fn z1SsAx6@V%ucNa6lx{Kk7W0I_!9Y`l9H!YnKCp&laDx+8(kqL9VZ`wMhVz-ZT*Tu7e0c{>Z+O4XUc7lAsyoSyN1@e6mOYNmHqt z+QXca4FQmt$@oD^Zp>n#fk%DMrJ00)hU6|6>hHzK7*8Ysk6GqsXJ98~g5W`mT4+&V zUXj@;Y_%Kpl1rXZxoJvBw_uNUGPeh4ffCsKEu><}2r7U?CM&!E>A4qr>iknvAYtwf z=vfubS8QCA-9u@pYc8U%g(U~Wnb5@d7kr-l1Ph+XBh!(0*u^^vu zae|e`MYYe%uzENvm08tsWaNMb%T+1A$I7N=q|pjx=j0_}2ZJDPBG(>`y<{FXyD~ULu^i(x4sGEykSXz({h;JYI(*!AQM{}EgDnI%*ew2)Jp?)9zM`hkRE$~ zz?hxD_T1iDqa%Gi7ach@dmOYo&8u2q@&6lHOO`R)>y$CKxP(}5i~{Xl7pUQ8b)dz8 zs(F8e8hkv0TrxWiTRJboKoCa!zeyhx=m;Xi0gq_F2@-iRct@+KGjp=jtdtL@WFi&D z_xMdFE4MP!Jj+58PZ%X6e4X8jD3qFEmasWEHIhKsxVuvdVgxqp7(vjbVZx=(w)u46 zz%s3yu_^Ezww#5E%G1IOOD1;QfRang(*M>>Q-GW}YOfozai=&$cS5=4=Nx;W8DPGuxRp3NQ;IUqE!` z-PAQH6^Va_14kY>$P#33lC_Y`d>fySdqTO{^KrB!O`H#un|8{T6vP`jsv&>^#a7T){e}7Vekp$9N5Wk}%7u=al3#@6TWE`Qf zv!K*e=Dwf$_PO6fM{$}=RS15FW zI(p&k1##5I!~hWgH%=mzPa>6Zt9HpItE)*-n`R!{&swu-TaSV2ty){E%?r zWSIMDCQ#xubphD`4WA9=SFC&h;%`2-iY&RHMiOwU5Wguq)0C3K@~31-1iN9olA6NT zBonz4sB)s9B8J0Vih~k+JMF7-*%XNk4owle9VQqT=o5*N!xilEDe{_(Q^`=7Xv8}6 zEs@CtJu*|W3=tC>5Gp(0!)YE*5n#~SI4XgsHJQu{lCYb!EPz#b{l~6w(2!zD&P_vC zMOaeS(f zI;oqK*m0)GF$oEg6Oabpl*!TxrzDe=c;jUpy)WU%SWydEJ^+Sd7SP@X2SdnxcxuL4 zh_sscMkd6@tI`>&l@3V6TGEot3n>M#*v66YX`?_wipP?YS4JOTCg4B{Py)_`=^V|b zWaU`R*h{2hr)4D1?u}O(X>fQwlq=s&1Ji@Xsj}+D#sinNkZa&A zDFmshnys_N09l18C;4H7KKUuTmA|2Dr0E`#j?~DP zaD`|b)kFvFa9Kz+9TvRXV{dX~nnZ!?DV^^LH#8KE$ioc@d^ntx2mb$`Cc*Bkp32Ez z=$N^GAU8)Y0D4a^Wqo-p_uTo!XB(XKGw5ohOtPtv38Jj4_X2YWF& z7LnugP*7SD@;#EXzp0%|$Mg7{%j$ocMOKF0tTKu~sbRG7Jv7Wo_(f}|7mgHvO_ z+)9tKxWL}W(@IgYMImFMopyhw6uf0|E38HY&?uZ_L9=j>&kx2LyK3?ZB56&>iT|zX zj=HdvQD{ty+Z`;+i@%j;rjo$h@HD6mF@U49WNa4r`*x@eG81I2(0tD!NKQAKtyj+` zBs0vUk<9<3S{~p$hXF3k6c~LH+Oub%xXAtrv^mLoH@^d>{Wh>geH4zX~|R% zMK6an8G2-NbUc!D^}w7GC~cR?F_8(=<6|brOqqtHg8~3HGGgzGEP^k{wP0Gkw z24^zK!HQCJnG0fD&1i3Gps*#K&yq~B4@^QDNY=vBbdw`1ITy!do;#v(Q)6Qzr^Vcw z9S{$i2r0Hhw`B12_8AcUm9b4Qg%+RgAb#f zpnGxD?T!img12epaC*~1$00Bek%?vC+`yL0BQ(4s`|21a?Kd&u{iTC@1sd0rzfThZ zpB<)oSQ^=wr(JlBf!Z0}leTzkKJ;st!+M#IO#H$imky<0g}yC!g(p zy~Z@snv?`29d%RkYh}Ta&M5HyVqwk@(~wa^M~@yla`doK`2OwC;Uh`BHz_=gFOHji z7%Ep>yuDV}X@qvi>CO-^Q*n;y>2{6Lh+f9=#&MC;j8ms5w9%q-vLSr8r4hL%7~T?FY~0gfNmcpiBD{$8*`Ui8JrjvkwzYsc!K};kl_DH0AN>y zPnj&~FiwEYURQ%{VT7%ZFx{LK;#-C|FToiVzH^N%nk@;vx<|G`FWyt=Kw?^^eFp7= zv8w9%9|8*AYN%_Qm7D3vpfe=?Ldts@4ijxmgrVk-o};hEOJV@X&?=DT#=U7l*0fN8L8rGjkklm(EUg znMz{1CT#?!FKFZ277(Lj5oOtQzE0<{f)Flw7>{p~NsAWV+Cbjip6tJ<-OINARvfL{ z_2FHil(*vRGVZU0xK}k=eCY&#G6UV$9&OD`w4Hi~j4*du$Gjz{QCsjA5QT_}qQNoo9r>@9gVnpdNNNiPF&ilsTS zkd=fU6N0*Rt@AjQyd~~PIFws?iobEw@8!hB?cvFLc?J$|6S(;e7hcxUsRj41_%b`b z1wW4dPDy^6`0|J=k~g3)geVB8IjJW4#y$UIm|LE$?}Lg)_4eE(b2VdMZ$>&tf04%g zKcQ0=!hG<;aK0spHYuc7co{>lcjym{Qas;o*pW+nXgP&QGrc&aqY^w$agY7=&nW}&2A1$-mUjm9G=Rl6uo6N zQJbajfHnF*%6HvgPjkO~BOB*`F~?#vf63CgZ4xgB`LZC8vm5$$fc9KyN+b?LWM15_ z*qM(IZ(b;YlRFW^s(WOCh`lV+_7H}z9v$JUHgJYEHFrc>>#3jZsq{V4a{5EU60Z=6AAU5etbG-3ZKq9%y`2AO`2Adr0$hF`oVeKy5&^d#>` z7=rv6toEih^{>Fy)*#?`yV?tXHp_4X2ZF8&@qX&3jO85T&$w~Xh{OqRZ|p^F1W_Sg z`K3~$nzvEi5{2`}af*UJiO0qJ@Vn-WN+X8LjgL;hHUEG6&;S4U{QqtATYcI$m%dww z_J42n9Q}XO*YnB$*P&aL%&qqOe@$LAZ$9^1lK@%mt@XUMUjI#=tJrT9D2fiwq+JI6 zi^8pxf#L_Ph>Laj5eNJ%0{gee?6+sYCAL&Xp`CEKT?lTfjC1!7R62FwZHZ`iu>LKS zsiJ=;M!&f-Tfl3cdKON#nPL$zA3uPN-$=iSM8Ao0RqzAyO3_zlj31;}#q)f;K=*i@ zKY#c0^;`MxSkUiM3|D`=0QXlUy!zVG69?`qT%v=Y1aV&x5`M2VFTI2x>h6u73zz5*lkjm;fBf`> z`6Nyfqgs+zH28sWp65c8GuaWR2 z65b`@*Gu?%fhT=?LBd~=`oALKJC||&r%Xv=N6|@mNeoJ;gr|H(y}~8D`WrIT79rt# zNdxF5JlURl86>>=n@iLdC*k1`+}BJA&vP=~lqlg_x_c{1x`gL>7H_mlcsNM+wLrql zejs1MtG`)953Q8&IJ^KlrI1_Z1}Jb#5&DwuJ8?;X6zCo)TUs;d@E=Pzm2#!iP)vJ`z4c z!rvv~^%B0Xgf~d|PzfI=;lm{SObOpl!Y4}j{t`Z2!mGbkMa@D1f%AB z2`|T;l@flqM5k2372MZ$2|vb-Rg`iG zf47AHM8eB)uv)^8mHHo*@OlYfBjF<@yi39_lkB%%!jF^sUy<<95?*YSXze&PBDB;T_{45E-UBb_n@Z}PIj)eb2!q1iP)e_z$;g3rALa}yhXw*?=|XwnuHIM@aYmhM8aoC_|6jkVF|C3@O&iR7%Jg2rT*a( zK3l>^NcbEHub1$TNO*&Uw@LUo3Ge>xGPKW>@D8beqJ+&P%Nle!kRyfrMWm z;qxW@LJ7Z8!doOgFO~3%r2gw9{G$?ngM?o!;WtV6B@(_&!Y`HZ+a>%m312SZ^CkQz z68

    UoGL6OZcM_zCgm)Nccht?~?GVCHd4#_#&zQ6$!sW!Yl7L>c6~?2$JxROZ`J6 z{7MPmS;9Xd;dK(eSi*-&_*D`TgM@!d!pBMY)e?TDgnwGX zCrbD=52Uzd*vTmGJozzM~XpS4#MGQvXs3|D1$hC*jvi_ze>Nc?rKs z!oMit%Ow22CH!^?|B{3+m+(g<{y&lM8>If#68>cge^kOhEa`KNgnvcqZzwn&WGD`N zCaAw+C@OaZx_V(ZXDHYoBnM;kZy2!}T^jnV!Dn!HJ?;o*9=*1v0au?V2qw;Js~NnU zVB(;*oWY9-ZbEPwgKY!{5WIoG4-*_na4Cb638t-RZ9ao%5!{SmD}&<+4k9>_!4nCl zt!Ql=gQEy;L9m{|V+d|Za5#g965NVl9fSK3Ok2&`5C-=on6{X;3WK{4Ok2y^`hS4v z)0SY`Qr6ZmxCOy&2(D(ZKf!GYE@$x7W`Jo+SzE^73k0_(cmsp~Ah-j;r3^kva7Tjk z8T(6V41R*(9t2l2csap62`*>wB7%DnT*hDz8yNft!6OJRW$;OYM-rUR;2#JcMX;5@hX{@!IFZ4h5c!3BHfu`fF_c3Dy%_!{8?f zjwHC6!OIDbBDkEviwGV^a2bPb1Vw)j+@r1eY=R0>RS=-oW5L2%b)GDT7ZEJcHnT z2LC|t0|Z+ce2Czg1Sc~1Q-U8PIF7;l2%bf-p20f_o=tE#gWn~Xwi>lM2ERe@T!KRw z{0hMj5v(wHJ;5e|>;Go!PjDi^H4J`&;3R^p8N8g}WP-~Xyog{k!DS4#5u8Hs1_nP& zu!Z1K1}77oN^m}dXAzu6u$95_1XBR2O=R#yf-?w?V{jC~4->3s@EC&U5gg9op#*0V ztYdILg0lz?VQ^1^vk6uh+=bvAg6pra^(WX$a1Dc75c~+i)eQD0*hX+UgRcevwi8^& z;0pvh2;RWpKM2kxxRk*s3C<%ppTR#6JfC1IgAWnBfZ#+1e@gH|g5wyxkKjcF>lwV0 z;717#XYjiOQz~DpWAGaUFCjRD!LJa!lwgIy>j_>)aQ$Vr{siX}T*Kfe2!4#TV0l{Sqwh>%N@CF7yOmGpwr3_9ccm=`v44y^s;{;n798d5{f)g1$kzgmm zaSV z#X5g8I1e~a3_0Wq2WW7*X3veBQ<31jh7>WxP%^D|kO5t)0w`oFhN|e^L3HDK?+ZZ1 z!+RTwE;+&s#j)sC(&65)=13&d*`QylCfdqnkz%fU0*-xhbKlPcNw4n zS@&Rb#rXr!I|*G9c=!%vqQw!eH%kc|yQwdpE*WtHz0l`3%y$nl?OOGWzcS>E(fRd5 z2Iomb!LRjk(~MQ+`6&jlwwL~~bwgDZ{Tm6cc1T|SOfB7h;>gZS3Vjv~_ z1y}s?nr$Z;)pa#EkMC)VJJ&;apr}0fneyOm<#mB<#Mu>C*UnhZrZfq4Id=mfv1e5@ zQ(O^<`vzy#cKQO4p{T*p3JT!52xBP5;$x4{xgv5p^eh2{(v8mR2IpUq&Ldiipd3Pa zhq^rxN8Oof8d66$Y)IGVh_MD|1(qUrFsWk6*jZqKaq7yawvw?6-H03@KBi}Dx8kE< z>;(l|liZfBevp+j@Dw0$(Hu<%XC|rb3D?Ka0_On`zmpR$a?|R?20r1M1SemYOnM%I zX+}G1>9I?H(#VT@hr6z$8`NYtfBZ}Lrfh3ikP9_{xBweziZKue;r$ZSP-8qI9=UEu1j+_`e_^I)=hLb{yLKJ+c;im-9UF^m9{agF2rD_ z(C`*oRt5xv5koNFiEyVhicqTG zbhqKD*WFM33eDsir#_126Yk~%9?g+6+zwN3bpB&-UgYC^gFMUU zTs-W$*w9c*844v5uSc_NIMx%gRN$4c%zExtA|I+SJa&2=(2>q76c|?S&;j79?fmYd;ms;|Vd1)*)zQwq z1wZ>aW7n+2-Pg5|&QE9zBtgFxUCM2@olZ_*aw)_5^N3g1lC6DF`GRhBzZXVs>$ZBjeAcvnN-rgU2L(xv6#}T+>tR5Ko>(*U>thTRTX%zxT}2u zU?hBVw6paf;4sU!yI`KQ1=!I9A6V5OAdp&Jd|e;BwlaA2UVX%uj&5q>*_}5v=0+P! z42Y#IjKzkaNzT}IL52eVph(CPgB%>vALISqed%3ThabzEDLZNR4zEzQ2ZTz-z6^S< z_L!!zpgbtn=?|%fa>-!&T~E{Wk;`~sn+j}ahGm%$M!&%$=yUZXz>1wb5n(|x?;Oh_ z2EjlvU^E6~+T{B81tfdBbBT*sH_{2@E^r759HkYWjdYcilv1&76Jp})Ngnq7yKj?YN z1A+_b3w(wWy`RAeL9k|u{4cW}(Y=F=&NIfapCU_^K$#m{>oK6w+0jN2=d^?*hu8YfkkduQvMTp`AB5RoYoB`k1~QrMlb;0{+Pk}gQ3_# znOc?WB!)K@2lTEC=&UgL)Sd_5v1rC3UND6HQSvYe;3n$M>dV#9VXl%nK@AACt~$uc z=xip&`iVg_))|BI4>p#u_&!%28>?AmKqw;UF>*y@xy~Pq#rO1fJ*Z`*ZXO%7F2z`N zfRZ|J!Tfftv)1Y28J_bimyHco4pE`Kv1wvSdrG8YF++t3SZad+E2D!N6x2p%KyNi2 z3RV49q^Ux?zBkzVwuW69oqz4_ODkLJB9I{LS;Y`MX1RM*BID} z(ODICbaGKcbZ|_Kw>2rK^^Xqw*@;bLOwq4P`$r-;u%(|uR_Tm^kNGtg+dZyI#J{mP zMv1Bn(7_3%@P`JtAG)1C6oiM2CG-8*gH^8g_)|gbDdIK?eC-Y;m2qBn9r>LG+RtQi zmbBe@?k2@ClsH;97!j%bc91zT6Risi-WHO7H8eL6zNW5o{?#y~*0u2dOPd-H#Ohj* zpq=$}Zx~%~4=6gg>`TMwi@}B1<-(wkl?E5Cz@5=~!st9cIqbK{g0oFyiY_h1Y?d+! z#4_o{giJPHGL|@cHzn1EYMdf|i(^7C8w7`v5hEjLvwgz#)^F-O9OJNzlf(W2MSoBX zezFh7QK?=cYjnnR2313e-CqzbV_9v~*;h20Rji$-;Yi6KmK{F!mdDgA7&sxU~q;JHLjlIKMPp z{x;V6yMdtCuv3PT=+^!vbDI9clplPg3qE>rK0?V$Fc(o!=`VQMPC_Y;33Yplx?$Wv z2k@#7ulSCGm;s&CNeKj!PJ-qlUfWF zRT2eB>Ps^1Fc&FZ$+(96#oa)rw*eAz1(1`1g460SDzAb{WMx2C zsDDjer1Zq>+(4`qKAaVGb#(VW=VKpPw^QOHN#=tGDz>?$5akNO%n9d?NO!wWF%DAj zbp9}QBYaP8IE;Ev9`0PPU;!aP2X?oWdsY#qlrYYHb*)$$SQp4DgR@$eD7MG0=V&bF z0Uk5StDR%wsN4f0$Y+>ovp}|{Vsg>1!E4HcDuRn>9kB0=h17yDGkRhM_jU9W64RRnj!>Y97uBX*5dbTc*Rcfl> zUI93T4%?B~e9cvtZ*PF?OvsN^q(`_wAiutw-VC@ZPm;D&qWk3!n?X#!yQVP=V|xhV zf&+2AA~x(|L7m@j9iE^r_ruKUdOItk!+zPV7r3#63!y+#^gU3irM0v+#**p&&I4gb zqDvNc^Dl|@b(9DQZ78Dej6&BA&yKm0O!;uPeWeU3>q;&|p^@+j<*gZYIqRL=Yh zomJt&2_UTr~Dn!ab2-io9CAsi$Rjwo~McstLLymFeBb+}lp;o!p|E~#k1|b+= zM1xYNX9L%bb_*dEMiCoUUy{yKxZ2ynkXssq(dax79d?Q>VC@aD3X)f$V>S0G=znH< zy}K9YMgk5e7<$tN&X^1qJ7#!|+^{;Ms;;sG3?&c#V?ZpTE-e7~)%7%BxhP6p1|O8$ zl_VgZIS^_gou@&(t_!t(-`FZdG#_o1(cQ@5xQJN~k9LtAPtgIl5!)roCh73pz*8T- zF3wtRL@c$rY*0bx7X}UM5_~PAHGdMv!(fR*Pv(D%zUNrPu5V+6H*^zaWj@4Ls#JE9bL#~GMSWJbQpWiHB~UMym74~AEKnW z@q9*yG}-9<)_pLJHOJ=c>br27J(yDRyt$0YRCwIReAxmV@oo7hd6O?H{t}u3rRA) zaJ~E^iS?|Z7&|G~!|co+xi`%m8=&7^HWDmW776+}mxTex2sq)INq{)Z*Qw5@f}Q9) zukqbq5eXN}MH<46B5hy;QfeOI&a&Tw{)rj%@<`7o{=~dvA5uD3T{HG*oxAWPd)z!^bYkG7bA4pxs;KB!(or{HUKr3gBj^sIO$4Izh zchyfg1;*JvG^ksQR;;1Qm5zfLMjOYTh1Z!O{l>GF-Y571^mn_6mFxurQ<;v@vzJm+#yfADaWl&^~Oz$iz41hPsUEIPBadr2-1< zc%(diK#o6&y&X5y)y$eP=ox$O>gHjl7mhxEz5{_$5+FulpT@Z>>BOHbVx|ZVUg1egs zKRyOCD{hCQq+iS6fXwYn@wTp^h977(XQBy=!c)ZwIQtzj{cXuf&Hf(T7YFu6Yi$6i z@Mn&&$p+r$ex`@~Oke&?eP8jGz)(DotcqdA|LcQ^tlimGLBen7E1fNDz@2il^tcQE~H@}#8c7O=ipScKC&eHPNbs2M%UgO zfkyK`u1+9ibe3bh$>^I}rSwg%)cY%xX_W^**#VEz#yyf{A(1Srj$~m7v_J=Kts-}$ z6O!Qrw2s314>?-I6dhXDvXmMIHxBVs;9UH9cyUVK_~d!x-Y&Symnvk>hg$v!A0~9fXK(L)88yO z+T(@{-O&#>iMYufTi4y~fga?H@%ZuM4>)_Lqd#iq_Ai=Q^!*skb?JLTXz-sgI6Qh4 z``rUDKr_2Rnhw9vfwdjyZx@2VH8r1e&AN`qaa;xeL%PY`UQxFO{!l$0qQeyzY9?Y; zrWNXm>0gvmvEAQma6I%p<#Fd++X#Y7VIH4tn+iockjEG;9>P7(yB6RKmLj(v)4_x( za@*d77f)m4&O`=3L+&%TeN5pQM=&`8G}3TcV3-*Lg$*1oR_+NWf#j=W9&>c63v@lj zrgg&Q{Ek>92bx<)wp=o{Ck^6{-rT?EcA^2=Vu0pifL3@EZEOs3eRpz+=Ro?Hv|Hlj z5Q|BWn1g}M0XKA5&EA^NWH;CzGSw--a6I;a;j>)zOw6TFJ{Mg08uC8(UUEDdXg`Mx zqXx(O+_M*!J33JAF%t({y$vLXKk+&SY|?J46Se56C61Qx54``uAs!BCFc!;k3)q5J zxpuPG1&}%95nMQkjf&%5yjnqjI_1?X!G)a!Gwez7|CG`svXrJ3MC49szC27C!%`Z( zYb|Kd@yq{5+nc~gQEdO)385h>I3PxGL4tw;ZbXfO5KSb}i6)8*ZipM8;)*cAg+*d! zkg*xS1$Pv$8(#DZDhMhNwhW+11Oyb6fCxPV0g+uK?{liEXC|3Jz5m~R^MUE=+RnF5 zopb8c(%rh|{Ut`t&1&FYM&K-!LUkQT!7nJ(M$^XlExq8EL{A}F zdhb_}4M^N5)g4EMJ4}Y>6`jGYZ*&%!EM7Ou6(-BWCX3g3k1PW2D%c?mSA+Tvghk7j zCvYS-LK2jL`CcbP_My=dsl=ljYsl)nrL;meN~n+S#-31LaCT8p<^}yHplLX& zO;kZ=lR_bS|>M!{F>hn$Y&2=$FU|1Z1riOst`E>$9z&&Xq-FU0OqUkBlBG|0v zVcI6u8n1(k5XD+Cp)Q9z3q)=Z#7sshEe#vqjq@Om6Iw>lS3)96>2^)YYu}H^C36pw zle@`~V@ue~s!AZI*{|96UL_PmIj!GA#E*b6Q3;Q8P>w2gR~$bkx69l=Q6< z#MRh|oLiO9y-9@bzMHsrCWBmBrQ|?OiO*K}@>{nRO!r|3^h~+AL}Qt6l1}J|5GpRz z>L#Y9NLSlhanf~--7Y91ktTHn=V|Z6b>1yY7{Sg`g_G2}#Q7T91|*Y=uya4H)66-ZtkPh=7hO`jQ)V}P;s_#${efyTVZLV*h zH@6-1ZGc;05;MJR&ZFFj@!2k70Yfpwf#3g-@LJ~;O60{qxhtFZsOuLn6_;i8I43J4Hc`z(wLdaW?vFn{y)(k+ao}Y32Fg%n{eJPF15}f3R-e%ltM$7!eG&GgkU$#j6B3mVem&$W5uD_$d@=F_)RfzUyA?ZU^T>^LRXirx1lQ~uCn&175hWT{Ek*NlU5C-{T2Wwkhz{no#fqo)||^!-Ya z^qruWtj3UrPBe0UTPzi9bJ{_#fOX7(%+f`amDiFc$|5e#r?6evuPoLObeKU|a>2b6 z=K;}av?G~~3SBMJUXTA3GNP`v%}sD+yCu?!TTEkRq!9<>@$qrS>Z3(cyEN$1Hx1}6 z3iMjXCw2_6UF$qf4b|64s2?G*5BEsSB~gqEzd0mEATn8!ZSm!*A^78Jv2h?&s%3?= z*0f0U2{-3%Iib1>6N03y(9GJ1Ky;exd46tn9~k*OeYcxKsF`zRS!adr)vgMKS^oTh z9T@G~_%h+G^uhoDASunou)Rp$>JyarTz#~@G?w@$h*!74RboPFPX;>XF&PLl!{E?L zVQ@*D4s}FQgqkLFxt1I0Qu>?ofne9V&`i`;tv5ALdFr>WR+_A`rI9VV1}vF8fdk6R zJ)^al+H|%4C&G>^Eytu8JF0Zwia$MHx{bXx+?n%K{&_l0N!STW`hU{C(Fx>KV1LqH zl?{|ULH^UM+o0+U;vOR1B|=$*n^jHos}b+D&I5|eTXjmVN@30-GX&pB(mGXz@B>ya zkpf**fogn1_G^_fP;x!?Ymu2b?jBqyyYCy?fBq7YOb}*uhE=92 zZ>PO=ty&kAXTGS4v1%7eE@jm&iyE}^At{^)4tON&AK5TAEuJ+y>PW4i_HOV^j-8%P@5OLLqDzdKe4w+C-ILqP98BsDp`x z$1e*rqVe^JV1ILjx@BK^k28r@DE%k<2EsBAxnHubwR;ohHd$ zg>y>xj#Dt`Ac;})rG_fT7=*_apUZ_I9e)0&+evlI<)>`9)HKKMJGJ8Z(&hq_r}^r+sHfCGepIaSU6fR;KAT z!SjnCrqi3Jl;B6Lb-q(A*yb$es+OmUSU4o7;@hJ{QZEhJXU@mC#xq`D7_AgCGePXXDn3TaE4twJd8$>Oz)V3kTSP^3IM9IgtH=>*{ma?VhRF zR9|}Dmo3Op4M9i8G|2n%Bx0gD%=0owx*7C?G8ApBYmI+yhS(#$cGq->#Yd|F`(_cI z(4chZB9R?(p6#6pv(%q=dNlO88!8M|dV@QVcJT_1M?FDQA-EiVm0ISH=*78z)v`Gt z*30uz-069<5?VIv|A&_O3RSD_K`#D_Rvna9<->{iR?(m|sZ3ZXb?Jp5#uT{V>_HSs zXyUa8i4CZ!jMH zPAC}12XyTgQ88sr@0TSjp9~yY&nogtH^%g=OU55r?T(hn&DHbbbO5vZ4EJ6ZCoT`wKZl|i-{vE(Z9coIUTUR&ZYDT;+4|D;N1lv_ zy42Uk$r3GvAS;4{kgJW(Fd{fu!H~)!(o82$R30^LD{6|uB7*AVXDwYjl%96cdOCJ) z9L9g5r)%b@0=4vXJ9?5#Pqm)gEjnl-(K4c%sH^Dal=z}ieT?aDw$|OPnyRbq|3G(d z(YiY&p7H5|9pN^3?x*_}?Vx-O`wz4`Az|BqDn^g4k5kE%gC1 z{$FX=P=u(~z$lL$B-bu?w2o?*Q8(8lxam=opwr#a{C}ifi@&T5ShTCi&e=GF4hZfo zN)^|BMA!O^K%9>G-;R~*an$t;g0Zp<1f%|<43bgmo~hS1AJMh>?DdBlCJ+Cj&M6mj#$(kJhOfV_d-RZr((k-Ep|{u8 z4DqH}AAa7RMJZPS)`P6&(}}WKPrNqM8{}v}^Xfdsfc)UTWjx`N+RE|H zu4+)2c4yAD%sVQA~!a#ZXDcfXcc`*`^wxW^3}1u-{;L0o( z`o}KfY~ItLrpH-JBOiWJQ={f^bRB?(ILwPkW-@?JnLRqldPSHukD40i6ea;2XnS5> z@?bs5FP4m!SY-qS=TU?ok+9v}@p43`vO3or>b}z(D%i_BP^P4BqTn_$cER4FP~&r{ zJMKYB!DuL1cLK^NpK3I83) zk3Wm&TW=qTqJ5phE;~Pj7VI2FYSso#drt1hAOc`Fn%J9}KO)%aYuy-*`Cnv+?D+AMk z50hZpvpuN8rMyvhONu^HMqP41(ytUT_k)+6`6|RjdAO=WXLWRWZ!&Hms<*%wlM4V zMbYgdvy1K(>V|7yks7w9^J~z3PEgRvQ1>4R|DA}w(6DEm6s@f04Rh8X+OlOby;$VN}BsY&J}gn7f4 z0k)caF6VC7z$TL#w%*{^02@!x<=pcU{yWaC#OB8EJqn2g&fPr>eB1tAw|i003!z}Z zT@eRvE_K4S)})55qxd!8nh79nG%^|zc9o$n&$`ya^c&<8FfDrmOg+Fmglhm*^nqLEH3_-Ue{SuCLWL=lLLME@qS%K#pyviNEn87 zjzjk>b;Gb`q=v0jehs>Mg2?E>?%jf}xJn~Dgv)nWEs(3glfb!`hXS%y0!Y>`YL92U zrv-`z>^N$2S@{d58n6RN4O_kWHDLP)K){}W(%vNDI^$Q8LF;C!it)5#GPs+E0Jr~y zzu@VvT~U|~;$U8+VXh@LY%S*3!2E`w%hNd${yUyd!Q{q3z6JXdcv>JJ7r&Pjq;2(v zis9oxO1pdamC7k&-E906r)OO}KWDUv7DLUw#IWD^I2?A+wYf+ZVlYvs-; zxSh1Z1(%}1%_cQ$eZ;Q;H;JHt;~cxnrZ)z%aWas-2LW=+J4r#> z)+fJ50j{GpE)Tz?UI30GHEh}Z8h|4Sx*a@B!qE<{YX~o_g)Bhb3G7?+ILNL6S#3i| z-v+xg$81aehIhBbL2IR<9Yt!`O5xW)OBFbDFH6PNt{vjmRoNxz-3hOLMBHSqcqbi4L03IAQ!?!(T- z@I9OiU+^*TH3eVod3p!D;&}Jow*LGrinVhb))kNd>n2je)_43GtSbl#*5hI2A_>Qt zLwm@UB-XH~7TA^WErFS99tCXgx9WmrD1HbMhLayt(&c1FjqWB=@&EWW=)8gmbolQX zT?o5FaotgG8kRT4z#Efc@(QNPf7QhlH|qVoJ&H}F!Nv9(b;G&Eq=v08_%+zRBZEAEuZ^D)Wx-F?;>ll6w z=wk&a3_XSJK7z2!;_~f+uj9L2W^qZB=sK#5@%W@<@HY$u{{3&pDN!xt!Q$mI{j{x@ zw?#oWhy#6&23!&W7~2Jp881#n9M&nGN^{{e@0U>{?Mk4}d8 z$r2DBen`YSJWUHKfenwlL)Qq#4y4M3{0%gKd@-qE>r8$Pf?{jl%B{2j8RNk0CW| z4dvIse}bUEKN*e>AdFluK&~tQUQC8yQ)5iuhD0RbZY;RRz8;4=i5qG`)$scVDslOJ zE7imAZCeEJkNg_IKM@qb;)X7jaGc#W3Ru_3EyJM3kd`Dv+G_wvtH;$fa!LysYu!a4 z*j%OAd>f<<>Y_N*6CefZLQ=!l1^gP+9R;aj^BIHlM6}uO0$#oZ%P@RRef36}kK!3kmpp7pY;jkc$9?yOEnyb-How&48|gX)eQvts22~x>RM{}(#9zG2dK*B_SF!9 z+fR}jw)*jFz&}h-z>6C8A^eZkupIjvLtQT!>TdUgdesYYsN=<%`O+^@s5`JTF4T9a z8K|2`Wxm9(fx3dAK$SJ$MG|($kweWrThxL$8WIVN{qQ~@W*-WoSPJ}JXc=+1Hx6bE zRuP!pNacOQ{2G`S3p8NLwC-F98}}kMgw*veN~kHu$_B}BT7ok)wyt-P#2H$!A&Pbh z=EP;{)f(-`q=v2W{2H`x5)`!J42_j=qBB%?N?(CtjlsPaA_;80{$Akz^nBf(uRUEp z3v!09UE+|wNA>WvIjLc*0lx-mLqTVd{_*oaLV98?q${yk2}nQa3)1uAk=B|wnX$sm z_(nq3Abyj|T;A@&VuN@fsjPSMYY_JllpvNF-%W(kt$#Y>yOSzoJU%ZO@*Vep{PE}F zcw7s4bjG)7eH8T3aiDWG=x<34TeJ8zpvwsgXfeN^5*G9O_pbcMnAI5G4=`5=oW4Zx zE_(J*c!ks2ZMzhzhRbDCSHEa#y*FYXjP$0{Uub+hDMhq9S_pdy+ zu2dXjcL0(J>|S;k;CnoCD0bJH@$IaN!oMjFehUr%CsM=K*ZdmziwO#R@quO&He<#= znDN=*dJ;DBAhGUKBmaGxi_n{F#jgD(XUEPdLw_jWvZ9|v1HjIY~ zZ73x*Y(2!UX+uAPF7ta6{^!g;vKH=2OmqVCU+)d>QxA#T)lt3vwe_bc@Gq#$W&S3N zDZp2e8n!C;J;RbSs2Dx6WS$f!c}+Dgq0)Xno!GOv8~l>qPR2T zaKBB};GR!v*!qNDgZop0f?Hgx2@;O3kR8eeJiZplFByUo`26oX0C`S4$Xa}MW!|q|x2>(M_zlZ8#Y&{_v;=Q+nc$gi>)=bw# z!k5)ilzSP!T$ER9l+ThHwubR*P?i!Dl;TvENZ2l}g01Z49Ad+60j4>IZ!9DdIC`Vt zt9tq`omKP*YKEQn!~vZ^{jhW24+3-(zXqs7(Czy*5>Dv*x^DGJwUE|NhV(zTf%N7!K1BQ%2xQ^3+WM_<*uw6U}^waP~Fww;e5M5TIhhXMdytI2d*#8Mwx1JMl*d)+>09ziWD3zhM-%Jl6C{47CYOKdC28fA^`nn$5ld(2OWPK|!}wgQ${LH6k@^?Oz#f z)gJ_1QQRiss3_J2wGYD=19eI=P{CUO)iegmwszW78RV?9ZM`{C1-BEd&_Rb_GaalV z*hB}B9qU(J3{kR9*0Btr)*Cvuyr+63l>^XCf zk<=W@xk`#&gXTro!VREc_no#iost^UL_$i4LfNOrVREp`5Peyw+19giF_==M;(b(1 z^5Z&rfKKLRHnw#)VS2)*<;4eNFTE~(+bSZ9CVmkiL;O<)S(hRAY2s%{wLDiM7ejoM z48?;r@y0s2flgKo+5cSCo4dY5l-l#EezEF`cOvfNil(p6Pe2?rU7*!WB`39#mve6LJg?B zkg}~)hG0Ue=}>+56%Xz%hQfCgzmCrZ(lNdYaSm!>gG@!OZ z%C=g`#Q^$6YVD{29j24(>0||H_p&5_CR2tk+b_e}pMhk6!W7ehrV}!NUXfy^%U;lZ z@*b%G8ZQ?E$R~i_qnU7MgibEi$qG;j;RFulYCwGj(EUjPT}m+xs3Rc*s9hW&O9MJp zNZD3Pxfnoc0_YeGsG&|y(a8$X&ZS8JEddD}S|y|2YJV~g&8L_K^cf)oXo?gw9P;Tt zd52U0y)G96XtXplKr`XcaGm^wPF8>h5KiDw4-M!t0d#p%K=~BYfX*jm0OiI3`dPD} zwUDx{7IHCwju${XsTDw$PCodpN>+e&5KaKJ5hQSEstk_P%aUfkV&BNd4lI$v8BdVj9pVgbbi% zQp|9ug9h}bkg}~; zn`wZ{p(8b*H-waJy(AX{Xr45)r3N%iCqJf>6`%(QCjc5FHHUK6-AvI9!;%5Ih+-O0 z2SNr=X&j&-x=&6LQnq!hTnwNd0%#P?ghMsosN}tJG49V6!U=#*(}21Oplg!?nn5uQ z=p#Y~P}4X-TQm#C2`SrpK`sVRwebBXAv@h-jHHOsC#HYCkiRs%8-iz^q2s;UjzDcu}a=87sH{=gcAT2Xh3HPpsb{T zzMz-}^dTVw=%P44i*%p7Dx_@ddAS%s%>~eEYK23Cb#jSLRvhX}I04WG`U(z>yOE;f zhbH6Dg%s0(&LCs}{V2r@hdOCM#|tU^cDWcppNSw`t^xh=wMyP87sDZkZ~~w*4XBX- z@+1W`onji$L_!A8fH*)Cbf3H|r0}2RVgMBjpy|{KhaT6-19Ytw~DK7bWjALfd;f^kxJe!7sH_qgcATQ zq6{2b(4C@R4NAtLDHPLy-XmlHO_E}UL%lViu|mqWM#;qhdRhRL&`dZqP$xg6lNE=0 z5l#Sfy#{oF0J<+^ap)6@X+ZA~GJsY{F~gxtG@$2%6wh(U#Q>Tls&cIc zi(Cwcst6|lYNi4Cuchcyk0s;KWQu7(ZxJ$p8pQ$1)PSB5QnvN9TnwO%qAFP$P=B3# zpH5aBx{YuGppPj-m;HVXMRz}%3{VG(X+S3vGJxKeVy4SR>pp2Bq-^Ujxfno01<-h! z35R|QtK`jcF&z4dZ~~yqHK02MP@klLKBAZg^d=z#=&U$E2Q>>u3n|+gAr}KET>yDB zpnf{JuTEAR>Pa{O&~nrZ4t;wyMVCL4j6-KpOasa!WB^T;VunKxXh25_Dcfo&7X!!^ z-5p9Z;n21UmF&pHa7f;#mH_Am4JcOtbxjKBLyBoYZxAwoa^nE4(JTlFDcc$@7X#>c z0rV@i0_Z`Ve78gsr`-dUo^~Zw zo_0gF^Tv%PQe#72&k+iBR2A)?scz*nzJXkc2?e@w2?r!O9opeQ7eew?0CIQLyK~le~7%y)JDXGGL1$B%E|L%E8gvLxOAf@pU*yI+zuXZaCTO?Gk`W0g{&{h zP6#XkTwh4^pr=$ljPKyN%cQQZ_eQ_99bux3nW@okoIw9Z=~!;ckz-o8@D4 zJqts3?iCT^{k&{S>}w(jbB>xR8s&U(fR_zg8;Fz0G^jfZR#6)^Xi(Pf&lN9t`OMM0 z6}W6~s_$+xFK&F%2C}0)JS80tC&|a+utaC-ee&x_|}dK1~?r*y@sVfwUkvd!|SMO<0wt zdcT#5rT32!iq2AaB4SfdQOrn_)KK;fx`qe1P;!)N{3Z|iBd0)I>{ZU4l7&|vJ)}p#+lGkId`$8e=&!i%! z_e#yF7|#mt&>Dnw?7KoZXyzE8lI_hYDpoVw~)NMzE^qqICAxhTJ}F`FZleK zVso7)Nkf*%TcQ7yMsz?>P@T_K35d0(krjcpG&RM!S}+IKs#+k+YpI-3IwLvY0_$t! z;5FF1E!*Rl4*(nX0FeC7Z<_tZo`PTGQwPPc^7UWar+l6YL*(_Lc~n+mJ^d#=Gc2c! z6nNQUFju_?Tje(x^5(9s--*uU1;d^Zy`vz8AfXCmAbZ@6QC0nz02RG;Q>h-6o(>^yizteVKQy4M#bIf;e2W(2+ zD_n7Qqvz9uK zQh}?V+I-f2A(-G(ALH9JU0ID0dr%>=WR=IgE!@~G4Pd&RF-QO`^`%c3<_R#(s)0@u z=&1UuUyqLWs@|}!x5Ho5%WL%~FNYDQM>b&3V)_q9j(t{8@hU!N+ivKTM~+m+$WKS5 zr06ddI=eQdq~JgVRu8?++iR{jbaXlYyWxgxxkk+58I{#X8F?%H^(E=y>QrBL)^5Hq zgL>`VAm4a+Y=d+5I{LI@SW!B8-8-@5D{SmN)R|S|%-$$NMvbqb z=Kfq~dzBP-zOO`EVIR=1r{N6aJ$i!r9Kbs>BrTNFK*i4yC&M{j8pZq5HY1QNQ_2n= zJ@kmI@~AzH+KW1NB2T`Y@<&nVoo?FRjX_MS8{IX=xepL!9qX4|B=3&rJ@kkh=Og%X zsz64R%?x9SZl9K`SiC~zYFM~>RC!tZR>{<<&A8s9WBlW{Msi}E9@e3pE`G|CqB4N!5s%C>l&OVjJgYx?N_ z52kTeuXCoyzm&|Z0X*Jb7~%1MAQ*bJ*!Ng_{DD5akUx#Kdi$i(k5k*nE;A zcfmC0{3GkhSUci9DP@ZC{%~Q2G?WH2Eu1i#9T3ySi3_Xa-8x^e?nI4lj745gZ-CshdK6xH>%~5M6Ih#`A{zCEFCGy4ev|A!xOU`(T$(Vk; zTY0IfJmWcC9Yd;eUC=lBV9GvJfhkyxNA=z&XXj#Li&102sDw%jlJgSl@h@Zis_WmNnxS#q;fy#o zqHMJMCtfjMQLv5h_jXfn+3fnECuGx^gcHetT_Z_6s15c&CnP?sFqpGKr^?9*iLWrjGN_O4$F`o;E5a0Wy zZ*ZyFEpqlD00N?V2K_xO=O&C!N{Oe!>Lvl#UID&EE)msD^f=2#sn#9vCKrVY)h`USIb%Tge6h?)2Ab9VJrLNOg~H;pW~=S**toz zPg*_FpA)lVXWv5RVA);?+hUJ`pB&&f-+odVqtNGa$?LSBl@;?1hHqbxfD9~_iyBlu-6?%$ zEiTndAn(AQczE&l_K6WvXi9S#5qj!6dEdm*?i*oMf;+-bCpyxEoUVU5>ohH1{uDKW zJFiheUZ;7!(^xn=RfFolB{-)r$b;0ZJ2}ULEOLjcLdjJ|jnEI}9H+3a)0uW5q!C%d zQcE=EGmgw+m*Flnx2^Mm!Fk*Tg~3hI4Gd#+LklA1ZZ>!H_ZXbP!0y7}hKl-pMQGFz zVndhK1i7m)8#L>pHRM%?kIESxbT?!#=1 zWf6JVuL#aCXpp6Dg7Jq#IZKAa&yso082Wk!`4rZ5>Wf=KpBe z&Ae-bB`KWB$6$DK`0m`VE8%!%D7%po1m4WdGLJKtr3f-DpG>C9bS94<#{#}HvCZB< zJfP2w_)==E~c-v%qc=UvXka4*QWFSc0PkP^q#z#!tCm{-q6FTg=H0_m9!m^>b0*(_jbOb z$pF67`*5mM6dXX2)WID&1m4!$xwu3BZ#+}CGj)D9-xdbVGkI*BvJ3X1U_OLlkaJhufL&luUtbp&8UU>4&N5eDlf>|;PfI}gzW(7 zYV3~lI};4Xt2J|!Va@(X1WP`iq;qFW?(50ze5LY94U=`&3*D?+0ohpcokc$3ONX;k zQz8!{O=Dv7;i!KVbt8;1(`(LEG&xYiH{3!w6@CQr$GJwB+QGx3&}))TY5(m#1AK2~)czr$uo6^EC6 zogdun?X|SRpCPEF4{i<^h)x^eRlkM&2p-?R3VY2j2>e>%w^YuO{ooXjqWa;R|SyhL<~EsmYAXEnHmsud7)Dqi`oY<1hQ}?vw5Zd_syfn zLfIWDFkL=ji;n;Pt}mCUvm`1b8g(X7&k`k)GMrC5ip$fExD!oWe-oFX@WihXVUmPI zJ(ICeXDq?l$o}I=U17F~TOhSRx>%LLYf?R<#@0tB zf(HtNd%WSmf?3EjfAYm2wDQbvOOcLH_A)Njr)k_**!iCHu=T*hEPC=+HWv$QJ@ORG zm~&I}I;|P{5DiiC!O&0?YBXOm725Ur^k40KHth7omWrTE zXFZY{!@fz*y%AbT)VthLx$|_ncwB3|K?U=P7Y!fnHmOkOD=UY~MGWCKXV#2VD`Zgg%E%At%*m9Q?3a&C-r zwxaCupD#^oJh%PnnmUvMKf?N$J>;zUL$ZC1V^#KhYOA^a)xN{S)^OtFj~53%7Z4^c z^<9l3EqelK4CVa71stU;*swd+UowQUKYksplg~`TVYR^jl&n12IoFcxROl52kDsY6 zTwCkRmyD(JL>!Y?HS84}dYF>((M+DctkrcQ_TRxwKnH#SWY%iC@w#@Jjc1|cLGX*;CkJ(RJ> zipr-f%^a`DD}C`O^2ldk6}~zEHohkS)`O0WR||wU6@*+^GqkN@abO%Vk)O%0?6?=3 zP{s-af00v2v2(5zoD4yIxMPGjSo;GasUF!-F<2uX;n&jfZK)8&&XS8(?2UYRMLM)8 zb)wiekRpm5xRe5Ri#7KPXFPt{u+Lg;Xc|?GOc!6-&i?vI>DZj^G?1nZWJ!+M94LAw0b6MIVo!8UHrVlFEFTTX^Pbe+IL0B*CvI<^LFc}Y@3NYmO@1Zb_p(7xG-3dUfg%LM9X)uyF$ku@2LO0 z({%MMqt#CV5Qf6zpGAP`i#zS?zg+DXbY7s>vBWnTt()N6Y*x-#{!L;nhEYX|lcvjg z{MR4_2IrTNYq?Q!>MZ+=2`lh1RpZ|gX3o~L)YU)BKqU(8Ug6#|QQ6SKE}Gd}mDr)=x&`Uq?A zoe`)r^N=1ue*bagwkB*fC4~-Q{gaj{ORF|dJpKd3vV=Q{B8;0dIeYd>Rk{<>b04Lt zq`9;^lv65q!S9{aA4M}173S!b37kbMRdu0pB9c^dGXH+F+tVOwfuYBrhM_Ys$Ho*C?!PfW?Vn*zp(<}BePOH2 zcr60YTQ)we!58RRJ%O>Tz#k7?D3x?clHe7k#qhuSQg58<1NP6SEefV zc>=k}RwN%sBI8+dmxkz8GW6V6H zx)jD0Qj0L|My$JHTzW0W36COkC=@{WtfwDI2WMl+5z5)Xjovl7|9jE)i=3UTKakK< zx%$Rm?nYYVcx|+Mli=Jo#jwtj$Y?LDktRla;ZuEQdO;3_p$LM-YmbvoWEaSua*x~x z7kJyP@}_S01{Sg!IOjD66WJ69vMdpjF0GY8pKlr~nS%9@4yhl$4weXAi$p7Jj65J? zRwz4%RtkSQvWX3Ue0^g=CDljXr4`N}A2TVBz$FT(i4Hq816A*=!;y|CDGFsTepB_^ za8jIw65mKVJd~Xw{0ikfs7q{HMM^}iA7!r~N{D}f-GsP{*i+!jwKO1d3J{XpH@d89 zJ$>UILo>r59@iMavN}*0x`mT!rCa(*m!c-bh6A!l3&(l!%UY)?7Ja6>ew5FRGn$|L z(4z;Psrv=Jb@8h*erwsW@pQeRv2wZ&JHt5zZ*c5A)LB!h_E(i7oN?B<_%KU7qi%s_ zUg#?QEq6SH5oA;|J@2wAusq5wNA!lth0}~&qWZ%S#Any8=MYl9Jzh393dC1`SBYiD zl2=yQW+ir%%3guL( z$DSb+ogF`MDy5yA@^AR8_Vu)f>v= zyg<>ubk=mnRR-KXY>!!WX@Z@9P68b z6wzu!8eL=heUE?*y{^*-YdAMn)ZFiCeNqQ{l)CxG`;$yOK(BW zSwR+v-3GDvnj;5kkLHh4HcR|4=l+lAt59}{uA_W_ETu{%S>;r76)>o#dEIJ0qZ&g$ ztolh{)0|R@Q`s~sbDP~rTJlsZKgp%cyvRI=%)#&VmiwvTjfjyKQ^h4~sT|xHKZm~M zut7k|J4@Nz0q__9QGkmSsT_}7)P~m2%cfgC52t zg{;T9U^(k4H)fwQFfv5{lBbSb$dnGtBnBq9%bmetX43Jj7!Prpk5>D0%VtFV<|-v5 zpT7;)XXc@|GQoU)z3V-HhrcjlGFk9xwcA|VXI}KP)?S}ajbY{Fbp7QOca0JMn1aRj z1M&VbY8~%(Kjl`Dr>Y3)DvCR8d}Jkdu-zm^tidf7S5SGm z@5b)$6D^93_lf?jIrzf*(YbWgwKP8XN8XGhX_M&%X1GiVPSsO_k?>jDzLQZ`)%=?p zxEyReaG9CLVYFU3Of)^?K9IXkXmC$)aFsKp8U-z zvkS|9Op}gjx65}fBUmj^d)B$2-jPv79LD9A0#)4Umq(T>f6H#1ssYt=0b#1;`0y@g z8qEAZ0lF}bzwykvEsCp+i>s&(F6V@gm5<{)(rHR)SVzX4wrXf>-1OShF-G4M1{ePq z;KIT!PB$2K6}DUKJ5SnQi(lcYD8FRb6Mh{{Z>o8n;TI>sC*e`VExSJ(EwmTKQ-!u^ zpy@Zs3C;gI(1d<%86`Q+B{>q33g?#os$apGUK{7;wQl1&x`pnh5b{0jK}IBu!i~ADpu1k>O@KTV+}y-ImnEI1{J2_T?#MUtTr$mDQ8fy>0Ga zjXWxr!5O6QJ%N+CjWp&*+n-);{9J5(dzE@Phh>oFOSAAbK_;PCnBFJSNZ8y`+n1{&Fl8Z z+8z3)-CWztus`qsteFR27&ii?u2t1Wz;OljYJs&6lhB1|2) zGO|-KEH}kjHdXiQ2t!v6w$-fB?endZl7V~aJZB>L%usN%+`p>Jc>+gC|JIN8Z*f$<=-c z!`>vuZf|f#TO#n(zPy`R*s^!A)6>rG*Z-kjXAkI8p%*k*V(3O5dX($|Des+j|6ON4 za7VuZveI#5EX_+0uO}S1-Hi*Yl^`j47jqV3o$X9zWxKSIy9kqpD7f3Co1DqtyDrXJ zoTiH@QII9GAf*R!(%AP0BNgW=dHNU%ES+3Qlk};RVKby`{QjuSmRV^Cr&do=`=K94 z_d`_*pRHQ!e4?qB92MOOeN)G<6KV&9Q~5!e_$tFB{EPZNvE5JX1nUh#J+}WT6g+;} z2$wa7Y*#+r{m=d)gW{xk6YyT9w&U z^>6uB)xT@{h;uciiaTe^+jMgT>x7=C@V=olRdSfPJ4$2+lqXVJwx~a&XsJZlR1T>l6e)wucM59j*3E# zs!qY?a8047U=NYob)+G9XUpWDJ-->GvE8LBrufitk61;K-im*Ad8z12q=zb3D& zOKW{V;_2_S-2UozKKr+JKvXT?PATZL-7~VeW|E2uc2G7WBK!N|pI{$^d3Ei>1i*-W z=)+aXt26hb=(r*0Vho;HRGs1+`=dmJq~Uf*lm+%a_l(R!bDr;=o>DBU5*)*EKAKMp zJi5LC)F<;Y*~bVk*G(W#@|E^b_F!Gzl~hMXFU+H&!=1BD9Sf+V%&nvPbLDrXy`gN_ z2V=z6V8b{l02UX@k5v2Qj=iFAXzAiYCZy}6gHBK%gQX6zP7BMbg&{9-d9^BZN~ zuq+s{m1^U+q}J2v%2o|`Ioe2$|PzfvclhD37L4_U(-8=4Pa8tBO;30 zA_y63+nkkBTB!X?$aiwsOOrr5$86KG45p~bu8k6sB??jZI}H;B#KR1RcDQ<^DXLx^HLe9oEL_b(4#a4Y9=9_WZ3Maf=>Dc~eO z^~gClIbp4rBsktGYq#0UWW%HszA7N3c+I^=+b}8FyQ}8z8t(EgHnF7MbJj`uRq9 z;y&ECpIT?K1R;>!M;qVOo$2v&atFWu9sO*l_2KP`z##lNcXO6~c($taBNv>v6@%G0 z{W`UBQF4puc5$#uAE_&bLW6Q?hezO;0K5LZ`k;0K;)A zhl;q{0mEb|?I$OL2)v=E$AqmbSvHVA9vPDvp0UqP*(*o|&ZV+Of8{Tk^&qSWhf9Yx z@&6wUQz1M-tfzy2Z2%gRCM*lt2Q?Yj-sLZOKSNo&Y`=vU4|u`gB)$hlLfzpHEUT^6=JyTqlu$64|!l?N*# zvZO#mu9U2UbXHH`2Fi!65oDJ?+giV&JtOs&h|FTi+)6TEsWX>M2wS(AjPyoi0QDI; z=lPpm)Bq>1;VMt~sZBnPEE@gg~1F8~D}tAm^;J7yvgHhI}osq#VZo!Fg;knk7Sr%(r|Oc~j?lJN@{`51LOH zRPORTW9Q}VSyStnv8Lzz@vineR#Y!kenK_(+A37fj7%xms4jb57VMFW!Eb637Jt)60Z7542{pmYv8WbH$k)rYPoIDs;Z1 zkL(tmp0He~z}2o|DbPBu=i38=;(sC48QQ%jPxLQV{FkYwZ@#TnsmO=v(1;R=*wN$Js8< zuyqfa1Yq6g1Hj_BIX$JcrX`8&TzmY718Zn*X-yj?;XUfNh~aSU ziESNO=YD-o`?&P1^0J&I#8^IyhY076@l3VGQg2yRs@$RbpQA?(k_YWlUm`bN>x$DOUQA zI=bmPd>3JT~qoai^ZID(JMu zGfExR1>i@|nMTSuU)*bPQK*~7S=@JF+e`t+v|&YY>Th}BRM=L-Xgdo9KAKh<@GFS= zfa4>=JC+!=U;$f6bT02 zCdJpk$X40SD`tvFZ?aC-$$ChI+Yx5AD>S^lLQ+~kU$1Eth8}B_ z7i8)d66zKH#=;T&ljm{2Y!#PRQF;V^9V6ZRRAJBPb!s>@@(7z=dKNY@g{OReux(MW zAICuEiC`s6dF1(BwVms-B$V-8_qhGPO-|7aS|7?jQ$J%jheZ5`O%=kPO-@S|&m;d~ z))CV;*!@s9I`_I~R9A(q@S56w$!FY0`b!x#QnJD-IBAXxIdT>JiRx=qf4nlUp3YN( zu}8AeA1{-|(afUFWP@48!y^7O+7ij@8*J-DTmnY3y?$X^W5VNU^c z;us@S{aNt=O$X8q8VM#{Pe5OIgTrK+=$we#%kYE9N^TEtFOes4`Oam(blZCG1{pj( zfxD$@){mq<8LC<$XPkBtkhtN8U!&ac%)J1q_1Jjj7qOX=Q}&zS_V|BB3&B3=I@J@4 zKanx@h|Ba8f;Bp-6!feDdHf3+ije$@cK%tMq^fZBuu9N1=_)w7HmK6tn3WdWyvfXGwJaTrNu? zryY(->#Ua0dC)&dKD#q7VUV)wfjA<4(yhFgfhcd;7m||V86krq!u;B`G6~q^@6$7uJs*{5K&nl$G_j*H9gnk zzwa=ik@M|U(6A>8;e7jCr(jeAIiVvRcr6m*4L+BtQbN*U1@eG`tY_rg_tmHDs^Q3G zGfL!@{gTGYT20;zaaGFprw(jL6*#B!i|p)=yPuv?;FmOO8WQNA_0IOAd zoKHr}3c)nWrR00^dFK{~>;*1o)vlyJKnV&SHS+iiMLI*-vm`nr7JUxUG^q*u+k}9^ zq~|1^^$2Mk71ppyEDXeB${tH`iL2Iep1=+f@=*3j6Ti%j|3Sx>n)ol=_&F**=kzJ= zJDQrp@FLwa41k-i5MRT6tAey}GL3^<`#*IHRKYS?M@CvHFt=6#r;OZcQo70Ux+Jes zdN@T22=oqa`~@1~wqo6+=5D;`E$=s$au!k#n?ZyHpuE zqn;dw8AcS_krnC1op!NvLiR$Nx3z3^`aP`{aW)9;-e8ZsfiZFYU~3NR0@NRPlCENI ztnd6-+!P+=nW^aX+Uu+tiSW00fmCnMx?3`>9(rlkYNj#9zS`Eql4y5n?(~}iW9Y7o zLe7Syl!E1=qo)jAXJRB`LetX*G( z*W}1bK&OQI){-)KzP3){Rz!OK5w-uJoT@^^y|qo>Npl}#6OdkAFOeQMvbT=h!vj;! zzd0*IhWnl*{5s*tWh6$&3o&7Du#DXJ>^(C5$ha6$HS0l~+75`CGk^_bQ&V!zlAM;# z*|K&{5ejk^nVeW4yYcGXs?Pn0Zd{#WxyX64%9*o~MCbaab!+^8iqVB9yRg&JJpUGn zz}x#s$><_7$AnsZur+Ld;@gQ?U_D;O6lPCHsKG8$A5WGRyW?0Rn2t6+o_^tYu>PnQ+zkFXl)yn@r?lil4j%s%duwX^JT}smEBNhUGD_!kz$WNJdFyA$ z&^sYZ&+8&5k`2Fr=jFR8^Y91j71Ap$(dk2*hsII6z~^OLyKv)hZ5JYAP!nShAbqt+ z|AD8BWZfb!*9zt2fya4qy7XesjgrCEVV{Jb(BYRPJbKAN*ZwK%To<+zCf}4u_ z)+k1&?#Z(~frXF$R9H5vIh;>JXU=#fTc`{4Ih#yAdo2X}Y^ zO~lKyuc}XXmaR)G+n4Ig`bf!sNZiK;mL}jX0r!h%$Kl>bn+)y~XhKrl?_O?j zPapv9_VKuTfX3jyC>ieepKx(sDY*a55FpCqhpx0zg(Q zK6Pnt(z!LDq!WKiq)0nMeDC=zJq7SGXb%>N3eJgqhr2`J4P9e}Th%GNk1;N6ofcR4 zVpF&ZXs%vpk4%QpH|J1guuL4Q$iI+RWnap!NY9My-$jb82W9)rydoK=#;GAX)nP&^ z@=<8_Byz2@#qT;%a`&LpMAnv2ZjL|72ww6X=2Ml0<1(| z&Z8S7|H8)egzbpqnhrhpYn>V%%DHnFxg%Wt;_UQ;KtWTi%Txzsym+ zw(@nEYl>g!)yCOQ3x>4`cODT=;+K*lM%?3P-6X}HA*g|M4||}FPcxe*)I5IP??jav zODKEd43bOS&mtx>J^sFPK_16Oih!z@y?1xg+Wz=XXNd~724OgE$n=KhyE43w*v6YvlaQ^ zSQ+4)#=n5sR$*q_l2ZMimZLbI>z*=^VXNuo9Q(J@_!Yn9ZX}NH7U;Xtk8>9}LYZjm zC6t|>kRd(NR*e$*G^6yY1H))Y~E$jkBOk#tTm%Q{^)5Y>jhAKzZjLf4YtrKSlPg3*GqbfGM&+6tOTnJqM-V zIw=*{rgnOMq^V>PDMB_+mX?Gvs>S%mx#HWLQ$LjcoFXig8cvf^K{=w~j3mfpw4{J! zVJFTIyb$-pnGGFrN88J}4QUNi6A^xvPTn<19$`z9uyr_Zyb`wL+h=xe&-%gnAZJmj zAZvHz1mfr$-eEC_VOnT#c2C?1Nb4<;dIDO5H zsQ!>A)tqK8oUo68l_%0*9$-*jgJ>f>}EwLk@Y-jw^)@+F#iTRK%u_}61jE^U9f*6!g&KHjgxA3jxpvBaG z<4RtUo*HRPee`G@EqN+ZS4&VcPiQ=@j&%P$r805%1U^=c-s6lICgQNi>1N`;H1R2H zKui9;G#T*_A#?4F^C@NY)cObJoKkuRF%ek+H}*HupAJ>e{70@p+l(>HC+DN4EP^Jo z?ePy$P0W6Xjm~Jgbmtkwi)A9xm`bOMDJzGRRN1w3XHhU*q)n-_1f9hf{4&#Kv5JB zmAr3tpP2-&_rCZ0=l$^>AI+TJtE;Q4tE;Q4&!8Eh5if3Qgxts7Ta{ZimU&|c9=NlAS zcf0Ous?c~{=+oT#Lg$NM%O0M-Q5!(3&6(k-cuUh12ZvDiq5RMl-sb6yJ0{?_f4`tDG z^JmrwPj&e#E<=u^GFr>rYZdhub{e~di?QlvQ_XOyNul%V)cva0AE&bOBwyI%JJmGz zA!G}m1LyZkjy^P}PB1yLOpcdQIc_9}KiL!e1@dE`Gi>%oFr$8&rn~XQB;Ac#ePL@w zk%N;Ybp{1PapX~2$YM0rYLoY6leZw%LZ-lQg;f2d$#JjbNOk8HQzd`{$P z+J`3_k>$X*!Xor{;!{RgU1DnLZ)y@2Ay`h;^cXcI%9)p{hsk)9$tYw=#@R@RX4Sk| zGPe9)$M)&T;8xtK>B)|^p!;#l>u4w>AvXmm*;evgdT5@p$vm^k1Itn+8d}ZV5+9?u zQ7_!BvG~wL-ESY-$=qx*KSVFFUCi!e|-ma9f+lts!SSEOYT?;ds)*`&I>W5{{`Zx1x; z&u>s##tcjG)LPW=GymW(Hbo()Y71ZLq;CnvsxgI%T;K{f%y%_3c^kY*X~?n8`q0?r zU2+hU8sqfI<%(jF7x2=LtXz@i=!}I0t#c=S9(M2GaWg&U7%PD$CmZEg$Q_Y^3f!LVkS^=iNHivBR!Y3vTXK~7(PWN+V*$FH+_8F3H5T=j zp%1N9>KCdEcBI~b9bMI|p_o;t($UzV9;RoW_R1?pyILq#-QWs^HFwt?2U=3vqaEch zS5^sX8ik#&-MPA1FIN4d5cx|I;+~p_AA&sMH*-W~&%}i-Zk_z|5+}CKB+6Klbt9pY zv_0Zkqj&J@APUpmaAlRzm>i8e_;k8FjnyGgSW#uX*~g|yhz--TId*g#&=p6KbvE%K z420^`l!?g`l#M{H zAv#OHv~TS;PmjjflQlC=1>!O~}5rBb94sQPVtnh#Q<&DNyLP&MjCMDR({H&vzmP z^i$?d@78)6*wLkQqcVYi|6<(xmw5l7x16oJxkmf<->hCLhyA$O7g4o)s^7`qZql9X zIE(4DSuG_8jh{{qxuMSiS$+TzK}M!V27XC|6vSw^g4G6DCd(ubhP^J9b6-(%I+wB;oQs1s!Iuos@%Z(5$T z6vDtfx}RRz3&*GRn|3qm>+y|rBnyQ^HX;($-}uHla;=GMOk`ss({&_TNXeN_B*Zx0 z(veS@NQ+2|$R;|HZKC9CLL~Mp?S&T{Hf``> zP`Vz7uKVHMX=ycJn@uVdPME(|}MMW}!y{A&4eUaHoFW0+uN zbr!kBtlMWmgw{#O%wyx$fjHsnc66*v7MADWcq1~J#RH>r;#J$Pq>=peGlWdD_vNQ7 zQDEE5-mY^6<|>&rD$|s=qb(^|;*DtqX+BK>5sueKG-*aYV#6xo<$jp!GII@No&ZA= z_rR8TC&=Fw3t6w8Bk*ljb8pu0;SnivujU7$(3oW+wO6#$*|Df=zNDJUm94}F(a*XT z1L+iM(I=#vRgvHL+W>em07~UO{J0kw6M>|}%j_XZUiM?U>%kkxh>2qou2P z@dBQ=pobomV2Et06PRGh?d;b@B|}Xm-AD~PpO-qjjdt(**-|#U716*_U^1og18OJB z_Qpr;ikoDGs#8n&#R_T9@p8Hvgq#O>Zy?qEkSQ z>**EUTObUN-M%cn|9(uwS}J{<@^*9!`^CyohPX=+86feFJ|l=Scl`Ax!;VfvBum`P zA72kw>RsJZs+uEX;pLC*ai~VLSRdf!kC5mF8qt$Y^iraw@GIjDg~(R2*pHiS;_O%> zledo_Uzv>m9w5k{=f_`{jNhQ+gPR^s9uF@U1iA|}so_Mw)Jq7)y+_CG7qWBTKIPOZ0aVZTcoV)@N=M;wu!-bFZ^^;y!xD z-W3^k>|rWON)`6>IgB%hjJuIe0(NXVp}i~6RlN?CASnHIx?WEgQeIEkea=kbFGbE= zVJhnN>x8C?TpeedR{EpG=U>uJSXMEUE@Myfk- z>v`;xr8`qrbGQSYk!4V|WYv`Aj0ZWWxiq6u;sDfGFR!{*-($6%TkK@^KECjl)Mlz1 z*r+9Cx5Qawm?8eEdfp&@)vm@_t0rs#jxN@zQP;CWJ(Z0La;z`ix!D(j2Bgr6PDTn9 zF;1k=`|iBPZXl>TjzTdulnpJK|AP8<(&b)c7OQ&0{nGOYUQ4enMZ9@ym&K zK82gilayNx+-_FL3yJV?_5~XHz6SctC&-enD>d_7gbmI+7z$&g1~)khZUVckDSjLH zK$%wQu2e_7r*EI&SW76z?R-H;&5L@MiCH&tOW1o$GbHu-^)e{Y+!i_DJu%K~9^Wtu zl|QG`ev~LQ40g<>7lwAT?C2==UL{WV-#g%$d@p(nak2pXm4h|>llAn+4U8IyDkbp= z8L$m*XGo(DMMZ>ea8uwSUE7W%HGijvg(DfRvz*K<^{mKAat+Ze1e#G7ixWHalc0iD zPG>49`%xiIe+~@4#Ew2JQ^(|?W|=N(=>r1x4u36HFA_utWY*>2$$GOb%7~(wqTSX- zu(hybt+i$*{|U`9_NkfROw?8}sZ;0`r?UPRmRQVOgQheb2UiBq!cP!vd>_%tnY&0p z35<~`>&}#btzE_Z1t|j`clhhNFn8pP%$q^H1k%f1i%x(_VNU%kv76{iY49E8m&e&p4}pO%z2yWgSkE zmN7W$AJ@=jFZk`%!l!X!BOZFczLy5(kt#CHkNg;UC{aTNZg$`ZmOVEndnT@b_!zgN zZvm>Pym#9%ygD&Hp22>87B}{2p&bLRl?}-u%Rnh(5T`yE?Q=Q7yB#}7`4s<*UC939 zPz>HJKI41>@R`tFmAICU7^2W~#<`X`!_GN-8T&VxJ?h`wjTQ_aw}*Z&dDw6~(Vz)0 zziozeH#qxBfl^52s0W27k>qg|r=5*38yFBrz9_wIL zXVKi733Xt!?yo;t@C3$~#H~Pm2u%U0_stVO$Bl5q}L8r5w#y+k34CPSVYu3+@u# z`J-2*dj3#2l(#f;v&@UC<>p1zqz26S6-Vj6i(#FntYE+PGQZDKZJ`Yopt#k$jTykW z^&G80+35e+Gjr2jRM+Aq3+_943f0N`LK5mt6ydz5ut)LApJ5Sp}a?tSv0~)7c;C9x7W`=$`(tbNcHqA`1&v6F<3GXcnr7 zyPVcjwQuUfv*@+%f4Asypn&U z%f695yBF`Dd~8v{ibxq<97bYo3+^u%d#lL#^t}A%kg~ef5;xf}WTdybC-@kPihaZ!cgy zg?d=l%+Uh{mHC%1>lV-t#W`uJ(>%S0 znzman@m7%}$#u1mV=Y?SO*U)GDeG9T6Hwbfkck_-k8j6%n!j<&-fCusC_S^(&@wO@ zx2`2g{=p%JbP-0;{w2f?+zDNAJdA4om3oS?*~L7VW_5p%Q=c{QkwIX^+Bl)G$+D`FzN095E#6wPGkU zN{x`jvfvQnmx&uf9#ER0MiUt?7ISLp|Fa)3ef;qfIXc7ChdXI12zkR$0t7#S0qQFy zEaU*Tk*GUi#y+?bwK@gsW_8P*8rIDr4eJYT_9t7q1$qy?*Pi~IP>?)rvZOhUt5V76 ztPDBD6```?dr_XrU2E>qi0jBOPic=`qhI)Q^kdnf#+iS-&yYMSsVsUokyrJ4p8{U? z9x(1KFXWXqr4vQ7aXvjv`u%8pqY+Qi?bt}VB`QTZu>VoL`=Ggu_7$y)Qwe8f*x8<< z-@ozqa_d9Tn4F+Z(2BZu=|{>{?py*Dcg|>D{$a{bZW~aTe?8Dib6ersp?EP|n$FMo z^&oO`TKQ~*@>K?k@-}Mt4TwL!V}zG|*uD?B#WNCdnRUHQvKfoZMvRWE#L)ep8)VT zxLU(l-^Eyi&>yg@6bjjUIf~x=fZR#iYfpboI&=0jG7Q$2=P>hJEgv@)|MfMsZrgx%bw}Pywi#S}XWytPpX(Mn_f&C-ibkg;061TeeG=JA+-QcxyQLM> z)ZsxC(i>r{PVv3^$>!zXH9~h_S|`aUV%e>yg+Fx6EbcUC|D6>V9B^S*^aD zCchhN=OyjCuwO@yz@@&ZAdR%(6@=r~*@qc4lG5*x`+ncII`qC@N3sL(XfCZxzQVQc zCg=_NBd@yPvpY)|uQI~&{z5;@jok|}@Y;Gt$XVwNHanED8|1Vhl(r&e&td5ZR@Lm@ zJ&azRYb`jGfut!6p-@9u74?}Q;1x3hwZ@gzE_Xo4n_I71^wer`lfza};IiY6j zV4*?s=<)((6DK&7uv7?WEF@M9+J{I7@Gb&T1iFc+1^jLW7?^FIwf74!|elUJ?2n@BX?Q8T91wA9DhOn3+rTpg$^=(M{ z;`@K04g7d{@u(#JAJzZn2wnfERQ(tIuln=;kL$nK z)W83^B>X?t}e==&h+Kp8P#$Lg6V;uPri9LF6?}w1`mU$2IW0d`|j1`)jNfgNH47D!wZGc z4t&bav#aE*++Swj{iDvsO~B)It~>RPQ0L-3mQ=1VF)8mgo7J_K$bJ_8gE~4mA_pGg ze66(^>e%7J)`M}n$TnfgRn%W&ory+>_aRKuNhIlbd;-;20SX6{xCH^~n)bS!EAbDf=!x_?5-9(yNxzQt!~_;WpKiV2K1tW=sPiT5 zVo7V@?<4=ieC%4uc!e=E~Hds%c;1378HfZ0qzCI)@)2VW2j{TsjwZP9!%&oibF<%#cgKeyExo_M;uE8|~E2Nv`*_a8T+xINE6{^ENeqoHdoz z)_-j2oKK9yzzUujabmMXw4dcveJsDY+0#F9cF)stMB5sCkbS@7wPUlkSd`Yzg7+my zWp$gBJx%)C+%WF<1Cp|{O|JI|2M9S;^K?FKbK|UIW$o1m>2M7Pu1{yk&d+(ef(%9O zsl~CqMRu{`O~9C3M=n2{wX_j=-L~=|O%8SlMEuIF@FKUZR{LfW3(@ z{D7zsw>lFm|HOlkw^1N)GZ*Y4$?*cT8n;@Kfk{h5OhD#%L2_|c@5DkTEcplx(jlv# zE*u+XLR(E}lnLD-$^;yT6Ivv7+W(sRO@P^15e&37&Wmy?Ca6bndG*I>*P&G zr;=r+@jeI1HN{J1ipu*0>Wd46Ur|xXkr{zWM^pr#Cze#}Nq$3i@ow-SkZ7(H@+J*Z z!*m0|nXPyXH?{|8(O*&t6;3}|NHeyZ?CJp%^{9?osVXH%JNW(-)O*3n_@VKQM`P=x zz+h1idR0PBqC@HUlIU@l94}Ko+7??Su8iL>Esdd`DOsp!2BpMgeEJdabhZ;hpXil3 zNrTnXxSris39LHp;~J`Z55v0?lq=O-$I`!l#C zT3zbBEItbh;{&pUUii(CW|oaNfwrWSrsi8tcB=#oStoB|dMxAAK)9I=GCJHR0LHHOo^6t8)A?Lr0b=07PpoVkL@66a~bE!)yqp{Ym!=eVUU07=IT zF7=d<^*mg;OuRh??AWQq5!!7+xh5oT9!V2_6;SB?ZH>x4exdGjBulU)RSDLl8S>c3 zV4|9R(&XX2C1C8yXgng4&0T8ZcO<01iWdeA4Y8QIN*IH|*Y}(J9R4>=uHj zmnM;=)cKCBoLeQ?u`|M1{7EHUzgJhtr3*QCi)#BW8IxKHh0|7-6x2>!XVyPFJYn>p zYe5q?eOGhvrz=uh2Z?ppfGm+6mWff6ml%|Bea)RY;GMCC*?V z{ILmn*65{7T~|wOCx>0_y)#s>Vq(XVw5^zwO*mTc<>O6{v!Qm0VZ7J-@_Uz5$#r&= zr$Pg_v>E8N?1hiH(4!h1NOr*F64DqXi8Y9c-0y^7mY{qjen)VU@jIV)NV3ZP!D zU#r&!`V-+d8mEII`307uiwc+raZ{A;Br{e^M(6U@%O;sx)Qu)3(zNoube(XMpKy_% zU~!+OZKGuq{OGd|iH6w-k;5+{8C*|l8%X1kd(AI+5jft3yT7Cs#DsZG=KlKr(1|g< z+AaCuee=(EOd49gzj4TZWksk!uekPo8#zMI8$GE;bwf~=6De@3wT#)CgoInkoQ^U_ zOOQEEoU7}04U^2d>NOd(duZ`2lHbUBS#*RNxFm2neaYDV}e z;d-ppE6xc7VYm#_v*`FDZpw7ss@kTieum!Ysvpo*uPQ0{b|PNPWgk%RtsO%v&g_w@ z{M#!k=l~V^OxHT?AqYs;wMgT-@>c38x%D+mhu9ytA<+~J?c0c7i4HZYF(pfS>vh7u zixWaIb*T^_ohm^^l3y3Pw`AckVoc%Qb6TfGt|)ZRYZrDe<6z+>S!iPK;44D+P5OCu zse3#wt4-(n=*}Hby(^M<<2H}!+(tgaY4LEu=J6ku6#Q<-#7xzn-`sERQc|!$K$uv` z3OG)1Q=muHjtP2z9u=7LpUt!elM#;ovG z4shz4+IL?ngy9?zQxqwQ*+S^a>5W#ilk4%UYtOJcXYc`>_gwpNkJ0_Gv z$g-oanNSx(P3_o16Y5doozpW^@L6Pds4F*fCmsx~|3NMXarVYDuV-+c&ox9^A8r2# zEwo$@x;SJa1dNz&a?14MX#2)B=X>dEM%xoU=a&1A%SVUo$tyy6>%s-UMH+`sqYu`u z`#IYDLR(D;hvqh-&_uK^wnp_U*t1Yg{R;LkBw|8(1uN(MqN+t2-=3_Jp=KZ2(ciS5 zd}Fw=W}=|jjuxhan%;9Iryb3L3TBvvlZpe0T^u6LfY&A$yYd#u^T|Mx+Y;6s9Y*_E zmhju`Z}_oevkox4uk**JtNMBiE3^-0@~op3SIc58tS{@t{lN3|ucAsM7y@&}u*wJ& zVefJA+YIBr;&66ptgs-7LkK%oBAxPrqa?azDmprbvP54b(RhXNb4LeDbY;~|rh&ug zaI!y%Gf0yDrJ%bFeokuJ9u!Zs(pEU|fg;i&`{z*J10Zu&1Bw$<+OPbq8(kk%R)7Zm+mAFoq5E}UPF!V$B zo}{{xY z#he^WhJjvDMxB;K<=yw>B#4yK{QWe~K0^B=)8#wdEKJ>$2Qwr~+FI3&cqERF?3+d6 zIDo|M!{X;o%0XWK!=karWlm;BsxSD<&8rrJwK& z20nlN+dW7FDyYK@NaHz}0i=r&B$HFDouGLZ#sR3^?bzcCI{h$v)x-zP?q>qUUlk~1y5FZ!%3MAxyb*l9yVxYzAUyQ8T+@g!WuUMAakhW;)08 zT` z>QUlj!V6lalIv2HM5zSj^4EaNBAk)9#eB3)TyH+I5?7gzE{PH5BPVfz`RI`d^YNcx zA0}X%!Am?0DW_!iPx^v9*%^t6SK>3BZNV^s&#g+4kUIZtLq8)ZN`j>82O8Cy!V+zQOqwWmwobSm=n)kJXHU^D{_&gAa6igH+B$uoPUf zUvxLBa!pHdT^)R$RMA**11iyH9bqSnJByDG9B!`6Ise0#2ai;Yc{c9CU0>p^yy(B;-?Dtfr@E6b8 zyHFs=zNMq4v@WxB*Tj7X*=npdgz>*EXQ-K1;cQAc**MnuxOGvYYk(;O{dL~+hHrL)|e;ChcV>=t&zr z8K~XTd)@peR97+Raf5UCP}h8~8y0i(KWJKZ&@VDpu5}9zvxMqLQ?AJEd?T5YeuG~x zHF8X*SY$Uby^18_)&TMdO5#?5{{?bB#U>vO=nD^kNdDEfO34@Mx@0|a^l@3l@KEY$ zN@-y6-|hTv8=b3MHOQ&=L7sf(#;j*?>(d=wwF%kVB7xO@;L9h>mrHncR9gYXt%1u7 z04kfcoQ_Qs$9$~yXGj*`8t8e{4=}6O%f%Y#X##2^HffIaIG>5@;ksIma@t=>dunbU z_=1ZPr1J22pb_N5g$5=fluIR^{d*enHpkBoKaMf5HXfu$ISGUYL(}9@L z{;EsLq`7_DyW(e)R9{YEg!|3&3EdjacEFBaOixwszL0<$972p^U2B~fP+jkVGov4} zE+r(F_jY*04ssoBJvmXM#n#hj8ML1WJMABlcErHiw4+9duMK&N#DrzvQsR}*cpDxd zGsf;(JVSlP9StPavqD&<=#%k$h>Ap=smQy+DjvISehy+x*|w6jEg^>@t8@`N_A%AS zoZL23NMr@J@G{7WYiLm86)LlUNUU}$NC>EN&-K^aJIEqnKX#L$k?#`M5~EHe-Iz8U z-rMl#=rJ;=UNEc;Da5?tno;yATLd&_+3=vu9jmr6cIa=wkI9+;dsFN``VcR~{=IN- zFL+NdBe3*18OQyY#1Qc+5o)LT&hE?i7QPdsHRO`M@c^~7OV)BEC5ik(BAX^78=1&| zQ(9Ea_BB-O4&_iQ_$5(tFz{(f*X?TGXx(7jvuNaY(_lotZ`9w`{yj!gTsMaHU(pmP z?e9oufj~N1db9vN;d1)?4)jxFy$NdyXp&4UA_9hyG~IXx=u)N|9RwO@V`8}=3a3a^ zN3G}av-B9L@l`BV5)-LFy%`pqCl2yG+TnnQ1EYg-1Xd*L#9z-xlh&a6A*g;Bp@+DN ze8E9M&aDFRf<+Q=X3pnFebq}3LOiyckc@vMdr5PC#AGb4j=NY+g(OMqP2WC-6dp_H zf$gf=bH1-leOvZ-YFjFJv;;pP$cilOLZ>ycdDwpQUh?&t3&b7~MZwf6sb?&6mdz9q zekQ~LDOKCXpC3y@(s#|@JG2EbZAT^){SPYhnm zA$QJJg_3*7MGUR5{aOHk_M&r};wJp}$8lR_PydPSXhoHj=bb0#3v5nnqS*qY49mVh zng$lzx-Pj_6uSy6(aem7^{)dWQ$MGPrvB0f^)LP(^=Fr8j+W2b3t7tY^6gq}tj6mF z&7`Hj>(8iEd(R}>6a9R$Kin+)ul>pXSkz@aypd{eLbAPk{%d=?$0hqGU#>*eakf}e;6z&symPB<^&n9Noabj-~hEet9z7whQ2!*^@ zODcx!iP$LFU!B2HZX&&4dIcwz)fOs6a~j(4)YExdN&cxc6|0sLwP9qYch+EjOXNh& z3s#CCc%uL#WY>=FsBa34^lD3B2osTq&!{JdxsMicsnOqZ+7JoK@=?JA=RKoP zJw+qodv)!#NhDN=N>b4IPmKh9?80T)S`J*KFc3>4kzQ&djFKJQD4+rnx@9lei{-@_ z+3g>tdvpc&#?>9yAZKc1bYvV-PLg)0k62KRrum&anL72F=3YXrDNXZ>k|;W6pcOUq z9Ex#JAlQ}5L9xS`T}7@HMtl%$eoP-=Yji)0_r*GTl4*6=+1W8OxB@ellmx zxPgE0U{fLN`}ld5o7?%#>IjR%wRaeIp3w8-m&=u-BPpLwq$KfdPaj-nndB)Xo6fbT zbC^KNoJojrZ;D^K`8B*lA!IU+WmyH8cntP4lTl$gtJLGC3&|qDXZ_YxpB;Zdf>3%5 zzfgKLQ(9O(N?2jyi1@96wb=Q_cNH(R(G6_Z`(sp_`ulRNq^%w6%cH0PqypLhn{+!i zRcOiiTEy17{cKx-#nBn@^UWSHv4}tZx>4zuiyn~8W7*2srkbdwxjE0g8HuAyPX?TO zjnc!bbeS5CbaJ_#f-#hqj0N2Y6>zkdd?}GoVFG^JH%bLHc34XrVyF?~D{^)q# zqmvcSyD+U!^J&rcf*|&(8f9N3-e%M8%Y}cNufWf@@Bb#>R6pNY{Qj@{-tqI@U7t@a z?ML6?2#8*1ASkI9>cgS}RI}^DFH6|15C0(H+v~&Ys5b236CA?@Bv9=fLe}yK@oEqG zID9!WuGYU zspz=6Kw>+{G=m-BU*ac?i-3ClEa71y2Sv9FybqoN+wp==K_76jq{6rRrJ8CU<{G|w z^~#1++By`OTGM;^-u6^SR`C!;|N{p6+>wDedz$Y zUiM6?+I$}+@o(tw1$y#(!82I+^qya}qhr1xSYx%1!Yx!d4+Y3vkt*r^y<)nvDRPm| zBVi@)!dd{KM*jrskeFed;<6S<&I1xRU&;W(V4!ov^sT3c&l=1QRusBjM-1;Jh2r{oBU~)Wb7<_ePg4@1oV^>raZ}J!Zfw5#=`AH^S4(|TrNr~jX>!YCI zgC7II31x;2eqW2X=3s}@8O&{?^cakk?~QyL3ON=wUi+^ir}I}R4%EC;nqaEUqQ%2g+sNxNmpvZp_+F|_y|zaEArI9yl#j6?}G)Xw|-yUGbBXN`3) z2@P4>N5mR_wX%=lld&u5BRFZLN3t%mJu#Sw8tVcnnUGfiSkmW1OdPoJSGm_qO#WNH z0-hV}4wPE7R4W%irPD8i_nk#~*3^z{d+GIQ_|8~z0-)(FPRMPc-hqDjCG9Lpga7+x zBlC4aZ?%R_y|1rGj-H{8Ny1r)depxyUIZ(N^X3PNDjv8j*c0K!v$TY|g? zLiI{kdbJUhej^@7nH>GTB;tvEw_GAF!k+{I#F-iV(5bp9{d!!73*j!N0&`Kpa;It*A9id2?85a#Zg!?gK{qDxPXBa{WJJ~u zL{9W)oa;$ZsG~Wn+On(Yx@@~Qt4_lN37b`m3y7&xMX19QmmBrKpuKRWvrmGU1ZBUA zOcYzk90ZvNr&kDKlJ#SEB$d+)yI}#d z)cLd2`B3y$IC2WRXWEqyf%I_ia+VksL3hKppt_3~ZQXUdjArj1<-A%wC?o8ikBgpn z?bu8iTpldIA(wzuRIp>pf>QC}o}@5o59XWst>K~HvGWIQIfw)5(NRKcScj6uj;&$j zv6~R6?8-5sBXX+*-@q(XiXSf@Nd_+vzF0U#k0WQXTizPK(HW)4T!n6F+rquvZI3=i z&&kzJa&6~ZG_up?(ux>RHe&1ZbKxQxOx&8ANpsXYmG3sfr4s3Nf7VT1ekyi1>ZvQz z^t-Mx?YvSnsx#4+;PBRL9!@XfsiUqxtL~Sv9EsN8NKIRhFx26+8m8#P&k}=j~?z+2D!iJZZJa#g9({;$F@Aqq`-hTNNeAZr#-{dEYJUUW`+SV5y!2>Tx+$ z)MTHS3<0E=;sU8uKU_p!=QJ&4jIYmo0eRJmGelYNQ=E>$=;fw9EUS2h>_lVFGTqsi z?pTgCKXxU2FS$E40oR&I3i%e1&yJlZ8C)yRl&zMy=nmKh8FV1loeY>azfs912Cha1 zh_IY%m{!bA*&0VzOA+q&=qT*oIFu6;6*qIujjW99?e3NgaqA_5@-MHNd)9nIV+;d~ zwFVQ4#Hai*=jrRUy+$wxP?iV}1)~SgmD7V4vDYb>DQuH-0s5U}q51p36bM`TQ&Y)& z|E2nzu{okxqBCd2q+B)}#C1gxlekGoSjC%Vk;~tXq8wZ@atKHiy=5>cEdvr`4)I7g zt%-bz%9>e*7P@Sm-5ov2?{>XCFj1FhYnZED|s1<6WzLbpyPAs;jdW z6PK6)N3N(EGDemL9*bQdhRp!n{YT&1iQ2>Lk$9tBnE3?%uTbWFOmXrw?_Z%^@8b$dc~)YhAf z0ZY_b$T51S%EBb{4VJj*k)_o_o7L14{CAEzv&cXQXHixz5g-h`{3ST@vj6x|YReo; zZMvF49MkK4u&yqT>eSBDSfJ=vATazA7^Ruzt3>@C)v^A&xOR-oWK@}7YsrM$te!ev zFNcxM%vLD`AJ9DG#m>aLGv(W{Hthrq4lkXVGQZS}o2dfdDUzmhW7}(TRAT<7S4lOF zJnl?k;CXvtW$kIEK&N1YE9k4zt(-x0;$=1_{&qWYJ||czhrXna&adO3Rvku+91hf| zUpm4J1?|tvdW20YRuPQ%G229XmYa>O!ca{iGLs>q4njni>(lSx9Cjb->|C?{$Bs+w zhuTV>-sEBaRBpwo);fwK$&LC_Yey<~Y3;})ex8K~<^Ob|5dDFA?MMYjg?p{vrgRH1 zX1;dh7c%+Ukt;|xa-Yqm@+Am+zTCG6&Xib%-Mbw4TK9j~6sGfz9-d$=0du8u!T>!3 zE!jEg%Pv%~$axKIknrr{ZSqNz z`=q$l|62dt?g>!jSZBQlcac}+)crk#_|$DVdKuo+StgF}2d7P`5MgjYF1x447)?WS zorIpQz$>~shcb!ovX?LnqR_?LX7>Iq4Nhw&NEMvc^k8jr7s!O6!pRT{Oci(|#mUjW ztw6Um;W|X}8#)MM1ua{k6VVLMB^F4mhxrW|&G3P48e^xWDk#tu>84i%7#S2bJcG28fsYPG$wGQC z)r$!TMI?VLz0mXF0*adXFpMPi?a4A)iPKpl@O^gYXGv+K(21u6k_|>6QA>?LGM^&S z1B3T)*@q&Nh>Rt|$ey#4)&HjD7EAmFCZd2!{y3~!rR6|M`3YHJ+mII9Q2seIy=QS( z01p*>VON^7Qmz-T7b{mxTT8rlZ7aV?3ooy29QJPatz0+27kIusB=V?>LF-!*KCM6I zt-ldkXF`~_{)Tz$ViUr=^*8i3_nJ@+AuDnRWiW01t!eohwGRS`cPEAVoyTb1luwYI6f{J5TTwBMo^Z$mMrp^N?lFt zLx?TF$)}{A)>)^{(WxO6CNmdFbUUKm{1wECbKR^M=dB#?(#C1!RqXksX7;6mj6mc@S9cHyuI3rTJs)upr6J&%vamEwf2B>c z-(v1aKf+}n&~k~_r-ig$+;u1Y7wZ6LtGb8DP17g%O`k~97@SKD#ojoJ_Blk=w_v>d zA8AVuLy?>R?Y9WW+#5QV;$m+~es_UjhHavtTb@6`m|)#%v2XcC5N3uU(%#j>@wdt^ z?i(ThCtK0SwE4HukoxXe^r39!YOJvY*#vf;NX3zkaOL4-hvBp`?6DQHRLFgc$dMuD5SynSK^`X7pxoL&ZAjldeC$QzTU)mf!we-@|xARa`v9V4r)&JyO%>3$K6doouJVsTJrCL(X&3kpROxb?-#t^uS&*0%Da;@10w5Q$k;g%J1% zBr;g3v~`M_UClPS{yd)X{`@X+>oF1i9rzEZ=Ka}l^DZ!!GV+9$dbf)#4C?i^dKu69 zLSzszDmkJr%l%wwA@MxU_V0GOs(*T82eIMGkr|DFA=AYRu0dL* zeenZCfudk?`F$Sq@cQ$U)Oz9k^xrKm{|Mn3+sm(z8s*F~_z(HQJ&>q6pGSE@)Qv=` z#|JSTV!KM5&R?z-E6nbH@)L5}|G*EvZul$z?CIi$S-gCq;3)ATD>LfhLGYJHJJsos zm*#ij0&EVtKS$B>Z{>w(0&@tc#%&qF%AVA2Rp{C$Cu@&>#!gaig=^Dih_K)dMl`r% z-Tpv1JM5KSVV#pXl+4M-wZ13W?psG5(r$b5CEKk<8oB;*-K{1>YisYXm}P2XsVr6N zI5y}0oRb|Uj~)92Ak;FQvfEki4QVTf`&@%RQKv4I&2HQ}nt=Si!8f?!;I95W zyg_?Eg_Hd~KiQtxcNR`PX!{ZsFC()!2p zmh|vAT4X39xT9)+qLk;PtP`$ckK~(+L3Zptnv7dn@B2!ov~NSGjPvk7rA}u);|@Y* z(BB+6^$Vyn(+(FkVAbKW)l4gnx-_(Q>{8;Gb4`z8zjW<6AlD0?E#H^%y+{lrYM)G= zTOE_E=gPmS=OI(iaoh=49ZfyIx8=J{vYrH+N6mNCM;(pcHF}S(r-s3=hlf3lz%xMV z`J!0E^SactmTwJDBFnFbb84YD<8rJ2v}kwfksYlNZim~gAiv3T@4w5p(a$&YKk{9~ z0Xg;MoBDD9sHE)Y#8=6Dsul1X#ZE%bp;P1edxuo~*Tk#Z`fwXy#&yz=R(1O}CPv7B zxz@N5GR(MNLZZ)+cLSWwg^S3C#|7r`5+*}HEfT`-Z5@Sf5q`s6FCz8yOBblz&iijb z*#8d5K-o4f+NysSX_Vy%%4YMu=vXS$u&XdPxI%Yb_R}>h~O7Y8&^D;cp`Wjf1Sq zr9hTH<9F>z|U3vRLm! zZr0)>zy(V^Mxwaev$ge~7>qif;n1de+?qeAxY zS8V&`AAJ2?|1)mQuaBIY{B*1z#<4_9zY*45hhsLc9DzDs{H%n`0LP2P z{+ybN=gY5CyeRP{zk&y>jFzBYLlyJWVMdvxB&QcV{!2JFMrNe#E%r*&I1GPeIn2A~ z^73ZI1s~e?O{E#~6-d6eCZAYa{LH6L=h#Nl^BUA6=|`JlJtVqGs#vs>$$gweH?NQG zr!g5k;xCn~rjodIKBoZE*J20r^e7+F@{jiPuZe`^(YNkZ^vykeWr>%&T9T!o%}hUI zX`sKT;QB?Aj~VC|b;^ia+ZGwA7crqx$SIy*``YLkMZK?IWXELv=LXI zmK2AsJHf|eFtn*2VNg)MAYu9uG!iabo;133`>ZT-N}p0sa{~iWYx-i*j+8!C?2S!J z6p~f;OKqf{dd$S`mu@*9Fox3C#L;A{mv_!{uj(WRGSi{I#o!9~wu{+9OE?M9QzW`+ zee?noeWOGRukmxsl9-2Ai`9+PY`77Kd$B!J7n^S~vZ)Y&LLdbck6Tkv14uo(#(BC% zVah%hLX~&U4@8b)LwX|lieuZ#GmG4j&uV7{2|DHueYV-q7mM7XbK+Vjp=**89gO*; z&5?9ZRcGqyDl@qmwa7ofdr{l*{XzgV96=vBy*}qze+T&{Q!Z{jxXQrh-Z`r>(;3?> zz*Qk0mXRW2!S7fXk%70?Lk9N!F!BJYd znT{wX-a+X^JLViQ`!#BZ#v*sK6r*D;O!6)adLaKsKNBRYw+ta7; z<0?l8gtQGv9-CztKX|0_$yLvVQQ2TQ-@JFuNrQ z5CUNM_Zs7Jc$y0nA-d#K+0tyrVYT=n3#jh048q*0<`g}COfWg#`A)LS${=@4DA$^D z(A7aZnZ2BK=SS5SD0o|8gOBk{Jx4027_$)mX!sAj0sPrX_+9IHatlFWhsJ4G)P$l> zur{M#)cfX8*?zT3`sY|9NtV?Hg9I%mL<|q)|Kfrj_Vg~)8!lTFa_?MZhRtiKId9l4S`7r^ zl=lUY9-&?T^(Laqt5fZ~R#H|zpSE6KFFU2;7Yn`1q`lqh=jL3m89AT!O3I2~ti2~` zmvQHOb$1^>{@;J zE8`{ZmlLnoj{{XN7Jh}?og~ysa7OfN65Xmk8uK)Xep#ZK>n3+3MASTqN=?v6K2Z-# zREo+)4;Gx>AQw{M2%H(n7GM=o@& zYp)W_l#eyE5;AsBnmpAh%oQ>f*OmZ(N0CM|dub$0mhUwEZQUbB$jF&j=F?JpTIUht zHq;3d=Yfz_#r8suJ$6N&A&lo84z}Fv-^OdB1{(lm$0iLA+ZUVrDDNJCf z@Z!kJEY#;7C0_SsZ*&cyeQP;+aI$_>fT%r7 z+{kJOV4w0Vk+C9}9^^kT--une>8SFHyRJ0j zEr5lbADqqg&?OeIsu(>4^!X=jYg-e|&&t;@68B-*pg!^=-XvnCM6B>5o+097*+pE@ zQ;*en`5tP%*UER;e4i>~7&PCb0#lJu=DXyoZCnG8!wMMuE+U{mwnwDn5!2Y`+8S%+|GRdfU{Ln2Ah=g zUxAdI&wb-_HJ?OWW^Q+WWY`i65%S0Xs=tM)rCEdeuQ&Do6xS{P`0^q34?3j&{v1?O zKl(I%(-Gj-ae47=#6c|cR>Wpc+0(@iE#$>A zsFgczqEDc;{nDBjL+vObd8f3twVp@b**JMx1?pF(6|A}QijuLvYrpBP^&Y$OLg%ag z?n6CufQeJak7Z)N@tD3|6McwQoVXNK>(fL;2!4-$9HZgxae^FQ91WP%Zfd^cndCUR zroScWVDx|45x;r8PO6HOfMOg&=m0vRsB#P@T}MWg70~{5_ZZ993&l_Y4YAkUMYKUwuB?C6W;-V9tU%xd&76OB=d612O&>v_Itb+l}4MRQl^QN}LSDMqa>0l@I6mN6mpL6Mv%JQm>@WMO8gqBqRF8(Y<&3OAGx(nA( zDc?@3joa!G%JYP24s9slPTLF1zonYW0}N~r|n7LfkV;2@$&nn8Pe0* z3UU_6%zIll18M_2L6o7_a*UpxQgBs6c zk4O$(9QBlG2r0{y?I~r&52fmRm{wk$pex89X>#2pKiY=rd_mTqhm2Pt z?un`CSL{2q$bj0wV^YaKHG}@q13Nkqa&+6@O1v1VzN#leaG=)w@z@1?@C^Npv1TSY zrv@8Okpl@JjaxaS$Uijc8|3=^GzdseJA>d&FZp~AbL0dO>~29a4~Yl4bBpbqoFm|QWR|#L{4gnd_OY^Qk=Yb4EZJVV0BQN|; zU7dVifGdKhu=EMzL=G+Aiu=(F)ohj~+1NL916hv?cJ+m*dN@@Ve8l?#F=XD)NtJ&* z8PZYuAGgjVS^l~Cg-8Q&s}7x<{EJ)J|Mm|t1<#B@+-gjWh~lcvSZ2oxy;9(ctbCBH znns#RuCpAB&ANn|?C2hfs+ryBc@CwFSA#NK*s5>jx?#a;JGzD{!S?5Loaliaz##RZ z?5L~rD?MbsFaBMa-44TL+L1fagq%K~R-33*h$tosUNx6J0kZO46hXUMH%vw)KLB8~ zmxl8#qh0+hhe{TZpZf~enG!>!1WLTOgqG!a^>xW}6%83aXbx|`{uhzkgus*I1;Q_R z9csuw_ep=~<%q3wIkv27-Vz!4;df}#SgB2HrexQaYCc^*WCb7H*-OSb^@0SBf*Ivb z51UbTL6)1HO(pe3Xf#4~jB<@0>VQ2Eotpab{>I@^N^R9KM za&?As8K`3Ks`Rvy0$ye6yz^BK47Y~Mb~0-?Zjwx-=-pk^OQj^yR#`8i-BZS~n+dM>g++G<_0L`vQ)C1+{vg!Ns2>hwywKxgX>>i)^? zAo-^T>TK8{Io8`c*Xd%6k9JTK^x}@>F~<~#pGtkA>sU?JaTK?s!=*0Qx|jggC?V&^ zf!>hL5c~F$u3La}0P&!}3h1EKgP^LVIn6ZbuFlDx^)o*%7G+h^*s z;-w6#M=8m^3cB9ZUIt9^J&`Q6M`jLh*-5DqDdlFb9!h_?`zk+}i;1zSK9`s)rB{+4 z!usiIbYKt5&e;0LH>mlq+j`Z1MXGqY9?}h&ad~Lp=b;WfADw+Abtl#`my}}Jqv`eg zG{Cpv?#lqorVW6*Nt3Ohu(mBKMzsW?=FH!LZ zz!XAB`Brn-l{4a2U%%6d!ygoVAfUvpZp1-40rlqtf|q0bEK-x6rS<%?A^iQ=L5n7# zZ4&<8KKyEXA3S3T{J`x4{Nxnr$fn4#^}ue~-w@ahgk(P_D?z>g`Joq9F;p;gFeXag z_o4IGM>5j{I4- zYGd)K7eLr+&ld!i}3P#Rj7;LeE1rE1URf;GQ#CDsg#)H2lSka%4SnG&)pwdXV= zVe2AM4HVLqb6_YKy^9wAIK1 zBuZ2y+fn$4CxiTxby!o9C}S6~3_=Q+&qgdjFYm-zdt`ls_ub-qVNnRIZ}VHb$na|+ z`_1aBV#xlJ2JZ)mJXq+g6SBlOgva@QEp$Ex{HhhQ@QSU=hw=sa@HE~oRfQZu+*0Dy ztLP?$BCU$vf$}4hxDtg^gZBYk>);?E1m3T~279*H8vWqwq1(@Y0sc7~X27~Jhol;7 z4l$r*v;6QcZgqXeU_*V3oZ;r*LM}MnMA8kROKW)>5;doi;WufIe8ms5%I&~UsFf9SdnbmsYWpqKM@AjeX?dq+cL5_R6q&`7zrtt7KAhdE- zmj?2#R+w@(udqr^Bsz*6}tTughENibr*9Ll`GP?1@lf9D&_6EC<{5L~SuLRX?E zd)Z<`Fe4Hj7~&a8{@+$@=pd@pNrNKzB1dz|u4fQNr3EX?+t~|?ax(!5^)1~0N2O$a zH$l#ytY*)%Y8lxrevlnerK9H6FmNM@Ut zT-V~&yD4^6sBt>0P=J?1Qd@h&H{vU{nDo{uHWPy^g}QZim%{r-Bs~`9OZ`GIk_Rp?Y@{hNLRWJYb7bqRfIXY^CXM&hW=er zL4fV6cYB7K3^T*5PZO;3BQnga%B^RGma8U6)gNugL?qOy_3DaoHvq+fw4*kJn!T~= zSzXoFQ@8S)v@W(N=|A|-2L7r{-@%W3} z9j5iEJJ(14mNIKXy>5QD*R$Qdk3C@x+MW|uMuo-nvA zzd8Ko@Y{u73JvPPZx4QZ@{2?1LDV)_%7^$3@jHm$LHrKmcNo8;_#MUX7=Fj_dlSDm zK{%5_PT?f?u4w{SyePM4b?5$pOakem3@pm+A;`TncN#uk~=Tto!vHU`kMhf z|F8F30Gd(gOx3;Tdsf()Izs@Rx=KdO**#(7q?=6pxA4Xu1O zr8Xgc__or1TYfWU`Plv!{vu^hjP0F#Lgm4JQ#yL*nb(6tW$P=yfw6txB=pgiP_y*U zcs9`JW&WnlL*Q|(E9V^gKGj8shO_$<#&dXiW7ZfqvnQc~cc--DB3Y(rBHnd)HwmeJ ztJgn`cN66EGt@dPeCvEUcU-O#e!s=}v&6Y7cXx?i0H*F7BTG}|L9RgUk=ey6P`?HQ z4~3eL)Tq}0DJ5$Fza{Qdxx@7Di@BpDe(EZz^nWf0*Z)L52Y=OHvp!ul!bhjRPrRl#_NXV1>YOwhlpN%*5}CC#4NDaBPD z&+|&1F)AsEJ+)KHl=E&=dTt_5y5l#~uZQ1G73l!CS*_W9NIUXE#Mfvj%C^u*c==8m z`R=@CFK*L~yq_hFOv*hk_Tn~aAkushI0%?$p0V%Vio7D%A!F6I#(X^b<_BhfBtx?? z#F%VBYLWp`GC+({gk`#NXBX8w0Z?wX*e{^^DL)Qcb=&2J+;O=XCGJ(ZStWe6^&l1R@|Nzhzu>V-|ST4O=uKyk1p}X9F(mI*DF7%%?#nwL3C9TGk*g|g*^?|It@STbef}?w9 zlpj`mw47g5uE-!1IV|LI3dLsZhr~cZHqU`;dQ9{sR6nO?$P12oGhP`S^(fmwJ6a*5 z;07-wq|ZYJNHsjSD0gZc0?W5p=xUm>5I4e_y>aAD{&HFGK`Dk_u>1pQnI~S|@mW`e zPD}6Hg9LelF_=b;Ay-#d{%Xi=O0_7uYG-jzX{!2Vy%Z0ANhnZWu{5J>AoTou7C#g0 zx>h3V`@!))F`~B|#hnQ=zi8~xW*(!81lvk>%|+4^(BR&d<@|7Hu88D1?hss<{Ol~X zxRh6289dmBZ9pVzxBY7V8<=|7v8SP}+Dw8^>)^vO>)iY<)TLLqb#xVK74ZfAV)Pk7 zi8m1PU8Av@pD9mVFK=x@$SjKD{(6LS(b&W!5seddmYs(^iMJ` zVaHKSp8N^wADMFmkAe7biEu_H8y_s}1W z*b*IN{s>|}d{`qkQq};e(8=E-wUb6Fpd|6><6pGQf>Wejjnl|ce~W^)y5A_5MArEq z2;bC8BYYb_frub{HX0E-29wYT_jG6zAqGmXV3A`XymopPgdN$G4$CNYMzsYi@c@`L znv{n4hh;`@G>DWjXq+5_es89!Wv?bjfI~5zM@Hem8saQ8{{aH4N0b!yNz@YLcEqiw*|rQQVGfsz5fjGxUvq3FC_ z&?1&i_B65NEUf$!-#D^G;w#s_udk*cbP1*IWMunGbKBYrvv5AQqHu4~fV3PQ50*HQ zM^@s1u2p?X`K~BacLfbp9O%x&del>K;6%0oxxgBjfwy@{>fOVReod0JANx*PUC^8n zA3ej4iCTpk(eZOFj!RVsqZ@FH=BBUCxi^I8`-O}8c_G;BMHC7bd~Qd%k`!=0&EvMv z2Jf78nBSC^ebuMgEyE3elWQx{H))xN(YbWgD=l(F;#nO`@7625IetqCh!?$*g01D> z5A;ep!0VUOrL-t?G?&D10rnz~^5N7_f1hR(U$hslD=hfLn6HKl_KzxjcCR{ z?4C2GpS|$Yy+wo4(j!>}C)o?rXZ&Bxy?K08)fxDmNir~O-l$Mx6&WOIMnn^rni!&) zEOG}Xih>eFG!;bZuFODOfW(^tZf{59R&BK{wbs(wx>XT3NC*&cjkw_oF360d5*GrZ zlK1+gNvKYsaqGWVW)w&$Gloaa2}d7kqeBX(juEC^isyeus@6y#-b?KJzJ zJl!0qT*ds2Lit|c(x2i@{u=cITXk2bUb<7eQFsbc7wB9Qwqv6l^V-Fpt5aQ;N*Bth zZz)r27E&bicQmLVOW6Da80s?+znt2jN3ZTN#rg3aIY06Tz#F*QJHAThjJ9HuOKO!GL;20? zLyy|hoOq{_rnI}_|FB=O{Yt$R*jYQl%iq|mB zUg7=vGTio4Z_M+hOp_IjU-5y-kNp&N8|AIXC)bFtp_W=?Gvr9!@^1xd!9Dm}=w?Ba+x3wm1?sVOb`qN)^j8Pt{{e zt-`Wgp@P>DwA|Z^B!4j!BI@`ml z+!Vw`2+Rn)Ys~Mq`TgVQgXLL|RKP2I45}$3R5^TCui9}>!7O>w24UB|zpA7Sj%*9Z zAl)nXoyaD~*qLQEtE^h{Ou{!*>K*Me#5w@UAp{5itY=grnJHhyMhs-x zo8Bn{-*1LdmK*v&pKcezZI7Wrz#us(gqaS2BI-&ZM)q+j#5n$G8NT_9wd%;jRPaiI zmU|fQ4q^=CHC)&W54Pb0r+9*{3xK% zSFpt^@8-V?ig=g!5}xuG+y0r#oTd>Us}HF$AC{fXiZkq!RWM=Px+~?b97SOr?)K$L zUDM;vTWll5Yvlqdc0O-OLOY^SYLcz%PFtRgy*1kfhz2es+|@-#fmGpN37f;hdKn8V z68rd{Ae<{lQxUqeThz9=Lc4v98S9;_J?3VE#d3iX;8IeVn>e9yBdk#3b$GwSfGf#Z ze$MgiBxCuyZA{fKBYJm6v_?VX-ugs3_d^-c7u(V6GNM~EqMPmLe`Z8qoe?b;kx=+= zGoo+Ii0-tbFU^Rao)LY8;5nD9W<(Foh`yQVlswz~i}bs0UfB>dFV=(RmH2RAUvrB%YwI|aYQNX?clFBeuhEmyl^gbS$|H?L38qfe-m8`OmEmH2|B6z*bD>y}-^ zNbSKpRSniZmQbW_+3m4tk41Yd+GEiki}qLw+B0;EHd%I?EW1q>ZL*|I3uXxvDHYAk z7F%EVhW{+l+&W7bVifkbI_kGPj&e$+_)PlfO<_$jgn;AH7Tzmgna&dQ)JAK$Y3)UA zk&-D0OU5CF!voP9+`AKlbz>WB$(p&>)tYU!NZ^FC@m7}{5&i^z=v27g&=Dt% z&65~{TJuFLiL@KB+u$O-&x2a!=OXCAk7x!b95mO4Z3d~HwbGHX^?;?JaVns z(O3om?+8=VxNCvdd=s&3GZru8Nn^A{SdJpkV@DE*`giN74A~^M1zhbl%^wG&Lp|_C zp+CzPx~S%)QHY6x<}0E76C+w+^wo8qMx54lg!j>w&39Ea_k_19PecJDf!Xz^(qVI2 z@vs^6wE-kI=dRPDzoJ1Ryz<5mmN$VyP9X@zHu$*!z-RE?bvrH{Nlk{o2^&Y2{d(jJrumsF+ z5eVcSCIb;Ht@MNnQ|!RCK4B(22;q(mACPd%gKgw3izTI5=`kvEU5LC-O0Gy#_f4%x ziH(exO}MPeT$@>_%ncu`cT`G`!tV}OW%uvGmDOuM}(=EeBiA_Bd>rr0a!+_^jC$d zUa3~Sax%iP*s%}0S(Z}$JW5VxIwB>pQObzgD5o%;C?&~C=lVPymH3zJpC?Hl(xDCG z_uA5;6dGJ}g!I;6K?5zqIf|^r0QK5UfAwi`@p>hK5EJfAG4bqzZ$5J^&XYs<=fNU= zkY%-!E3$t6l$M#14GjnF*&U$+9Q;*^newT+8j)(MO5x52ho^_{cNAw2-;MJ2oNiUs z>7%P4Nox~-as(+etUUAwk0VWCOPQ7U_s<-R>r^#;2jlM#_8N?t`=onZw)+`_aeYSA z^xorCpN^8jXap>#6gnydwn}9c)E+`?iUP}4TCt8ZPg&T}VsOoj5>358o|#5zmW-d8 zlf5xDJ${cKmN9=UewNY7N4VFS9qJ9Jk#49-rp#1vGsRRZVN9hD8;0&> z_{IiHKjC%>v#WSRUq@IW_NlkI{*#Iku02*_mTUr{~xxUY)Gy zaSo)=Byq78IYTwDL%qpR87t*mPpEE%gwzoI4@&s^QPRyeCEP$>dn&EtEv0KSyOg#~ zHZj~@zeor!xWFbKLh2%i*BJ==#Yx6J6m}(c4gggw%8FbT0#h| zQw8>$NXTclgwRYiOcTOb31O7=QO&DrGcM9MX8R_4vnbm}XPVTpD{!WYzvm;}SfFPy zS=M$}xum>@BO4afK=1OPcbc5lxO*g{_%}Tz7qxAeWGkA~WwRsgGYw-!91TJ4 zkMZ|*Sz|>lDXT+6ZO~dI>nLbDSaArorMf3{Yzl3sjFb@>(&g74L0bACDH8+jcj3Z= zmLZvIP2J-+DspjH_6letKrUg%{mj|?jW;#um#Nu26HH_e*Cpb+pSP+$k}e{HAiTR2 z`@d*Ol6(1+Cyh5%y^-V5bX4NT45ayE#`pg)p7xMiQ|k56SLNw#yR#W_4oFY0%+sy? z^wPDMZgq(!o2^$@doq2~5j{eFEFD>a*h%RVdC${LY_F6Zm0rO+;k+bYlG+d3^>Nvh zq7jn*s$^cCVuN)R{ZvGes))Y%CpnXu@$Q-EWp!q!gD2hFmM~;!m@O3Lt(f;^Pc_$( z6@CFWLB+|**~)yqw9-4bN>CBD&cZFMDb1|(>SdLlIlmwTJe6K{jaH?ejB6UzgK@ZS zX7izte{^wEp(!_3&;muWdM4%zo503Ufst6Eb|UtC>{Wj@)#S}_mtOTf_akI*fkl!% z#~8JZa*ddPZ+0aTs+3;&2kK?x^X3fIqkcU4Wn2F5GxFIq&Vi>Wu_eimOlOmmyO435 zJ<4eKexM%Jzem|$`qJ#7x)IMcN(Y9&l09hK&TJUo_Gs=&jv-7kKlcqNshePhx4Y8i z!rs%m)#+}1v>aVsg&|G0H7?KB2{)V>2Lh*8Fwb3&1}cgWE8R~ODocc#=a~ep4!y+ce8^Z5e*Y9P-^0YY zTSOK=I4Va-pPEJpIqGXMJe2*tZ-d^pD;u*eoB;{0o39H#>eFeBHGp$#%&Qn;j_~IJ zWx7$`znNkR+9ImtYm-u;hZH-<2ImKF$B~rRoTc5Z` zj~@IV0?6_0I`tjg82G8~dS6nXPU?W28gqTA*MfxP&=ema?8?@Cn_>s`E-^k1DwS^W z>zr(Q2g2gCH!jC~gVAeejE|0eR;rD?@O!E-S1S}$3}lH=IlAIpr(!*NyaF(Q1iMA` z^V@pPRe7<$(QQskROezCF*vs3q0~od-h^oGGjv;p{~5^{Fe@g;aWn*YktNiS9N)@3#mYwEDp@x*L`nGgJZRPOf1fr|B5f(`+A%tz2S3CZ@1pGR*u2wO`nK0X{_J( zyxa38@eezpGrxP$m+La>KZ!NEUQ9PIB@riJQh>rEj8d-Gv)CG0*Hy$WXZ6%Os?^M5 ziJTh0tUwatYkLnEZv0MSY(m{pS)xkps1ylGR^ql4eGryqvshDg`Q@t1^9nkp^EL*| z?`2QH#^MIWv6eU!E?^Y}tcpCy+nk#2aV|JCY5^z3R^|I@^P2AiCTAbI_SSV`gN0P` zhJqrdcu8`dkwU^J1%w0AniHz53Xi{OaDl8>%rs^yrneP5Dk=gjcLCO^fQgw#MV^d1 zNoIL;-x|H`hitqR65&h8T#6oiR{-VA68R$R6v+Dt z_93ZnRzqIQ4UX43iNM-pYL#gShQAd+P^ZTSGAnHP7xY?NQHeK}uF#>X8KFWsN>hzI zT&5S!2DXP#5y!2ILr=V7p_;R9?8L3oE)k&*5 z!mQ9$?j-)5?W`B2yFy4utkd!$>l@CrvW4VV>ef@zOdgQz-myImDtYN^JA24}e^Gjefn>dQh4Xu;zIK)>(zR zZ9MpH5QePgi7lK@@oI*kVo%=p6Lpvb)gH;=3Hspbic(sezb{ zFfM|AMUAXRNqKG&TvW+&CKUOVMtkoRW1^I67nfL(CvS)g~G9byl^9g}o zBLq6Ul9{>}GIw{k0IhN-?wQ$wk->s`+WZ~nDjnnMX)+YktuP3ZdptCC{rTm zXL3P1m!_(KHdl~)FI-TvzwF|5VTRU1pKK!m^J^Kn?o(Y%g0Lranr{7miIn1S$l4;| zFN8}DVSl?9PFSLn+;Difj%DX)_-GgwvnDTbkczb_edr@Q5;IU0sTLKph7P&3J~j4z z*ziA4GV>TV{N|a=_cWgkb#$=%PtHQ3+}qj{DY>56(gf-88-|6Cu{pdctY1t%;lD!r zOjW3yr1#d-tu$3mmVRY7*~6Vsyg9=H56Ygw@6{GPU`MmUyrZK1x?)T%zt`Cxl)<$! zhfRMW|M}NkXOHi(3@pPsQ3h8M2%8HpTgKCb-L-_b-Ivci{1w`b_e$Bu_4O=1cdKfy zCf%mk!tKi3I#MAdJGF6`8no)Xvc*o0u}cSt*$byy7N?!#nRA@ejlBwY!2VbmyO63Ik z%|Z2+D~9`@>E?;L`C`EL84PD=h&XY(AC0cig*&EBtMz>%hc<&|m;ZdMV-Y@VB5`c; zhq4~nqz_UU%~edP?#fO)@e}x2Y~$w>?hO1?rvavNctEYc)wXa`yv8*P3&Pw2Lj@UA zqn^aj|MF3$p4JTaK=l8@&};2cD^{a+bwvi2mcF47)huT092~t`#t{T%1C~V4$5bsg zf^PZBM$l6PReB+4;hqS(2=+|v(p}QbAHme^3KeZkJ)BaV@k-&Vkqf@c4a9rmtC-@Y z@Kr1{tY7HSQ`uI#;`d1g<62?v&XNd4ga6O)_BwPg{|j%wr5bzK;X!mYqLyCA-fbUF zmz7NnE~o3Vus>9z+^7Q1{#exGYT#4!dmJasf*?b)B!jMT^gtasQ1V+jb-;=UfBd3w z&Me=bA$_}87yT!j!qX>W8!LKiW}_GyjH?S6V+%mk^9l+>15$>@GXIU;6dolPtO@=L z^=Nn^8cxOdqs5b|gP0+3)OKsnt61GpW*(RB1k8T&%3l5~s>lnK&Oc5r-znwZ9lIH! zqt*EeVZgnzv4-QGQBWiTLRk0^$MuZWdOIESR&i_MZPq8n6(l;zoNg~%zcO0+L2ag# zP}6SbKU!3e+<6y|T9Mh?8-Mc&>E9o1=^uW8E89RbacLNRT=Hf#lqsef>a`oX3RhQ> z!q`PqYqCPe&p#T6HKAf-SF(MFr`lI2?W1Wkq-mEWo337Oz2)#og`*I_)&uPVuaZ&h!k zR5RCUUWc)ci29p=Oj=3 z1FdmaVWpV!HT%VsN?`yAZPqVsG6H|@=#vBHSN~IQFk-+_8F~Y0R#I<(k;qlt-4ETxQgIl7n94{yP?5j9;r$x5@#y?LA~hsf2QVOZaQ;@=HT9z zUYdjHU36sA>PBEtp3gHh1P$q^#Nv$a^%>v)lU$!{sf-*{jQ)f8EQeN$77CqHu=L^* znF{81F02+kTl6nt`&f+m6S||f*CKDYuAgMO2!eYNtII|3yVF>?E<$Q?QBn0zXknA{ zZ?EU^0_F?$kv!+nyj)ZCdN4W%zF-Tt?nLh?5LgNLSDeC&S`5@Oy&|hozHb%lOc@{f zi@iCFZ+s9GTq0;{zNI98?~`zCPFAdcp)^4pB8i*5(jRa-iqzfq&sbVrP$EYastXEf zt(?h}4v;gMvKuu!iL*`V&6HgpGH>27I1v$%bT!>L@?KHCTEqdt+w1R z@WHlLakudrJ_aW<%XyJMqx?7h1eN6I(GtFCk%$+(#klrX6`D&(r1mX+k#T8St9^tj z!B)4wY#w;$0PrPp&?%2Vekkd&Nf3hcu;sLK>c2ofA~ z9M37b&HoaUmsA#=PCAvwPH&!`C+T!kBs}Bt?exeqrxddJ)61rK=Z+P2I6PD@n*^P# z0uih7=j@}KlkyS_NEHlARen;rGYS7N#1!#Q_DNn*BPgx$3L)ZY4bP;)q^8xzzrGZO z`c*bSaP(8F@Y!Tl;rL9LUiODo9-Fyu&XPYTIXk%u7-d-xRCecb*fS zXPxt`m*>omMUC=ALENF99TmCqOiGEr?#;jM!Oze`6%!lai+GcQv5?Fb!xpvQt8I3X^1_a zrZZCq7l}g5+jwmc`Z0fx=*QO1#`Y)XYAiuN=4&(8@vf9(sybs;y@)kf#fKyg(3$H> zG9DVNmv+Fth=wb>{c9T^LEq7*=1HF<$2&uxHdN`;z|Bez@)L7aN{1%ld~;Re3t7Je z|5E+c7vK8E_wDb#=>@Dt8Lt#RxdZhaXivh|H$THO%WjF&U*^tU{15I^hAJJqUOG(w z?U8WEYKJCAOS)V_c$8iK;lyYWaq^<<@()nKUlGJ_+kONLcYl6e*h}JX$xKnRuX$C} z>{DLk&nUlJ6)Mzh1K+ero|FkSTdhLbgpvqo!`tD$-^ttIUgEq#9{=FHvV;FodkL(S zAsI>K401nrcaAG`oYKo&S`sv;SA<3yqmb?-E#~{EWfXoHgItOCbZd4+QX?aJ5nCy9 zH6nw=BdOuA@guDtJquLJ6R{x5(Q$Q9I-!0#xDUPvg?tk-#RIks1T?Q_61*9EABl5H z9n(?eOx!9pk*6|@70{b0dg8V8qT)YW4(M)33sugVq&5G8uC^uJEy%bF16Bt=-ne-EIc`uf}4Tbm(XB{l=RyNdY5ooV+Ef%r1OD}yt{ORf9 zW^p{?Z2U3JLu#&M*(GS(Hc}tf*vI4HXqzM98;SgyoXD@Q1O07oA>ev9_PeJAw3@<1 zD-syw)k#@(60QAH0_G0?*&@0}XJkw7gVwluG_(0Z-%GU-@~v`Yc;m9$A6M?>+fzq|wqGy2B(Z-%zD{RG@@QJlKmlf!$=+;{Jp^2fP z)#k2hEW}4-{gnO{y{k`mp+0;&Hf*Knci3Oo!YI@y9z^%(LpMa}qH=U~#3nx|EXKA# zj)29+8CGeRXjy&RV)$Rr$QxG{l$NZO3qXzSxPcN4tH2+xrYi%{`7>g3u9c~Whf0wK zC=#JHUqGZNR?iGtM->EU5mkH@FxJe#`bA`5*HDe>6%m2EW3z9i2zCo;sO8>EeL}SQ z1THsTI90>9J17?g6|Q8 zEL~3kX6vY_c=K@ohJcHE60VSsB8vM=u)yT8fCd`W zTT^FA8zN%~Sni97XO7E}#`AbpWOZiCPwUb;(gBQJ?0iaSD+R-1_^i;Z50QuJL4QDzdOMNX7P$+@yA zDp*JmL^+dy;U32?a&7nbbv||za;HWmUlgu*1wqYr8&R-HJ6^4qX?$5vmL$G>Lz_hJ zKYTE`Lp5rv*c)exTfrKm{I*>gIP)y~69;D=m90u2oVl%4O2t|9>pbb{CF+6Ppmd^l zPLkEi=z2J(YOQ)F-Q!mPl6vgpD`%+-)wIoP%gJXo_3He_7q0m;9RA5@B{Th*9xr8tir%*O5E$z~ z|4AjH`*B}D@w$22!{kJovs4}yvL$o)sS@GqbVNLf$X*cZrZi$bv_m?;MyzA@Pa@V~ zyv6Z>-s4xCrkv-9LsmAe*`@r`F9%z243cX5*S&h|&kbHO9ZpS`F(S6wN6k#7vl;dKlTDmxS*5;Az z>CykY6s^e0-MlHU4bC!<8b5IgY|dwp)WC_ZFEw;m{;#3Cd0wxfJ5klFhVF7=w1{7- z7s7wO3LZ<4p*x3w;XaLD8M>)Fe`@GH{6?DN44ozg+CwLfrTYvWGwAU%6>SL}u9vny z)wurBtgsdr&a;KE*8C`MdK5o|Rs~CRYxvWqQ45#>s(Sn@_Z2K^>Q)pR-!1o_Z&2g= z4<7R8jPGKCYJ3+Yzn2#&h-G{)=9?A?L&#)&&rzX^38lyPu+kqL-%E&!-^9XgxQ`|$ zleB6U8|1hS#Ocv)|2jR|qopD>+9P?32c&7q{b{vr!YQ{GWE>$#OHssD({8E#8?;Wf z?U8U?BKAnt7$kAQV8cYem4*F9SQCq&Ku(1+rDF5ThD+=z{U*F_MI9DiFsu_LGLJAD zf{FawiTh-<%LCu-^28zxky3l(3wZI+RUvknMCjOT>5_oY=`s^*)c%b9Xs5D&6S~A^ zVxzK%!x&Bkt7yU;`!^+rkbPk3syQdymT#{xn)p{0gRUx1_2O%K*ixbV+jDo?$MQ{? zyq&1*^pjQxRqQcm*EJ0iX+5Q}mym7dB>u5?oQ>G6ik;_dPvVvq-MTUldpEqwi;dg1 z*uj^RL-`A_U3_uwm7+-fDVw#^qGP>c2zLYsj2rO$*wG8|e;ds`3htl%G#ifVJ^YJc zE>Ag=!oC1W4yJ6!*vAM?SlLJ;V^3VBD&gif+5Q@_Mg^mZM9-S!iI)pviB{j7;)$#4 zZ%ieG{{XieuoCa6{Z9NN#YzH(Ix6sguRJZX!MwATMmJoPRBv|piwHw0{Uy$mSt4Nu zOMUh@PsQYt%=gfFd|=2@}q`np~2PmDIEM5&4<@cpAMZRHYXeoyuKtD{Tm=c^dAs0k=lWaFlspB zB_>V@=2R7lzoLP$6Fnq}5+Chd?6m7;;yRM_^he-L3b94{C8t#7>{Gj{`SXw)vzYK) zi{7}Nqty>cmBu=xp*?0!$g`A$f0i$UTza&IIe00+!TWVoIY?XOU}2S)RMP1V@>Y4A zu#L6mM$x7tra!nq#A?XSvEq~cgsRrq{DWOzE)48`)P>W-!=O}lWr1_D9Y#?-)>XoFhP{{|Q zku2Jp1Wp+O&>E~Pr9FFxmE@a;8=Njb^Gzf4YDyYXQ`IAvTH`P6>J4ztjv0~aeg@aF}j_z^mgo|s~H zCuU8uUgHEJt=3tGzBxnJq%ACxr3kpSxoE_@&aVvYxxNWe840Z6xK}%1Pz~aZpYglT$ z5W<4FKZSGue~j0X^mskjcf6*k@%jQokDoVQVkc>jmz)slHArRjh8iSsU-|RL=X%w@ zNV-K;sA%?H2j%(XpghQnV|$t$kJID!c$^{Q0eI|xQud8_GZX=~oNW|&uZR14p@Qh8 z%5`5?KuO^PNh$mUk-{HpzAc80zrc5zZPMskjGZl)ABasOl4L2v_g*bLJ0^`=V>vcl z;d@1lQtSI7ZPJJ#$lI}k`;hj!gm*O8Bs$Xig4BLXX-A-Q16YT&W+j9bva;6$BP_A9 zWDSX(d_#J?@O-P8*ML%cZrG;t^(Xh*?_b$#!?tj%Y#!8x?HOXUNNz6lQ#Ne>QNisw zYQwgafZ_fVzp`Qb7tf#CuobI9oekRtN^mx89lY+jVau(tH*ANcHf;OE6}^%Dn&?x0 zY`?bGo%nJxSnhAGP&>6Bc*vi#Q+uDF+Nr&s{9eBB6KTKf)Sl&=7CA+rWvBL#3KbJ# z)E(jL^`n!**UU}$8onxbUxwQxQ)-i@Jhbjrd-Y$mzf8RffsChOMdRNh982%%w#hAb zj{N3%B(V0Lu8p_&2rwQGO8Zb(@+fPztfEb;8!0b-$tHbAPwmzQ`bsY3MqZ>A7MEzAomA`mdx*OUuqQ@k9<-9*5suj;-fOA_$ z&M6tuv+#D7QRmoYa04M$`v( z&MlXw+xb>T^mW^M)wv=gYPE_ge;_00l^M~WAp*>3=j4p2LpXaw4_%#+^P-IChgEcH zz{Y1p6@dRUGj1mmdk)M|%BJMa!Tfkip4I=btihtb;fMRY#B_l;#a_|*4w zu{93vO$-~x72=g9gC8ZPP%lLXtX1(dTA97blu7FIl{ixr*GU$1|K#L^0VwXC8ZX<$ z)VnQ44ml&7)!p9{8YIr-`za{e-q#qzW7Dh5j*}?F*1(tCv?uLLb5U4n<{~(!^~!ufC$B@3l_Fd%~E=ioGEXl2WX;_ z58314$cYdVi3-6!IqArUtOFQS%(@O%=krG`> zHP6wV-k@)b?qc*-&AzmTvt>nk*#w2W6Y_q<7_W~ssd}+bDg4a|g$eP0B_}elYiWGR zV`3_EIvbL4oJ5zAh%%d76vtA)H_12$!Uxc z2$Iw=cEmpXi!AC}`wsZffd~`5aE(1P+hEx^B--3&>5jA6cHjCUYruP5Xt;@J{iG~3) z3uR97B3JB2bAJ)&SS@XbrNc+uX0eDA_awrm&w7uef1(Av)XP7cmrFgNaY6G^Od_Wj z@#I9Vv00FTKbb{92cx!!^+}_|i%w@-Y#AH~r>GNuXrVA5zm#I8=W#TJa4-8wWz4J& z6)?Z;^8|&F^?uac=8NGHtAF?ETr}>KyA*Ymk`4+gvvZV z#A|9i=^n;W(eso93iWRQGa*Pgo)1FP^t+Pi7tQVGpkN9Ci`U1tyv-1Q7@Ul>;rnIU@}xmm%yqQ%(lVzBA>N|I?Xb zgL|87c$gwfGTWJ0X}Nz}?&H=@2ID5t^J0#`<^;Q4qdf1O(U8%Ba@)f$7@I_YUcTf- z#tr6}Tj5$^40~n-j1rC)KH%hf`)$dk_A0AMtVJ#ivlPo-<#839MDVHlZ!XJvTIxX) z`M&6N?^C&)&B*DJ!`2>E^NP!jSqRVcrd^UP{1Sd*SB&++l)L}SBX3(}7FG%&3Maxn zokxdz*M&!BP28>`rV}wEVCBu=egU9mCp@ft#sq%^ zJj;E&q_xdLe7tHAgU?hnlmLjUIzSy$aDN3mr5eU19)}2gmV2vkSf=|bpfSqZ-ja^7 zwY`f&$=$+y-ug7~HKY6vl~y)9jTE6pW=ncDJlCkuMTC-8fYy-b%7Ayhc2ko8W<#V~ zLqeov4t2Q4@gjeiIf2IRAVENyM0Ib#={gx(8F<}nxk~z7>^~dT z2$ZVu?WnYRNFaY*tair-^0!PCYuMYiV{ELFeY3-PL&>7yO|S z;{p!CyeJdWY7nB&`7qH6?Nlz-l6H)#^#-%OI_rc{z(7OAErGFxTB?uUBxZa&y1Dic zFY^z*kL+On(Bhte`B~4f`6v1CDsoX>pmcTUAPfWdqCBz*`i^&?Jw3xtBR$>pL_M8` zM($VyOT$i*Z_M3Rp(nmoq2&Tcz;{Q3^p%g3@h`Ibp5;+;(8Qm5Iup#ePL$2)dcdyP4pJur)qxzuvfo^=T)9A{6C1$QQK4CFAl?enU zR{jRyNd2r+c5-MVk1Gdg(0E?Gp=y3BLMQ9x zjf@}utd8fxHVO_M#gm;ad}(=BF3*E`(qcxj91rDae)aO65wPhCuVWqoISav6GTp3N zFeoN2cp|H`$TU6)e`95T-vW{>I-i*dVgD4@E;-!DH;6kl%d?6*@o~!GqJF6Q z@FV+*ee|=Yxix&aVm-lE-^(f`X;hNuY+cq5&Tv8oBO}bW8ldJTyVF%Lg*>A?*CL%5GQXU|p2cOJs zLOlqFwM7Yr{plXZqild%dTe( ziB#q3`@t*h>OHY9DPF4Ank^|Jx>VKNBs>;wQ9i@>TKH5w`Yb{ArscC|9%+Le^nDV0 zgRIIADE@1|lLXpAb*Q$fM*?$4iTpR$9xruH*X#gkV@sq$yTHU_+%hU+O$X9#F@s3H zmGe#RE|LB+Tc13%BH3A04z#o?)Sm1lty%n%*u7b47a&K}d+kpZ%PM{h#m}UD0FTos z80LQ8FfR53hoV`Y=-TLc?ku}=13CC_cG@+!Smdf`(c9;q5g>P-5WP%JR_UF@L6Nv1 zF?Nnv&|mQ_iMOm1M()qT(j6`lmq^jEV14^jOnk=bi`dz~ns|s&#E+5J9$(+u*pkO& zM8n5OMfr&qCtoJ+9Bb#NsMv8N7R^HV74f~&qb#0;zeM50O-I9LfO$r`E3<-wYio(J^U|MFRf=W81LcI0~o56uLuXA{PGoRkrkKGhvJuy z_eXheEMEZgk_FCM?)TvsfJPSG#`0P6IcN@9&&TjwJYk7;t-Y~)BHvwd2aK_~Rd=%$ z1WtD~ALIEz1rSo_1XZVE$4(#$c1)@jcIlVIb9x!GCT=W zH{3f(!DR;Dc1kORH~E+kTBJxyfH!$Vg$^a8yjD2-jmGkS@;!@dPO*RfT8o^=076o< z<{>hY*3HsH5Y69GzhBAbX>F_Ty&EhV;Rq> zbbUMRe>v5D*d}y4iq=$K@8I?X>hT~?v0 zTuYX9pbBOY3|d3-2{0z1eF9dK%>laIeB%DMmqt57ABQE;BHJ#poBoapeLzUEo#52g zsZuA={X+hl%z0_SSr5VVVvaIsjDU4qam+x5aLnT)B9yiH| zyN@GApYA?N9%b%nM9#gC#_vx!EA(qxF_1UA7dIsG9IOeJ4f*|`iq;) z;zX|eLcHF{fQ#k}sxhlpkSYGX&>`^wRwts>4)@>rDBYg8HMR^g9BG|@RNLm9xZF-8 z#lP~RbXKzYyML2z{#WvTXNUVT3J{7CKb|bke3APCQhu50X!h(2XdqTm9@X{<=sIQ? zV-L$GTUN-`Wt^T1f!Wm-!xz%3IwOV;$H_QgH$I&RBfx%GT_D8*0NGz82f7@t(QJ?3 zNdam-Pf+5RtFz}{9GXanyf&+;^!@8^89ymPZZ^O9AvpZhEkOZRDtf}U?b!m7V zFeBn8SDH@MNMN;Qj>NKb@yg;wpT~d46b^C>zX;#kYSAz^t;H`t3(B0l*y8`Xxawgo z3)<|#IH`06N?%&*D!S$yM*H>WM`t~CI`@%quhWW&M~%;V@>l}8I)5h@dPy6^LVjy( zdSiB0{5S!ltS(QBq}sy$6_^v~TD&wWqjcjsFSOxbYP68-h&LZg zp1L>w2{5=vN>8QJ}p)8|ViY0Q7p9M`g1B`gVD1n%4*Df67;@)&}|>3D`hm z-9k^)I6%u2pl={vI^`+prxhb6j0bDCa^ZTl)HIY9?Je>MiL8{8qo>z7 z`h>ExX|T=znFlpzkd1{qo10QckGVh!iRO+D;!x0dp8j^fc+Qc^u#(q%B`LQ^LgJ9c z+GD^5W0h`v$OPZT#!l=W#BNLEirwzvq|Xj>-=TXkh3GkMmz3_>g-Sq+^p^=kF}T2G zAL5_Zu2{aHFGl;~g%Zi6&T}R;lOvC+x5S=!$i>37IyIpg*5riFUDtO)pVMnX(~-rk za0|EJ07^atzVhKINuqEWl~!x)T8X-yD3&I<;R}!XgXv+#r^h{fi1q(N>KdeK%Y6sU z!hV4MmB03G_x(O!maD^k6<-)OsIoiEm(8CeB%^|gEV%{ZsX0lQihJ1RY`H$0)dP8rY)LjcPF|3wi)5oq)HZZIXAXvqp$HsoW1N+_ z>F6Uq?{H_6O#T361YAuPl7v&3q0|$Y?cbsT|kVpWIwrX4z3Hh zSf|7NDT!FQCF?09kOp_Shto*;#aNvf@3g9Tfb7BPjMZM4KBYH5%GRwLv~P$ zXzDG8H@AArJza~4%Am2qU8O}t6w}zy?*im0kuAIj%+_G^LJzJX`)kb~@IhNvA?H(? z@1>ftvTER%S9G*h*Ex{C$-^f=7$rtb{NUk+^QAlqzkL_+?>TTqEY$$Y8?5pDE z5aK9NYkW3UF7HPzD|c-Pz}>9HXLZ}x_rn#Fv^F}cfBX|(Fpiv?LN5`Dk4XXir``ZdFZ2fZ zs3gEuNq|3vJ5B-o!m1R&_f8Oiqf(pT@og$NKLv0O0Gq?33dG;5Tms_dD%D&E#0ymD zHv-~s=@#kR8+k4tAbop(Z%}Wy`*vWuZ<`i7eS24d)3>K&f;ut{>W=XOsy7L0Oa=E% zfm-*Cfcoc2A_BjMUqLma{DU@WssO!QrO$GJ{+9}Ubv{79PJK485BCQ486xP{3}9bd zL>=J4XQLcgZ$l53>ejHTOOb~Os`y7KUUlnO75p1Pn>_scYx?P(`2)cP*2?!;@Qv~l zQ;_bXQr|9_!GJ6kS}2f?1|aF)Q3A1G=E|osAr4;+w1d)Jd$V%99#hOeg;6UhW7m%;w zxm**FJ9{O*bCq^$tNChSySCyTIri&JJ{I%j4&76vC$~cN;l&T zU7GR7X-=nisGvpA?(`FB237|h?)mTkp zX~VzyC*faAIz}M_{v{Ei*~6b4Dd%n1)A(M z7KFcqb8gyAFJ{jjCeuvdDUPgFJ0< zw%TzG+vOFoHgQUMD8+JyUY0MvLTRfq#CC5mR`zCPNVYfKM)|EPq^I_g-FcY4w$7uY z204NG1OmpqI*7IaBMKP20IbKsIA)}ix3l7I5bGnBU+YZdfo$?zZG6M?r6Eomb`K11 z)Qzn>D{xs8J*qSit!1Nq23xsTQn~W&TxT>MZ1_*ro8?dIAzTC#2jGG3m6TUr! z+1ITtK8>MOEf8lb#xp4za!!p*zDLh>Cf|4!{2M{UKerPAD~6L5)@BhQ5QB@+KMq=4 zyI~F8J`1E1^cY@^Le0StbsM4}2|f)rgyVkmg%qB=fESJxvOiMVYC(!%bTUE#>uk{F ztI$E_TTUVQP9XtA!=+z^2d(m7!e>=m?&-pAS;Io=al$+vM*00u zC#k}`YH8c{b8M<`r3!5&gpYT>d3k;f{=`|Tw|W)t@d^L&G1ma zc@}5;Y7VY3YY;8iuC5WhB*)-1RxWh~fK8`v#^5pj8c36&56YB3m5AV}kbUBCMx;ku zd=N94`Fp&Yze-$L+^R;%DF5gw2Y1yaWRs);BJAUCvT?iz%{PO{qCBzT)MyR45>`X* zRrKgh--^IOTU5xe-(2T!i{%8Om32X`BKNQ4n<#%AQPAW9Z(w={?$YrXepz;xZcSqd z-V0q(Yi_TN&MXS-cs(%)eV{c}ea3#CqY-QY1EsIc<{tR5qjd9);7B+~ynt`y9H)!m@*K@)t&is*h(NOEP2&;_Rd?)(_6tTOF+^(H1@@(_VcXz{jC{oT@E+JoOPo*g24o zS7;06h95hn#>ZSf&eaxL_DBEn`9Mm^`$Z?-Kx|I6R=Fy!G?>^d7OoJgQ_W?Gd3HZd z$G%XFVKr`D^5Vaw`LS(Zf=}*p`~|Ez46=ex_fh#c@-dDL+L83kdd-g$}9YyDKO{L%`Q=k~^X~y093k z`J}MlvdbBUdgy>hytaG*z0uAQx;74Z{g6R`*jWBO42g?v!?zXoUU8+&eZpTlVP3yT zzb4YJvGnVf^y`PoSF|)8?st=+4)}nlPxEv?6T~V6WCr1JDSW-ssyEPhJ5zM7lK+Y zlUpRgm0oEJ&qDQ-RhfnLO$Nuyz~EVEt@}o42W_0qQ=e`W@}1C@anfR=$PV?`p%Odv zEg{ROAYi)pm0Xsg6R>WZXvcqGr>>I(!8x_P0Yz z?9ds6jPf%dPp&CGs?{RHCfjSuK`PXr&{S+~0B|3YPXyNZZnjb^P-hYr@li8zX9Ao2 z@%oQ)8zFhEPJi_~uO03K$R>aGarV^w7x_?^$cIEAlyA8YCWC!Fk+%3Mx+pc}9mjaGTs_|M(fY-QVD$F?8N|K=kpPZ=2+<&#xuvKbq# za-SuI!DkOwp>c%Lwm)X4uqy45&*!hwr>}9W6y5)YcStNN-q7^J5?VF)-;vK14GHCw z2KoUN=|e-Dj6+tDQ91QW7S`dO%O`~nrhBZ!o(Hu{#|l5=#2zlOClf36Qf>B}%aMaQ z@$timP_>w2M)D0=Su$o&I%a|tA(9s-&qgJ@@G}XQjroi4H4CQ@Fg`?%+>aO5IWMe50gV>Hlm#HrEEQQmQR|Ldbx&u#Rt*`|~Pg{}>7K zt-H$O3fI`mDB&HgOl8y%w~&Z2#Y2U$jwNO2-N=jl-D?n{KSsQ=>5cTjRMnPVT&FcZ zj(J5@clGgTq9Wh$mAy;>ZW)9%DZLN{*Tv+f%=fU%$S5;QwN%P{Emh_NwDDMWYlb#kHkDABaFILCD~idYfVneZT~UWYycQ`F z#Gs|bR%c_~F}a{Z60Z2Ld%pSjwx~(y-`$1n){jF1M zh3fC2#44{vEg(xETH_5Ec&;$duftH>BTh#mkCH++-(xdXYvK?4O16@j2X^%m+m{^ zXr8eFm_R_mW@WO7(V-}eMEor1JOaE>XkWHS;j*z0A1wDsGK&G$9fUIZD8F%W?rt-N zP8of2Ae!63MKB&cs(TWL!Cx!)7+sm^b?uP@W%f7E6|#muetaQr^gp{3?WHxQXy457 zxEf@!$D`UAk7n^@6+5-j<@hTN7&8h`zbfUNl!ZRD&NhE zVn}1}zOHy=uQWt%j5W&7c~HoOaM-A#?yQAcz+viS905kNpzapOT5RAo*JG)z^4>I%7)l4npDXO;YEF zNcl2xloLTt#ThtyVrHFtHnH(%SGkW=*-n+gvU>FMH;af-^xnO#3htH9?D}jpy+zs76)E|To6^hzmx2jWj^=Fpn0PnBwY67f7JWOFI;DRzBc|FfMyArRE? zMKPuVl2P7YRi9))u9Ny52ILCflXP~@MM9+lHjSNKXOwsTGXv@i(ojzpsOPEr8>uj! zD`Ycz7F@~odD^nB*jtFQ;(w7-k{KUY%g3`-CV?`(mHBFo*)S+gT|+l0%G!KCiR=Yb z&59pE)7)D!&~#6fsRj1lRBf^1B4iIOb|}et@!mB0asfjq!z)SB-MmVoW(aa%zHrq~ znlD_&C~=ihXo`a~wv~efykh3ye8tY8P zpryC`vFWpQEX7EOSL*1nE3`$O!Yv_tTj^Ebdole%ZQQP4S^0)inAt`DA|6p3izY&q z-PL9*9&TAU=d*C0hCCXB$Hh{Dy18#>pVbpix^ySIiLg6yglsAhPjY1Q8hEqLJ}HYa zSr2pm;!SZX9=#wBgtM3F>Qc~lIA#Hsdpz*4DhM8LA4HW+t5uuKSO$Lp!)D9fq6+M9 z+u}LV>H)jW-xVPJf>Q~uK_V{qF0HeDt1;?Yv!NOw*Kw@%Khr7bP+AF*=opxlHQor8 zcwCNXn!A-qGd?fm*4dCFt*gtk+>ezcp=!QF@Ez8+;&=vTlVJQ-BsuX(e4g1NR#}d4 zOIvg|#NTKwF`E@w{_dJwR~WmkZLv2t%Ibpuq1~hx*Sc+qetO#%1IRj<0|c7vI&~Ss ziL#Kx<9#bsx}Ge7Xm}QEF)Zfo1xutsZSnoYrldA{5$jj5V3uSpk*wgC!ao>LPM;>~ z?ERCuqitJ`K3&Nnxo0JpD^pI*Gj|zVM<~nHwq0)XTfb--rf+z4Gt5%He?apcb?g?< zrsN$VlF%y5kGXc&JRh^MLlnWpS~^hruGXBVct_Z5i$jr$bE|@X4U|O`*S6?aibtLe zGxW($X8`982$X)NHFwLPmF@_z%|?oO5e2_1MK$eKgS=3e4#!B^>DRf}L>o$+CXA0x z_R@sPJnm(*{c}n}>l&NwFFVz9&9Ctf2Y#-av!Al}sI@A*_Lt6UzLoQo=U0nqwp4!~!db>8^>seDR;sV({| z&5Sl&k<42mc}u6&qXm~Y8C1B?g-QuxemOomv@GcR){!s=7=HSll{#Ra1s+_86!Sv0 z@u~DuYDzREa$BWe(apgF@v*CJ=NzCmBI|U{dzRP?4ufD;dg8yO>8SH{b1KL()vL4{ zc1x9bb#8vNVQv!ITWJlQmluzzW_`6%q^-+0vv~tyLBUn0eiq#q zSN2(Dh!Qm873R5`-C87%&4|qKZ(d8aeePA&=I*vHa?Hn655%v44TIp6r3j7?nhBm< z%YFLs5E-K#!YSmh*~Ky*zm*^4b4zn&)4VRZ5&t{$!_UFo@D+aJ0}S5oqfCY0v8QLV zFd8=$=-xS}$aCUQ%u8qwojTE2HPyV`211PFZCa&}T3aMXMvT^}4y}rxPCV-ua-t;p ziZA1n9{pXx62Uk6M)8ayQO{r7T<|a-A=@tr4Jv}a6jrj{wAbh&wjLs7&!3&SP}|#& z`~KQ@p}rSMOPYa|<_SCba_rc%S&zlgz%e5${@gJni@(JpZMVgqXbb-fEcOS5$J!$J zOBy#f*|=+j#bEOtw3rBTVp-YW-b9~TV@}CQvn2M(9DBp}y%ZsCgYN*V#kaj|>C85n zqH_9bk$9%njbKh{RJF+Aq>Rgtj6>bPIY-O0K37{bS86)1px$VoYueKyehwfRtyd%= z?4(KbSe?;!g|n!|Pm~myOsLw!9DEkNa=8#ld|oAT(fkPU!s^(O{_L3hnccei1AtEi z4rP@b4WA()S}m>Dng&I8u8)`6k0i#`{2&1cc|x{BK#kxlhnfU$s#7_RK(01I(ebmI6bB? zKVz?*!g}na6~bS+FpcoNaJ@)v)t3LcFRu4Cj;52O2*AzzB0DjLE`Q8Ur=yXc1Evji{6JRWoejYti2&gqQodK==vemOROY8 z->!fO@ssPGWkIpre?10j1sb!aJm(Vg*K^?M&S40IJiezjSHhphU**SgpG;CDKyaIb zB@>KEgLY#RGsbf7&xbPizWi#@D`gHrs2avc&pQeEbf5KVG!yV-b=iQvp8_! zbPN;D<$i=*YBjfRFKCob6f`kD^!fOwq)?&bVRIP(!C(*EcZP}2~eSe239by zzT*vih^QM=9xG^}cG;zjwxR8Izc31eYF(@5OdNO_7`a-z>3u*H-zCF_{6K$7N8lxF zy&#O`zJ%m_64UNl?ow&B^(IF7wbYmu=9uB|t5n^O6*Njs(clRb^@g5v!ds)sSo*wf zp71hnT63M0XFQ{lWodUb7u-zxZg;tWqeYG)w(%MDEh{uiFMUf}BqSmA36#VPV4aTN z1=BrWiZe7`*t%j*ROX;}SiU=)|Vx4O+7@7D(b`G#4IK$a#$?eg+x4-LH=nJ9@5HkgmwF z0X;2R;0=ztPjyS6iDX)q|Ea93ysTPn+-tR^yR?PFRHZnBc_V$I7r@mSQZX#Zi~aIC z8P=W3xPYC^$INzP$SkQ$?LuD@4#sQ`x;nXSGQOH2#Y9A9?^PfRU99t3yCgLYvsAt| z;{z=;(>cevj(hIpD5tMYH#y58>lKemkL6zBgWk5f%G}TLV!9vVcYZ(nDQJ`9ew;4| zq?gIVbpM0L95B~?zl5Xb=EZMOwaMtJ5)=PU?l*1GVQS@5(!-*(^ziZpKe+-fyR**< zC~g6)zCsy2$ols+24PSuP%bT!IYuJhCIYEGhcKRVbE+9;Tdp><(WoL%4l4-*VBeb= z`{5&y=htvfjW48nxZnBL(8UGBtlnP_>4HY15JyFKcG|M8cD8&N?yjyY4g;n4#Z<9mHw-!@9q(E%b)g2JB?i{=S=B+ zLZ$Cn^FGHu?DHehQ&}n3hE`Ilcg|xgR9UWWz4Yt3JEcsZnEOvXT6qAvU8;f3DkAtH z`-hz)kicQu6&mf0oghyC%r(>Kuv2FE*U}kfh2od?wlIg zsYho)QNPgP7Yy1lKzF_81T8;X6Z-&>@2jQRT-TR#HQU)5R^sQF&%&SKjbVgH9h$zk zHJ|IGc(XG=dB!@okV!T(!#r?W{+*(|$uc(g*9WxOq?bSk6HlF^3b!)4c~J{@JGjjnfWA?$hlkUQY$_U(xKeeV2nOAPp#z z#+MYbSi_FoUMT)_7XJo>vD~48BQf+_3o{$w#8@;p7rVW{NR;R9UEkR2Qsl3)a+hC2 zLKZg1!;Zz4Wtm`{nBOd>J5_tdF!YjJMatd}FGc$&a`?e`;L`4@TvzCNgB9=`B?)5687`B|L5}qgdFl?z-q0uw}mlK)V)kxbeMOxagPtR7G~b{6Z>G{W4f8P!cQ=GI1d+z5rAG6JS~1 z6QyuWER6S&skSd~k+zGkr{lE98$}e2uP0ug=QYkPSUn2V;+|ORN@;X^e2hZhW=35I zfOwmONNslTEoM8@k<(^FR(=Jlt=ATh6HKw(O9^5x;j(!!)L9C#4wT;Lg;+~$#0rH{ zNHt7>*bAwqD5Sc=I^j`R5mr^{#+O+L)u?Q_S(;>b)(B~l>a1bB2@+K)B*KNAa%Lx8 zgzvb^&}|s_j-#=MDFoWELbXsoKC&(PP*UK*|-*I;vMep?S{=9PLV$Y_B(249P8M{~k$E#0lxwv|nBkDVKYq1FGgQan8 z;nBo(uVSw#n@sK;8UYQm4iO`c=;Ry^+j35`pQMlE%q)It-mMiO{(YoGqc9wpcCe25 zHYo#Sy!XUP!qlxC-83WkVkeS=Vjj-!Lb}U6nxZ+MD&GFe+=oj&ruzUM+9EW3B)%js zaYlekVkSh7KUvnGvGld6db_*PFR{w9cy0}FL?a{>vZ{K1b^Xisi_hB z;w|AFugG%{xfE$Yo!YG@_ZJH%6 zgn&YRpHugC_YLCrJJ0Wr_j%vvdGqAn+f}FbI(6#QsZ*yiMG`v1N)GPl z;Nzv@&kj^@KQ@j^XhP{icn`ezW=y+~Uzx%EXcd(ps~ilWDao4Fn_oFZ$3eXZ_v70S zODCbh2<}Sg)4@f2i|mqNepB_m&uB6Cd<`vdQ8qSP(y`I51?8+`q6%+rNmSt*Ae6Y- zDshu}+%V~3P%EC=Gm_fd1pD9{#uOcyva4N3A`G-UvKk!7+a+B|OAsM)mb9hnPb5D% z*49s*He1LPLnqcDI+zN>6x@;~B3F1OUq^awg|JO51~=w?g_bvQ;KvFjr79vX5}w2*i$3_^%GdlPtO@kYT?37qOJEO!S42r;B-q z_-XJK<1kKLR-p0qn^aI4cgWjiR$L6P{ur66d>lek_9U%r2L5q24;`M(VGDwIMrKdR zCWq>Xms3AOY$&({c(e_KZMH?wfGFVBLV&*rx4?cpO5*>+N^H4eUanv)VV&Ru9IEGR zd8+Y~;uUL{J~({WqSHl?=yiu3DVNjaKJCqYa!7y9X=-eyF5F==j9)O$%L_elK<(%C zGbNlbjDG@?;&XdJmCQ)axm~L~o&cB%9#skzW4uDkZI0~(pRq~r4f_42bB7ida_Lyi z*}`)(zyAWMXoF%-w93^C4Y|&%SZ2E|TIC@6 z&R1Z1wIRzQf8uwja2dP=hU1>$ie1b6XbM?J|2Co8a<%*kSB0XdRzqu*uTi2nZ}ZrF z(wvI7xm6S1#?RKd;%%-~&ha?mM;4r*_>q=r_OcwLPrK-TF9sbUm z0`{>94SjDCt}^o21yV)u>I;Tj-Wu6&!y+c7h|Ek@R8#W zAFa>J7=iV@F+NfssyKY421Ju zkopI6%sZ;^3aJAxM%Oy=v0^s(7%c4MNcp39%J1+i(f`3j|96u9e@nut{#VXtaN7O< zgBji+Swb-0QL$A2t58o$B--6ukNalT$paC;zsk8w^gZwg_Gk+3{jD$ z*+~>A*F;3+wFM`ugz zZ9F+glCtpRG)e0+vPRMYp6va%Ds-e8AB*tE+2+ zX|3`l!H-}YT%;Tpa61Zd0 z_js95EN@?|$Z#PA;L))P!FGGKVpPjEn%=kYwpVqh3uU+4qRHIItsAN>_LfW0t+sfb z{4D%=PICT5`dn6Zsx@o`LdxV^^!^TuUQp@TSTtP{w6N$lNpZ5I81|o&1s=WrCcjzF zU5TGPfBnc2!>?NE5WoM5X)uiZivd}=C9<+UkSF56vp}SOY*ExFaE6X1M3qoG(p^tHrUvh&x)Rmj!BPxdT6#f z5oi?m$XDBlvks{9e&_0;`_+lT@NDZqUtQpH_Lq9ttV3}R#Z5S3h(Yeuk22)+}q&m5eFb&@ZXItT|Kb&@ZXT4=fQX^T+ml`32jzlqexf~b>xsXuMXAq91wFO<5O z$s5D$V+bdIBqpj$q10nkbUD)(O6{zE%b7ls%CM4Bhx$UPM_DdeqK8s%SK(xXIglGH zJpHfI=rW)Vc91sjdx|xn_9)jWxY+fPYp_zcNg0APD!Er#xy%+XL!Hkfz&)00t_5qf zT=Q^6=PG=a^RVE{{*5`R66S}BvBIce11cJF9wFG)CSkOSUkDJV6&3f!(c&6as8_RW zv>2wGPvbPRn2ht*l;qV`*3Rgvho`zNMCr~E39uNOJHf(>R60`A<5aw$Bm?P4RjvRo z(LSB7ho`Xiyh6Cqpop4VEjy!I5XrN657r(dd!Pktr(Vcfl%-UXp8Jh#thhKRml++Q z&x*)T{cQEN{HGP_vv!EP(Zz~k?G)^5qmZ~e*~d7<+H+p>z^atw(d5UGL}leFZ;h>< zgx}}}V^z*NLL^0(+w(^Jen(3}EKN2}#}K{$`gofSzbD>i7b>pmyk^-<0jaU%a! zp`=B+Dc?6G5G|6XT+iTwCXS9|v$19I2ZgCX5@HkLKQ5*f+IElqFU`F%**0cj;na?#Ej;8G%9Z-G5w$1)s+oD8^nyNHxYgNk0 zk=r2S(u7qh$49rcn}0o5%ABgMVH2#IbCOJ(T&<#2^ohIlfb_Z?~0AIM^wC-k_@d~sa$`-r8a9C1bzN19Q<->X&eV5 zF&xZ}_$j}E{K(;GS)2+6tPnDcrGT1mZJ0||2@INKdTg^MeyP z63s<3-OKi{!!9AMgj!Mtob&hQNjJ#Wu`*4Vp~|lWQlG@k6OJeI_UAn0;BG=B727)A z+Xrcn;0GRyBuGN3V7-9Es9UJ#AStG8Fw#F0W08SwVkojgjuzYF%Q8tXg|%!KDbSm(U|ezpG{xdz5D&?fwIMl>Wef*NiD=(pJ5s!++O|kz7D>Xi4x% zcHdaPaVwXs(-`b9%xX7{ozD2YtZT{QF4Cjv^5KK1MKrO^n-Mhl5;@6|B?_aN+8o*N;hamRrzVTy$lmQH z2w^jGS1$>X!F{W>@*_t|d2FJT=C4x9+*m2UQO+JXy`f9fTk06#BWZQ)cc-BK7(z>X zbE^_viNkEe-$GYMaa2&w3;~=B1o+gWCi8n& zsG6DWEnATa4*NoKK@h9E*RkQ%9|zkhr}I$?SiQxK0v5|Euknpm;bHZ|zU7n{G483# ze(woZ+iA*m2QIY?lY2H$@n-N({U6ygZ^4&SFR~`eqkOsXiLyu3!+1XezcDR0F;x=R z!TWw}@xFtqxQ!(8Dl0@|Rk4~skBV*x_55XRV(e=b{ZqklvA-LXI*I+=b{0c#{7W3G z@()OVz0X2cVO6ej&c~Uz!TjwdQJ7OJ1VIyP%G$>sFRN;`Lg=B=5j^{Gza;aJBmwp>Luf( zafC$8tdJ09g(AiT8&er&GA$%FrW|I9uOt5$AF%K+7GP@R*1}E(mZh!Er5jR0F4#rE=$PQ-cLAjpS17sUG2fqNjcMSlFP>Dk1#maFH;Gs z!Ex~RST}vqBFXGN&cd`t<=TWx4UWyC{qWxr5-oU|blL9)$SIK~{EtjewEKiq95y~8 z8^F{F)#i!RA#VdHi_EmDM8e6wfHlrFsfsPxJ3~NhM{$Ny6gK>43pr=AI>eG=UpxM| z?oci?*2y0qAgkcnbMcoM@nybd#idBH4 z)&6IkU9@b-yCm3)iDEnVdhKF=G~y47eUziv7OuB1dtwuIZWj4mOg_*OnXJ3_1lm zGGBhm@96_Y_$fST2nD~-m9-IJOTPi8Ge37cAbKj5s{-EO+3(^feY}jXIgj%JcFJ7( zC8j|a#8MLVaHHEDDuvWhe4@_^-sQKgipVWsp`JOPCXm9pG`Iq&Ipm_a{c^N6Gmgm9 zj<`Zv`iM5x=iQP(kR!D;y{v4k_v=MFi?!)kw7n}8Z+{ul05v97wcAF)btxSV}Z ztZeK);ED8*=M#HJ3nS6=5X{#etE;#!L*xsg%P9Zq7o8cssVP(I}12W`%1VtCLm z(kd^PxbSHlc9uBd!6#VUnboi?9a@FP)eDzpO;(#zK2W-Zon%kX?)l6(p}Y2GAyBp; z{SFQ4WC_y8@w2?}WcVFd;And#Gfp>{N$Twl(5>sG^7X;O?kWjOwy#SHYH#RbekqB9 z6kRvIjGkz(pM;ffqTYGC)OyMsAaq5PCgorglhyyE9>gjvlzJoV3Fda1MQ<)I|SE^hRfCD=uu%`S5GJ0;sZakw;~92ZE*_TNz|c5~p&MI#E9QK>YAQqv?0 zE(KI9V-gNn#-Cvhrk{d-?4*o{Pc3H3sRiqq?Pk7c;$ZK;Ki-5~O~~liG0q@F!Ds6y}kl*&i_DV8y65GJ2 z;MslglR;R-7i}ps(+jPg3$hCT#74zL*`-)!^+%)}e{2A+NDMcv;s**LOUDaA{6N3F zUFs6LP|ru(1^Uhk#LaR1AfV`FRh&y|=6rPRePMKk<7y~!FGv>a43_ccqDw{HB{48D zvo_wSXec2?Ul(trW*ZnM@p83-H0Fr{9VR*dVGY-n{$UM!PdL1Wk^$-d zo~L=c2N`XF%3B>3mp2}9Kk1t$aD+d$3cj*`nh*a|(D4XcOS9erUpB|^Bz$#g(r%E zzjA;dw7@Spz}p?*B^J2K0iNpsU;n5|{ALIEWe0ec1s>`E-|qlFVu4TCl_=T(2l!nJ z+{qF9BnNo21>Utc5&LWh*!5VuCfPD9(Ii@XP?H1nGax#zVrL@J`3~?2(&^#C@ec4p z2l!kIJlFxg#Q|>qmx_Ic1AMmwe4L0m15fE)I=~;zSKu@Uc(en&{#6AwBZ-FSdwkxm zI6EDnRSHyirz5|AIKX{ZsnTBK0Kee?4^iNFaUOAi9&QiP9iY$JgRXOc2BMz}?(Eo} zsD2j*_?~qN{ILVv%K?5$f#bzVae&^jVm|DM`QG3}3w>^Zr#Qfk4)FKw6TaI48jE_m zqpPbOpr6}=0uIo*!uf^^lN|Y-?f@5A;H^I-n&D3l@JI{1)B(Np!)V8QU8oln1?o~(q8GvZ-xW>u?6n$0HYTkkG)la(lQ~FUtQ+tEfbHz-_n{-9(7cR@=5R@Pl`u+x{!k7JP;B{7P?4+ac>Ok)a-9{aTwY zasZ^($}$GZ>#529!@}_rz21$jY>dYkifr7;_>!LR5_EF8i*$zxaIJu zQ^zELBjdSF9hmU_)9<33odBMih@!=Pxl@o8B(}~~`}x>+WxZap!ap*9wLd~zRqXN) z&HvK>9X~l%lL2G^kk2hhKOjpJkW+xXXF-k!@=5}d2IMK6)eVWZmHpBC(=O}~=&ZLj z>1~Z%Ti;AMl%cqVl&MV{Sl2GN5RbUNge-1RGeqeDEkom1^I2(B>}DLNhn(8qpau%f zq5fM(^G#;}TlP=MeI^rT%3Mq+B;$*x|V#h-D{?Pnh@rjjZ$}{4PB9Q2rlkX zx1}M3=;|^ryjWJ}J2{xe;+QSWFA%99dNBtcOR5FiIEFJNPc(Ep3~DSsy^Xawnr*Q? zd#O;qY}+EGk_}fxP{V1NQRr@6nca>3Q8~_tD9QL-PF)w&b63PF(ARV6-6}r(9rqHsp_E`$lSeIwq+Wl_p|1&pbk=@{lU#@{C3;-O|UM#JfGN~2!K($ zBGBv@qtXTwQ-DC4V5g0N;fN9mqfT^0p_+c+RCl^wrUH6#JIUldoSnal6~06oHoSE zjz?W2sajjmp`zAPRpj>Hsx27oR=<<{H}IQF|L{-tkI)ue%7Mq)l!_Ies&s!bmZDO; zXt4yA2Dc=OwqmZfpbKy^03PTTJ(UP3WYuCPrP1>AW5#TUJFuMsr+ONT5F{I|4VD;2 zN;LgUHVnA$e2xDYZ9y8Taou2A{&Gr$y@~~^v;}p!^=NUa{=hh)x6Vw8hufIHJg`Aq zFvKmH67O@|ALAy(rE+cI65Jo)PL^8WeiQern9NJDs$seV?^2EEZ1!ZOjQ)qXAF?=~ zyA>Ds`l(9Dt%%dXuKeX%k^|)V<~?+|NBDW9jx9e z0mUL}AR-N*hp(58Sxc1V(ouT2%w1ftJaA03ziPjFA|~PCKbn$1oBuahK$6pnR`MnmzCztSz|mnv{WH#Pt|#`PPmXR`CuT zYHL%F4xr5~sor3No8l}}3ZFKpwi4@bxM8AC<4d4|U7;`1^+@$@l2{hbHrt@WO(~ ze?h(f`Bp>7NigX&#X`B_Cso-}q1p;2HhZo_QJ>!j(G(WF zeGVG0(q>mnlLQZF)5hrgHgb$RxIN9Adr)@UPC*Etw*vX|f|NY;8BbwkfMj6vZg2Rk zi%?~`xTPUYt2{sg>U_j^V#0%y2j)|3C3EAJc%oz|yqdegx>?MBf*Mb>T!Xu+gnXA- zDee>mXRfjA^McL?G_IvPo;)s`#vV=ka&d@~tRj>yM!zDYvRkF3EpS(LV7%o1s^=cW zW?5QbXzc99Mpd@H%v|lumb;9b)K$j|jZx!a7imT9^h#V+$$_1b(ZJfLv{&Z9ciY$e z8EPJJ6V%!MY$#$pw_{@d3m#y6w+2#*s{YiFU{S^9D_p8qdvmpSR;zT2_R#7iO6zX& zg?qj~HPtn!ExVxs`d~aRCFL_yeahzOM%hC=kMsPC=OvyudEVz)!UO+M_BjsD`C_gFJud`6thdJa6!@by~KV2ZpQcGoG(_=-IL#c-ZGCYnfn_9a8B9 ztn}`+(yIg(=6Q_gA3QJca3xdOdpsZUpx#mTDbJTY*!n1g0V> z?zhsv$4b9~un+J&%JVeO^E|Kdyvy?;4;wvYpYVLa^B^swveKVT zSnREo{f*~Io@aSp;dz^95l=nON}e@5>v^{Dz$upfWRx9HP5is|_=}bPomTp@2y5^> z%<}}#GdwTz{F`SXPaV$+9w<`TH$0np7@lQ68fE(vO7m&Go!Kpb&j+Vgyx5L;ON|tx>GB#buTk|_nk=t)eO}PsXmwO+>m<8Mx;CkPf_58bo?73c-dcD? zicR-Ae{Rvex(V9MIm4-+e1)lyuTU+%L(1h(UYP4a4n*PS`%GCSf8 z_E(rm@tb zS}d>6)BuQ{@{kk`}ldQDy*%Igz({YPFq72zWKFgu#eb^zwwYJY{0Nx+c2o|4zA z^7=qtAIoclyrMR};`f}PcFb$+?=ALMNS1_UIXH93lk$2+UW??lQeNxjwIfEEaLn=A zQLnbYH``yKP7>IV*Aw!3SzZg}wL)Is$SdL?Lb&JXcI;91ccT53xlh7Z$!o5>=F6)_ zUd!dRPF^O%Q`Sv0=M1sqmD%5$?5|AC5^|2b9+%fk@_JuhP4fC$UNQw+(=SO4wxeEU ze<#>qnMEb=ee(Ldyk3;o0(mvc>nnN5Y-!DuxaO4DaYx$U@%C3{PzhZrugB!|g1p|7 z7kU#jhkPk7nJMG5Bp!2$?dZb3i+h~?l?hWKRLJX5c|9+$cjdKIUSG&dCPr&gBInNg*8U3BlE6WEJtD8?+&lKyr_`p{=9i&60`iez<= zHTABRdbj^*1OrtXVmyR-91Zbz(cbSe!9cRmn8fNcsii)}-zn5Zd9aRdN@=N2_j6ZO z7hQQF0%@X*FzVevX zU?g4SB~@u{93+{>`g5i`u*YtPczUxAh(K@pbYpd0B-KBL={VFua`1&N)IDwaR2cW_HDt@2w|p;B6ze?vVzFK}qTU@dBE==6W% z#m2OnjZ=TtU*LX^T&yqFw}M%Lt}KqU%4gzn1t*A~+EK+{C2DVQ7hbs`wJy>@t9TU- zMA?cFNg|3<uU^ zAt^#U@k$|rk@qQn_5Ys5|5f?@*ZnsMTZPNC3in?&Or=bAB2nEW!cBF)Zn=a53BOrbVj)h9-%`e`(zJ?o()k=#X-)L5&xO7m$AAh^~5hQokm@}g6<26|O%pj)*D`V}KrB%?DbhBGQSzjOTrOhP7Yq z>c@rUQa_$T-LM z{|o7jC|$O)F$LlH8H1BOSI_(J>5U!tAr)8J2YT~_iofa)=?$bt?Obr2P3R40qlRAm z|I-_5`uHPy`TqdDSto-Gq9c6+y=gv--q=0;0z~I$$0i#mEo8l#pf`@*6@2*rF1>Ly z1@y+Q{)-SDXZ5R<*?}|^rqiZ(B3=0SQ)U651j^Je( zxM3WIWI{HfR+nYr&cU67n>GDq{cy9cAA%u~rXrF{4+ukJ(U)LjQS=qrTVdOcJL~tP z%gF%lvDuS>*b=U&-W`3yf@I=>XMIw%+6s|{2cGrG(K%L#96a!>PqD1(s$>w%@5aAA zH6jaID;(w6Edu)v5sS|jb|pUFG$RGxD@GbI^Hv-_X&K}jD!6Jya8vRJMf@(#-9Ynj zU}ZUuslAkNR5s)FPylLCN|E>QEK83LRP;62%K66y0_Vo0!10726c!j&1*u$r!e(LR z&tO(8b?Iw26~hy)-QnxF3SPnZ#>Z}n1Xuq0+TD7`W@&f<)cQgrGhz`UzK+WQWC&mt zMahf-Okc-Z0JKvRO|v5<@DQ1;{UMeMV#PnHJ(3-6l9NiLv(+73mJv~FziKk4yjZjj z?jea?d};qD6Y?Dgz8np{xSke#*O~E5&-&FAEBtk&eCP)Y=xVw~#hN2ftsP+bSnE+fyH9_(F;p^AgF+i|OTgfKJ-xv{b zg<2(04+38$^^mqslHx`0OW>EMtf-|a&2yD!r02?!BLbbUPQ+H8`1!jQ<^QQVKy$On z=egE%o#%Sb4GruaA~E0Z3*Xen&QsO(+rvUFl*VGcAy*=Jqmt=pD`)pVOa$d<-=+@{h*Y3ncrS<55edY%US zDKl>Zm(>j?+Qul@cDc^|0u58(5wzmWHDLNAp2pmHCI zyW0X)^pI+=NCxrg#z?yQE>}hu=%z?3EQ`-r7im`OrFHf-a%N-`?nHA|hdSh~DM<6D zc3*>ics8v^)PQc~+OxCTmh!`BoY^(6c2=9%&Cvc;dp5Jf%!1}=DS2Z3iZCBYn9pXW z2Ah(Nufh+B0EBZdyxW5N)2DARmO}!HAOWN6b~BEfd%L*cy}|gYZbwG>0ro!Y12v<= zDUXqe%L0v-?rNB@uhG@)e~L)VEfT3MSw-q3)`hfStESBn8Jkf{>Q$t!!b?o8Lg+Wv ze^|?QlFQqyz#@WFfz$sXf$Lq(WQ~&0_Jn3_ zX;Z~?{dS45I&Y1;cHfVqjoOCMHR*Ws5h*>NEbG(H`V?88G1jMCK1MSo{fesh-UKX`~Kha)Z810m8teT%mz{Gf={7F{)RGi;&WgVSopoRdZ}@%xU+Z?IW0U-NO1(FDs3`D;XV!1^z&wpjLRgyb7;iQ- z@PN`<(w;Tyjix%YYu%3Y-qL<-UbySou+%DLI^q7)Jt>~>RR_6-Gq_#rEus#0D<(>d zwc?*5vdCp-FV0SHbh#rUlk?<$Rc!p^&w$+ePsY}9Cie10Xv8>V@2(x;ZuUuN?ir&d6=OQ+vk>(mL<4H81 z&~}OXy$vxBYw&wC==B;ThBN**@lGzfLvm zX}Yv$xkRj!8wBX;0XdBSXq6&sM%AQWtz3Z<5t+DM&v2zk=Yp-N(=)h&c1o@Q9V9`lCG`i>a@`xp$69I8SB{OOaDxa1iVTo71%^kS0 za?OnE(MS91QQpfJ$;3O8tU&cI7w-HImOp@(xUV>Wfs3~fP!GOieF zNN@{+&LP%9>&a*0YFiq26`MM837kACXrZ z!w&y(7H;_aj8@u``$bF<{#kbl!@Tt|-fn}p3_wRR3NMZ^- za>AZCJ}dW4-?eu?z9a4)!6l+O9HRGMpf?T4krMFDQs2;2*vY%GuYHPOE>}QLO*j7` zzr{Y=(t1gv$R$=B%O$w|86k>QTp|?QiBwhW%NtwF`De+|K~#%iQ~h`a54op7elE&3 zFO}q)QnIc5x@I%PU|+F6ryJ)*cPoBhB#v@O*d$>YU~2s+qby$?AQaL=CciFe`~d|? zV=ospK^e^cWJ!j3k|F#Y84gp%(9Qp3*~11Ki`g>ukUvNdkvc~41GYo>|d?QCK)fbim}Ih7l{Q0AlFy{hFS#}oh4bu^ByGg z9?dHkdk!!!7GQ7yGn7owGCACx;nE(hji^0x+xTR3bu9l(mH(iOz{k;#?Dij7Gvf9io*{R1*5@ z`QFe!-{NP@C88txWs8?%?QKxPR`bQLWazHPMnm3;sCH3biYQDoYGAs%4)rcH)^_N* z>%HOPj8jm{Y1VU>Y71b{$7O5Y;P=fH^yGFfi3|1r^85}IcD=4Gt_`K!SA{a*KvZFx zc&Si&8-EIDkUY2QQ9U)~xk1XNXQ`6v_@)vY2W_+zk&F*&7 zb-wK&I_KlpjgB$MD;f?@H2QL5T3=NMs7$47k=gE2A*TIt(~33Hnv11fb*&hbt~Zmn zD{2_&N&pTkFZUWO>g7;w3D_`9V#&ag+A18AbGyTH6@jiiTSc*IE(42N@9o*H)~dFV z&WWT29Y~t{-h|IBH74ay~5-P#qvUpb$J(u6rPJFMeZJZpm4P}CuIWGS| zZgF4MOZ@Tqvde%IM6-o@7bh8tgbUHBsxfnnI96OU2ki zGqZ2Q^b5oasd*=g&4gmw6oU`n{Xy7X#YU6)-7yf7l`NDjvo;?{qWjj5Gcuy@9m!Md zmBkmg#@aal5K2v@kRG|OYX9|8vDBf78~o@>zY&J%m%ZGVpt{C$D-%6+dKBR z!>gu>+gFPF;gL%A(vdtrvH6`%iXJwMbv|zS`$$^J9PteV&(eDPPM`IFN#DZO!9?om7|%hU!JVYYArJ-qO1tGd+j+5 zF-T~jTwO}hvbatzoBdy=6N72_M4vGR=!U==LPnE`XD&I7#wezZ9;I%@M(w_J;|$9& z&KK(1Td1I1WLsY?KU(P4EO$-5-3&71wK=kGsjT&2NupA1K!#F7r%8cp{p>NjQl$MQ zax7Ub5B@64sMR~xj}v{^O>_cwS%whD{OMF7=nc`nLe#oZGCfpYMO>W1S+hJU62_d4 zFH(Gnx!HrDK)x)8HGP)II|cHaGB&*G{i}PM~zfP&p>ah zVa=x~tsM9G_=Aes7&9p*wT9H`rLG zr3!skrIO+-XkUmJefKui$%xa(e(kB*PVB`O@rp9rd~GzxDEIX4DA#>W|HnM0Z2}WK zhC8~>{%(xV7c~Qj9}8#HPft!*L{=4DBx5SNBN2X*3J-eA`UKu6Q@LZPe=j<@ju{8} zL7N*H%=8}WV9i%@xv?|1?upz~Mp!{Z(btvmb(G-?#h=y~?egnt~1a^V<2#xJtC|xIfDO#6QU2jvmiH*zpMM+vRup z*I>HNk?k&~E=K_;RM%Y94*u!y;AQRLum2AI=XUV$@8I*=!EZkt&YZ0-0f-Gb(7Vt>M7pKP0eH8KPfR7ed8y{TRe%w@ugvZ!8g#`0l)5WIQFkjw%V&lyMX6Qz$4M4XopzKsg-qnyxP&@Z8$=OJB%KE2fN~^ z9Q{>;y=O=HK58F8&a*7mS*5AtEK7#*3xjd9c4lT#2w2#3zJf63Hl{SDJmz~#xV%`% zqY_HJrUIG2tR{dR0}!zFi(SO#fRH)&OG|=0L?j#tN>Q@hZa(ss+l6(mz*nZhf+$=q zqB$0jdcDpS;gWA%>rwh#PFo@`i3`E35(&d0CedOc(RpbLbV&@V(7erN$ZFg6mxVOYH&M zPX;V9m_Ir|I)LHq@8}zFezt##&)Dh>-Hs$>7sv79Nd?}czw~v8)A!-LvbD4-e;zX00xGQrDVfAl2hO2K0_RJ)N#n}wtawd~ zMsqI2#W!{n?Ao1gVlVXy5haAqp!FjcT0hxeP`Ak#%c2nre?~5`uwKchVhe*za~soL zW$hw?EtFRveZnGF(u9RBj_(aT8D9M^(6D#&ObVO3lwpF@4@uItX6(;(rXGP+Jj>0T zeImMN(< z?G!rli1hLU%5xd%}Jx>e!RwWjfjMxUsqkx#AM2f&0cM=)KIGrJHj>Bx2qoV zAvr|TTDd0BLDXrAXu6r{zL4C*Wu+I~o)ox%)ntlVO-icEN!|Dr0BJ66ZJ0|eU@1VW^R|&y;BM-J2tE2y5zT*OP z<5QXMz7WM7W$9AHWm1q};cdr*eAY#Q_4CCd?-nVs+@bZ3a<0X>*mdYOXi9V5ny6MS zf?STO_G1p}=f5*FqhDoPAj*st?pmaWQm1ugab3411t;e(ryzG2n2zLChEUUBMEIbI zaXr41GJM7ajAu^D(vAKeA_WV#bd!=`HnVd9IS1~+Y-Z=a@@t9+fV(4)7WtyAV1t`F zA+f(e*}hjN6c(p~+K#5GVSRI}fb#^BZ}ULZ2YV$-QcsORY;*?|g| zWuRRdJgyJ&scv6T$-EV4^S_E4Iun!MFj|t)T{(IB@oe7Ddr^0Vu4!FJ5!yUz%Yyx0 zwBze#`5pKoxL+$aQePw`qvz5qb0B~{drRs@H);1`qw{6B0=+6*no{q@Lz;y9bP?k{ zR@)Ix0k@I*AhF~grX-(SPGqI4Rn8#0C|YF$VI@;H97`9*Gu0|b3$W4#wven7crn0; z^Z}mdO=*?8VPlCR>S&8ty3H0SRu8RGR5K!d?O1ma3t5b+qE@+!dBzr*C!HrC$d?UT zBOtkq&o0ZrH|an}LEjemHwvCq92%Oa-V*|-wE#YvH=d%H`i#89%P~G{ve;2UD%1K# zF3ktNHl=RkXQuK^k|wnYktM^WOuLTuWY-+2|!Z#(OwoF&?#I z{6=?~b8L8>18%427x+y!e6@m?tjnt{XHV);GW1YTQ@6l${#At5Dqf+Q%C3gos}@SV z`U+Wf`SqIGmr!0STsB{KO@)ntoI;O<*T~;(iG(+rlQziM8s%meK!Q!#%uK&kYS8~j zS#xQX|0YGoIX#9;e=sNQC3@i@ z=@$btz;opXObmz7*J~B`^~9xwIRJjT#Yf{TLe49{`ZJVWPHu30o!`baDu8L0-@ zL<$A(n^HG-0U`N|C_RMK(tH9w!Tt)Q%FU7}B`pXX(N# zb`rga

    2-|0{VRD_B=93zlY-DY>sZBKN^Mr6=G=D7A$ej-anoWoy}GevEDd7HQt) zQ_$e=tS0Z#Mz>!s%W*ZBpIN~7`Jjf?5ZGKD-RexRh;kNg>Z}G=t61_8xpiaYPmd(U z_N!%j-(5|x}vW-t1IKnl6fl`sinHoP@M=ef%zfz8~T=7S4g>JaNXI?twbr7 zP~~ST&wAmYOrD`j%x4zJn8db=lAMINjvFKpTS&5ZeJ_s)RASzdsf4EgthkZ!%B9AC zdwI_p0>5*=Q59x z)quUD+dgv#PggVM)gs~^-e38oFEulM)+&UfU0f6x4|~iW z)7Ab{CM%$mB%3U$qgsf<)W~gAMb`~-Egp00B(mY8qugPU-Voh{2SZ; zTo0spH<@sPh3|F}Zb@D6Je!|d6dqFm@R^0YGgwE53BZ@h;*1WTdK$y&uICC@72&bvV}94H+( zz=sBA@y+Lp+2q*gJFQZuqr?2$F7 zUqsH@mayBY*0{}%HLf1~NScXhel`m!C_<4Qs#FaZ)8=5V^&;6ZAAXmnP;k}kUT_zg z#I@O)2Xzq6~&_KjbBdh2@K@wL}$vmnuv#I2H`4p7Q(<*d$Erh+x zIzo`qf*q8>U=ltZ5Ww=DEs{xk38X35c6vj4~rvIt#~ zBWqQ1!@d}alJ$U+c{8_0=X#BeQ4h^1nCJ4JpobI=N@AChm~_W%%2r%3exCNwnGp5j z0sK-|*h4={Lo;(q!H1_xLwBTW3sV0Y?BMcTh+O7$98)ZZJJ3Cryf5^+WaHC{Ul*Jg zdS61>-V+BomV|D~C<#sPTAVzFXL5%5>01_`bscbq`dpWPtRA{Z;deK4& zR#GJ)5-|p4MZ_?av~_6Y^8SbA9yvEgF?=CKIl#q_gfQoA z$fGzAEb7&hX)#OeGT{jPjNnpK$Aj#)ETj8e<{7gnxsbj}orqe+`6-gIMP$Rb3Y`-2 zsTQhGgYaEW=S@Rs9Q8s_4Eoa`pfy^A!HtHh~n%b zKZb}tZpRVz+$-aHd)r!JKO42m0g^DBibdvSEgmQHl0rWWa4I~dP4dm!t!`H&4G%T* z2XD>bE)b^v((t&ZiP7O+bXQ#d5)Y|abH9fKG6EK+wY1z}zQax-b8b3=e!k)o7`quZW3drHrs+P~ z;ub1jk|beYs~?9ZJc%PTFk^rRYVw)lmqyNwER!FxN_vmPAGiPn~Lj; zB!A^)gB65fR{wv6DHDEjVv0VsJ*LD4DhT5nkUM1_9VaC+hyPu*k~ELad6mHSwQC}+ zauLMMv%npBTM;?cmNt5M7DJ$7ZK*fO_;y7?1arz;TTTUxV#WpC{6kP1GSN5XMQQZ=f z#7(g3(3aI}!NP9{Xtm6I73F$6fhUz~I4&hKi2R4a6Y4+iDHc*(f_ft^^9Hsif}Mxs zl@z&L#+KOn+hf+eq!zlD3E!pRCoS^4!!0%b$YaQSk2Ut!`5WCO;e!>BM*aDyI z0N>>R_oUSl(g@jKK~3G*C&v-J#zB^01~dHOey zB%?<$y@5^9_gIyA3Q98WIo*FE>e~L7g^g^Na-{xY2u-M@>wrOB@BI%eE2e(-wM(*O zk;S(kUuNx+94S;ZE^lG|8ehC(AycY4N;d+K;E`3uMrj3_@C=~-ucvl!wGGtnzTgis*s=L@RtKd16qM^~mArA14M|KVg)r1hh&K?m`2j-K_CV^7S^P1c*eh z;<#uXl_F+flP`Ryn>%=$N?rr%@+echTE#Ko*+qS8@Ikm)!V1U`mK_r*&2nlS*IezP zvx(4DGGFEdWutSuTyo1UkWn(voUnm?$?))ABF7DG;rPNAvJ8h<(mvap8&lFaFCijY zL<&wySn`(n+d*QAO!q+<2`mUobGNI_CNA$v%h$)2%-7wG=CmmQZH8mK8ZtBT;Xda{ zesCN6ke12Ky<&`eP&%ft5tx`;@Wdxn@*3=Tl}l#@g3usTQeh10%Ek6lRrpsf=rU^v z85%jCP0X2!)e_$0Q8FX6y)+Dw{G+4aU2Ga=Tn<)II_Zn;tNnm?TyJoF|7 zv)eWD9KXHc>Ax-6@dZ2Cu;Z@ZetwbG17{&sxjwTke_4 zAe9w)V4F7=Q5Ex-+3JtArhlj&zMB~go^7``cbC}(da4`AKDVj5Nm|pE)NM3xbgMbe zpQ;yR`j3^tO)FTUrpOOr;>CwMBF@oS%Ea%4>XE8N*^W*OV;RGl!NSY;%RHn+Y-x|l z*68tR_7vaxs5sMbGW#CbC&A7O7M`NQi`;9Sf_ISMOa?2Jt5w83hYi?J&#Q<`-8uCv z6F!~R^EnC{9^Ox8sqhSH5kpql2~lTlL<01Z2vWks-TJ}{&hLBE*gGao(--#*Or6sA zwwrI%jmhpt*M*|!J~X{?V1|pSe8_H)*eF>Jx|tu)^88-4_(Hmx#5^C#Kr_Gpr%cEe z4lm5Y$6{CmsnMaZ(I{F=keY`Ep%*a~1X@^L*|$-Tk%M70YeJ<9L#Y=Qgofq*aInoV|)~rA4I#*bYX& zXzN86a^)g)0^)Pgh5o(Rs8(i!^EPUS;)>53$$pqod``Nq4Ne`2 z!z1UHjMSn0gygAGQKVxCE$dNd!TcPb9V@oSQ?jA57*${DCgdIur)b;g{kxD7HfE@^ z{ob)n?4YE8$Z>wx(i(>rEN9@CfRL&4_QF(DJS!8poB=u|#Atj}hI}FutzsX;(#|Mq zWfb`yFK{@^TN6i$C2>RvqjT@EPC&@rOK{jYSzWz9kstyGnW>@-?}&-%oMQ zcQR0PTMf+-wN|2z3%QJvN6n9}Q^NL>Liaw3if1zvVw`c|;o~4!_}(vcIeX|z;*dHY z7b`%;eW_y}i2Fp$h(MNk|ByF4_$;BO);YAe&`E~Rg9wAX3)P4?`}R9f#2**9ZTy6$ zqE1sm^>n5AC&AJDYgTD0c}t3|RrCP~W7>XZ7q&t@EhVlDty1=WA+&EXN7VR? zh$6J+XMeL3TB=oTCOVu;&EnJK2e~}_ltOVOAb6VCjd(K?Gu!^_U@FNL7r_o>#3oS7 zjk$(`wf+aJX}VJ8V#{Bv4A6F7V?(r4!ZXlG?3IIVGAWxFH_&s}F(0OC6@#R(oEz6F zFD6<|5B63ULVzgNcjzxQp|w=m8vyDEIo0f;fhK7qz zYb(z`N~^q@g40uK{ZP|Y8ZNbc!|h~Dz`rF+Zl!X7Z@t}hY0;BJUurGDK}cRkxNZNP z;%BU;pEv@kRlG)gM;{^*?EkM{Q(YXF#8QU4O6=OJa20kqAy8II{teI{Qum0K1v&i1 z?KOV1K^YPmSS-_KD;Ym#c4IgcI14?L^T~?i6?jSnyM)KV<;_+(TSquC3QLS!eLhq;Vjil2}8MAQ*3{5}jG&DvQ`TbViQrQepy@5p)r0$%0-7dXHX zz|IAPOLUZC>PfUr>3OLxv-Js?n?$hQQ}8;}zYJd)VH*LUWr!yO*KNT@+pq=;#=Ht_ z8*k#>V8dRrV8SYD6)P;*SQ{3yV8Rk<6|Y;cn{3z}7EBOAt9aOg-D<-|STGr7{yWKQ zs_j3(@`o94`Tvan-M0T}mcJ~={a*Zow*S$VKPy?6AFbQ|A>04wxvH*5iGO$eAF=&6 z;E&>=-hB;S)CuLQR7i0?p@fOZm^=ml4PE^0Hw798;YRq7K#XS@?P?I*zTi@U*6OHs>?L;}P+#=lI zm!i=i9N{NQ)p|LZwhZRHsBsUs#vp#k_aPYV7kWBdP5*f4a!_Ts$SPC;QTGqdoJjW- z<%qOml)p<{1ghMyPnBoTC9;x7lcv&7Q*#TuBr;L_`cdQJ?8&OGVNNOXBlK=|x?qb| z44^dh*K~D!Q9|%1JCAt#yOd)1($kWRjm=G9kr(Svp3wCfo<)KhBA1!SCBJNONk(II zCG2;TCpfq(1c9#6DsPsFcmP$L zcDom=W9SHvQpxE?g(`&^AX&OhT2an1hppP%(qTD)00$e9e1=P8*{@G;yE1!JurBF(AdB;DJ>CF8uu_;Uzy=j183jp0!kP zsW_(buEYaYvv?NCa8r#_VeKn{X1b9R{kYnrZWoGtMACIT(lGYQc>z=ga7xt4{Jv3j zwrWiT`_lSht52E5thUlB9uaTO_fPs(S{H25LnAUvjm^4oR<;i$lX`i@k{Q=4Q~x5V zPkP&N%*YWTv!&+Xk(Da90dO$Q=f?W3mA@p3W>yu;5V0u0To>HW z!oNZlZaT*dmQMdd4?nG<6U9npofLQ;W%9X~TZ2tvl;fezIfdqLH|RuWA$>V9)~smv zPB35;?3!{vODw-wq4WX@iFAUP)LQR@%M(1URgB~1)_dJD(qd!*ViZ{@NvUUt~y{Vi1tM!ESkle0b0)G(IO z9UsPdD^Q2YTcePf(F`s}rm2{RVet)Alz|K79B(%b7+Frq^*%nDD0Cbx6x`Cv<`=i8 zkeh(|$S$7yI#9uXZ;NtSasynxmjZN)M_T>z%+? zkxkOl_IPe4@fI*SN%1RM#U|Wp9L0Lp9uU&M45U~pkrUdJh#HAzC;1P4#|Z9?G4cRC z@ImLY%&WhVKDCJgbk}EB{&xgH3LwKtH&U`=#K9LL39a%;HJUBT{Guv77l?r;Y8cNW zPHY&<@V%YDP)Kx2_QRij&#YoqN#voC;gXWEPP*KI!I1*ItuA_(@N!%RaXdKH2ZJkm zc(R+l-P}5^e*$z>R#owZv`rK2CMRbUPJJHOY8(($3TCx-%!o`T4QW$^UMC8%pBR}9 zV`s^O_3)61UgIa*M4fJYDhIBrsDi}>TuCGt1mOhw2`7-lv{DOHC<}D+O4tFW@8S5k z4&wxdAI1p`7fv8oW(GCuYn2)NE)AV4&K$)AjFMCsQ`r-X!8(~e6bmq_G;|9r0Nw&G z5jmdl8JagVG%IwBvaCp_)PxVeOKeDz29iSSsWlr zppNKr6QHV+U;y*jxiCCr;|*P-ru;#%DL>Cgb7d9N{+Z?%Us*^e)bh?vdBaUObEst&s8d_p5U(>A1`LA zVea3+-2b8KF7{(8U!&^G{enT5%ZTZcVcHNaFSQLiE=+7GUG%YvmJfdy;RDVlkyI2&S}3-dD>Zassn%XAFone9jym-w2BfYkI2VD z?$m&cyo#H}h*ihx$g?=(xh#}M4BNSUByrl8f7&UDT;7W1LgDSChm%$e=>*9lk~gT# zL^#y$9>!x5q_|+&G$fFb!zk?)7Nz|^#JvlARMoY|pGhVV5Ixa?#&=qy5_~{>l}OMG zOkjpilqytOwbFtVt5&KrfK@OsGswBevAM0Zwzsxadwct^*7hpm0|?K6PadLFtKtjJ zaYWHp1XS|>{`NUDnIOH_-uwUGKOfDU$3FY9_F8MN*IwIDxiLDzyR?EolX^wmc0|B! z_o*3EsF8@*LDOrYz6OL757SBg9;}b&>9YXQ8lO(>2xwxB`A&S+8RBg-Y<~o@z>7s$ zz>xn!Qwp+o+c!ezcNoHxEHJQ0>=J2>EC9c$YS<|Hj@T=`DH^Txzc@RRjSBdGrT^U= zaDC~2lML7YV!$!?SN)=a)?yg{w77Fc58V%hG0T_uoY4TU2sFT-L*NnT3L_!2<<(aL z6Ug5SnP#I0mMDP*!6^*y)ua9`c)peq9Cw6b;%rl2ncXeJB7 zT)t1RG$y|)J#XGKezpHt&tqx*l%DsP(eq|XIwJLDlg72qE{~`kPQwufXG;Dx6d|UrQ3A|8uI_Rl<{DMb*tp ze@mmt6*R%+qMCnRXY-Xc5X9HnHWd_@MCb`fL>gQh1F>#x=Whi420eBmmtouhob*YA zZXiShMLiF6vLiIBB|2FGn~wm}t($qL8b)_nsqQs67OL>t46F7hDp1pAtEkkmuq=YU zM%F~J#Pe@Z>DSb7Vxo39>NnTJX- zXCdE}stAfS^TqxDWGMfoxPQq%7WYR73($22a>$|y#I+xqg=BOOrP8pKxG5#2a`cl$ z4)U3lO3Qrtzf^V~H`;bVGY#nOK{_iqq-F>?{&!mGRW$HVX{AH?z!3SL(bEFAns)*Z z3+fv3u6LH9ZT7nN)Yp#XakoC@2iUNy*K9t-Vj)>x0R~CrKQ@a=wUS0vBaNz>B~SPu z^297B6@pc)T;i$Rs!y&R>@i-^sZs~xn9?N#-5pT^{g7l z+&7K>WVEzoUujqq)tWKlPECB+=u`uw7Tw^s$*n8+> z!kAi(JocJ(tIV8!Jjnbnn9B}{ImDQ96n5hWDGaf2#La#$O?yUDQs}N$1mxlgX2l#_ zSTT=v|G3U4#oFSExyM-Sq$Cx{YlG>s)FWRzdYRFn{oQb>_MR4a{seb2Rl&o4!`nbi z8d{9fEv({5@sPwGoje+nd1qgdg3sE(=2a#;isA7mU>io)}u91qz#vRV?WXuyaaV_MlgDb=gJtq&1JGazEo!*gM9Vj)j z6c`-UywK+z5r<=K9LNbTjZM<7Sp1rZUKTN%jF)7wae7`}9$Wp^C>~dm1R7fvc zj@%VVZ!3?a*Hjj`*KWpC5ce9ipT-xTk9F@}?K1-yMIb(Nyu&u%Vp3!VrDF30Iu&o+ zal?c_Y;G2aCb(ato)S)wgX;I^1Vv;oU^$#X77=4pT@ypa%~n%6(a@>GnKAE9Fe{SY zhlE^~e3z%mw~!~rYO*`5>=_0&tENWnou#~hS+0RNc0`s6Q>{#uAwSU&H2#cFNcQp; z@?&s7o_LwyHT@bA^j6H^B&%s;+DTYpSpc=L$XAT*op~K7OMJ{`&5&lwv`-(ZZ>Dc< zyQO#AjB7Z+Jsd%!;kS}sXm4~cemS{)bZ>rf4Xh5QPu}gV7Uig|wGoc_jx3eYCemkZ z)b1T*1`yj34~p9eW#!&M>VExZ)9+aQAaiU(B>h@>VR+iFlm9iyMQldHE+1=@3ikk5 zW7-CsAn+=c0PM`U(aHq^oURbyewuk86q%w2(98>hQPQ+1wq#`_x?yhd`%_MWp_^H% zgt-Eo&Q}40x3it_G4E`_LhLQ!4D>EH@|nb`LMVKorPY|eqGY(cSAb!67z8*F{}lHu z=;h7qBJo}h>Sry$Y;!Y^>D5xZ9|S z|L;|O;FH;E=%L`)4fOm+d067t{h7)D(@*(z?}a#vx_-A`*WYb8%>B_apCbRUzW-VM zx(}H7^^Pm)i1F)Yd=G6$iC>pgibZ^oN7rci1&?lbc|y)ubPr%52sB*d(Y+6n{=f3* z4mTYtng!r*zDM^gjTs#B_4@zsJ-Ww3Wj#E)8|fHi2ds)dUC|z@)fD_IxqsTP8-q4P zt+FA=$rU(xy+0XE)1T?UU~s0;B2qE){&$V@4_w zp?xM5e1`e5pgjjze7SU0tl_+ zJqIVRHSaJQ`KL7KR|V;So?UA9{koZ#xa{H5EojfB{#lfwKX*vMpNkU^NeZIr5Ug2^(QQzBrCP*zvcEq!wx2&&f$`bH0!cuJ zTkJu=Z}n{swfp&V58%f&(l}VSmX=ulOTD>;P!Bmmd~fbMR%RybL)M7%1C>eM+&6e; zw8g#oKEeJKm~?J7(()(P+)G4E*!NrI?fM!w)w`Bj$o?1A3<~sZ(L>aJ^yjiD^7`({W0Lx-vmt+ad*QQO7_9V+;Jm@b{vT%>-?zBy$Uo{DUb<$fdiHO+sjiVt-okQ7AiPWowp| zjSOR@TB10?IPZEsZT~;EPu(0aTiK^3as3bMQyA)hBivQMZcp=+j-Du5r*MGHsCCZM z>6cdaK3I_fn&uA{hH>ha{ftxMYx~)!)?l1^Kel`y#;IM&FOnV9%AOMRQA)jxQwmycx?0nA!6;RQQ7YGBj8ZY*D76ox6hR@rNqski zD;T9p?9-OEE@|FBt4ZoYI&=V&ROg=!j~57yUiUvUN%f|3nWR1^lhobNvP@FR_hpaT z5tt*iwR?mC%7N`snu>wG$tGs^-OniXxIa{TPYYH=W0b1)4NQ}bq3F~^j$j;OKxK>) z)FboD;@VgyBUJDy2L&2q)L+_Whudach4o5CCyY^}WQ4*PHA+S)j8TN@6pT?P?e;c{ zGL11Ry*;o+^?AlV&pR8|s4n-gL}@Q-sU^xYka)E`^&pWC{@4tMU+(v*9;ZaLm!}Ze z1{QW>k7V%!VUK45PmFy^8!!#b{$H|BO*ZufmZl#Afqy+8>_6yfVwd2Q)5*(3v&h5_TOc4633VYO^d(eSX)`y* zHKyXFdk+q1V!r~GpfT6N!tUcb?wqdZLct83tSSg69Ge^vcc#}mhwNGoZ<3eamnX&W zpzyJA`)z-s>s|H-e~K%yqq&qZ4Tbt5ajSQXSLC8wn+_H*W=RZc!e85bCvO}CeUx4zb9cIs9 zyH9WT!885qv2<6(j3EgQ%tYopFC4KJHG`StU+R?gyVdS3+joKI44i(t%1{4`xJ8j`HED5ZioZrt?yKO{oW#6MXEKya{rH=YH!me`9EknhTS;^4-^2w zPG#B!E(%ivYo@b94RYDfAYK|2Osth3rS?W?Oz>@{{sKMX?~2>cDM7sNvi4nGTG|47 zgcQY>pvRv6YQ{QLW0k8~#Y^dN=zbdGP-%?g1A3H5aW~gcn1a51dK?Ws65(go88X;0U-wCSjS47nPX?`ND(gHdQuUV0$o z(_pPngSGq7U}>bzIX!5w;E(zjYU#Ou+owlA7{9P3Z$5*N6ixVt2_a$8>kc6)E|DFk z)TfO&CWHjB%k0tfLr8o}PIm~2vE+EDYK7(Hs{md&g#pHdgwUc$Prm4>G7n9ltujpC zYt^QN{t0?IRZmHm$NAgp?pPu91V{?-QPJ4ih||}st{;g5@DQ=tG%=89lh(#Jt;|?x z&|cYUdxS8f5J${O%B{Hx;RL6Rj3va34`KQZt+_9U()(_)=KUP3&CNTH=}qrG*1GjB zZqwV2f0$xrCItL~T>q+8mZQ0U3QTk_rYhEX&ENre4RmI<2R0jRG~hL|E1AQr1vaJB zo@ixX0oE;cCpmkp>@qzLu*N&upf(YuLt5<(t@ajA4sLs=IWv9uR!UmgXZUN*`bclH z9;TONh3QHwITSXKkVJ`jU-D-?5-LoWm6e(o&&A8lbaIj~N=I%?@qMbF*$mV8Bvy$T zuN!ehNne0YE^AaUa9(65E%l$JjL@g<55g z^3LRr0ZLaKzLP&z_Pab!Z|iOHx0ro)-qnGPVW2ai+FrYScU8o>9-WD8bmx+b!&)?V z_IYxUd&rMw>)t&E zD&IkByoP}9W$KhQw~WWiCZti(hV5sX(#+-ib_=uq_^CzBbsz@|GW8L}#O2*mcY?8H1Z?qOA>b`5Q zEzDQ)bNL8#)$h3OM=6q~VQ)n)5na7@exDTyj=gz-I-si!Kz?K{(e|;u-Y2XMO@$#W zpMwocpH%nd(0F`>SC$+s4!oKB4JVqdyq5MoOh1Kbr}?pIS2~3H<4?N{={=v;eF0q zbIG_bPL;x9Io@Yg_wb~IRsDk7{aMvO!>T4i3Eix!ky^%=vZ~MJhl)oHF5yup|3e-% zeMmQd;`Chu!sK{1?0ngWS?Ci%tF`fMD=X~JpW7a>8(QtnoC}}#dJ=05-73(jAzE{I zgr91O0Qh?vI$9f_=F9?BOtw;HVvv=2&3N8`GAtrJwSUNaHh4fH7En^yhPBjSUetaf zTK{6iKB@%c6wF)1IKQdf$Pn9BZ#b5Ng>2}>q^1IeV(E87294s4>t<|=<@(*iag;u_ zFW*`fTGwaGrq?1idv-1gdnX$WmX(LRG^F}0$P9k?U|;i6_PH~DS!9r<0=v%ce4jCl4e&~_6`FU6X#;qO40#O zW24wsCjZcA{aU+M5hycmv7h%T@L}+-EWQ1B@kz5L!00e#Oh3`yZ7m}3(=(*C{roKVbKD|QgHf^_OhrL7m5fm9%>KyjgMGWIw#_X4QvOAX!F?EhQ zqgQ6hO>ein?=V1$e9W`qsz`NIL>5D(Wj+a>>(|lU$UxNrp_Z8?08!H$B#!f1D6&{g z*03>8hKtf_t!!VB9bc+u!(h|fE4-a5wzIo+e@#knt1wAIOj*w*V@fBU)gK`Kxsn7EsW8E4E%Dmox8BMZuZt!V{ z1S4oaGHg#eEWr_m^;=r(CnEJ9fgiE@^*+gmFxwr`(u01rS+YpKW^EvcotnGhsGh|8 zPEX>U4t5%{jh52wbp>)U>D0}Vy@(7n-X%Qmft6<#iOy$K;|b?3#fsFKJuyOYK~&I< zl2j1jy}xSr_bVvQf+{GTfo8vgZloVkM0y|RHqk{Uo#l2+E<9L^^}Or&##&TXmQO?ruofx1B}>#0AMEPt1+jPl52_r0T(b)px)o1 zrQ(>DiiW*cdBpjT`|VHbPE4|xLWMwFvn9Ehp`^GkS-e+D2O_T2kAk97+?O0fgGvM$ zO8Nx>^xR9@yAtZr`ZxpMGGB*5 zBGf#eeckM%(Mwq^(i!tItPMwPJd=o43wqmi!ihy z@6O-ElMmOFF@=U{Cr{+2tgGu!70IJ@wYBR{;pCx^3-x|%64$K5Y}pIdkAwdRfWLJX z-!JBau1&kOGcM%!S?djc>4VGiSdhJty%SkA5M1Fg$g(J3Gu~{jVj$>^8c1Nh_ebCd zQ1}xdbJoz9eW;Z^4yYB}E|H%0mU?Zct95ff@z+z#XvJu|iMSell}NUZ+JeV@b!lwy z07;b6V6=E^I8w5$s|Jtl_P|q6Fm={FUHzwSKYmSi;5c_sxHo1CA9CELnR2M zdxo_x$Q!YWOTlic_RIZ=n*eC3e(m|0!T6KkVx!!YcK6adeTYp}N|ad6pFIi5V0IgA zX&1V!!^;cXT?;4Eur?P;DzOOu(U$A|qRykwTVdy0L3DCAM+5V0> zHR_!UAk4fQ`Up~-gn&`9i1*YtQ8y}Y*m81EBIkPsSiz(9;gR#hN0RUpMWmSBWSc3d z>?RdH^%&w9!ek_v8r9?j)0+1KpjN2vONgZ!lCV^j9WbwnUIuwhFk~;}F2PnfJ-sk@ z)7zW>Ah7>y<~U^E7T|0Scb zvL-2a5H0n`D9rX|JlR%8xdkL}8g`<3SZ~O&lJGexORdK?1He*EVx0r~>*2cf#`n1yR45nctx^?)d`O!htsuX@1*VPibr=@pe2GI}NZ+Pm!yo3>`xq_Cy=1mm-tF~(v;5{eM>9<`Sn zoR4!7150Y}L?|HgT)!hqmr<5bmRQU`*1X?qh%Bo4S4Q@FY6i{3%} z`j5SSTtaLa22n=tagI85ZfTZw(B|Gg+|Pq=kBphDfyu_Dt=r=ucBlKpCO>`fp|PCS ztsANtSJ!%^EY3E$DdzUq>&}re)oDJx)Lu^#a5&XXv8CUj4)-Fz0&)`9CZ9BquhrvW zdQ2gn4>66o_1#YfE}gA1NARR`q%s81m64E>D);$?4y1DxZM=o-;yS`$tIp}(X_OtE zU$9$ltG5THhu^)B6smr@;;Bu_PrL#t)0{}~Rdf5F+&(h)DULRaaafj8W`6!2lW~)5 znN~)oW^$i!PEE|Hj$*++%MR145mkl5 zSgLiw^o}4Y9*!58XmnA_1uk;qHW)EP7p!k1hU#Ld_p#wVoPu&Q8y(5|9R4}bPQdA` z%nsU)qqjV&PFS}-z~7itdCV`A5Vhk{QXWrpPd7G1J8h*fY@;4v z*jc8W-R}GF0wB4@lK^KR7Vm6nrWb3}jL0Zn0_Yaky^R`6J zSo(a%k3MAfESp%2R>tXEH!#_U{Enyk+EeF>sSoPN8*Q~MbJ_Zm6@hhWn zB@k{F(oK8}%-_o3%gifDTUby4jj*kF>4~w5%jz_bMyQqSwIT0>?~K{36WTDa z{MB;$lB8rZqNHV9G&|w+u=3Oi=9!|J3eTgwAb2F%NAVr;#?3RlmbzoSH8veJ(w&r7cVzdC0SI0-g!*v|&VlRFVs zB8~_kd=2Dy50{_@gHV4*T?Z|Zt6ho^)Iw$cy5Zi)Da$PcpX4Y91ga=jR#04E^=;e+ zQ5@(n%y8Fc7ArsPBYBjyDWfHK^YPde@ww4Cr{53dAf5m|(QUj4^BAl(PrQL{7suJx zz{#5X^5`?thr|QE&RB1Wq>kl@2_(Hr2XV9s9BMvGiR*s&>6J6#+;u_ z;5NXELY|4J^Gg-7=9-u=G_(#CmGd9b1&zbKi7ebvNjbh^X#mmB`4k)|Dg~|w1d_+$ z;3BKOY1|YjR3Gw^$QLHa-}|<%j2mfUAamEooPV84rxH$8(AyRw5a*uBYle2aGC4ct zLN=^)jU6`}?S0PBW*hB5XPy1nuG`pQ&HV%_j}99ho>3jOSJbbt*EekZa7GoGHE)i9 zcToZtHwNb?OZt(BFBwJXFv0sV-)j5I+Mw^XLpGXZdFisSH-y$0SQ1VeNESt~g}k2` z$Kt@^7`eH*Vpn|@hz3#pg{4w4tV!i?#q(BybY%j@4EkavC41G@kwy4D`8sK z5^9m!$@!ZJdlrE_Z^Nxp>*MzGZfd09F^lU}?p0hX?X(Ga+r^XDoL zeZmUh+UrS5Eyh3ctK5>q_)C3-Un_{s^3T;}KBj1GijjOS-Z|P(7r#RoF~@I>o@jpU z(IA#qs|#75MmHk^WY=+i$bN^3t)DOck0FH(-7Fy+lLy0DeXo4n=83~F9fs*JOoxL= zoLRfq0jM@LQIMa+w~#*&IhNgysN$cGJLhdNM7Nq;*(_^hs=xh5gUXU8KLR2cERlsn z4E0WaeTKSFPv)%BXDb^vaw_!L)5ny#u@oJgwAEgzV??!0{xy4zF^jt`xlozpjy6*N zLq_Pf`>YwH+~002u2!XKD*r>Muc0eqZ!x|KBbLSNvu`|;yyg9G6nHDI+!>c*x*HwgSQ=^DO567H?&sS-@Kj&BvUD8Y5(bITQH3cSIr%3l)cy6q5`SmqC z?90V;i;I4sE#}O0B|~=x^r8YSP~WF9_q(TXU`FM;XMk<|^MTV@`Al%{Z89V^{0Z*e zUWd_J1IY)twK5{$h8_7sz~9j7*s#-n&C?q$;82*mxZo^|SjE{6SES{xf6M(#l8>?2 zrZzFEB#+$>@o;dE!ep-X&olNL=j@4kcl1maZ=GV_Svih-xM`U=FrXMextModLAFL^ z_#c{ZPCSm1TCH(pCRq$%300g+YGuyjb0X-8Bus?1ia*wxyI!rirm*|@Z<&{fgJg7A z{^19?)INKyy$P;^`K)qNLp{#>HOh-l0=#fEcU34-|6IObG?cfu)Vqx@S);btTUv8< zw^E>g-4uaUL4WM2h%~O5zQuaz{aA%$OO}`#ck^%~+^mQ>$6imxE!IPm>yR19bYML+ zGCU=U3_@PjE$ZSBp7cuKS$5l|VEVEinq>MH`S9Kfe-V%o`DXQ*KRtRX!KR(x~ zyn2W~Wmq~zKTO#`$x7sU?uY_~V+4xkz#haR$|;5qHHTvrdic;qed9W6??aUtYdsX{ zHHFVFh=)4e)+uG!)mU2BU z%E9T8`ZfRc1V5#vx90?p6*Pvpe;?*gaA9WIM+UV2YG#%*(>ZVwMm3=z^f2oq@Np7L z7|TVg`YDcanl<-2Y6n5SY&ys$2%$!R6f=uRarNYGq*wz|FuctXM4j#d9;xpUhUOb= z9Lex}(^p}HheSg={sD%N9~6q&Z-fX6bjCd_7@_shrC=`OvmSb43MRjKn$}!6UKm@I zKQ=OIGqxJW7W8CpJ5{4DA45jBA;|E;vbCP~>}6i_WO`LB#BThz+^M@Y z_o%5^h3aTpYh&q9sJp9~e^XZ_Na*F*sB=Pm5{qyDX8v!drj|0l1G#aVX?8@7=>^GY zOzR`Wvs%h|?sq5GR7Ee6vw4~VJ)U+w%pZR9TATc4*jS1@J!h3!;YyQ`c6TbPeQHK2 z%s*H1w1zsSMAEavKsZZ@{9n17RaH2z-pVTLdA^g@XOYtJxmX3!^zOEw^DGO1F7g4K zYkCA%d~Esl3ik;zV+h8IiO@+sAf{P2LHlygTk11udbzeLB`6Ev>xmNIQ9#I&pLd_3Qv2^Z!KJB4$Mif z#DccESERA?=6`K?(NjF*qtYzR)-^gs(tWLC8eJ8m^G>7ykH6B_aQYXxGknH+Xd|TA zk0VBYdJ1G0TA$!3P*_zLt1@{>DP}#i9`d+|Z==zVS-q{yv-+4v9DEw(IE{zGtR5Yy z=RJX&bT&y^e%Bo-QIX##2;TJ0&=V?%U2dAf6PRc-`HAIoQWxe>_A`#8I5 z*2`FiFokp_H@p8?ZwyS;G*foz%JkJ$p-YW@$sWFZkQnOlKl8J6;$KH%=pY3^Q9g5w z{=NAewT}Kh{*m<*Svn0Hj}~u++JpmXyL%Q<17L^_U*Xu{7n3JAU#d#)*=OA*9EqiG zs>XIipoft`QO7P^LHF2Z%*s=B>E$b9IskUgP=R%3LkwBh9f$t!V_b4e%sC4;;!GBq zx7=$kK(ZKF^$E~GoHw1pY-UDfIwg^J@q)m1gD4^yxZy>&1;axA`^KIyOt!5y_jSQT zC_R!)VgE)am;MC#Q1+c(KhO_z=;8F>F@NULr-iSt3I-(w{T{*~bp#+I<4H8{oH}pA8aYN!B3B z%);ET!yG7v^wb|_+MfjN$-1iK_izsOPku8t>7|5I_tI9*#gR$wxG(yugtaJr&L3kO z(As}6Cb;Yl#Fg^d$7-h)eMcmqY|J^sedR2flv&WNmiI{6N-?iS$Rc zkovh8MpbOmWp({Y%#{CW^MiOJsljjf1vUCxa7X&ff7vLNm_~=V-~M!?ebwl1^7k-* z>LWTk#g{(4hxr2AnDKM?1`@1^JZ2uISJjY#rbM1uy1~rtEAGLjVDfpH{@&|lP>dKn z2IF@c+o1A!>+`p|KleWTl2LTrWrZ(R@yBM|VD^28>A&!?^y%&kRj z^}tyMklg(X35|5`y%yXwr-coNKe=~toBpWZnzCVfc+17BRpx0EnLks`z}Tc{U4OGJx253?Pi|O4**-s# zerj~nN!ttRsnpd-prX!sdkBb!I@OP3_^eyshvOPc>sl7h#S`yq7U3lOE^C85xLm_F_pYq2x1V9=>Dzjna*EPkH*#GF<4o$vhjlXDBlkzfK)mLx z)}hn%B3Tz%qHb{xx0U%GoWpdjrD2B~qj%m^pZ~Hav6q$U0}w87n%zGLU@z~&ws`IRW9XMa z9&h|4d3d4zN_XV3U0o`zSMZt1@1Be*`U=tQK@Te3&r<@fGln0_48U8EJ7M3uS_NjmzH}In7wJ8Bx=(u9 zHt;5zn*@JYq9Xa}@kyMG>I0F@d#^<8*Ie5m8IZ}mq*dO^C{C#dBr&DFq|f7XJ~7Zd zA>o`A@Vs{krf`n{-jX0*G0i_<%Cb;La-5qtyD4I?iljg8mCBe{r~J+f93M)a@??}w zQl-1^Xr2T|xtY_o`Ifn-E^EGe70F#zryKA!w{*w`Abn4E`7@7IbT-1gMlT#R%+I zk#YRNm@tV*Wq&@BCt!X{@9k%O|9gNny|-8DHDebnDUy0=|8X>RG7kTgGX_4nv+Qt& z?R^P(hQJH6KY+wy?pI_w3-I2h^7y2eW9g=sL@pqoKaWXbNWw1}M{XB2^b`U)M_Q^Q8-z`P(X7lA~SMerY zdG|aJ+#7H3_g%LV4ADU+*m927j)a1JEjYo|{h&oIlT}NH8w}d+4mdR!Aik~t&;acf zgxR##zt+m(l-8m-T3r*3>yw<<(m@Y*_q*jQ{Oy(68dK2gz;tI5wwK z%R_79#=reK^@JkW4Xuo^AA3rO?fyHq9>8N|6n=_`%4w5jCRUYN54JL2;VCV%KIT_; z>+Z(>K59tX4WV9)8j>2Co?TPUVaStM<-)5cej8n_aauL`RGnrHLzz~c+SS=yMepFR z%|@a^MfY^#z~AIt%jk{HPxIFYY?m1GWxkZ{lGB*AOkf^ZNFjOaIi=ZtPVD%4{`mIs zI97b&tlUZd>dn%96Oh!vM4QEBdP?x{aUO~vXW!%kP>CO&i^JN0Vzp`MK+D9k$0VL@ zh?+$~?z!Yt^<+}q!BYpP>|?q#8Ne=EsgnQET@qOGSno5fT(mm}KeF=VG9=av9;mLR zgViQ7;s9B|#8L+G)MIj>zBb+w54*-%v{*A6OsPAD%GHPb9NrZ`U?$-HSee-a7Fi}} zN0w+wic1pS-eiVoJg3^`DiLQA*5m?)vTl`NDBB~q?{qJg#W>g65zCEd`N0g#62zg4 zi`CoF2<{j`CviXq1?-mgK$5_1V`=org)M72 zbb6r@ZaA|R>KTTlmiu*4#RUz8>)cro2ylT^I5`yFo_;-)x;!F*5cAa)aU`Z{AbYda z?DVX~obQ~P7)P(hWjl=LJ2X};pDb|$mY)A6Q*+ssX3_55IZ}WqOW!o)lNsUk$RYR* zws`vj2JKEcoVDZ5sM3LKcRd!}{2f9vZzXiWIg0IZdQcsk6wYl0=IDJZng!Bo5plZX z^8qY5=Q4qX?u+sCww*diQ91cxBp3ZlC7zMfF6GC_3-3Yb`9V!rB!@JemOQoT^yI*% zQZ2f*a4PS!P3L0dHfUIv zKaI|vMdyYzo!*{2h2EV^?@nkMEPmjhRanx$8uc%-D?GL;xwf=}e~l3F7(r$G2OX3X z(prk?5SOA8&6Z_4=8xz~z0H>K13lI%{LAZoN|y({p~H)?W(eC2O}QC^-ZK$E*0kNy zH8}Y>aQzdLjNz?N)8NzxoN7}ZtKaAT>^U%`T{!Mz=)1XNUy9?fkU0qRfvr*fnDzH zphSLCeA4E)6MfeA>bE+RpRJX#Iy;EoFfOI-HpJqz-U+dY6x zwv>#{K|~G6DElyQqT0i#R{E>G$M_*U<;DjsSKSJB+VA<#{!9-_**|2DwSZlv#HjcJ zBATkM>apjCjJ%L!llkuQB>w(k=H5LT-vEersdpF`@^9T#<@V;1FZ0jpUXd$2J9DD{ ztRrB2!4E2_{fU1*H=!|qGbRFMbem_k8SPzsM0I8Doc9MDT2af+TB~J6BWK9&1Rl;; zIL!Io*s%UPYX20&J^EL{C>LI!^~i*OKVcp;ZEoh*J!j88#iL6qUX#2I78kQ8pg&Hm zX5|`40j*%Q`bE_Cf6mg6GZH7XJ!)vOsbOPFc1LRDly)ca!>U;01=ZJ2Av9^!j6-5h zc*jC|kZx+POwNu~T!57#@m#Yris&+&m5u9XJSCe&%no+ctt?hR3{lCa4F~#kQy%-- zhwfrhd;~I7LsuX|<$t?tc}0rc1f!~)aFrc>t~tL(Po61x;!W>fXNv1FCjQ`PaeG$- z2VzFX>HI4b0%0$ys7GPvo! zez_RgZg2~csftgLMYA29Srqav_0PIvMSRl7f%^?-qLr0EopAo3isR`|Kp`FNhgMaB zLr8rFi7Kq@QM`064!2I)HfC7=H+>}X&&2eRh}aBk-j^wanbAk0_jU#D#WhyuE!rxg z(bUh1Mk9nqyHZydaKM^-o9YoF9VQK}fJg*2>-hnYX|=OJ0EjtRO;xN@!eNZ!Yec{B3GWt8gKqVR8N zDgGg1bm`%5OCIKLFC4txSuR~5f46GedaFS!{^}G|IneE*Yqv zfY(tZgz5=wKQX7kyT6RScgzC z!h(xI3FjR5ZgRBX&3be| zJxkGxsblkT$qzkXc8F=ssH3-qRD#BsQx5ozzrLR<*DzGBzn|s&%3(_ebTW zr>hN6^XcPgmwHHEH6cVclu%Q1vI@B-D zQ%JSMFzy=USi|9`0~*$iu$G1!mOp-kM5@q=SmS%C9odZvpEch_mND5~39DtdGos2F zQysCNN_*v4{+V7os~va=PMG@e1OCS3O^)Gpv=6=7+*G?A;DE73MA*YR%| zQN#(?B^r05tU4yY0^u1oge|``v+Sfv5 z#-f?stx?*q#Owdcl)K~4;l1Sg&g2T^1w;WsuebuhL*Knewl$qxmi#s((e6G9Wz6?sfd7&{c4LLQ3cTM6B`W1s=9^T0p>*F7AUoxwQbYN9VyCkJ#k*MOsF zZ!J}wBeduNJB|fdg#h|-zuCXm^FoJkxBHZV47s}6dsX5c^t`M?2FcFK8Hd)Ft(W=K zxk$iejke||=<_3GRo9jkNP8Z%X<}z@*StB$F5)8=UzXkme^->@jm(mJ4J<;-Zrtuj zPG;w~)6ACrSS{+^MaFsQG~-$cH}ii7|Idjvu83KQ^%1AJf22ZI0dpH`+;sgh-K%rL zu6(l^96dJAUvClvFp5#9dpwPG7ei0AR;G+ShxQU5KBaE zO7E_i(w>}-+OU5lvOEUG_+xTe+3#RAzFSC;?P#{{Se<`LBD3uMIUYh52%_oTmX-Ob zsr!)BcTgabzoro0rFTs1tUJm7T-ky?zdCROpEbBRi6Wo5mHIagPC=bH=DklQ6%u-B+JF2n6>-FiH4?T)Ux)xSx` zvAn#I?CZxqD;hWG9z*NYcN*G))xO`#{vdAs@on#Qp5u5C&)gNWSDO%|?)%L~9UqfB z^(1pxW`aPt`VYK6@=e1IveP$PKUi%dg@d~vulBSjBe?s~YU5rF?tZx1o6-I5j@8~( z-FNiqQtkqMMDx2-@ntack77)1?iMy*DvjUUHEtL32fWvkCm8y?Ms^5h5(6~2ILzH@ zUP~w^7Mi(npYb28cScs7(o&U|y>0*XR1W*7&Ns1_%oNOQBN(%Q$bVqxWOGW`MwB+u zwv%PscCx0Z(~PT@b-kqx&$?(JJ#%82Gj^ao{%2O^K|OGms1|nuM&aPvZn@Vza)e&B zwkc#L>U?)XKiZS7N!d%H4-+1VvIOWI9qa z*qCDfWkjz3uxS16`sIx)?I)}eFGQWz+f0_GpNrTXHi`g?ElzljP;?>BqBz~7jaUB6 zx>b>582wKf|1)&xHZ5j5ixaz9_-ET9rF}bjOeMsUXhB{-zj(8}p&qMicp=0JohQ948kv4KCvWK6` zIX8`d#he@EOlPA6^FafPYk%LYRZ-gWtTs|Ow7JLrQD*)WK>o^1DKRD<2gZ64q69yy zT~$*tY8nCd*%#xv7T3zGGhH3ZDjQ4Zv74@TrygOJ)o$B+cl}GKUk1_43ciXH*U^<6 z#*d6@5B4(a4=B7F+34T6yC4|um^Ai8D|0LmzygY@HxnSjVp|r{RsPRSP_OKU{L#|y z7Zhe^u1P|god_{$$3HRyB2kIZ;QJd&u*G`ZKL=F^Lc+if?>ScIsHO%OJth=&#{bN@ zkv$uYSQ777RQc<4GvQn{1n{sL=c}=w4Wqr*+!VIizdrre3vy?j5KMS{!#g9LSu8`oeS)_0LTmHEO=za>8NaowD))gbhCY<6Jy)RIqJMJ(H=09LLat5>xQ4lWiX@J(<#QH5kz-`J#=j0{osPvpEt|4$xu9-LQFUuJg_0}!`4U)W?w(hF@G;c zC9j3zpTacjaeJds%~}i23G|)=aEdO|?ioIsv(hz^gfn7htLWoIrtM2C(FuZYiWoGa z6oV=#!R8wHN{optL8Rjc2$7I1^*r@V!IuN}&~_1LuOg?fcRx=|OhH3OdibJi1{L=D z^k-;R_EnZjv)8B6+-~GH=2Y(NQ;!!M^FPMsD?3=y;d&T}oyuo;0UGwUZv7YFht72S zd7!KDVh=x>8m{`=PKz2Qu}GYK4`tnx%IS}I-wG>pp<#)Ye^VX8(n?QPqNOkSZ~kIx zKkX`hXpqcFBlsDxQcvy5%k+~Q!8&Ss(qhWelX=q(<>}%0h1kiFp~tUhUl2iVDYlZZ zeYknId&}p_-BT~+l(>WXo^aQ$P<*AnUBWj#s)g?pF7-Z6Kt*!cz`&A&H2Lm@2tO=);X9O-j|cYmr$Ebg{f_ zH!y5zQ?P|SVVe7P+{ng&3(|Ysy!00?-=Y%_y@V41c}apY`+2H>V%H;^cR>r~dxBa! ztxT5bUHXA2Q$;}`Ui~yUtb0^+{^jgPUY0= zv8v-ez@XiMZr3)S3?UJk#}0^QNmX#{eQam^8ADxDUe>Sts1J4x-pa*sy2HP<^6yA0J4I$7+w&WqGYvM+fcyORf9{Y493d56o zk%5C6eoYNUwsXE|xO%7cr?c;(pq1_H6R@4z&Fx}tgT-zZy-p85q}bDIO@T!!5BXeS z?ta6a_ttJOq8#rElO{1>oVfhraTi4{xHu6Xr#H4RYDFJUp4BPs#j;34I$R*Q_mt_d zVWSCO^KLTS6X9ak&z8zQUGcqU_t}?&m7#?_NL$SaTdEC^#`D(~2`(p2bt9?V@E>sflT~R)C|UooUv^!4i7fMFO7K-X zi@#g>(Y`Kk4;?D-0PhC?;Q;dQeBc3~WA?21>}6>~@SVx{?Oc+-EKpPViTYwNaTE(F zj9_v(92Q4SKkL@>{VO7D&eqkE+TWXaoMeALOlaA^f84cfA$qX;AXj)0=Y!KN^J7-D z^2sNqKO%+ME%V(k0{OsKdWivj;Lf+lFD`P7IP^Z$J@zCdf(4SwRT2A}wDmyVTK68S zyv$gHSGukYs6lXmEFb#^2W)}sAe13cPoN?!0(CgmmzDLQp9j;=N80xgF*%f5c_$B2 zoDXtpVKFY0eBB?>{be#ANtmf-lg_ZpkFOjAguW%l>_=TBoZ+>T29-^A6bOX)pE|<3 z7g$-h-NWBj=X_c8Ge6}TXv~l2X4JSx9&Au5^;dK5qCNi3wsQwtnR$So4PB3x!zcF~sdo6D@ZLQM=R;$IIjU z?4Je@&Jq=O%MXDGV(Vp??kLR77^`Tv!#!8m&iD?^R4!V6LUFoE`)5=i_xMqvGQTS- zPXHdsGT;5}@j}%P+17e3+gkHLj}_A@KXD#&7JbJ`uPa6x2VA0(LMsP1z-5sM>QRhK*mTtA-n*k#h zrr4<6g3Q;$n}UL;E+dL#;c78V3Y}zO{@JLrMBkf)poHEsKINPpzp&VaKl{As%wiYn zxyt`6z=t?q|M|XG+?)`b3^$#ddW-cs>YO(1mnRdyit?tkImYcRhVXcAdMk-(6HgHg zgihH%8SWYtFxG^;A9Lr!d1fu@3dE}i_DZykJn3nB_^YjqWw?D$1aZf`Yg!RJl22)( zMIT~V73?ivH;^4SHa8!Y9ASZv_Pyq<1OIZPt|4tq)ri>R#vCG zsGy2@Np7S{cDCHP6>FzgE`7|W=Ck^W-4fzjIscu?vU52W;{;xE`#s%`2qmoO`(Cw? zWk!IY0lr<&i6!40Qi^k@zD;{YeEU-Fn3D|7LC?au0%}>AUov8l@7Q{Z`^b0wYXmbb zG|C=pvpBi?u7c1FYq+`m+*rk|#qN?90)~W@`AyTC@r%t0lbbozoo4JZa1ywPzjR3K za34P2fPlvjs#Z8i1$#NSyZ<^oROVD3J#kQ(zXHYW%gHZtB@T%eNU^0oVvny9DI$6^ z;uiLTj%P<^%aEed7_2cK(^I;}yz4%s06=@?{!2{TFPKNXHGL9|r&yVj=)R%_2mOtu z{YZ@^H~gfJ2WpE~8zkqhz+_n13TwS@UiU6}y{Y8&C4V!o-H*DzexT&_Qy-Yu>q=f< zS@ODmmwElWlGoEqUN`!$os!p=l)UbTP||H;ZXfR(WeS^YuXPDRC<#(iXfp$BXMkp1 zd5(=}tiThsR)2%@NCl8RB1o2dd9%@buzI|+>`n?40;H5{phjDpd?}j?#4hR!_Ncoz z)`-`wDuKSKzWnDh-Mo>!0cQ>_pIx&r_rsx*$6z8oaJVz_l?hc*7z*x_*YiiVcL%Cq zk-KSNAxPyh=fbM&x?5hA%j7D!R-OoVHWhDoFVoGB7-t`M&Wm)8s$xR1v6DK4Co$HPi^U9G^WTb1fnAu3lh zhzN+%;;CVqZShmpRdgCnC-bq(dNrmHm$S;Fdaj z$$Wcz&aCq1 z=!znDnj$U1Uft|(xOL2XR&~9LbsFcnDXknPp-$ZCsu!1yFrF&p<8^X!$I}Np_jfMH z1+6)kkHjGKU8YQh%-r$ZxZYt#ZA`l+J?tj z6PWlNtKY>P|Iw_QX~Dyp`ejK;9um(jY2zA!>M?BW5_HtNT+3QxDn$5dSm$nhLql26 zLAiMDK}ls=gf2S?1Tm!DMa*XpA~*g8u^~~nQ#tDI1BFTi@Kp}ul8oO(M8fS(=SKh1 z!@I^8xZUBVz;9vI;&xT$?%%lc4(1!IzLKKZT`~BreUOM)f=EOe9O$}OgW?M=g2ie1 z>Pw*l6ZGUyZM!j4ws`K>$3S)?ks>|iVD=~XhF@W8@19tqTRY6eN{=o~?7!i3?K!c+ zAttw)$pwX&-amWC&<8)>OFfy-?k(@Tv<&7~S!=!98AOrRd<+!5@y14sqk9)wS!_34 zmB+2DPFd%;h>EtolOxt0%iH!|#m|Zstf|MfWH>mxZSQr-{%w0xNvmz|%y#tby%VhL zRP#|J+%y!kJMeF6@Q;FMI@8K*)%`hWG5SqdnZGc)rcsq}43PD>qi2hU7&yOZ?ox}ZUEXD$ zGIui^CDQ}fL=f2mYQU4F6ocI%J!dEo9C0c zak8Rzf?CdJDn;WF2XuwN)87ksDJTDNbefuK%okUh&#$Pn?-HN2p74LYERSJj;wDac zz5~W%E_-{X=Qma|zTWiOjQur@_9H&f*iY7IvpSJiV?Roe$h>0iQj7O|sJjj3Zsy{? z@9S=*xnu0jEP0C;zs}h2=Mnv@B@yW{>LZS)1!Aq<;k@ui2isVEzxS@-c4Or^R`w>< za$_%A{w`PM#3){zVd&4wUe2@pRvw&X;>WYCL1`a9t?Y1pb2cq7Owo?NB8wv3?>}Xh zUn?U7fM@A-ymR$V;6fx3GIIV zrA5UeTcTMF?DgJ{F-|k?7AyNzbJZ(iWiK>Wy)U-1E#|5U^d80)o`F71LlysjH!X== zZqqO1@>Tr;axwK~UozLdX-8SO zX{Sm=d5dX9?OhSHGdgJJ52kz{+PTME^`(uUaOKaJ=g^XA#NV%CWoMaEy(Sdq^IGn` zODW|n(fS(dvb#tGfE#{^mQwdo^1~T5A{*n@%G}5FOGEXS5Qs*PJ5BhQaG*2$827r8 zJV8iXg8yu@BMm^;7y@Ihb{6Oo@nbGe@8=804?uGk+{q1*O~^h}z-qmsxLPlm$}5T+ z2Q{)!hJ1r&h1S^~V4I#h2V9|9o(E}RKGwSfUPaLY&u&@?QN69srdL$4q>CGGm9vNR z&*iC~BCl#RNDy23(V#ehAk1*qb1QKrb39Eu=B*af%S=ZWn2x}ng<<^-qqaMu&cCY; zBi4|AjbU`CW*>a)C&Vb_1F51=IYrh|#L8}Gn1≦sW+dH`I2vx7sd;3RR4WFcsRp z6ZYt;SlaZ7VJuOrSXWHskr_=WZ9pAza`SoBnA>d{xtYJtT|$7yyEJC-km{L+31*zX zrVnu^HBo_7`Qw~0HtQvtK|p1PIlN&-IMUcU!J0RlaxKpIsnB5ZFBG%Z<~J+G;!K{? zMa|&;4E741X}Z1NbAg~FYyd7Alx78w!WLcHv8p`TDwgQR8NEB|eCuPl_T`LJPpXK! z>q>r)CN#B#v#=egLt5}L43Do&rI`Ngm*GP05txhj zG5Ww{$|c6I4c&LPOce>CH#KA0ipA~Lv6#RndJ8ZY`2$xV%WSiBEE&u95|^7gq0liJ zEx3^1(spCtGpy_**q_>N6hnpA{ec_rm%Amr;m>owv=!m$r&LMNbHq<`yw7joF5thj zRQ|h^H~iPV6mYcN*oRst^P=5*)GT*>&I#lRE8D8_|L#8}UwL-8?i=_X``OREZVTAl zGkG*;RU1R}&SS~r^(`f@e^~N*hW~nE$?IgvYn^UTus6i~d>k#OD@8|C`!Odyr}L|G zBe3ZZ*)1cEpRq~N-CH2D{qw{}zA>ezUNav5N4CDpFD!j#Z;+hu!ld zUyYru;wrMMceRxbi}kYYn+I%@S}I$+yR_W+j0jT@=k#ALMQ$f%=?^OC-nA3*wX?Nc z)39Q7ph-0{IpLJ$*&oe0d~mt*=;@PGfjPYu{@%-4v|(3tEScE0W?(+&^QLXj30CGD zzsUGdYwk*XKM$&#h{Q*Ez37|29>x{J%h^wLnRWK#?431LW6t+$-Dqk}z94sxKOe>N zaZ~bEN^;Cz&-Rt(hdL8=BxuGDM8kp#07WK0BtO_%bRk3N3}x0Oab9UCvkUF{qe#A_ z*oce{qFmFPj#OT<9kgq#jPY~*a3<~1$$)HWGpbzjAZ>@p9mDFojhza^uN^a}%w6pJ zs;$f%p@&^LfrmKf59M=X|9l@9L&}&B0re2N=57lfk;CrAZ8Bl83NO$b3Jf(r>&c+A zSg%NsGY|7KX5_tP=(CIUhlbyd(}p#21$}`NzU-b==))(%8Ry_9^`1m`S@Q03Kj3E5 zOyz@mY#%$t+$sOuI9*i?ze~^AsiC%)zm9Nn><@W@NzwfhqBcy_yr~#|BE?MzLIqLM z1NE)5!0+(zzPdBt4!=;fRUA8(ANL@ApRWAq&4DD4RFays!G31RA8Dv^1Fu*}g@dr0 z!Qw^xq49^J3zwam_*nMe-k)D!I85+oZ40Dr)*Xvb2NG~yDmii)1>1scZRh(cb zr@1*+=|@cW@{U*K&VY;PjwdBP=A1oBU2}%t8XHvh@Wk3^*&{ODxpy9q9{jr=-*bkj zH<|ByJdE-1L>_`vYet9qdUtc(u+BT%X<$BO`G3a;R#1~io0#16@WxTn;*B-*3Tj6fW(>v}I@a92 zU=U`&rE1wGA2gE1Acp?K(Syinu0cPnK`SRw$5H+?WPiznfPX_RzrFWl%uv;tjmgPv zd(WlQsf(;dqYcTiPxkLId-$!U#1WKOZ%XV=t|gR-5*;C)Rq`zLZmbbEcje`jyy5ru zn)-e2^(+ZYdh#ys>ssXOPQ#z`YZ^ADhhN#EF&#vl*KMz3q;1SsbsaocR|KZ4!sxx; z3^X=jvx_3C17{N)z1f=xVFpsAa?P?LIl3v%&`uk4-=tx zV$4EijGq7{`&kr#pYO9Xo zFDu)yH}tr@Va{u3o>^8l=hY{l?CM&+r#!U5 zeth}faOm+luUQ!A?G4NKRE0Je%lM|(Vv{z;tUtmu97f@z9`M#SPuO& z09)xEMzb*tX68$L|CAs|C1QW2s$k7xW3+}KGqpXcrqxd23-S9Lwcm6%o*W{d$+$YW zp&JrH7;~Q$eG3ov{O_3ol5{Wf;j?--w3d-a?O)Yp_)UZeg(MNC@{8ij$CYno;(U<3 z*St>Um27D!u~4nqH(GP29*#FwUk#gFH{^zA8q6O4tl6bRP{1&fGv4qBA=fPI$_bjh zPhPFBye+JPB7wQkOh-*LCz!#QMliwl;Q92bmPX+OQDra4)U6M0@GoWR)&@6NUo&+b z=7yl3r4lyN3>8{uP-+m}cDI$i0@^c?HQLgs?DJ#2t3{632j7y9Va_U_%1Tr9i3ZfB z`y22^8{E8YMwWfdH17U@rwW?$Orw-lFWj5W(`g}Zfhl`4G|-?f|`b!o0Xb z)YWXBc0m{3Z+Qxgwoq4FY{&NyQ#<5^eR(sGS0EXH9M`Mv+OF;?|WC#R@{LiN<{?r z#C1)MI|x5;hr)}vU6yzDFDjs!DB|wo6A(4TAJAK;QMtcfYby;N@yT^tWA;pXh(noSKXpaWkD|tXL5QUqXQ}0F6PnE|SUr4qhi^mmE zWw%`E!5~`Wh9L$jfLcF#SQ-c&3z{3K3qqlNE)V!Q2yYCU0P@UDAmhe**2^> zNwVeflAMwUM{PH~^`o|P%c54)Wq(F7Lrp3iNx%6Cue-M=iSw|1;+nczC0-@?MF$*^ zyca|m=?|~Bs#{ytb`xuk)#B#y>aPr;ui%yj!$vUh)KQTo$mC_-R*(Z=exZBJ+koqi zJT$|o0jl&%PcdWu2mbx}+#^G{e_Ou~QZDlboA>R(j3+J2LFO#MR&FHbJKJdO)5W?(U^#;rDwXH~ns{fjDB z33>8&e0n3J#<2}SK$pUgBzsLuE zei8eVi|vn;U<4Us<|(mBY};&lzbtueZsb0;Mdtdgu;0q})42+156E-?h_^_0Mvj_g zwN&K3|A67|obZr5laCd@+i7!}#Z=ta{r`SrpZrYO=T$AoyzHQsx2lk2ScKoJN_qK9 zvpZ&Dd-;F_;(yN{D>yd+XYhHD=Ic2eAMv1PgO!O$bNh~&TV+uP1kajfoIEO8bahGr z@KILS{Hr?lauqsqA;Cz`_0r#O7|UhXgG3yx|o@tFwt0yg@`H|KIzrz2}l!d;U+q?|D9-M>2ce*Sp^J zuJ^XyB^chgloG9p$N85s{945?0U;`R?-$a0Ge1$;Y~+t{3Dy%0Qfy`rIw>kl$qj75 z@%P;Q`t+P&ad>{Cq+6#@e8IMXu$5)|ye5iBgZ+$1K(oE_5w_0PMkW&+IPksXRi|-n zX0*I$13@mh2VJhTB)7ejp}dnl2P6r?#HEh+cVRcC%D4BYW_8GZTB4&t1*=u|h68V0 zkQ{!dXe=%vA63e6I>y*?cHyMt}h)WZn4xZdy%li1jV&q4<|1JS0)z5QbpF9SHi@A zTN592E@hGh1Oq;s$H=wwy9S*{nypYkMb*ze44jBH3L@m!9_wB~r{+AJOt8J1L0w!} z+Y2Msn!&tG;K6JR5Zk^Oeo7|&YIre0r}Jdaf!b#%lUK=fnPp(2KW zkl8SDs2g-(>{44iiAP#@e*iry-|dy5wELw{DxW{8eb_6VT?Cz~`vRpL#U4S2B1V_l zm+t+{2lySDhM!fxlcp7Oen_5y;~tJ|Cn*TJinLAxN2fs+kO>NS5qm@0hrn5)WSnUm zgpD6ajo717G~jkJ6lARYt!p=68r|fF z?O>sXOE49t%BbwMgn5BQEJ8tK*y+}Bpn-t3#odbWI_eD5%fNF1v8i{gKO4F3+$v}+ zQ;`*j+Se8mIuvLLXb&c(J7^yeD+X=Pp>3;a;3$JXiIfZ(F~oKC$0vcT4e$y{bz`}$ zbSj;MRki{>JHsdFmNx~35f8_bf~18m*IcwhLN4QWt03KYMF*S80V=!l+@h1WJIB?FWbm zC2nCg#iE8F-gM24)=Eg`0MF`#NXfd69(3!sBmn3PIl^13lrX~Um6}N7$Z$A7mv35q z0N|FvyaV469>rT$HTa}(`!-pH2P7sLgv{Mo1Tnh)S(KJ~U9J5Ix!jo+@kNt=8{2T^ zBEmtQ!W*KHe2t}$9E@a#W|1y-5%{%ybGT*aQ27IfsWe^-b>Em3L-w^fCHpO2`q)2n z{s&{NnI|{z8q_+|Ch@iFOZKnhz4O&Dn{dgUtAwOj{ljDOc5ytdot4iMlYos6$U3)0 zRts5Z-zomm!_{9}RMV&^vHG00=DE_mEPv>8P8V&txd%!P*P_8dwE!&CPg_WheVWaO z)BigDjrE_+zqkfk@pox4HfE}PTJdH+oc<9hfz)}P3Txsn5gT(BX*`uSjFw_!PT`}! z(du#^LrWvrzbY+pb3FbUKfY-H#_2zVtk(X!pDm>c@{BKHC!gwdolYM!>ogg^-0FUt zOf=9mAbyB#`n8iU4V0XkLd(p)h2*T+S7>dW{R3J-jJP`cMf$mkPiF7%5$j*azk$~N zSMo=WEzB?W2dfwJtF`|Hq{(TKD#O$!v8~?MUj1td^6q;b*|IFE-SvNnu18a@^?%Ip ze@yd#O!0q+EU1Mm{U0O!A0zx9rT!0*OttV(|3{JkqfmY@qIyOo|D~?N8N$5?#-*Hx zq+-vM>SjqTL?m@jB{iJ9zho)pc|Pz1o}#u>>j4#)1&0>v`(x5eU`mzn}; za<}nMYyWbJBe~>#v1XoDKY?#S?A2M>7i47*Wt!|S>^Uz4FP>~~5K4wU zN3|);iAcKUrH3%24ds%&-Wvk#CPtIjab@_UTSiPwUVa*^1s7UHDPF`=k=*4ZPEV^i zo1!2OcD^DpG@cLJZ&Ci{HqpD}yaFW&+)c?mgus5!*8jbnQTd_l$tVLEC)SihO-8lpFodU35pNF`08QPuh=wDlFVbTF@C_u77PP z2-*W9vDN}A5o=p|h?adM<>B)#=e1AkvFk;*b`?f4RBgl(so~8{vS;uBiKwY60nD}T z4!m-KhiavsWDxv9EhRB3WiZQxF++b~qSbdD&%;uRSz)VTx~K|13Z%|JaTw*ru~J+k z+liJUBazN}90&s-suk-^Usik!&{9Tl5##9E*D>^k3xYRypeJ(|WfQtf>IqK>WTObP znucXXkHGL`xp&HKoG4a1#u=3dIE!AmtF> zfE7}pg#*}_+=IMw#U_nHCVfvjU6Rbu(v6FgzTk(^=ja{}PGx^c_}18m)7U<2k-2QQ z+Ink&Tp3{dM6Jg@i*TV5N^jk%z8kSIzgW*{WW~kE7p^}1cD^JUj)`0Nd3aR+8d9tU zuqJRE>vqv8N|md4z$*1QkMhFhTotl$gt=7mHPUz0My}qnJ~u2U=1DVL$|^ZStUH%V z39iWVh~pwLV`6oT=GShF26ja%P<&S{z2yiAb}W4tVXP{Q26l!ks+P_|+L&4&PV&+P z&n5_kl_uq=0+~{e3AZY@_)P>6vX+q}{Vbd8lxz@P#E)SimnvP@pSn?koj8-1O}0DQ zi49UGWtH%}8y+@&QTdk1cER7&yiLf7ts22`CknAt1=UdLy*-(0b`tUY+-OB-Eelvn zyCz;d3JxBpDNb}cdyT{J$-l8qWs!YR1lt{BGdj7mO-cev3|bKCy!qKaz!cRY&g2%k7`Z<3Dv%Yy#WP1Efk7SMwXem-9IcHDNd8M3lgnuWl3(c8@A*PrI!`zH4qXpiW}!Wa=CD1k zqjpz&vL}6V#P*cPLVRE-YSDH~U20FhKlR~rvN7f^W20?g$(IXUCG0D5-Db@ayL8p^ z^pf9pVtVcY9nm@d+&^m;P?VavFL}IF!V41GmG(qI4hIAMuQ^pt5!@LOfBOAR;V^Jq z-RLvN)EuHS@_o5YJ3>qw7Tx{&!GTfFeTnL9n(P^2V@hmy3lS(y~l~$)u*fv%hoo^23NTGV}n6 zGxAC5K`i?4zKP~1rB(a@og&ddZQ%+KDzb=;*9Ae;JDtf-`~KK0>Z;V6zxJTU^$dg{ z0V|!Y63@C%F&J_&G}BG$d&qCDypb0h6D#&XbHEx|=i8z20-AkI@^vF$x~*KsPrQcv z#bxXfenM`S>bc)YM-iJR8_#%|>9ekKE;K?LSf@0sn1Z0LaffVJZVq=~>-k(XO*8fa|PiAt4e!a(+ zsUjM506p10-FscD>)LL#7W|KbuWDm!)l2_)ZOh;2wzjFc+q$mnvnOy$pkK$bCDuqQ zv4L?oRWC*Dc2Y}#)W+12gk#Vh59-(H5T^ z77MDHZ}soVb490=DJ=Yp#4b0&u<*(%50^sDoGFDO65~qA31B52W|#6TS9!C9TdDs6 z=oO58gRm$DdVZBycb~^E;n#;wc5c5`4*Q|Fgklm}8{8c_KR^sRO%wS}96}Du}h~_Bw4=$uCElRlN za-JZo+8^H{w!hKqi|-2Kv$1kjWikvyJc3G*ir1_-Zg_e2D>9ezEy)ROu&|S>-$Ipm zsnRPwM4zB%Yh@Mm{@g&#q3$yMEI9Stf&;9?ulW!QRkUJ9?aqnG=m{L>Elf#VVB$;2 zj8xzT^Gkl%8)zP_^CQ;Ewot`RD=DgxXhrMI7eokZ9*RnImS*$^FCr@zwLj@?I&sW0 zuYd`A6t$bP)CB@OfebUqbCLBrgB7pd@$xcglj9Um9MC4R!tF~vvGV{ z6ic0>1;Q22%3~$+Y!J_?BB>RH?E~aOU*a>`Wc^AYdUr6l4WdNzhI-D{$hfw`|17>i)t52 zkIZ6(3;ToxXWW#awNjLcqvu)+!YYscI9xZE1x<|Q%RO6@cq7I=LFQvLs*%=aKIH9? z6Q$QXq$Ol^_P{5pbJuyK`e~8S3$dlIoGS=dzNvELt2GCN)9Bv4Re34|P7OCBwsho2VF09)k9S+EnAQ0cM7{W?wX4cU*_8*w>5 zBAuGp6nwIBUW9uD{1df88)JJ9sQFH8Z&A(k*xtgR-BxoGt`aahv5y0hJ-e`80nP%h zm0|^ap`Iu05Z$<^)e&C({B!0%DH-0g1I2f~`XB_F!)2{7MpBQsw69X>g?4Ipgj+r- zkixO;7UG3u2grNB{ZW&bZ}JA_HNqnT_GhBB3qBr&@ze{gxcVaP zEwbW*!^v^`MYIej7dMMpz^Rizb+;9={-WBVbsPxTK=_vh?R6;7T0SnGY;TST!=xHd zBwp@pG1y|cmSKOz4qeCp&l1C-7?lf=Necq}-OmdLT(FqPldo3Oq(L}l?3EG`LM2NG zgco_Avx8#mBW2C>IiA@TFoj@!p!PSDD>hs4gBXLqwZ-+&JD(@p7$*c&`ID0qJ7e2@ za1;dPIjDDXYlM)f{qhB-ybszQ%InmHFh!X6`k?)Cq@}$$Vt*QG@}~~ILY0_3Fkn3a z0O<9K%!2>F)aeNzP;Cj>3I{e$Ob$LHjK0D;AHzqgSOF1Ot1u{gHM|+O^j8HQ3Jj|B z0F1i@7zsiq;a2iYaKpGxf;mp?%$;VPjL(A)MyJn_sfxw8Q=EERHZ!Y~L~q1fLbncO zKZ(jJP!BFj8l*$s7;;fyKu(JX!T(FZfAjjb?t#rw>UJ1v&SI2ri9k*w z@@$1}hC|I}2-fG-zSDj%cuY{SW>8rjN~LDBqIniP)~0z5O23zCjmL!bcZV!o2~aHgi$t#7F;qF^Vr$ zeTVV)0+Psg=a+^@oj<~gO@XuDAZ|k95XAT`6^gBdcoOnpdeI1(uN7~T&)pLaD%A58;a$F+2@*~}alF)uoyV5^ z6-$gb$4IIe$v)D>rCLW)RT`WR{py@ob7iFZyeOVegFUNWkjrEuNu2_F7Oeo}>uw&} zexYCbELnXmQqg`3BA(ZH(_vO@0`1p}UQLAUQfuW}e${fpq%tq~lpF-$N)g`JJ{qnI zIu{q>IFL#=!u93uE!3>_yM9s{kghMAPBZ3R5PbIh>5bOuaT$%bET7^xSivL}y7qsw z6*48oR<$AT6n~^%``lGykh%ua3F{D_om1^Atv0M%wd153HOxxsGnbjZ-q%W}b*qG4 z-c-^x)eCHyO65A05#1^w3Hm*;^6D~^sdT^g6zNt;RDgmSGDI;xzQgwR)*BCUHRQ^2 zkA&6tmirX9kupA56yMIJk*t-sV!sw5;lsY^uv^aVw(@ISWwQM;vC%p{sE5H1S1)X@ z{7krk=&{^c0a@;Hx6rJd-u-6J7vmrO-zM#gCC2bC8B&FH`vh3j1)W0Uun zDX;srR>-zlaASncDZ4jNl97XfuDLE6UgfxP^!-RlA-`u5 zVrxWbwdY4zj2gQWgoLP&FgdxumTq(5Kfr&l$Kk5+74 zLBRbMphWL&h6{7rB!EbbV$klF;7+hnU>&MRS&4J_;hpF1c(StA+tV-evT&;G0?EUR^x7jQDd%cxRoG`rT8Q63eP-b9`z0&*|YyPsPFE zNiVt0yJbgV$n^cI#U3Rg?63fFKA|x;@B6|`OXx)Iac~4z;#{|p_uo@5tlZyOWvx8N zu6ik$oX|OX?ybczE`)*Ij$V(yH>9Et^_{sLn|yxJ({q;*-lZL@Mn=_nRXUJ+s&pfY z#)_v=SKYE4%~m9NNg!x7{SADHRyPy88V|Ad0DKw_EA{!c(|I5ImU;;0MrSf*&qCH2 zAWWPX-QeC6o9grDeF&R1dS1km>-I8P+Yzn-GI5JBM_9vssTJ-C4mva%PwW=8y0?f}+5iE7G zlZa}W6kFkEAyl1SermXvX(b+z^PshA>F_-FUh{ML>3NIVpY;~9hxHT>rDt=h!uJq!l-E>;$fKHbxy4sY{nc28vlgavoH5rP1D@4vq(s|lHPOD zq<4N{7U{hMi>60W2xfNKb$orVl}PjP3c75oe?HD zWPM$NzdSRTY*589L4wi^7kbOlni%Z;;yJSv;!A6yD4jLL&*FVUYPKeZrn8Po|0+)Z zDoOt;P5*i+{i}^%W_rWDv<-<7{3`C;&N*mMEgQ(G@i>y&34xiT|8}uswQ8cY=&j|< zSVi9KAz`zkS*Ll;(Zo%oBlL1B!^y@rwi>KJViGa3f15eyFB*_J=Wn{uKj*KJUm(6g z2~*je$`4cD>)1KOoeAsZ(Kv74MC=c6EMyt-oVsPYz{XSwT{sR&-w$T#@WQuu(8M~B z+ptjPp)<&zRD{cdo$r)~lT(+=1>-M221%fcYCRkVcNcOV72pQZ!j!Fdbk#7@o`t6H zcCM2vp7JcTGLosGzm>CIxTAT)6l@i3)*bJUM_tn(@bx!?hBym5^9^#p;3Ib<1;f=^ zQkw{up|@FQEqIu8({U)ULfQesJ@>!(;U4;*neIQz#XRCb(E{9k>3M8q=V8HeAv#!D zH8%Cjiy4HsX?Z!`ULP%cSL0~B-hIF#_4G90O{5!K3YBdS1yTmPf~wn$uOu_3TQA@y zVWIuyv>#rjAX+km5p`M8#EpPdw{PyMax+Kvut;8NE=8X;gAQU$7@A<4DQ?LV-qEX$juc^9UY&u!j+>qyc{f z9yoQmQ)BN#HS81ITkv+vp|8$`#o@Bg1P$RP!_Fj(DR}mDgv&OfGP4qU#irC1FE>~V z#2eo3@Yb?yW57@kvn1h)#5AU1-PtOY3CYHXt`5uwF)0VzZT5@Jsr=^lg4p&z?A<_p z;*U(%ZmVDN7=N2n__o+>q&KGq*h>T;_KOs3ZXZ~mSWbcZC4b^yLgEd!UuOP>+3V<_tsNfA2@+a;8!zI;{!SCT&iO$gXus`TTEIOS3Om8!!Pxr&dx;=` za}-!%FIg&sUwLU^{gOZMtL6lzpop|sQK^8)w|20=s$Xy%tB}xo!BXL-PRuPhWT=Wt zoWzl}cEC zIgy59m(aAFQwiO(wK6GirG`L_(~O@AVSeuBe*$DJDQ9b|N2~g7%WT_)(wyJ^#v)quh;LfQ0IXQ6!)Q&A-r4 z-KcY-TCsjqCIn87H4&GuFUE}4D90@t^DFp>HR_p0#K8)Hlg;7g#a0Q;$G*27j%vP^ z%PWvG{{!pJpC}Dzkh+}uQ}`oUtJMDjGBPoF%!#m-OT~LDYCn7}qlLd(N^^M{qVu`rJCuYz-#L9GKZxrq9ogK=(4f z8ZlBC3Jfu}mDWpa(PLo6%$(s`4ne5_16oul&1zu=R<8p~dJjkw@>h0L>Y87$uVanM z(pd4BjEL}cEQhi`^N&FX&{#}O-d+ecRq{ji3QLsex9SchEwBA>@fRX|AWib@L?z&m z)@e%ia5TxSt>ol-@y=;^cR)DbY-YST%YGsKT~_9~vF0KHG=c4;FPRxWh4MOfxMFL>ev=Sb&I-wbuNNuedV-!zOctC8 zWsy`XF-b{ziZ^N^f%WbfSwD~VWRV*=3^syw<}ff+FUf0x2ohp@$xrC6=H<=QoC^du zg=hXSY+M+!&hV?o_=MH{9~o`W9pTpXPjvL+PyLSG*gjHojE93*yyyf(_Sk>qi{6WE79 zs!U4Em8}Wc`EbqrlFcpHu|X-z>{#PcNwDrJJsv`ps6Jey%4|r;J}>6!S-uJ9%m+%; zzar*>JwmL!0?dnh$X<&PdmHxkVfsx(PG>XseSDVig*+>p&%8IE}|%?5t}v z1CEa@79%~;gWiCKbLt9}(^^m-vQO;zz7%Rs5rL<5s*G$c;-DoRnxza|YZ5 zR$XE0@cU(Tb5_;5BY3WlK0w7tJq-d3*-}o-uz}l@4OEy|iDBSdww{e7dPFJ+{>h7^Tl z=bQ&Y;aQx;3L0!5cb_Chg{?~JzL72D3@N0xFe_q2cMvAR&f(TqWoR97mvDt7`Hd%K zl-jt&f~y{nKB{2tswtO*(1kQdtxz-aE^qXDk?4J|+Kc3MsU1*;+lZM`>P#9@j$AQw zk-bsw#t{Q1dmk5k21G~QGVX}#8}zq+c+cC%uoFrd9`Ik#Sz)9R$z zT^qxkb~4B8-Ss329%qR_M||odC(2Z1uNhXs0B2|fyQIw%E*LRC9vj}X)oiu{KzFb& ziVoG~6(M9k**cQi_lExJSi01+8q|&F3%8Kj-fU%oM${Qz)T;PPb8KY6Z29F@$c$nt z*y2FgAmrX!c_>U*gPgW1_h#`El9t0y%vUM5RS#+*5uEN`V@70llBUH$_Qv|8)b_+> zn3I;AhU&bAj-ia9HFEZwCV4n)`rNY--cwL9CeVulBU{DM-kFDO0Bq(W;tCsBpyXf1t37<*Posvs z2GP-R=LJ#Xy)?;+RKL_z%z#r@-q!=k`g8ikOK;x{6EC#kXP9QX&;mjU6?!Bm>;$op z2I2XJK>M&`c_Jm+IwEm!=eg91zh5c97s|nZ^SH{uw|5-Gl%NDO;_yf=kBaGH;dl7q z?dkN`e~W^vE4hvR0MggnuKqK5s{i$;mA#=4M>o-B3r8IsaOA~a*x?bt6Lmlle^!ZM zNYP$ghC-;7{cWZEPra74R({LgTE8TBE3C`e3Py9Je6l$gum@lyuwplzZA{#r&<5Oa z<1Wnx*WUn_KM8XHcu-Rs6SqCSkz5X0*@JSJ#@{=H zhK;I-04Tz@KXfE1_GTOFb746D`$cvD)?FC~U#r!c7(#U#^0;;Qgk7BAR^sG?g--w{ zkKsqGQTwyvmz78t9)loYEmj7h*=qv^LHGmtOfv=|$9dWpEAh7Fa|hPShC+%7ckmK_ z?c`SZdNx$nF1;GY;3YY`rROwbAj4M1Kt}B|209L2_hPcj82pkSj?PQifHRJkFR3VB ze=HWJ;JtLi1OP{ffhl0r=^d743i1d9(iKbZco?$e3+p~pAT#cPDUf75t9xV$G;hHK z@-Wj;&Ts+lVLZXtn$StJDEh7hDjD)2YI6BfmWrQ4y`O-wSUOpm6f}T#2yM0#L&!$7 z6byEjwDE6XOUpaxy`>sLH5?fVW$NS#4#-ipMwe?ExdnMr|I%Z_|amz~q{ zPC;z@3z{XsZ`sjbvxaKcfY|o2gEqG87^rE(NvqdR=<8T|p`F(~2WoWz-s3&t4tj(Vlp`#H`QK`vxLJx#)I8Df?u!CZ9Vy2r`N zASh|_albVo1j6tcf@OmF8x*ByyWca~DIvYP$HOESbN&cltOrj6XEb!td?OeB2Q(i+ zK{kj83h^^DVDjkXd&K}QhfYGEl{F@uAKdZnJHe>`JD$lL6vm8TIHfKP>ACYypE!nI z=Qi|z!4hV3ast;J=Fm6&_?*B+Fk9la<%vLgq>axx(mp_C;~iq|4_u`~2HM;gIu-#l z_f&cn!L19lokM+g`=vCyEqIV&x3{~OvA%{fN~)``nG<4)QUq&IKRlzu0G7!qP_uPj z3`cyM%o$Hoa>zY!G*Tydk(4K12PdpcCr?9Z&T5XR-edPxO$( z&R~BJ0>@^|#E2ONnFYk|)OIM(3c*Fu82s$-avaL~@~#2@;&71IRC^}AGQLF!Uzw(2 zDy9b9)x*jEI{@aN{CY|`0cEp@)~-bD`>GyAL{sS<5iP?_Z$z`wGdDd8>XgkMPU72X z(BT4}(S9UvU zRkP_QskcWaNbNDkp}$4x#KDHJ;Xon*Y;S!Jr_w=nUqQ#Ngf8dO;p^G#xpde$G_l1a zfc2Mw)Zir@3t6=8enU@9rJXukYvn)G7i1`+ujwwKQJ;|gFDZZzF<}LAA@;upzK?K1 zOh64zztVK0$??U}>g|#F`wS_30D(tH;c?0a9bs{^g|inuY&o1@bp=QoylnQ7{IA;YqA%r~*S_nC@= zlYvpHwfgFMW^0{)i3r=9)_EVyu%jonlf0i11^2vJ zw$;d*!Lp}=$svOiTe6P7E#~+e*wfhlBQrKnjSXd*L7SMCM91n*c*ld)^8=;RAp#0y z?&$)nv;;m+Vf9|kQCRMUkOEwPZGqO+#|yM_T{IM0wecRHbtS6Xe+VsEMguKHi^Tgz zQ7G)a$};M%VNhnlAIbBfC8RI7=dBF1))QR+AbCZ0;eWg>d(*5+wFk17V%-NA`!(3p z+cCVIvmE=~o~^NGitDkg2Mbygb@VC3&AkFp!f0n1lM+X~35c&?S0Kv>y;51`%Kp0R zRl+hlA8t@|q3T>w>`m$84uHG8sXoicJE*0YdhfnR5T(^LkELpoqX5C_IJiekgl4>F`_Alu2O2u zK*m%1a|8@6oTGu!`koTz`!o_T7aY5_>vAO>eaaW~HfA{ky(OMCup(PC+$*I_@?O?V zMDisJz$kFlK;;=kK-}SlJz7Lgc~^Qcr2pY*G(|vBZYEbP62L%n>i-iegGEM4q{W)G z#e$~ek84dtNtTk{F`jlYLwTf)*<9zs-}!^o70*Z^aW~@0j$2M;57=KC2?9l>Rp0MW z!2w}0CH{xp?Y|ew2c$(YA>LOx{yG@Mp+nIMx$|fxE<2f$UA=Q!tOZLU=Dye1qp~A8 z<+bS>Xd0uQjJ-Q&3`Hl$=L zPAUv1gKVMkg>J>GB=C-b^8?akZ<2Pg7V`XT$6;9*hcf^CV?_;fng>AWCzgd^jv8iB zX+{mxj|0R*#IWNxaWb&!yNUndMD;W|u}5Vo1MW#`8tyWfAO6FZPRVXb&eDL}zeC34 zITricrKNsLriUI3Chwe-9+O)MWO|anDTW`G17w|6LdDaan?^XoptL4%@TK*L+cWbg4 zwO8MRy|Rxc&(|iqplO>w%HGg4acLh-egQ+3p8tiJjsEAYpw<%M=EM`>pl-M^qZ?^K z?RcpB0@4}$ks{If0zG7{*qPM!RqI9ecsx8f`_(Su9;5W6OZg$amwy-umcY}Qab83N z5JAIsLODxi6Xam=f6IZJt3u~Z)0OHeS6}3gevuZtP`QPlNG~22?djbX&hB9!kH$P? z_OUB6Mb|n%?5WP-te02EW_NV0^X;DMT#c#Ft20tbRqoh6o7KbER%FI@1$D4P2v4JS zh)-oX)ypH}bz?ORDRlqqEh)Id6vQ25u@+2wJ!5=R+k-ly6cp7Lx*q|(v^V7qaDV2b zzjgNvK7voZygLGSE-%((cpLX3=yuwd;!>?7oB@@h-75KKzB^jyolTzJmcivLERQnu zDo?DGW2ehH&%yrhC}n~V5#yZDG^asM7ZQeg3C#K~Qp`)!o?EQlpoYwqN_=DQ;D{@w zJp*QJMDfCrskF)uK36sK@;V2>|OfhE)E!6_TkYLEv0tH&2zZR(X3y6r1 zIkV-Rftou&Yl*sL(8jdY?O29k8dtlf(;!3lOq)&WT8ARdGj2X60-57kH97Qic%US0|my_sjzvW&}q8IvT z`Ny=}ZT_op`RIGFXY|qJku-@8fi~b&(mI4|o+U2xvePH#rGECGK)x;3oTFI%ImJE2 zs#>i2)TrL_9)s@YF9#j!#bK^cCdq|Sbe|hRC+?E|Yjx>IY*8R)F>&ASMkS3dUAQaD zzDCAxY<5P{V3RYdp>B(2c!NCJ{WG5&v*uu64;o{7!%j8FM z^V28KT2y6DVp<%Z!4#I20Gb0}i5&HU z7UFRZ2WGmuGP~v6l%j#4G@zcuFudA;;ga7H4ym=dZbkYIQ`ngj27inizH$mZZDcUS zV&O%a!qM}r#KbnKqN#GAx#!Avm!t0_jzg!h*i0&MIOncM(mcyyEt074Y-!73KkT=3 z!xJ|=dNYA`cU^T+XZCkXFS@eG9^!y%tU3&! zjNR8A6b%(Ln}(WDl@@9A`xHF|0eg?nbk|j3Lu;z3A=KsvsXwn`6)s~u-MhPwtaNwx z^wu4oZl*hb@O^eSUb-8kJnRyq?=dQkEWdD68u+|H>AEO-h`@}Mo%B#ZH5u-)8wKu4 z(0f-KS&6bCJva5Gh>$rt53gb0rSOqWwC_2`CJPz_U%~C=;Fh~hXhgNSZj;HHB3Vi3 z0)CT=6a@*3S1hWJ%8*GWQ33|>7NeDTNb6AMKAeS5i&O7S2 zETqJtQm&{oT6SU%4F&efu^Vrpv9g$52*FNl?uHpJn8$M|OPM+C!n#jjYq|TnohJe5 zHMb9^pSuq`8iIpSCLlPRp<~PTueuv0Vb&DG&Y|v^o2BbEdepAvM^@JpAv3wC6I9QB zA9GF+s@7F!^9iWCAx{j0g7`)imFwjCCqa5s&1zCN^^7mXHRPpzA*_`|g3EF)K7&l- z4uSf3o)sU!w^2y-bEo#iX#E1gQ^#W1r0$A|_*4;1(_;}&^UPQdB(K-8yaN5n9?Q*q z`(t^WrSQ>WoG*fZn4ey?BK5ZodQ$iZsGobe64z`B-j*rv`RDrM%=R65nO&bAV-B!( zp&rQc9ZB2@9`(kh-UL6U_xGz;2=)oV{P$%LJc&}bzBS>KJojAHEtpZJC)SCbz(dC# zCKg4&3sb({>v^7)g#4g2l zyq+$$TR4`+MKmtP*jELNIogPGbz`W^mF4^#>Rqq)ifn@}d$tz0P$r$x%mxc#mVUBM zM(ACcILhsOT~u!y*g`W{08sQmSz$^fQ#BI~leoYt_+%W%N)FuGJ&WaM-*etB;`N91>$Z=zDivRo}LYz0(B~ z+^dq~6iP7g^0i+*mQaIIaI`$QotISV`q zZh;Yq+?BP!$br2|K>IgzZ}%--5jbR@HBN}ayG!SPk~CqX{X(_W!d*saj=0MtK7p#` zvKPxh$n3KhX8Z}^yRsSV>pG;X9Gc=bK`I=*h7DRL-qd!p>4Cg!l}iuOLc&XH%ywMB zle<#+`yw{6JzK{>VQr0P60RoL)Dj^BI4R{9lPc1b`xB)j$~xo>@G*{EZ(2F&&AQy# ztOgHO=S)|KPOI{<30WX-oe-lkFnmlQpFOv!-R71>>vlIuGrhKU60P-i+QpvkJ?|Rw z1V}rkLKQc|C1x5?k=0jW$OZ3FeYA6YPF~p2$PF0{h*aMPz00(oo3~vLl3r(bI1ABR z)rb48dXuhUuPqZ(qA#$9uwxJ;R73csnx6YcY^GepOuU=}smvYtp?%D8ALLdpfq(Ej zgP*@b9+vM@MuDF~#E(TpP?udfM8JhSw?T_|-H*+5zqFU`e@Pb_15w(wGUaVf^bGtm z!~KyC$!mYxv+3#mWk=8DE2Mqy%)$S>ka{dr{)fGcXAtGr%oe@Z9oP#pBgkF+ciDd4 zs9#83nrZd7u-lnBnNk0R^2WX|(_H*p1J2Whkn_E@!UN~8QST@?<-k^OmQf_rVD2FP zjYg$<#A~i|m-)8+<_28HUfUo7Ph%#=^U%l0&`tNZ9XlHK63$p4Vv%a0mo^4BYoa3$UN{*P^_1#O6-kQ6;kFKsH&x_;v zLTc;A^fXWHWtwMDUj2={)qglsW!L)bA!Jsi6Y@>G0Dm2C$<$cS9?uLqQ{#DRNO@hy z@tN{BBRup}eo1!u!!qT^;hfr2`5P#oNzaA-_sNq}Vf2Fr_E*q`e9s|%JfzAyO|AGt zk2A(==47F4(%gv_fx%3_4PjTn)=B5?>_SG>?7~TIeD3R z|A2H4wV%P0!Q1qIfeXwmV5W3QPAj=kDkM*kc!Ut6+cRw)*GpSl|C-%LZd*_Fk+-yu zym%jZ*XHD9<}`(L4+0yXPD5Z{4t>d#J~5}2+))o8FAae?nYOO!rL9+1_YQ&8edPV7 zkGwnj$eWpymzmQAqq z^^tc!c|sT#{KgQ92{1kK-CCYYC_Z_NbdOpYJJbcqt4=CL;V!~}P^)vOnVDto2)^6L z2#)R}Z~v;^+uYGd-iDk!Vq6JFF6{K9IT@LSYsg8@9sE!G$eZ3rUN9#w(^pwedTw6_ z^^y1K6TJiD<(#~%2|v|a#)CN-xuaOnN8WWgd09REASWZY!SD8wcXS_l`&afpAm)y_UsxNpg-P6ON(>zGIi=QJ#?%|_pr1V*5C&@t#p|`d0s^E7gCR8+B&e8 zwgyl>oIKZmg6fCz{@MJe>o^bG4<5?Nva+%cemE!Vi(h4G{m1WfvIb?97#_=|9&4Iz#&xX&m;s+yN5csE9<+wH` zhf{q~xMJ7sm4qW4ir@Z|NDpwuL;>5MdY=fe%0;!*5Q3DDxXy({D;&Jm*bexPJzW^& zp4AAV6r-;o)42^_*iWNQemSoYx1+Yj|s%@I-L=8h`qBzOk43@uz>n=l?GLbn^OtMf~aeP$1`qg8u&? z{`866I{g>LpWd`sR=St?(~o$W|F`j{ua#|KuV|Kaw;KtJ-sxRS;6amvH1;x@G0APM zdX9C@Yfkmk@RzTURC(e}2k};ow9v%>I<1oXIP$QfQ#s8}e8>;46>H^B2Uo`NF|lc@ zGR|eRQDXb(QeFKQrU~K`xpHN`p$z;!%YEFI@^mWEqPKps~%U0$dyDQd0O%5GEb*p)FFDq zwS`f;q$EU4{=(EV(*o+Ae?7u30~m6$)DWd<(ndSN6dIOG_k=UH;v>H;Z7fk}JAq)+ zh2p8OX3@Bb7^*KUlsC%g=o*wv9<(P*_^nQ~Bq68jIS+)m^*aOwO;mFUWp{A!6f1El zze34tzwug@P(cqQUZvCBMcuctK;VJ^ zR^qE~XeVc-)7as@C3$!N^X4S+1u4!O0n0zLiW2fW_3Fg};+jjstt|r5tHSw%70Moz z3~YI4AqTdXC~TMJ!uD~R%LcoP_)K5gWxBgk?aqqJO^un>CC%l{WF>V}W&ks-(_5_j zn$zR3SsY#8+ZYyzChHq)x4^^x{{3{0VxmZM^+u#re*K(c3b(zvbN-u+hfgR37Xi_F(B4lz+-~BvGe5BrQ;NsGXQC_`@e}1hE;el`mV(kJiGY@l8mMKCp6k>sTu>L>yh5)i}&-MC%8^41EBW+VX!z4V_#vh9MW9-w!JmKsy;boEFjP^N)er<{aXIy z`s7Vx6PxUfR(J!oiJCMlir*Doly6b4A<|kP%s?LR_~C)aw=A# ze>Ae`Q;XNA-!d-|4CPW>NfC4@6xcPYs(xPeWVxz4U9`i_;F8JmE?(5$!p(;nG8v~) zC!HMp{I$y?JdTwLqz=DI_=Bl+k^GBFFgW}W0PWOAl&#R~;ZaKj2G(7_VXqPajelhz zT=Jg5Lp0W%SF_APUQ2Lqk;b#PAx&1!fMx<;AziLmLEvnhxzF)@^?7OfDHa+mRkH0f zmu(iDC8dl>W1@v(EJ5d-Nb(9?5W2LmqL}3wA+G@Pw6NDk ze3O;9Qb(o3be13FUR;A( z?;yq7^1CY`xADzd#G|ms$&HBa6!w(}$xztDZ)Al6AG_jR&a+|g!*O0(rVH<=yIm=C zm!+DiOI6%usa&+MGLjxwVH1#O%~QL82SK-1=H8T{l5Ra>YZgUlNgrTJ8w`P4O*`2K zScZzF53t(7*`)8akCECJ(N)NPbTNO*o8Nd;K406k&3$VtL=@WT9!}5ZKo>;Hp@f3a znbTPg6FJwxgxv`N(OBeca3Q`0Ul$%6O`P8W+8@F{{xPDYP)OojA>w+RC|OJ$_R~Q2 zah*jLpYx7v_aj|e6KC0J6rzFmUg~voI@DhaA!cdK4mX98L*B~cKhCbY)JZcDh=@8& zE!n+KjwEBEb5R>yc^fYVaG#gqx>&#Y{?iCjM#%WLdI_Z@luAOYmrzVXu_UbY5{8m6 zR1zNc5{gJDl7xFr!iY^I6iUK;60DW?EQNeUrE_TiMF%v8Y&VpAyx%+qxQfl3_u}^8 zpjMyAEci8B1fmwQ=hj;b{|sxBo+>XYviUyc@`*=DBQZ|=#C8(G$ytR#<;2>L^6n2! zijCe#`mKeLWH3;B6tYp+Ik?Jx{(|J_=LJ>~o-ZT-!PaQm;36(YTJk^U< z;y|1Ugs)ooJ3uwLnwOBa$SXPoBRHNnA9W@~MRf`YIMjk5Sg=Q5xT*MTUOR_?o@n;e zx@g(^+~U6d+aBDwi*71zn&=eBD~*M`8_zLBu-S^gQPI|l?%DLng}@mgbwplQia2ML zuo&h@@enR~)|WFlT2g*zRw-a?{h~p$i=gI z+)bK=+9$_pv{tjbpwp#7WA__(AE7B$lL=duuI;koeb;Wz)D|i$Eu?FI?Dy@FVQ&aR ztQ z733YMNc9E|H*iPq;k1v7&8;t(_X16{R^2s|Vx|H=gw>0G8+^p9BaL}&hK8+>7HOlu z*9P;Kd9^0aB3&w0*?SNe3TyKvGy(w(B)K&)(#x&6ftg7foRKecUC1RmQfNstc3Vvq z6&=={=OTa!p6biIht%!G@$nRrc(}U$c>b1k#JAMGBySt3{#BjHl_g{QQc2%uZIT)dJUtp{%uO*YJ+yznHcW?ad*&n&D&Xw<_p z@!IV^02;K_q$Dya0!G|XU?+$3<)H(jR$=WRx#_;}7&>rN<}sj`MGo3kFn;R`%HQA_ zXeo8~lkA@IH`))$l;L$wDH5#MHqQ!Gd_K2=H4@ShxSbaSbd;&%7$NZ+q_x@&u|`=~ zUi5q=uN@_n$7hestKD2>@1q;9Qpip$0}WC;K9ss=abZI~t%bi}Sh0??xwZi=fy>sy ztuQM=XX?ID4I;2bl9TsY@uLv|V|y#C#3B4BZ*K3;N9~cVff3Y(4KHBZI)|7FweN*M zj`*oSyFy#A;;l3dU9kfO9u@FQze1WlKUp#|RPpZZLwSESHh13$-b30~dmvHb32{dH zyqyFQK0X_;hdSC|uwv>yDN7LVl#jqQMYuVQ1Q6=xbUy5)Gx@IAS1kKO4AGgsDDg(k zw`4O}_coh-e2sPQ+7sHA1+2tPAXw0zoEMwBC=f|bEr=xN79Q0c+rB^6d>Sw=aBAyg z`v+MIUjQ(wR-3sf$+8d5tN7d8-N9AY%$zy1y`TMxy|Lx1&LG{s$SaTvZNDok{wa>pxeRC!>XBLF;@SgZxA8=7d^F4o`_gL^-mj7& zu#&JCe-)hLWdi1!dVIou2o*@Mi!W6_wkE<}$(rLRCF!Y!l;XC4pa(=z@_D$qjV&P4 zl=ukoIU+L?FM;n!a?ZehywIE)c_g(G&D4;-t+<2+;e`oV1fDXIv|B}ZkncrK{wSl~ zh!?QWD-3rUKc3&g`hpTK-b+EG;;mUP`%C96ktTLm@(yg`A{dS|{GOo}g=AsrN0tb-Z(3NyS9(l~k!cCOA?V@UgdW^r52$n47&1hJ!H=Ocl&=Wnz6^bW z;gJ=>86j%#6miw7Z%`uU2ofWcD;=1s2DP}+IKC8JpJb8LY zq|LLk&@3@ZhSXJj-3!q*cjy)UJn_}NkHMwqat5uGzd?+x+N0f%=|ug@^-bgryi@x08^JUwYII6l!rZsf? z*SfV|F!SnHyfe^VA(-iY+9BZ33EQ=Ig`9GU;8p))x!;q+6mWiL*oIa;{HZ=KbAq_v z)|R>{^ggJ-mUAlfAFMUIbG#S-`vCAyrG6t~D%i%Ax-%F*WL3_$XtfSf-qmz;`XT$> zaPq8QMgyORlgIp$7oD)+h9P{Z6MoFLNlw~FC)22?{G#e1WSb8q#a=7){zG26+wK&^ zB-9Y+$Id`)JFi423%hrK4dHW#jV z_U8A#m%M&Op8F;2FCuk(F_KIg1%?UVOE|Xw54YBIC=*)2PcebwQaUU3tlO z5hpY!?gpN!{JRzZ9~A+W;C17UUtszA;*M)pxC>RjOd8q~vieC_KL1rtd8x){afDpB zx5-fK58dyuJG){3ZSbExVE;W@R`oU>7a4NS1i2zGx%J!7Ybl###m^VQ9a}5vIT?)m z3l$m~rQg(b!&p^w5Tvf)x4V{shzJ;;Ahqmg!h2p1pYV*zx7lLbewybyBierCC8UM6 zH@$?k%=WC8kQUiidkJZY?SD){MzsBZNU&DkA);+ETF5|^3yL@eJp2y96z_PpU6aU_ zI?lD(Lwrn-!EUQunU*Y<_$lc@I(|xelt1-T(!;#QPf3q+s+Tf?mokiGSxJgN(9np^ ziWcj88{OX+xmvblj{g0-Y}dCSr6Rmf;g*6sR<0`KX!@MGrE(bbs2Xv%%etH5UF;&$ zvg{kiF5^RlaQu=PQfAZJ6}<0YhVb-tI7M%5d=gfymJ;U%OI^#YTS z*-)cM@bQ!lB|4A9-#Bp1yI#Svw?h@5T6dLEO0^(whwM)$m+c59r^BgkNi{`9E;p(& zM}>Cs!|V!)04VpAwt1xr6taLTykY5q;c|u%SfY zGi{0V-_mh>OX}$4;DNDs#+J1t&mRjz6Ygl~91yOC8%BuC*WObParuJ4Wj)vi@=e!b zPS;;n{3Y34F{4KCbz1h|X7?%5gHF{xpTlcJwiU7A1zj>7U^uoHndU2DtYdTQ;8Fh~ zdBPc=CD%dZ>V&E#Fqu5h#-J2t{n+S!lq9?w$K^#u9qq7H9C)6+|7JxAtBCn^;Ka-IOFSn0D`@GyNDhHP<*W$uD__9-?oKfk%Pn@FTQ)?Ge2M=-`VG`u5 z*CVuIqm}4qCLw9Db4OT-&lqD+j0!G4W-bzGxEUTPSk~-rAjPmX3^mVfG$)b=YwT02g}yWYi(s6qVEkQXN*PUtSb8ufg)6~-HJa$B}ij(Tt4j7oLN?U z7tO{R1yCULe*+?nfr(9vKq3yQ*rS?3Oad%l=MNEG){7AbXm}fw^~7LiEqqu`GUpsP zMFZM4AGAOd9i^DRQb)_$!3r;-tOr{H-bnj)+iF=jUUQ8iR9Dr%xMNU*tYOIBS;m_% zfr!&DWL>Zmj$M-co;zQ7sBAQ zaRD+|ojO`5q2pOGw6Xz)GeB^>8%Pcmux9gZd%gLkLE?G|X^?F764D@P^%Bw`S!oh7 zAbF4k@0bzYOmG$7c`Po5+)!a>sG`|Q?h!DW`72rjflygX>e(u$Bf|OG8n&=edf7v^ zB4`F;Nx>GvsOT)6CGurYuXjJk@y&`4lzMgtUOPE6?eV#?jwv*TWJiC^mz<}R=ysz7{ z?%ph1Lq>aNC7MadlIb?_8Ff_qkfHx>g491loHw`ugb;9jv~~9pV9=jNuTnp@)~cmI zl)dDJU);{~GRxe9DaR(_eUP~yvP+Y52e*zZkVC}%i9$qZF8rhj0I)WB?n0Fi;Q z;76>BYy$gro;ej;%SqnpEC+p6`6gJUbJ_iclQ5e^P)A29HrHY{F`UB)8aF{eR_keU z2uE9RcU1@Hw*~CnQ5|XWLzv)YlPW@IbXa%XOf4(%g$ibqmrkmPp0Ue{{hd!&M8Syt zHPRqGqd<2j5)he4E`>B^Wc#B4u=(}Z-Tto`^a>i`iD$o-DjMGvm+aTX+puGthte&G zV#15~RMkJ$JS-pF=9ZG}BuB7D70N}Us1q3?rsz=+Q~p3qA#&UrUffNJ${{&-X}F11 zmH(CsQrNXiS5uP}uUaOFGL9P=hx-9QXXRvhQx9B+BWknQ-QAN>{BdQ-TDdEAX+t{o zY{d~XJh1}C&*SQc`zh1+7C)Gr%zk7iy^=aqX6bW7lSnn@8$0w&hl?)5L zK6S{A#_RllfT8G{zMt=_`GmtVMg}>5ti&UfaP!~r7x6-t-UErw|K9Zcj|2y@a>izI z)^PXB2jjFKrz?cEWs-xLy#>)l8|<$RKi&L%3t=HG^^p}jHcXICr#lg_7qUl ztkygc&eQ}{fMWP43`oP7xrZ(g(ALl$%2rZ)IqUB^0K}^5Ibg+oZLUDr7rNA?$$Fw- z%E>ZHqD~*xoWDfcGgL|-8QWeQYp%k;Ry z#|tAB>u(tYZ$HF+4TSWt$=ovRpKj0!6!M zQ)91(%Fd2xub3mM?N_G&O{k56(wuAisBrDRUUuPsB=|`_=gW&$6$A{23NJ_9RYM# z@w2miYZEHtyfw08Qh~3(0sE2h`;Vm=&F7G-SrJa&ktYJB-Tymu*8ZP4{_?>~f)_{Z z-NC8Bi*U{U=0d#o#mmwe;9O8($4lyH-Hw)&S}XtUAl{&tC+jaSIeqtpgE;p7T^Ony z=bEq+t_)LL=qHu$qM_jK34;*;8fXWpw5zjg)PAVsMoNY3M@nYP9G=I~Qz|8?oI!5GwhOBp0J#BpGX!s!49*cM!K}wOIU?kGeS}r$aRl z5$2bW8ca0f7I!Ne+gxpvcYi^g<4nDvGQb9getmnLGeR2?cQ^H~Yp{B;yK znH|<;mBq`}$3XE|(^ak=>bI;ex*igU)2_(PEgi*#k^uqX)Soh_YsIgY)lPgQn8EYb z&>Q(Bx{MwC_V6=DOB;5kO%VzccIsrV-X3%BgPHOCqZEL+DA0fhy=Rzb7wG-&1OvUq zT4)vnz`k$Ap~%oLtyFtGEG!nCDtvmD!n}(`2YLzHU+VsWV*W(c(cGJ;xSqR1$rKyO zf2O-nyvH0ft?gj#h2QsEn=Y+&#pTJW3O!BM$2XjuO*!LVn~Mk2kLScGm(}m$%58S& zSG4rcteur;6rPN!2!ZLke(q8-J@|&5dv)~)n1bmDm)la26vLyY0;(HO31ypT)c2@L z{hlN`wz?~2m!H{t`7+AOzR?b(@uqw$_RF5jyK7kf42UnmG9b1aAH;hZyrII0S`>~> zyrc%yVH!esqse&5Ql|W5WlJP)a&l=MzfWeUd1!fxOE{amOSYQ&{ zP@H<{DiN1R;HFO`Ss9I1Y`^6g+LMMPR3+cR)`F4|j7J_}y7>d^iFnDav@`SMUGrwb z9s1u#ZHl#&*GEfkoY|5pn0a#NKr8-BDp^l7kJ%5wGd|&=8KA8S>~rS}@^r;wi5Jwx z{Pz=Nkq|z2*WUoek;VIHCR}~LW@Vo&4h!p;zBpj$b&>R%SS%7H#*6qLGELk=&)t^& zJ(z-A$COxH+whO!-_t<1T9;}q!FHeI1)W<9V;he2M*EANDy?VTy1NTli4Qvj%^uNS zgR%Af6fCt|TvU)0GiuXnQ%%W@R{UB{&~D@TJ>$`)E3JFQk5C=$)usAw8V5dg43)OA zy+2ZNFTce+Fwb8ZLUn>>VP{TBxcrUOr6;Lej5}6MbP-oCN(d?j4oiGuey1s1Y#T_~ z3;8^nPE{ip*Uq30?X@dBL=lzc-HaeyZAKLabEZVgzQ%MPv7Z<09TBxIXxAyr**TKC zn+#MOH$JMF;LSXU6Ko{*bU}to+0P7m0`A>n!xQD8HxwLEYFA62Bf`UIJj}kn`v=rD z>t}iESJM-!T-wX}4X2CP90D8%XzM6|9o;Qt(6-p>(7t^I4OFkzx!j#Irp1GH?D`SP+lSHtBYg=E$-~vIVH#IY{tj~x6$NJ101aLko<0($qGKvW+hG{E5ug5 zKKR!_r7Ys>>b`e2RMJ0W-QUTk6&jGbgd1t@G*!e+240ilmFBVq{||TX9v@Y8HT=)y zLIQ#(Dy{K8YM@Ecns`klu^E!U8JQ>w2(6`}AkwM@Wd88X+*+&2yQAuj!O&k1V+Z&u4!wx^){LxMSZ@&Xy$dK5_`U&(SOiR?*N4V>HRUv zJhR){bya4PQ{bRGe^1<*$=wTgqx%J_`_j|jgIH;K+M1s(*lFL)^k?#ak*>DW9_yl0 zB%Re|SA%+);SJAJ5d~Tw0S9}aICA7bU?NHd`%CI!efJ7#7Nx)GvUl=9rmi#6ZFDC8 zk}kV#t{RJQANv#<&dQ_T1<*&Q7>@#q3{Jf`COz%aK}|Y%GX~Ji`?*d7F!a@i5%281d^ zQYF@pfd;xv>BZVydxD;0PzWT}i4=@QfumL2$Z<;TkkE;VCO*L)m){ybQ*cDR3V$dY zb}=M~MImcUn2NExOgBD8)FjA-`Ro$Dv|hbhMD+P<>s)17@i`(5^PvAbD%uKrqkp6YzH6?29l7^lkC_uLoo zw2nqsenu;8qA%+5`de!};WwP}5~3nhL_8ZGFB1)7KTIVWV6uvZd27F|MSnu&)a7(X zyT{@cD)&S~xhk74f2r|1TJ#STY@IT&uXW0xgMs)}UV2Mkg0+BwjL_eVsl9m*2mb~jKitXfVlwQ ztTnpUfThW6|A4nlM}gfZ%P(@L8126e1cUigsvC`)EA7(+oSeJhK-3*BVrYwGziwbV z?8-}OZhxdNf(n#9tUp+=Zjz3KuUDNg8qUdfK)#_9$&v0r)buXQo!&V?hCF~KwCB5| zcDG=E9-aB0{l0O6&G{UBF}^fsox?#1W4G{UXG&vp{U)7ouZ9C$gX*p@pM!9x-M>}#C&CA=R^lEv2qA>pLM?(E{b`buYie1QOx z1-RZG=)$)3(pykK@tr75XxM9;Qs1T1HFYqEoID$nNo#Vh#bYma(t`Bu%J-fZ(sNnQ zc7&HI=t2Q8jmcb+2Uq2nG9JFL#q3F1#;nRCF{$!I>8ZseU)fn$#5<$mLc7K(DYixX zTqli;?Xk}!eZ-8*GywYl)ohok**@K!?eeeAcD_v2*mCHE@k3}jQ-!RK{(-sTjeR+J zG8jN%|AI7=p|nl*H9S;x_BIU4Rdu{I7w-R z09~pTb3_4VBmDkG6yTyrYnZ=sRO0j`2c`xcoGSIm zu{C}8x%G*mp3s>jzAK4R5|>Fra~YN}UyY%%0Q$ z{Cvqt7E4M9wtWg@#W`;^PxplWOX~O()NM~bV4XDMU`j=!Q15wBdLG2zSSK^nZm(xi zIR-;}8OdppdGyiP&~o8dK^gXCyD~PXD|bs*3Q_~Ycj?AnYwpfGsD38g-S8kVTDY!N zI;oa&yl&4FP-@{$$+Aw(dA&qddc5wnoY&{(ycXkJPQ}OPygGRJtG%PMZT<+rWM!K6 z-cccMsIs@HI5f6HDvy<=D5S-y`az^6ZJ!M7~^ENXQCH<6$hF1Afl!`LcheJgdIe1)|UnQL#ETBOBizcgJxqd-od$vU9J zOfTQ+MxJg|NC%t`q}@+~`PD%qWqsh|FsOG@F-PxkiUgACv!Fqcjl2;X5X9|YOI?nO zjSFno)5dmOR%u{$i*z&Ll^^SdZFEAlEoh&IHIEAHaYw6VH05nSZvyqspU9@u}GM;xdS+CXF8m&@oI_34<-FQ) z_^Ur=UnTz}jzRtvGk+TJIPO8LfXE?VXcC%z|B6p9L`62dcj9a6L9p!Hgu5y2BxUZ3)MZt3J3S=#96zlFXDVY4K{ zf%t6&S=fD$>r%mf;{eW4oQTnl9oR`_1+Mb63Jy4)0?ez&vLPX=4T^AtoL53nCqJNj ztkaPYah4M_lM1X)E)bv9N6Y#s5X3m+p^YGxI$3E!^j{K%6XqdJyY$zA|Kc3@>jM8~ z1^*s_{|Ne9%l~Si&(DSYujKzZ{4eK!8UKC!Kb8Nb{2$E!68;b5e=+|HjQ>Y?>;F&i z9?Le?+KZ7V(w7RIq^D{1_G@uz5zZ4dC;I%U_pc>dXe&VSKy;1$(A{#gXbBRlQhu2a zFXoLLavDCOSi5_vdf@QL@KMl#XCC44_LHnx*l}q?i$1DeyQl5gkVWQJ!W`IcyNt>l zF;ODU`E+B%b;;NcsD)o2^JM)>Cz#;cz$RhA6v>)=)Qmq@c?4NfB&EnK}#4uF> zwYvi{OZ4Gmif_N#B`8pmadabcjAA_3O5o*GiXql}Og3)o%CDV7z>T@}@RraqR$$M; z)WWk(y+rUSt1=4=(STj zjl~}2Jw~5$@`rf#cszAN{%e2aWIEm5auMaZb;GcXYK{ zUB+nOIU3lG2HZ@(F&bD)MB`j|XQsjaGi(anz@CJ13l^Ka-l)h&_2<_LO{m&6wI*TqtKWCNvt zuHMN~A|PW~Suh@y)|@Yb#$JEwno{viTC`TakP3(2r@ja;&9wB_cHRjRN&_(%L!Q>A zn6rbyaF8_i+V{&4(;~@wL5j3U5|TF#tpPQF=H#I12@b0l;L%N|$BK%LEe!~2(0f53 z#t)#;E_5kqyI5o%ryEy6yI66<{mEZZ&4fjFVfrx-=?6sT98bOKBne&LZv=@LOH(2 z>S>NI7@t$F*L)T#wtFOp`=3qF+nI^WSik>q;ay2810i#;uVm#ZdvM_W+y&Ts6^sbgf-j^R9KhUh4{3DBQC1# z&CgSmVnijZO>mhXV8Y@zMwEt5=5Kjbr+h$+2w_1Pr&%zwI`cO4p1f7;CASFOt8%;7 z6^zd+w+Dfp${!HcqqvNSQ*a)|kOBr*6f0f?4}zQHCbOAcqi$?*HVuBWU(kGJF@PK5 z86bNI%&+D=U!6Q?QN`dZ_6g~dlLj$XNK%VFC}6JnSl31({p}yDEqa#><89QjErZQ| z`~chn*d)P{BKuO|odIJWBeysit{ZE^6J7ZN91NWuFz=DJSw_sjO!(VpoCU1lKI6SA z5Q*sf+%IJpDcbZB(WEmW9*N}D9aU*L9HqR4;{|G=FiZl?158#o)+lUP?6>{3_EmDrb)0?js-P=woN6;`vkwtIn2?7 za#4@g9+Pt#(e2eBvc2fdE;KVX2CP)dY6V+17fImyK425g$y z{$|J{8IQ34Qe7|(SekjCn9ATU-Ygi4pq3VM_%-;q+rT8 z5n3&hnMe$2tN}wx*Y<8G4&SVs52y}A?kEMrp8*Ik@@iconq4mshQC~Kb|M8Ce!#${ zSmc%4K;hd;(|k>+32BIW14WcPQ)+a#zFhoAS@}kOh`sl1uchYgX-xPGUkKUN{~S~ZUy&9#OurADEHKJV+&i!GiS}5ueCA(O zP58^izFO0goSL-gPDBL-A^Sa2jb=o?6jS*9qA_uqn)E}KnF~7Bq@XU)b9-lxqs|

    o=E*MB_j-a;Hz`k6N6$qNdPU<_W4c!kndg304{XTo~#>6qp~AuwRr$5MK9BmTH8( zs;c-XS2&gKupJQRH)nl|HcF|Y)UL?q?1~H#gk6L(aa!@SE83<|%GnhK%_kJ=x?5CD zx~WDE!l==gx<;F%yb@eW%fs$YCek9J{FA(O_(^DiZk{{)&qGmk&66*7w_N3FP7hah zU6N5RmtHBV3Rl(r6T0duyQZjKEB&=@)}2k2X`Po@G^C9N>stAvMIYv`YD_Z-q(GC~ z371$_TD8miZXidfoK+G?Y{0DnXo~pG_(XJsd?>Nq5hH_PCR@ajZ(#RZBFB{2$E#|-Vmyd( zlM*6lS^+<)S*FfWz`sft97W=KQcA(D4Az8TRU2r4eHEZsrHBExg(eP(m5hHt;G zmT!x@o8I4(yA9cOF}8sVSWsM*qC5MPw+}0D%OrO>I$J}!+fZtM08>oE6gWH0+@+dP z%JWt9DwP^jYLAyC{xzF(8znu>B9fYSA`yIM{dvD?3jV^l^PvFJq)-BvlG``XY{ouO zc@+CZjy~|Oqh+R!)Ca!v`eFLO$1zBxZ4-A>d5%8tZ#l0}mFB+sW%gC_PxdKzIc0Td z?dFL__p~9NRymSEe5TI4SZ`=R>qiSBhw_XQLwTRCZGENHI8ipg4*hcOe+jTvQiM#- z8x|MIqE^wG#N#6EY_Y@FRJNgBw_`8R4FKAsL+jiT*WEise~7ZA0pTAB!LHM2Lz5;YWAG>PNXZ#v!4ot zT8v~tsB0?fNF-waq|eYS@tHd#x{Y{`q=QCZvqVwtWw+c~J%p9u4G(B-@y?P|NK==g zTGySc>IuKox_^ik{RWk>4+eP+o1(Z=}hZ zE){kq^i4hfkN{9F@PX8OtT6#G`ksC7E&&NX{)FRh_Hy`g5Rl#M_vHYK9(4 z848-h)?-gmmo4R{`=0IAKR#oz z5hdmYzJcB!AXFwLp{KQK!d0A7wowdNAw+UrM4_H{egUzE3#~73dY-@~F=RZq7e zTvpWt*`DPYYv=cv3Lwi)gT=@vS9Mx`gnPDt$y1PbouX<}@rl(&F{IO)t>MyTVywqR)<{P_r97^8h~*2q z15*xk2(Yz6TdW-IY6FX}-10XA9<{5WezAKeKX&+myH!w|hU|f5QA=!@KP_^|8wxd= zXZbroMJHk{CfZ2Pb|Wu-4d6Tk``4#zw)VE7))p(sn(*0GxT=5PYwUj`xV!)8zDHuw zB08@<{bD;g!h`HWU$pSnVIiMi$JZrTw9zDk98oy>_ixWXzel zRECIgJF!SOG~-Mul#BFy9@$tgn5)QgYK$)4`eE@d7QtXD8-s91vcnm<^A~!Q-sBg@ zHevBKkq3u?^yV{)`4jahvJR}kw4n60#ODg#pcu5^x*prrf-kgEJ&MvpESBy@M!>N_ zYm)Jeh9n7>CtP}&%e@<3mkoyQb;PTkTi8IZHYFRE({x0it3Iw?s(K4fvdD| zwLsaP$pRNi!)v+Bqa^u9cYe)L^;A?X{v=lbgS6Vi*)!IA#=5Wq#F>t_3~)uAYuC14 zOUnY^v_9TkFw>rR4kkO7p7Z@2z_VW;KBol5&agSvl)$okA!U%Efg{R3(OkSpKqq#M zqG;ppGQ%0K!|6(@Iy3ry-Mq3o`Bs|AUdwhmv)@{{Q*Bh8xmC#ZQCd$KE)iiWtDp>_ z0_ghjNGJpAIw7VG_Gb+Cvj3+O z;%lhXBwXFat_hQlgO5UwEQuiOe#e5j*0uSl_3qev2tptek)G5SYvrg-}@E{pTL3wbvnF&M3x^m& zq7Dgq6TylNR;?VqQz#)4rbwQmTy3bg=TfGsQ(-R7SymYue8F2S`X`wj3gvTfPUmC> z?oQHUJD@($4b?wecJYpXOk91SXZx6+6t(92P6C0^g$w z%2EpKntfl3o672$!YG4(<39{Vz?fgVD+g&vM7nN_Gg@40rZ zRqiw?H$P$!XehbeVh7ei;S}^Ovlq=SgH|=uj{Q@jbG(s_&JkHq4PX6Si;jgOtJ~Zp z3RA%NSF`bNwSIIn44lPZ<8ui?9eI-1fJ=+LPNKJo``}`Zy|ft}?51h$A+{V%te%Y$ z+WYPgRB7l)b1DBB2dXinxm@Yyn|&lJL&wnqMEUCg3U@pG5B26D zVWL>+16=2o-A60Up?G4%ngs|-^9%y`f4H_?!IbkWDWLAX5hF{+RmG*pP)(~EPn}MO zp5Rd-bI(NmW*^grhX>{c`vj^@zwd!D@9h<#8QzNoC`}6OJ>NYd6O$FyT=9_|k{9Lz zwJjWIt>v7~!W%t`K2mxdUgNe2(ua>145YkMznXM%e909G{^BdNrE0T(9IgY7Y{Au` zDB0)N1dU{JV7odey>0&0@MC{(V_7IKe5D`V@k|B*$+sRmm1P*~yko0BGO)}iL9#2} z4q$&%vIq`;10f1|0ZddXLrEsx4*b?Sg_E!4RyRSadnEZ(cEcw8`}$LI`8T*~E`T&R zG<4t@d~pQLgxp+fpQAnk`SzG|W;*(5fcez@H2KcNy^MW5QE_zQj*$_WGGfLIU24@2l4`z9rr)r=}Nwh)ifiQvvICtQrx zNf}XDTv#<5%C$v*&Y|kgexB7Nv>uwD z)~=yx6CWy7VGBwL*+yO^2$)#I-&Asd3r|^k$S10A$S10+qGbPF*d-?I!?8h!e}a<=#J5JSIF-c!+Am(O@G9SMWd*E z9Wa`%SA2cV{F8-=HybK2lA)Um@;$Wd!KVqt$+8z zgOZKG17zB6P4v^fqn>&Z{jalG>s4DwCuAXL)Vfk9LnisAD{!SF|U;Og_LIMBqC88U274WxyBcp)7fuyTdL;?RB zsyw;^{yn;(^pJPlB;egtCCkFa39-{SdnycwXleNm2B5Y!W`Py6z=~NuS0GzX9|g!(yrsIj<0mLEZg1EobC?E zboUfe;<7n>RUQW|8q;i4_T!6X+F2qArNxjfte#NLak7oI=F)3fd}sb27audDs&c%m z)jrUvN4Aa5o||^k{@p8O+-j$n-sY9p%$P|(wCG+sWER0`hZM7Es*@8RTGTm*ivL%W zpo4=0LV(+(@bXUn8tY@J`QK0XBGOXt34tHmt@0BODzc_1wl&~n_5ET#&=d76WBHZ8 z@<>bS*XRD0y1(V}E2Pn>V;U;`BX@SRl-b%P8q^0o`{Ika$#kDgv*xN@H430c$TrT4{}=A0eN3(ww5b z(AS8BV0=QzBx`b|@+_Was6wt~Rj>r>YA)YOQ|tNTd0Ed>Wpm9=?7WTI^F88FVxyH{ zN+%E|0{@KL1t{sAxN`ETctbJV9E<(xG{0z~utTQ8Pb7aW^i9WsX9C^}jvM_!|2XlY zuNJLd=mb&zq8QK7|F(0KuJ(4vrM{LZ;){{FYOklHqrsydm%}F4`j^!gpkrSSVe}C1 z8N}gaA~&gB?ya4oMc1Jr)E*FT!`d6PXd@+~z~J?EyckJ0^?%!MY+~=Q^m$4&5|>$p zRuEsM1Yy}^#PK`5tEP)?_U-Lzy|EqCqT&Le$0ru*#*Ir>okPQd&VLj#Dqbb;U>WD+ z<$HVd3UGoxR6{hVH0@J;!i6J#5_=gDPz9I!(BKmQX>FNi`t&m%sUgUaYh z)#l3y3UGcQp}a=46`Uof&Ts)p>hXEc(nBkduj1ZDPXTn|(7sfnUmZC=x_RRgo81SF zE1R3Dw)s0`CEHwSpQ^o8i}q)BYFqIAVJyrFP;t%&%FIca3GIPb+?jiuUxC-<^5w8; z?coyt!X6Kk4Phb#1eMBxQ#qq5-Ur`Zn`r(0IxD@~~#NQ&@XI#3n(Q zF6UFZKerpvsdgAwmXLL1+xF9vxlE32WDrrtc{|?(9KV@Wt#O^17CSUsZRJJkLn}RT zS+}5%L+IS1WKYiIJhoWgN7hac80xf^VA>hD0{1le9n59Llq|${g_hhcqGIk8rU!2NyFKWuNbM*_(V= z+V$E$lP`}fHBT~Cw`RF1ul)reay}WBu0z(VJ%J}kgQB?5Q(GNLWO3<6!b&|SvO_CC z;U_9T%zj$ymhrz!`-0>7t#orjf0h589Bj~{F}^a3FoP)9JJ;oIy;Nn6szh|+pw~Zi zxutWVv|SYrjXkv-~KvPEz48eSy+ci)tQ$jSq6y|+y~@VrQSVYVuF zqDr!GaD$ZvrV#h9pn$5v!<@{cG1?=X>oW5DDhM$GHiCPpvJKuvQ`%m~0WVmA z*ZD^KSZW*{KWC`g8ueP2;tt44Dpz4A#Vt>+ep4o%VWX?rtVX_EFdMytuDz~}!UP|T|QGkhNDIm71@hx7TA%jfsGe7*&RBBRdm`3F0tPQ~Z3L1p)+ z(o6pP=FiIT`HBP0HG8$YMUf@?x9Hs@xOw0r2su*3cIz~dPxgyky~dctR{^Kgn$NhH zQQ)1H^xvSULP&<6BL)0AEd_jw!j2Ts9&StC?Pz+k`ko%y{8_AhC1^Uvg~-lwg_B=k zc+{f55^#xF6njGr4N*k={_x9W%c-Pd9P*A7LT+@MPj^$4GZ~QPicZHc^ziqb{xq8Z zorNA2#-l(lD9{Vme8|hnP?6eHB=aOf^Vi9RN+zRik;z^jnmbp?c2_uhHu|aGI z+|eCfNRYzr^gWsULt0-Zs!Ks(@cE!pW-9%qKdrw6uT8DDi+~U>ASCpzFAhy%NAE+f zxEo&Rj~u|_@;y>+^p|=q%6`z(*mf4Dm7N%gvYp~xm6Cg zVr;`5S=_{BYvMK`o|(Obg@`FROWbIOH_<}5w1?q{U~ByMAqUhGN?n1{XsYCq-MezR zEj2dcOv>(o`+>Cw#HyqmOOdAqZpQdZ<&DN5aB7@RmgqChNo;Q32voeIMaPrXyAGNs zVndFN&&3i|gPuSb6*ItOVQcm_Z15lVd7K?W7(w2|SrfPBfZbG--Vszuiwa=yDru)(<-x7CY@qx*LLQzv z%f)9kcExHi(g3nyGODbLbp~Wm>7#;-wX(})6Y09II;?JUWd@LRCp${gE+8K{43Hm! zu?*MlmN7fhePol*&|I%(2f%X>PWCo=#M@Yl-6_Ry=a2cEG{qsW`xUcu{l_KN#o-&{ z_E*GL2NnAj(gr^Nb^-MvG5|s=T_JrelQt# zI8T=UK+2Br2U6Sha~hop0z`V8k3aaL#cCvnPwC2+0bPE5=u~)%IuIaaN>L~jHK;u8 zoyu$aaBWeN^8;zM2#a)QXr=Ir(2Ymq72vFmJfVYw%|T`g)a^xm_b^5L8LKbjVxm8^jxN73sZ6V#4p>E zKg)5lJYxVRu!ZZS+jit*+RlcJxG?9{#ascD^XjCWS1iTf(D@#vhLf`eG`D~)R#*DpmWK}Gc)HnkR`i2Ve-d0n zSCRw;`%th6ea`s*$T=3{pf%tnXad0lL|lCbYrg10K{qjor#r%c^gYt47-_iZRBr7K5o!#G+S>HQc@a*($F=L|-sT^v9zad#dR1L>)d^k+84UCb?$yi?GIJ9&OIQh1EHa< za}P@DVCdA=xrZcmD0Dn~B}t`3eY=rp;z20rXDJlZ-w5zJ#CBjW3NgUGEk5UxP!Ti$sTd0XE$P zo~&KPgdyVp8vd{4S^lply^fJ}2fh3Bz1@0McL2~`R$qNvpC0%3g?>!x%g_w|?!#YV zF2DOjckuUs;AbdA>R@OVe-EkWnWR#o8H|x?`-Z>`-voU;Zk~0^C zK&!3)Q{#^k?(Mt2gW>DJ`yn7F33C$X|x$prh& zmu#>Z;Ij*3Aq#X<*ph&U29Glsq4!p0${Y{$^PAYUGrl(WyMH!CYHn&4Rqj;0FHMO6ubGVUfNl z|A2bmV=v-2OQHSa#j_A`{mE1;M_iP2m9CE*R z_H^nD^@N7Vo>hB(fVQej z02HiA0G|a4K1GW5Qz*O*XM+nkxhghDm*6?@SqVRm?Bifz-)vu+UvkTZQEAL9FlH7T zGa;6pVmi*Bvja9G>vo^lsGLpqzC6l=@+l?cGCN+1acgo%`G8w-f^FO=uE@qAly(I` z-JzFh|3&3;r1Vl~P&+F5K%HN9RHm-)F~=q*<0*oUipmawAyTJk8;yfnRN}J*;!Onv z_EdAruk5rX(5Fv+)l~#?WQvP|I#oOWP#;M5_Nlx08aY%GvS-jeTtR#z_OHyIOSo zjaucwaX}I*g18{b-d0?Y9#Ow5$`UdZg@&&hi$+Vv7q}BYd9= zPg7Ylz?;(%EDO{rmx^^A)q)Qk$v8ug?ARkX!X4qFbcoghw?va@TliU`fY`KHe%NZF z7i;R2H$mgpcBkGzys?m1O^>+KHjtu0a|)-|-Jn`WOq1DkA6u30%Xmig;|MpdeTeio3E@v)RICJ@-JC_%uL%09ILwk0Ob=1q8AdbT(S(7ScfHGOg zn#2tdfXIS_ah4KdNd>4Bpvai2JD zg5W{!$9a}t@g3x6Eft4HV4z(H^14R)>BV3kVpm zW|1?9GaoF0;lK=qje>>Z$tO`Qdc(u9X9?6>WVk{x`4#9pv*65%B9|&3aw+9Fbixn5 zN~i1b+vOUQG=~3Yw9dt8nT-47LV|cBB2f;bIUL#LEU_TFZkFrHg~^`uKdI45-&YcSFUx(?<+1jjd+jZYpR zG0+u)0tj*KGI^q#WhS{dLM4dw^gk+51paAJB{w?UiP*?FIIjX62|Ze#{GIq-_~4ro z31~76Gdaz4*5i&tvShuMtLMxRt*1Q>0uoJ-CBE+{2q@!H;oKONZrvjP1&q|{pHO0|=`mupfYDm8nwR)CX-!)NfO~M}XD7!sRm{=Mq}RC!=Vu?XfhCUUY{^a2R=eWdK~vx zD;|*}n#%sEETPCR(<6PyYWLLQ9wYf-VtFWkRV(u+hmG`1@KOJMJ| zUj!(9ue@P>#Q#PjlERh3Qi-#|n^vy}YE`|s)9yfq!ataZXn(^=Rk|K9K3sMN1$E;i zEFn)YGGdBFI5b&2)gP%XD+s--PdVtGD22Rf_qWJ+;Q-Ye3I^kW=iVK+!%+t!-YAKgurK;~`J4}64=hSzyyp?5amq-dPP>%{Xs{&hc9$CcQWj@K> zBXohDcs#B42a;PXxo=CVUQ%M2X}4}>!82vH$nDES`msAC1(|Z%|C5uSM_6!`;#2z< zDuwt$d-tj|#qCx}k?FB#OKKt&^Zv)`y^q|X0wE0HA_uoq$$r?&hv8A(p?5s`4Sua;{H4!oqbrs75HK8;gkQC*hN%?iU_| zGhNPu?9X?Wt`?CHf?>Ui7$56gCS0{8-yB?qqU%WgdZg~8{^G4IA;LXNzJ-~v~t+PLZbnd@)s^2)Lj7aZ%Th$w>JC#>?X5GpB)N2oIK=VkW#zp;j z)}ph3i&?nu4>a8wzWT`ae#6pN?FF*!ZT^Y0_cDL2zap8Y+Y5g~LdHK!wuG*SIva(* z<3Xu*%(}3X8-knV@$R_W~Chy25XJ?bMvdNj*kxkCdCTC@nGqcHCv&kR3$wc9FH^o^tdY&Hk z&Jz??$gtPnBEyzp9X9N_Ka*iE;iVe3oM%;@kIwo@6yEbY87frqU%Y8|LN|;J82gOR zt2!Hv4UxLGLRpY1-2YD~i%SISS%v$*PCeewqmZ39tp`|8*P<-DgkLtJRVnLSmD+B_ z;(4%_MqE)Q1dKObB`cAljen0Hi*&Pa=SF&vCMgMze8oQISp{=>5mm?5GvMG0N)!swl4W3I~U5=aismkssPy50!S7;3K;*iLXXL+|0(VGLTvZ$Q$SMjN*TLaQ6hKMUmhE-ldL)Cug*8-Y z?fL~%zHpsjXIk~lE%C;_Rr>jtdsd&Vy1npyxf0SE!5@1%YbXsx-E1*FWS5KTb@MWuIWQPlw`Rl3jalp`~#2P zAsKlEe;0K4jb>qjM~R3R?N8CKY5oanvfeq?ZCM15AZlUo+;cT~A zsE*sH{WN8ekIajHNDkUZv+jE&q!YjFWBXkw9spXVq?& z210{cPaRuW43WB0salJjC#P< zum%!gujfzI=2fC-Tm5euV6C3Ry%PAJt<~B@Pz>h(PR*#1Smpgp-s84v^~Xn)6?!)% zZJ1_CUwPxLGj6}bcdaL|Vn#URn=#FID^?;<0JY9^k<}(!4-sBX;`X)+{Np!kM%U__ zrcIj`h|e5|g*)FKLq~UMtF4Rmt_%l3ppY+roK*`d&i;uu5>sp1F_w^!;VrTc$m~F{svh zydch}o~38sBG(S7tIN7{M*k|ADXuL0-(FqzE(V2CzJWj-_3ESGz-rmjWXp7*8hzxuR;Hm<#i%SnSn%Me_qQ!xF%9YzWbCY{B$a^8~N%! zLWh;U_ivfS?bfCD$n4g=|0t})y(H#a$eO^hRX*4*#o~4Aa$au*%hRvNvRHZj`<&NH zb6!6#C=su_C+GExIj`S#Uf-1S`p%r!64N&eDu>^TJ<^G7uw`txOo(VzCtAx3(1@qD zMEW2Kgtm!u5H#LUB6gL)9#Lr$;$s_bUIcIw>!qqGy?;`nYLDq1M!#y5eag~UnDUYZCRr+?`2nACN?sRyF2z+zg@1Z!1i6hG-w_Zh}=<(bow(s zBw0doktC;VXpaA=guZnL<7Ml~;cnAWgY`#(@e9>cau|KaATm6#C;ec`A~Ak zli*5X)$?X^TxDOgIUryLxFz8=$A78UU+N8&w_aa*NQUEY4U`h6*IyDe0wn=rzy^!~ z!T41r@=3EX7|&{g^@%<=LX*8C`E;I&u?Icr*IQre4bQ`E=AqwtWTvrGYERJKrxYTPNMG*h!~Sb;z+Av$3;Jkf^4m1lKx3psKK$;^=69 zChVNRsP-3&UYL4XB+pIsurYN>*UfyM+_|)^W*&}V-6ZG0>Sym@vGcE z6yT?VD6Kb?9XF$a=Qk7FHjX3NwuUqu}R2TDi$jIv<#F0{eR70l*cRqO!zw1 z;nIM4VHxpJOJv!u529V={)2U@)@EvUU{XsAR$#R`bb*@5a|MC%CuC;QJ^fkz+Q(

    )ID^A-7((Rk61dDjsLZc!7orYzXwr?>OZHUD~D!KXwA!Qz(MM`iZ4>KAM zIqC*EjPa(^BIr}A|EdM!?nV`?bLk>H)Ceh0>Q$jP(=4nYpE@GdDt+C!AnMF~04R}GyQ z58*!chXz_gbuCt;>BNwU3hfDmYZ}ye2uOZtfCA@#7!FZMjE6FjmSqh!Kta@&)R2iv zs38y6Hi+>MuAhHsCJVudgo2V9GEE6J#&8mKYCNxpg^mhs85CZibC_{o7xgN}`^*A7%gD2$m-u`e^j)A^ zxHk87SJc~+nf3yN>xB)C=(K^DCcmu@z7O;bHwU5mS=C+m-1|$-@MJ^d=|v7~C3K+k zm-^!ws)SQGKFLYd(!-phl0(7&VyIu%}$s|0^`FO z*s#x5p9a~n#M6$Cr3%q|7yF^RDOekBrfKdj(SZ z%4j9L1P2~OwbkJjsJ2Q}JDtL`(_=+7?0}=%7ajF#^hLJQ1C;Pe{nSICFuWGk)~WB~ zL)&MNd1e7s+k(+(C24x4GZT6fa3N@rhO=44jP;kR4(tY zSGzrpX}1?ErOSA@ua1w~VCoN5ODTf66eNPw5SrWI;16v|=?a{4AexmdD_zC?Iq`y3 zKLRs;lnl+-KyvE$BS|rqtSK$x{+a6eJmg~L|EL@EVgp(-VM+9|oyNGfbOZO#QvWp< zxufwcCX=awWW*aCV5kP25$GBJ7Wk9!nUAl)(syBs+eAn60lwQVO=9gXX4Jd&v1h@< zLm)|s!G@7Ei!-38|Eh%y;O*r<;l?$<4qNJ~pncqn3iXxXDxw#2hS ziL_#g;bDXnz+c%JPd6pf8cZ@=u6?vh$VTNtOQel80p}n{9YAeV_QZo-pSC=lsV{)E zN_~I7%{IKkhNzrpiFC3$l<+8!h`b$ICD^Sp3mXVLJcd?dVxFwT9m|z-v4db$ zk!on>O3Y=I*yicV!{g42DHZc%C1&Kxd`qO8m4(NF$e2>8>xp=#VBdg;v*nbEiL!Dk zo;lb!fE;B5MO7R|PbGM%65E4_RtZl8j;c5fb1|M})<`e?tVl3DvW5rR$E(kyw-2E} zKAg~i80Y2UwFu@AG4#Qak*z$?jr^P1$H3CZ10jEcaj;sy4F5@7Fe|dnVhwaxUxzf@ z0atv{u9n~&;ib90Lbs+qZ^X3EQzUfZlr?ctlxw<6%12p|6NhyPyDm+8) z>>nWCH+7UU_^FieOkI?W#5#1YfVc+p&o_{uZ@OB_a7+f3X%+!1$wZ|riQ{Ym=E30j zGA9PoM?C?ud;^~PWmwGVm{hD~{L$vGb zyZBlmydlAr2u8+XEz_d1W}P6&Rtu1W4YWjAtoHXnm>n zucXoP7|^^w6VDQ*3{1Vmb_msGmmx4KFj74Mi{*V#U+S)2eXh{SK>tYwjV77CNZoJs3V6`mJUo7 z2uv<2qo4`(v!=)ZyWSieQ)~Y~V!y>@@O1S`p%~=0GA!Q$3)Byt_=50QJS)&0HW$z3|9z<(;kQ7;x675X zc^F|4jWA>{gUdazQhf+I<9A=-VYkNcyQvQ?Anx2m^Onf5Di{p+lTBz2*&|3WTAR>9&&Mu^9%jRU7^b`!FpV^C49LIwV)u$0bd7&4c`NX`1Qpn%QfF1}B+Bw;34K|}if$KEF zhE8uJfi9Gbf5aF z&gP}q`v8S9cINY7E1P54j6)ySjoI4~Uc^-iz=!ZD$b=_EA0U@s$bOS^w@z!lTvzy!6IU z;>P?6g$IYImufN>oTaX79m!E5@-^=;V#cD1hCDb$4B`ejfa~`yw3#FtIJB5Jabrbe9?Z3=e*#|LPs7t0t|pOxZz!NFZCKGCxL;=#bo>gp zHQsFqD_-j)+$aw(rO6MRde?#{Ua1(sOH;CxUV>5YCLpbWrDCAPS}J2bfc(3Oc5bO(L8;OJI7yy7OZCo+b<_NA=zkC3hxZ?5d3i2IOr|@LLrGv?jI!(tjR;hrq ztF#rkp8T$^yTkB|Q7VS>($>QAqfQZEe0Qp)0-N|K2!%*}iZrDn6P(eOpn!1PosK8p zT0s(n1|ft+Mxs&yiD@rn5G;Qeq7Un{B8yYGjHJ@!icxXSV3GQ1Q)Hm^N+(U>G+_8q zD|g5#+;ta{c6Yl{F&gc6(x-4UaSSi*tk2-CyE{;Dhou5L_$WyHO(PbGO2s%{+LeI7 zfGZIPTd7zp#={UnK|p~4%S5Fjo0oQ1l)1E;byb2sl~z_U0kr5O2!z0BB;ZjhaD7H; zPXQVNt&PM|e+%o=3PgN=)y1i+PWS6=sA%vCjY6XIiP?Ri4 zoB)uCN(G{iN@?Xm?i*JnSh}*7y&~5b5}Bn)p+~8hhWc2MkjDX3Hc}C}JAej7cB!ip ztW=rksF)EQ)o>$KshG)22hob5v}wgc#)2EwFF=~g$#@o#9wO4zrj^EUX^^-o5olgH zN2yrEONW4O$gpr#LLy<0S1d-FJSE_&gcw&Yv{ozuC__lbOPf_JwOE6jgmS7}!CYS} zFf_GJat(Wq2nANHB%au;R4lVt%1ejS{wFoBCobou8Fc!kwEr$_v^KD+q0!9sO=CRG zg{VQ6cavkcUg@Y^jj>zWT73};>8E5ooe{Pm%tO~tklml!DZAk5L8!Yl56#3TycE$P z5UfeNaL_K)Sqzr1WUil~3?NwPV!k|*s|ywfYw;pwR~rg+SCY~q>aO_~TPRO`2)E{i z$Kk>LBdU#&n(Wcxa5#O6`~1SV+wfp%v=)Etq>3E{NRS02YeYMc1D}oOo^* zX|!uA53QuR*1bCoPb-=kv@UYN`q@CJ&`6VAJ9wx-{iqAFaW~@b9zumukxr1!4nRw! z>28IG)~F|NS>tY8w7*-<=v1Whx%|?tknl*_ZaWVZsV62Q8_ynxnAE8V*7&7Gsez^oqKo?oy8E%06m%)TTc@r*}KzuxP`#aVO+m@7!H3(8Fkf#%FIC6 zLuBIaVSv7+0RG4#=037Xa32){^jaWY@}K(%z&`@;p~L6olc50cj{y8503T-0oNmL$$w3hkFM2$&1Bo_eH%c^clT5t?kRZfxe3hu+;jmVH3q}(B%OMW ztk}JrhXsg5ge{B^(XngGL_8Jb}jQUgO6a?YX2nIWekjL3+bhdi%) z2m(!~iNZo45E7E?wLn5bRsbQd1-2|}6%k(9S_uWOEPt!NS27k4@&DZluX~sl^0(if zvwO~a=XBSrS9R~Ldw+GS>Q+7V-b9=ckR<%dzzbXPbdOe%26k6*0D*v2Vm%9={vf$P z;4i|gUToy3FkrjL$o)#0s8wK4Te?=G&S?vB;at!cv$cv0t8^XBiG_@cwhq_*_qc!E zNe}#D0`6TjQsgX5gDA$)dm!)^Q*ak)73}~S8wdzMiAu{uARaym0+RqKzkqH2V!2k) z9NzUN zv)M|VK*%CZs~8MO+ez*xRhy2xfwN)=#n+Qz^qKE*Zl;}`+dC$?t$FjoF!1{l2^X>I*jA>zFNgz9$pSACs%5C zuZ8<6u;X>`_4?NM_o9o{d+0Y_-=I}GtY9*)Z+ay|YqjQ(^4nH=;J0}kmt}osiQIk^ z_-$)EYo}EvAto|ac8_*qvK6dT2=fiisZ6s<5rne{r>fGivcm10A&B3%jKn#&5(d)2 z{d-9AH$ar%Y{(*iT4t%*PD)r<>4EDdbnrJome(DmgEEVGU=WZww7e31a-UQVU+=8c z1Md{&_%ySpK(AKlgdG&3hW|(OJdFL(tqF%6xbyAEXD~Ls#=&TdlGg z!gMqEi}zMyZ(M1A_h}EFjMD?}r(xV7UhIH*>}B#jbW`s^mR`J9pZeR->dKZ@=|J}p zSk?OtaJQrWme<$mLg9a|BePyt*&6a5A|UnMMtb1gDSX7zQ^@GI8>L;p+YXPqXq9as z?<{H8@8S&JcROp9ZBZfLL=jqFiCrc>j;QaVKX`WoxlX)7AnMCI(f5QlS9XMZP$}q}qeG}=w^iaCfYI&`d!dAE++MCpl%?l|LjM}za;T^hd;i9` zcrSM~4S5<+%TktJm}=>P9zL|avYS;pHr4$Gr29U)o%bhURLHaRzEJq9^5N!zOk}wnv03?J(W0FpmdV^ z*t z0^_ftxvuSSR1QVP zHl#`DZA6+@ISiWA9svxVu%{BkkR@D`K7l=al1rM@n@k0oGzS6=H?72u_cgrfuA_In z-jjQZy^*6ECT^zU=zwsuN_hWkdDDG5M-O~j;2}NgEmZpx280NED_2;h>$xlRDX{3% zMZANTSuRh59);UgZna8_xZpm`N0#Zlf2WGjxQ7}-kHYOMw_BwACHjXi#}YzNF(Yu)L9+A|zXY>ij?k(M2b1Cc=s;B> z&e;u$fgNP~B^f+1ZS`}uRgM4I1U71S6 zOf!P~(G2LyzC_>tWiPF&0h*yNX?ECG)m(Q64XzPif#|P>*zG|5ufG>wM8kgtSN*GQ zT9ubV?fVlepjsuiKn)n>p=SiuUjetj>Z(<>w1NY97YCxh>VdnjqYAqj`d=h<0?l9b z!#&tpm5Ve$dCTzBsy=%Cpx2Ek)4cf2TE>2yKe5#s`{c*9~S^9 zQHlMm;83oHAmk=Y%hDNKMz8@wM4DDLz>$P)NW=yxxe2$?>aJA{M2n1&lL!F| zj+6y92BAf;R0O+#_*CLxrz<#$w+L+AO2*wnt0KF=I1Y9J2gJgc1uU;t1-lr-34%*# zK{8esM-|z{Xb?neMFFb~?#@~j8OAv66(kNs0{Fbu+*vi8$fS)8G$@y;TGa^9If3Y; zQF*`u`?s2DRU^@iSS+IPH+th+K-F8R8e%;h!AV3ZjmiVJblfRg)o3e7VQ*Mh8j1vf z@U1LI6^`CR)?%6#7>*Pu`K<<8)mSSyh0_Y>_ZC3*R#Rscj{QJR^grR*Ra2dS2=~)y zvu|hVfxp$G4rmxzF~%ZaHS(pK_hH=h?Hb&BwW?`&ak}PB|0B@tZw}naj;iSvlE{7v zs%B$v50LW+LzJs33F;pJ#(Z(xd-ei$@c*szPUSa1N;lqb;155d4uvWDg+A)u72lPlKE`fH;=h^`){01`n28Oc~ z(#7Htv;%td4fy{C%C*c2F67!l_4dL&$Wev$Y-9vI(4uc3hHnOHRVzTxJ)Hl0s#Zds zySQ&yR0K5o+o`q$(4#%I122NPZ{d7=J4LIy7weM2#nN0cV;}goo>sNW3NBIB`fVoC zMmnliLwk@2HK#HGC*MLRvD^?`#u)*3-$Hx8?W9$$wSvnzA7Bo1`+;uFsKfOJ=znw) z!T<8AZC0=sADR6P?eMp4ygQ|4#CpfKBMJVuROjfa!Qt*3e}}n!2R**olus2~aOg00@mueff9Ywdy8Ta2?T!I=(|2eAm}e-4t}LBdI|c-@!<~ z8|18RM%k$OA&c)|OWzH{rVgG4p+OekO~XCIQSG%*1z{CL@!d?2)W})g0$`0qG(LoJ z(}lZ%R*iiy!7W^9Xla$$3Xqv9 z6S>&NqVcz2K_|Senmo9XZnGFf-kgJ*A2`MhY21avr`JjSzqt^P7HidQEo|8#dGD_7 zrnBI9>Iq6rCvF^2i}4@H5M>py+uYy4m+YmqE_9<3ORW1u(!G&8gC*^YL^sa zyHqg`xaZW>MHFi*(L0nB)`(?B6TK=Ck50j_LuFMqFS6TroCS6>oP| z52Q3SK4sa3d$(Ra$kLF8zA=(ijs0RX+z%|$OAg|@W(PNsH{VMu5xxGuV~=!lB)xi) zD>b;l4f80uZ<}6ns6R)WUXU6P&^$0-iVYtNEIH)Rsxh<+@%S$grqU*(##8|}C5Nyx z?@*ef8dJQ;C&eZbwd$!>sIEktl0(>xcPP_YjVWHrD8wcbwd(0ssGbCyl0zP3?28>h z4jmbZ9BiFC)CPASt$L=z8A>MsVCUSSJltKi>RFhZ4rLHM*g2;XXIr66l$a68te$HH z3;7IH$)RD$FotHRh+kOlJ{O@>WXc13K~*#NCrj}ihoQLh38WU$^5!3V@UcwEqr;Ir zUOS?J_Xb?rOO9k)p{`uHOCHAt)5mv`axbI41j>DM#xO1Ocxt-SUB~?rf>_SA#XU{a zMn190kCk280?Yja^Bkg`&iS+qrxSa}$mFozd=5*7=XzI^(l$B zzj+Qp66eNYOCY$^i)|Q$0QMu zb{6SRyEViYgt|GYy%Cmkb{E}RS1Z&VA{q8E*1gYSA^GflM>i|f4Y4~PFTzXU;pslk z?oOvS)D57;LwBCWdi>KlS`RA(=UPVYJlzUu9UMJ*T5@LR*}A)n$NdU|V&0pKfC?x< zgKs?kr_3%;!Z)e=HL0cE+pFOSGA+adx1fX6F7NHq=31eCd^rb7@IHc`-f!k8u#gE& zPLg=PC+>dEdEllmaY&NjX??Z%R%qZNEz{p+fz#R5<$iMvVhR@GMz7T17ybNC6%R%I z=$}yk@4@iz7ikNv&|nT1sQ&keq5J(ZMFdA4QD=brpAl1XvoG#ln8L!Ru)oK5?rG_bByn>f?j71r zXKHA)lEh7fW!)^&cDa&6V^A;;Fu6GzX_K_wR%k3&fAD&9D(<-s%xfVH#tl*5oQ`{z zhG3)6crwAw_zThAT<$bAK;T%}vzs@eO*fZlrUUPCZ<;JjB|5Fpq>H3>Xv&)_a6{BO zuS9h1=1rLXO>7ExS)s{X@u)P2_vUto>9#^+vVEDy$6#}L%2>qF^~O4Vf84t@ZS$v1 z{ig+p@pT#hg{gS3OEa@!5z}a0272JXfVHp-JF(>)CNaG$LTO+U{tMG_Z`aHm2Mf(; z0?oz>*o7Imi!`&b!|e^tgleM!{1;~8-lmyNoUYI;-k^(-fWw?FY|zZ6kiqPO&T7&g z|Al$D!3BZSoR*}7%aAZ%Bm$r2@;1e?+663!UD)a{0Z_B4Q74*hoccufFR`fiJ3M}K z9kpuKM>r0+KbjS%MWFCEUAQwf6L10_S#bMi3#83)nC(#Ee8ugXSRQ+Gwq^oPuzZl| zn{N)V=D9D|$AWhX?#8_A8-F*%?*0YlKx@9oT|EMidgAWKYaU4tA@d@0fz!3weFbBf zYK#gWxED`5KiB~_GYlE1Md33);KAKRGhsD!$PQmocmR&p1B)GIA+&ojTC^p3i4RP` zJyA39<(Q?k$OtF#fd#l1I!qiz7Fw#c%bdK&TogNznOVULhgbSA=sST;Sojjo3bBG2IFW&*=1vpdGxBle4V=Ij@5Bh* z+=y)0SDm@n+@$L}+qwS)LQYKA11Eb@g|9C|gIGM*y2hPIZ?S3CqDvvb9y?|c&#SFaE9WNETGR?Yvmt`iWx=&5j1214a z_ySSgotHZrSs+I<&5!+pDemLF@w6ZA)s%x5G6p$(nSL|VUneQUtmkq+xkC>;iy7tT zFd{R%^X8p|Jn89Q;iG!b;^k*2X#TpuF0p<9c|40z^|RwOKSBjVV*LOupb`-)7%GAy zj3SXd3)OjcjOI72(00DqOm9!aJ>B6?w?bQ~spwsdH=j#(`tk7z4b||zKJY9?4A1V? z{Fx|lmvrguL^vP-fY{ib&U4Ki z{w$s*<|dv)qdnKr>2Ju>?&ssK=XxTom&*^wYHKnn9Yz|@K@iW4aN{g>C+Z-F0YeRu zsQIxL9d_}K8l#@)FgfttFwNiC3WwcL4L&vT9JKklnGBz!A~B|Cn)UrnA%3Hs`!7yC zaNeT_o-ZKre=`8>oKNj6RPQ{7VCOq){$>tmI9ckRN^6dOGR*g5K+@+s;_jsRy;eAt zca+fC^B9+%@9prnu)@jw*cnLl{4i9dJN+#kE)+;M3}Kz0j6@tR+RCYi)3_016n1_( z?lqb}7tGb;^lXnMwze>Niar98Ilm5fk;C7{(vS~r2f;kgaCg@IZJk6m_Xr@F=P@Vn zd;`tj&I-dRCO-+2HqT=Y~_rw?S+F7|Zmwb3X&x zev7g8Zvn0548YCtVnV>$dozAr1Sg}EHgLNeHgRpZ;Zsf zkg~v}MLlHF?fnxiv$cDFT|8Zc8#ZCifJuuSWYV4eQ{Aa%TbKJa&~gG03A!d`CC&?$tm2Ci;%`Y{3udsQX)DZ-xi&RWDUqo85#bhY$Ekr%eSitW57IRMJS&`+Ozd{?&j-8hT<&UOwK-3Es8YU5d1AEA%mv%bjfYOLhO;tp`4b`hJm1d3bL`%t3)b(C6qAKcB4mcU$4XT%w@o^8(zP z9R59k8sWA>b3ex{&F4!s|6VJM$!-V~n)~@G+#4MM4QU+Jp}C(!D?i`k3^-6AAg(28 z?iZlo3*eU%Y1F#N@?XpAoYtp zNCOM7W^WGZBvdM&o&d(a7@!516&@}7zb{51X@Mh<D7taFgmFjq^%Oz)JtbT!Ff1&Cv?azu18X zJGDTX6`sVMkKqBVu$Tkg4?xsk&cMBic=&iNx~1XZK{|b3&c~AtS^x_#;mN$iKuf4Z zEWCuL@Cv}im(YkW*J}YRyo9Gxj{z=J8rEI3@HAoAbrKA78G- zy;ch}u);IAvW*GkIP022J#I5FSr2@ls|Rjl#Ayz@KNkd!2Z5*oGX5Si{vI;M&cE<1 zssQADkACHL4@aPhBNb_I2_9~&5^=7tDbY}{XE=T9RV!wAY(CRgrJNf5oh?~?fHrxxKiJ*&;l*dA1xs3f)u`ok>Bp@ z4B#Y0s--KJf=JW?)ae#-dLV_{F!+&QEN+-i4+}4oJ3S$Qz+Rl)anPlg1pDHKyv$w^Qkaosm5?XS{|b)7jpjx8 zOL&9SXgd+RBsNNgE(y*=#yO4v!e5XP>V`Q`k){O(L*1~)8J+d?0K9^U?$^P}X|%;@ zSg^T-cf?dD^Vdg&$bwJr$m6fDrrWlom784E&K+pt4tQyi z+Z8UxTD|FaV>P#P5356RWlMKpqdUM4U2*Y!^sh}qpvTZr)*x5%FDJYAr?~fLWqGwa zC1QvAOL>R{7(T`tlA8SSYBx51?jMrnv!6z07h^hj#8}Ihd}N?||8V#ISy{ze9ZkM` z<2yGtmXDuejY|IAQ1^jk_kqR~IaQZ$OaHAGtM?P8TBDQSNpl});XZ)F7f_mO(sXNV z@+ZUG2MXN>aBd5T%b#J5(~@s|x6yrIwfi6rW=Xcv@Bg^aeQ>(_;I`d3Vb77=T_b1O zeQ>G!;0m0iXARPH%|9LznKs{=no>EN-B-x&TY}>ZF*7|M9cMvGU_CpO#SZHbz z*Iy&{9^lOmb!3P7YWG@OoY;P|aE-$`Kjq)%v%}Nb{fuX=rrGPGtGM&d4$ouQhP~F| z*hbslu*G%}JG@j|XKA#<#I4WFN5n3pk~DQ6n6swS+8f1HgT-ai3GVy2jF>NPOk zDZd-as>ZNm{d2T*&EN`a9@xNp4_391RV}578WU>{z?O}yYB{UILEPf(a=Ey|e00tQs2%+araPWeclbz#eGqGBMqYav;yG?1852frW0fBQFN%S;QV# z&W`k9W+$G4u4_9xGKl>oH_7bGQ&9O%_LC0mCu@?;E<6R5?`A*Q$R1puVs=Hl)Fn{E z1TK4UAbSu*ceBhaRF82PdvF6g+B6m4sCR$>KFvFb9d)v!Zq4jrnc3uhVNk}7He*Nk z)G>R)n*_Uj6`dWO&yH>b#G-;65&=eJ?C2tP6emjdw#>$b=u9x9&5rJ64~?#C_TjH` zI)<}{da{RxYbJse%%;HB8`cQ+&;a(3Pc!>L5VQ(#!y3sR8qbcUq?!FW2YhfjhCOr- zdk7Pd12CYY?NVlA6Tq0IrBuyh$M0dsS3 zCd%xPVjgbAPU-Aa9n=Z(2AP{Iv!gTR*>3Dq8avfMkT)3fPiChSbN_61sv$eo9H}_3 z&h(jE;jnc{`Ct({)rLJf9?9JN=+8LJKsli@ytm!RPAz4R;vC8%91n(}0~BTxJGG6S z+D#u{M7TL=>t^<-!5(ekFyYf91q!o;J=%^vI?`bRRPY+9>sIz?FZSpN&D>>~-RQGR z=1_Ato_0-%E?|#NWRKx+9qw=NKiT*QsbykZgHJPJ-Vn{*&6N_>*<+2_=`09`TnqjO zGXsc?#7ZI6v5}olW2f=e4~J#;=5-ABI~{Jbd&1rl!Ydqs zFY!6e9w{GeV2=-Ak8f2K(w{AwA3cjH*9q9;E7;@fG=HjP4!KCGG}2$k;WB%syuE-u zUc{b2Br0cWJ^xpL=`^fk4JGGcBYPr`J<$PopZoc-L*exB*UH!k_MyAQYc$kAgzPh~%^r};-&<|sm1 ze+mZOI2kJCwIcS^0QQs*=71NPTqyixqE={O`kOtqgPm>UUl$nd)KjXR=~T#>#_Y^+ zEr3Z9xG%{>?3sLq6*+vH3`cgN1;!q_Lmzx-L4 zy*^X(-by~Mxy`(fCVih%scD__ofwPoPx4-NQ2IZOSGjX?>lKg7&vLjO`xj~Su~vNi zIO(6V@OaUDe=!b2^n_P?DYFrr;Q44$wq+oQ$Ma9)vqjn@s=aaQs|#+qE|%ac;`Fx( z!{?N-7ZE*H~3iL~ETsh+= zXqEXIzTob7WCihsm(9F)mg<)nt{l8Qt^gCLAOxQq#-rvhbk#2usrRAfYv9N2z>V+2@nBaGc6PhA^lmQfkmR zSgUQlLWgXXQ`Tymudr5I<%G4yte0zSoW?aO-FxOI2 z`#%qJh<;N#CoHvOO1#vxSoc7t`gA?6Y@swwimje4HN!U5P`VOZC__n23uW43tFKHo zvF8i$ByK2`TaPpXn*QvUuGg_`cs#k=o~zMnB_%+ z5o#nT(?U6(mzhXvOl7x%ug$-ios$>_MB!96^#x~}h`c#b2G#ST&ozq&e45L3FKL8+ zv@rt6+)2+D=trCIFVY|I>PMTRGfe_nN1Ng6@SYRsG>_&Op(cu+rk=A+vUyT7q9-@? z#&@Z)j+c^Jvlr(}_B%T@0xBYfJf2MLquhMV8i}T=!T#*)8nOT4e8Fq3Y?#)X&3+py zyA8YANwS|vw4!Vw+BojdUUmYtZ1&+gfJMQ6jC%;8Hv3mQ3HIAcdfI6=`*}o9+Zg-B z(#vYco>(E-hldx3(`S>l^_lrY6SCiNqjn2Kd7fAy`0pa?>l)*~8`YP`@cD5mfJDMo zr5AH=(r$i8_o?I`6M)S>Bw@EdsuL3EE-C5}lR!_RsC`TV7o`L`un&`^1QPY{aNH9p zKxJR;Hca!wWFdh5vbq7P{fk2bsk)9B_DKE{NmrHatI0paJ3HU?BMM;iZ?g}29`7gE zA0+7+tlD1-4Iz3u#n?~QKH_e6XLh+jvY!YvF>>JSQxnC^e_Rt%|H}oOdo46lRyRtq z9~#YFr!MRY86U2M9=s}>Joq^Mhip6MJO8iQcYCg6bMCd!7)j4q#eN6_Yp~xno_)@J zH+Er{sk}ex&i3U?_7jO#l^w3hK4c3A z>A%4KzI@U6(-b|^ZT4pr6Z<`CvEP%u(?fqS8&}5p1^fv|4e_~L01mBC zv_EA|k1`U#J3Z)+5h_p>&JzMa(tN6~SJKDJ^#^l+)k4*JGkjO-!M?b%6UjqWl}Odf zwo6r8D4Vv>mi0Yc)%vgl9qyuPs_g$RRXfl@s@ft+&thBFnA8IAeQU|OANy?D-Bb++ zQ*cR(p|$F1`M`P>W?|fpH-K%T+MCc=i4t&HPT5HP)!C;_rKrI;!WY~l( zG$i4$fg(-G^R=~+lu2siOX)%;TU2#hZ57!@wGCnimr9u=G<8+BkiXp-@rey-jrPpO zoxO2PCb7Qg;8MYUk)mh2&HfIeXK;*rngpPvA?%%nlKn*f!hFuX@)v9k5&nXjY7nx2 z2Xh8chp;9q4?C2Ggqf=h(vb<61a@Q zy3mlYONmGebAb$v$w>8A!`QDzOBp2sk$a?uBm$9NjTRsht|P0ft288>c9DcK9P`{e z?}8$#@c%9pL2Uv?!u2FYh7v#+d$&Q+hPY?*s_{}OfQt!wtYdYAR%9uy zXlM%{n`^}=R^IzAN}WfDa(X#rRhkF`glE zP(WlNj93$g5ix&F4%g0i6zq2t+NOm&+3a^F_9rl$izxiHgt8Td|Mv~ouA&>ma4jsy z4~WFF+2`YjiM83E#BO}QK|i_|S9YQy#fCfVpXW@UeceS43tmNqdyQ}(X>NVRfQ6F! zkpS}9{^_#tM8e&Chj2yVXD_Ex;rpkHvgKGn3yZ-3mCeTjli6cFS$0CbH9EHJJ?H>oe&gu$g)XqV`b-drm_$A5@q{T*+cEJ zhg~P3Of~ax(*Hx-aOGf1AUA&$oRLfEd|*z~7Q$T=K2uXzr5K@WaTAYNv$zqQhjHN%xqj};lkiVVt`G5dO5L5JsW ztx!`lBRo-7G^tS3grs~bekS|8o_=fzu5_-+v4-NaI-+>tN_alU1kj8aZt7@nK_I#Q4;9gz%yoUbs<~cYjYbvg6LwX+RV&+zR-Gw}n{|<>ox_fF5Dk-XsA(7BRq`YS$f!K;q-Mp? zMm4K+CXc|sr>2^%h@N9NE5RFZ9X63aNy7%)D=&ex@I;tVG&? zSX;s#}Li{ z=5v`hzH6(W8OZ19E_Bw<44PuO(;g_&&kXkaJPu+TBzf_+5xz%~x=8aJoR!U!7JG(? zXG@6u`6$;qKG~spxd*f$ZLof3DHQ`e!Q+KV-SjidADIIA(%(We@AMq~rO~)@ATLZM z_?6#w`3@_P4~TDQH?1S^*T7Ax7fW53D;NK1wr2#e>+8mrK&}?~J%E9K@$vxy7yRit z*~n~!R|{r*;Wd2fm6F!-`B?h3uAB(G2lT!)fh0r!7O{V7puaQ=S31-uW@Q3TZPIxq z|J1;Xd`5VqSBh*Cm9W^%`)e2dWx6=S1w7JOj3s}VVekw7_*;r!@c(jGTC3(3LwvK+ z3F`baoPq2}*56ih?57ojmwRI}*Ynpd*&qvOv{^E_h06~~;jJ1M>dRe?@HS6mqZhOp z;UYf?VM*#E_lSvIIhI-qHRz?koR2F9_=S}oivQ+P@y4VgdJS93Vnv1ILhq&Lb}k0r zc{l*;<;l2m#KffFjTrY4Yb2Gaca_StyGdpGk4j|*N#%4eNF^Uq*(0U0S4bt_h-fa) zOO5e#vJr8RD3+y~{*6KwYL<67D_yEzsDmpT$63{y&vB?62}F8 zF`NSW+=b(KGgS=RcFOfLW~T6R(``FdI_HepX)&oQH&ZDeO~M$L&zJ;us?1dTUGrLY zdVM*!(@1^UMMgZzP9qJJnffAG%1$Eg9Bc(6+TmRLGdMhVq|Q6595 z`to)|i?op>wdJ;mq)5A85+Uo^*{Q;)6Z%eeRW;C|VP#)eN6_cz1d?ZLBZGiRMTBte z&rTJ{kf*ezy+Vc#3K^;+9f_I^W?p$ci41^e%!T`LRtyJj2w@2-vKQy<2gNm!0fvAm zo>>3Qmn%3h$jF=+Fp%|;&6d{_z|cv`sI%7whAuWRbp16ExKSYkZ?a9S+#`VjEfWI< z5u{{OC@S#?VCW$#2}k&v)Mhj9Lv*{m|0aeJZnomb8IA`A$*+wIB zS%52s3qWp(3wGd=vK@#goCp_`37Ey(Y5~KCT?H`oQcd0428KSx3K=4OZD8Od?=3bk z_#`lFHS@}-7sizXr*(FL%N9#*Yfu^jJ%Hn4BZF{UVjZ~XvlMgbDc)MZkVq`k?kbje zVE6&CaAYXU7sxO~iN$9l!%(M!hR86|ux&A9xG1Gj#GYF%Og#N=YUbq~xQzw^2y0s6 za|pyE+n0-Ek+EJI4#rXC+u14VvT-Gh2ap;K#Xwa|x(XBz;3Zed#(+dMpThbac>v?3 zjZM%zh+?CZi3$TElRhIFc9?k|pu6QhJfCv#ua_2490NjWVd{yuCI(0!7OEhegDCS! z=Ro>uF$~}k01Ws5UKBY8`I5fL3IfJNrq~!TmFU}90|IujuMG(S8xb@a!vN`BDhfFU zd~FB}m?0~f$;ZIE&Ah4s5(9|g0>3?xbr*)?F+lQbV*pqE7zU8fNL=?XFUZ#{fC9 zxkD-(0E!5U94o)-HcR`$c?mQl`v8{1dVJVBQ1sSegReNf{Rat7Wl=4 zFair}8L29c068|${+~0_ujkY@(qB-I)WwKwRYtnaHqs*7NVms~l$I?^*_}pOngmU_ zwVJ$1vP zOmAT(H@+bbtJY{nh(dIv+$@C$pJ+Mvt*M}ByAe&1Bt=tIpB=69G0~CA9;qwAAX><7 z%#+Z79ud)O{;d)ld@)OrLud6wgk_@t68*LCVeRf4QXvN;ehSVN!*Fi2U(DmkpheRq zeHjWGqM45-kI`M z%)zPd`=o<=@HM*cQwW*_GDNc_lUSgo4F{BzqmUumI9+w$(IzAW!$t;722=N4nr`NO zK2HLJnDP)(A#cH6PLPv4N)3E9$M$m0Bd-7E0U4s{MLdQQi`{=G5{o?tmq;wre_Sja z89s-Gq5qDylwxV+wSgg5_21Ff#l(6>EnvuG_oWJ4_@P*tXlGe@7li{|3vD3iMpSq(pRrj20gd7EUH?wEI z;wIy@JCNYmW{w2W?!}V89ySv6R6x)!+KVV`Py-3FSoM5~1PPW}Y}z^8R3rG8fS|8W zRzl<0(uQUpKK~C}LNIQjT&C~(z=0(1YAJ4W*Wynjy@SRmK6qXQHaXwiW-77VhXV6cnCkZogugn~xU zg!U2&Btj~=)J90938G7|U3m_XaY!I~%2?YM6K{Mv{;p!Np&*f1rvJED00j`sF)Tw8 z7L1UsKT<(Ka&(l91*1t8IWZ`Zlb)rG*-a4=mq)eL)XFFI4fL39?)?UgpG z0SC?5uh&U9NHpo9NaS$v>vaMS=88(fQ5w>gdd<9F!8PVX204J;{o@?R`vw1Vb9+zD zz5@*0o?nq?3@2co@&JYC4~Iyf zVWTv{O>*upx;f@EY#{-)G4m>?)$kbtBH{p3(J`+P_K1}M`^o>i&rmr{fW%fMscp8; zP^3VjU35E9*tP~pv}4bY`(dBKrjUcg^Wy|a?D9z|?56Va0Q_k+e1-t~Auq`;kJf!+ z6AS-yKEqGu-hmS68$UwO7QuvEtB%UGYAouPY070Nb10N3!)ZT|LVFt~q|49&ijY^s zWw6Ck%Vn^|^1sAos3{fUF;wPpkSI$QZC{q6Fe14uRe20$bx0H)V;G@HVRT|YA0-VH z9z(4ae4Bsr7u2kL79hc>F)N>FZsF&nxMh}QNbD-hl$KdmzpLuN%REF@XZGHB{bCMj5tC@(9JUJRv1YYfr@VX6C^~ z`i<|p(ES6Argn3QM!V=$*+rvugiOr?BVrR4C7Y3d{c-Y@* zj{}F|teKIP!*nX?ps*)1k!JwPN_v&J$1fPSdEaXM9>jzD9vG^Araj*TKf8;-yfn1` zjqiHMKS9dWQ%3%!?aRQwzT%MwlI5~8%4Af!udI{jA|hoWYNM<(iN6o}+Z*4Fk`Z&I zefi&|_*=|pnflTHo4R`3pZ{&B;sHwsuxrg=1^C4DeQOc@RC|wWiJA-X5q1*1h|F0u z)u3UrM%i$pa3BIKaT53;=>4JIiNMjWgWDxCqr^kKjj|C+ zzwteBFlwvN8FZz@4?9aOVzV zUiqS!62hSAPzI7#&@DwQPTb*G4Rg;k_u*LW2>6ez1Pr=5&Pw%r&KC_1nfSG zlkg+=(rw4IGxNYgB&?!4|9t^cR@>MSt4gD8A?a1(YYnUpqmaz!d)=C& zIgTxKkiX(NGabzuS#Fd~Q|djPyL~)uhUNhulwpNYHWPcm5HSUMjj~yuXA!9c%8W5( zvppb+{;V>}=8zzVCH*srV+SG8Gw7}87l`B$d)>9(@HY-XqHhXcXpO7rs%!W5$u2u=_BdR80Vv@k=RyQF{fIyoC7|PA026w zEfMM-E?Y|FjAXASBOTv(kLG7o7R$LGIrSr^!fTfEYBH6RZLNV$*=ywupnUJ82m~NkrDPx;4^=AF98Zr=7cta;*-Ho!L)k`| zTzuBbR(XPSLKLN~CSu2MB`C9%fPO&>th!tit8&+h$;cX5h21de39-rhzS3Y!S4xS~t} ze`o@URf&R$>;@5z!~qQ62(8M~M5~wA<1YAAwr>K`1zIKER8zB{eG?!BpjEjc%Sq>` zH;r9fgLHvbKY)5lLpVVf*HAh6M!AfA4wq+=0ZeCaV>lqu>IYD-MmcY9pmKH^<@IH& zl=Bhj4EFXm!UMV!4i#;#in&V?hgN)gtYBZ3)MsxDtrQ%&;?c?mE8EK!XeD;N!QkHB zCeW(9fs`0F)he_q=ZnHK6QETLte^&o(2A?UEEWKZ608#XFgBlD>H$oy5n7cum$Z0k zS77OEW!|~G)Hw;@N(L*1cJk;DvP---7seStn@hNY&zu#5E7eTC7+i^ke48Y-b56mP z+B>Jf3JwB_NBaV;%3Dc_b8WCnE^kdl6xe8$tX<}0%~R~~fAh_}ubm2Y#1?{m*}QSd zJG*0bSw5jH1aR@=(8^w3j)yDm1_}rf12*bb<6SF+O*}FyhE}MsCR*7}R1LV2o6V>n ztm#_CRaG0VDw@}TtFN6999*mNJSn*LVn&Upb%=RZkKb}-os|1Q^Re%f|yfdc%_=j z8^Z)Kmt{+yhJm!xSK(FeTJp-jk8`e0AqJArN-LivMVD{GRrzGz=dX-|D^AnBikIvB zFLwVtG~0$N9@D(9v25Dn7-@1Op`MUZ#KV<{9|Rc14Fq|BhH`i~6x9T)vzJ>IPK`q= zehgy#I1p|Wo&58?y9Qb*)K*|+_k(d&)kdrR4Qim(L$e_`LMyrST8_aVoma}!F!od9 z!17r!u=179j-l0@7+RIj<$_-Qe~nhk+g*dxwqc|KtP)IM+wH-T;m9BXRppD7xhx@7 zTg&$2^K=rb=DA!DT&>7HS&-EA{a9Ecs46aBDwSs$6||1Mg29l)svp8Ur3W1IUKvOQ z0jrkFa#m0|>)Gd9kt_y+KZJRz9M0M2Td5pil|1^h{N9hKpbadz$%d*#L4>w^#PJ_- zz7+)v7HIpLNPV`bi>nD$0;za!$~~`|13_0jRJlFDO#-O2@>P=4)izR<ps{h*2e@4U{lM* z(F$oOh}acFD%D7}Ryl2o__R(P&%pt8nBfpUW=a5ksn-P9lBVok&$w zgO}~>cMWv^Wy}U$UX^&Nd*5`~&SL)TH<(#{4a*s?wGdO?CILjr*qG-8WPYtB-C}bZ zz6>8epqka|7$nhiDz*{ zB?6KdadsJw+vPf#?@N`npa`(`YqwD$H^&xN)D^5BQKqXe59>c8Y!ukAx^WS6YWa$vEo}A=Pm;lJ|m8Y-JsM*b%QO2&#xT55C3^q~X?~kS5>s z!JPK55*)zI`=ljUfO%BNacM=CZ5>)gL(UCZM|R9Q8j+y!>5w-Yf_GsY2|ZQC7zvuI zkTecBC1f0^`^|>JI4T-T+h}6jM!15OP=gMHx_sAN={OxKk0_2f7HW+jM5R)1W5y9v zTNEcvK`yiUDw^BY;iWpA?EMwCanQ0tTr{i*BiW}|;{Y3H9XNeQ*!}xB>6F`sIytJM zWz06{=%}DhoM8<}8ixpbkK?Silcy@>@{AcrOq?iBm`8-aBe%_Z9 zmhOa0G&X0xJFG+Ogp8w)cgr=c!xg8iguFlRE38ASkO$0Gw6m=v?;_FTW~Ghvl1K2} z`bXv_wATH((mI5-UTdpzOLL7aP+~5EwNp=HYZZUOr`ARJ^3;l&TLSj&mE~*T*HhZa zOSz4T4zf3_=;*oDHd~~1(ma?l<&Qgi{yWC&k?Jgt-S2GmC;r_@atKzD-3ZV|B9Rkpfzs2d6=lW<6`a&JGapz&g=jdKCF$GZnc8S~qt198l(qLP|L} zN;w~o@NKeF{r?du;lpVnvyxJ8u=>g98d8EHof;sdB&0Hsr1FSOGD*dQkHO&NwA=Z_ zcYpNM@{p*CDW1M0mHtM>)M6=?0Y(J{`UV?wsf@Ix(nLySlpU9CR{^QOqUfcjTr8vQ zmzoKwjD=J*dfr@wM~lJxeidvae9-fI^pbKYRe3|{gZ2WmRXv0cI>w!L49Z=eP0X3Y z@Wekyw0L{)hNq7Lg~}Txdyt?pnKCL{L&7xtL4XpBjgVX67r%x%Dy9;aBt?7U=QK1%S`{p{Vj|Hq)$9y<%#s{M&>=j26iil3GX1`ERNT6&!`DI2 z>+f);TV6CYZ;6WhTCx^+DP_Hw1l*R?q2gYfsdiFW6{}7tY1zVpd%&k6%*rt{zAR1HbFvL)RT zE48lKUr@x-G_tegGr(oRe@a$y+YAt zB@d?Buk;fnizWH}O=^H?xJWNKwk0MX&1bn+zrPKMTMy23IN)%AN6`bIsR%cZZR1T< z12$zZM19n;qJ%A}dZEf3qOd>7^tfSDIDo^^@pKY$65vSuqMQS%&5t*yoI>3OnVI`w zhR3}zns52I;tl47wzLZ!A_{F~7s`K8p*~({cRS}$sYu6r{IDWjB074;RZ5Bkow+j& z#Si0z#N2@4W@Z=?Jw7J(wgw0hdRQY>ECvKe#OoNo2#kmfp<_w!?$%2lPKnvg!w9oZ z;1Sr;rr^WiNMiTlT$|ldNdfrh54VOT(&N$maZmg4n54(hmp4&sEQ^YFks`Ga_BC8E4>B%g=p+7*r$@_D%6&iBbqYozLy+wxENUR~r=9a_h} z9H}2}fGeG%4JcTgTnFJ~+JbS(z=|yfMyhDI^cxN${vmRD+xDhD} z{oBAk^67`i;7T|sRpt6nE_-vS$Qc*a;`zvjI>s2Wv+lf}H*s_Zk|LbyjqJYu`u$^Z zQLH77Dil=nuAqG!(VqJdB6$B;oQvlZ)JA9q^w1{ueiOa4D=r=juJI4lC3VzGyOqok zzh7ynmv%3i!vCcHpMfH3#^z9TqQ@U0onDs6JgJn|ZG_(}Qbpy}baj>@cz8oYpEAy8IgK|Mfz!Q7U@?yXEBrp7Be`Dp?XFnlej42EQT83dt(4& zG@!u2L)(eFP(#HXzBRd94{yRH6are@yBq!m0w>NwN$H?b&*|M>q!>8cu3I+$kwufe zhj!w~_@#Pe8LmVJGhsf%HX#pkdXdkFG?caz$)+N9v2XY4k!`pVod8;+gm3qvfNe&k zkyq+7bqI%c<4kmyj?-Zxdww7kutp)soQ_ALTo)>D8_ZSMNQsgK*SG70c`f&Y$T-X%ZNhSsd{13Y5ucqk_ zwC3Q#pIo1!KhOr*!0eH6RGJZy(|uZ`wdYbcRc_$yzQ=cY4h*~T_eOeUZ(Im~ck%%EueRfbo<>AQ z=tnSh_GyYp>dYahvX>F*;=zkZ>}?#3bR`mXc7C&7*&kQJUOc%uaZ)a)wo22hG%i6Nxlz(x zul6O>@ZU^9xx0->Z^4Nc=_7=Tq`pG9Fx7ru&%6WEw%D=N#Ix3dgd^&qzfE**vPa_f0CML#hCm$V-B44xeOX%GFxL|pO^-sWaW zhJJd0J%Q9s7Q;hZf(yK;!-&ZJ8IfV0-|fhz6fH8`^JofwPB0=kOTGuPO*A4SFA@_; z>}sxlVg{~+7NnTq78G15a;lS&kIdFj%)}+nY9Lx?;o{oMo10TR&u(u;Eiv630y#Zj zJ#s0Yi|G;Mae9Hxqk6=7yqXK*XBZKAm_3f~3u5;HQnbie&ym^qIn#*XxOw7n7S7l! zCLS@{Y=Rl`4$?H>BK;45|+Ck z>!iHh!*%G0xyQN-=lgJ7_|{O1$Hv$qQ-apSC#Mdlu_rS06Dx2fv<<~GU!SB#rkr9z zg;5(LWu-bD`(dzlFRm;K!YP)#&84|+-lUJsvx!gw(Rd&Pr1hBH+~N^>Q4MDHL*;aHA1ftUzvw=RXiKM@dUP53T~!aIKat;lV*CHX9Mz<8T!r_{$y@IP9MnoJ0e`2Q*S?d)MPbYR6k#)pi zrkQtQoqp1ROCD9Pn&!@RP{E%V$1*c8O}wO36U}kbiA%u~xH_rh63t^azj3pJ{&*8x zL6ksSz6ciRCA-5X9_r$f9X_#;hRg1Ksbx;q!zEEi zwG6j0&fpw{sqsd}8Jvq&^Bf-m{?LPMS1PzeGKjRDfDVg! z*IM=K!#ettdx@_Xo9jQ-@Pi6%l+-iU3FY8~o>cv(N%qRBUnF$Hs_o^FCv$QvE^eKeNr4D|){aUNiAi|$@ zl_LBZ&G%9h|ExQ2Vp!=poV|5&v=NmN7SSeBiAST=n|dOh(b!{*Xfy5yoE&RJn|rAV zT0s^+8)CDbOMj=?e_OK?1N2Ax<4Ux3)m9Qd@H;WUi+o13og6zx^Qinb?EVG%x$(FX zjvaAC6JT4Qm*;*sYv;xr#nJXsNjp#pZP`lw+-6(}H`a>+_}CLNKp%N-vk{e}k!UA+ zza4vZs(x-4uEedUN)YRX*f`7c+B@n6c|eI*u?g+mt{P{X(HDe~6z$60#yn;guh*Yl zge#%ns460uqdn8l!s6i}Amabor8WX9@X|O)Hphg|v&+=%>3mE}^G=SYIjQlVU9RlA z7@@PzE;6EW(4$4W6YK4h;+(t=?7kKH`K`DTy5=}0jF(+Z2H!lGt)DNl-4?8jih9u- zn3-QU)X#4x+aMCo?@;=89|B>{Z^fx+vhgT>|8Pg>)A`+`Pakos?Zm!rt-mlHS3;ar zMDVjZ_y^f}UJ2|XR+q9FB!$+T&eJjoZPlBJ=qd4X0oJzux>f@UKsgZQI3s{0+x zJ5rL*GZ;T67}24c=arWDInjs?Bi=iw{y`pbaZ$v=AMV1wWcmvzbA3VuQQUi}3FwRJ zJgXc5DMD#3o3pn;e_=xo%^^zeU_`_nK1uSzCL2T5TUt7}A+|PiorCbUD6DkGgeRQO zFBy1UZ$!svvL(j~UXe6Tvbe#BQds`suBm@&Dmn$lVmEfWzy4x9T>lu0JnIjz_@be| zXw+hn8s)`|$yhljhF;8+482%iF{Ite&}q!|zF5zQ#+^e(jYmmSd6T_pz^o}g;c)lV zKQ~mpoY2@F?5RomY&aLSg@)_(~mI4fwt#kgOSvp&I#Om2tQf=hRZ;aW$Z}i#62iD{LsR8;W%6 zkC>&s0(W4w)U7p=FC?uM%)mof=lM7d*~S@{qw57XfV&OEOdoclNPnvvuB=3-F{rst zbyxwZ(}))Fb%nQj8PV-7l1Jav$5Iq*@P4je>Z5x_f+n%Q zf9hlInkfTPAKj^50;wowVCrM_R0>ZRl=>K}`O@Ij$Lfd_c}CpfA?ynXA-&=mxxY!%z0?+KaoN+oFnjBwYK3+BTk9H^(vf!cnJ&U$z!!+wSxKKv2QWfH6J)F)P7UE)RAMp=&Jw6VsyqNFCAQ~K4E*c+F6 ztw+r^8=v~e86t(2Whu`DW}2XL$~*oVwGfnjMEycp?{Dwg?N1F_se zbt8gf*g!f-gIMmU9ywzyyHjsiT8w%R$OPZ*jWW6WxeVX`rFNwxvE)O)+I&PzO=Q9C z`nv|Mgr13-z~`O`td{4@827q}TQ_^7w}025bCNRor3rvtX8ihecIGsI+&JhmU=Lb67o;MnU%pOLWT&^kWBU~Oz z>MzK|uf9a)G*0Gply#94Hl664si>a8{yI;8cOkBXF0_&+Av#~M<5{H(t@IH{>-pRAKyUqW2m)ys@rNt*h|{gdXL#R>#$U+Use3}RlY2rXW7>A& ztAlAxAqx5^jB6vzycb=Gg0MqBgA&Ewfs%kch-^iWprj$PV|crdJ2n9w`-mN;sGAe5 zkNbPyYlpx8tz%TOO2-o1jWSpECP5%K>1Jn!3$s?|6deRBP`P@B3-^`yV(3jB;Gm6?$P4bp^qfnd%-H+Ps0kSt`|bMC<=9hq`gp|a;9_c-ih{R9PpsTNuN`H-&F~j;rZ?2HfcMiRy}Wo3rqNx8Pi_dxq6m)eI{ZS4 z_tWNP9d4nrVb%Y%h0Y4s94CdAImim1wluaCodrU}3V65o(^f_q z(L*DV(7tRZVrXJ-hT`wj6YYbwQ4^9_L!541o9z889e<%bG8*ZA14{Na@Vw6e-}#CM z?Oj0leZWb6B;Nb^VEn1=BHezK?II1JFb`?x&o=OWK12_73^$~d^GD+Aqc%jJQhi=T zK0n)MSo2yH*;>T+nlP2kd;d{dS7HBY7l#u=s_%{x@TIC)boD62!A0h zsj_nPj);XTUu>XlDko?^QV+F5$X2^KdzXJgwa>Diy~lfLEdD~Sjk@bJ2b^T!l8Y)K zNCT7h{}7V@(m4F7{l7EHX*qMUA6HSVg(uCy?O_&N@UT#%6_0b zVo|!T*cYKtwGVN4VV^!+3UmhS;Z0Ebpt$F)WdixG#!YUf^r*y*|%ubS$)!4|USs&hw^g;B5oT9Q4IYsRcv0Z|K2>ze~VsSjI?Tf7s z*C2faJ=LwVx--1aez>MSl|?)(Nvs?WYx@%G)z)5T`$T#|FSWW7d9n4i>AG}G(g-D& zLl<+lPvWzTKF7HiKPHc4J?GnwcsGslmfC2Vbiys3(CDcAv)o2+qRmy(>{Oapgg zKTF)w?rfi?wk}?64LEF{DE2{vWg%!^oPBLN#zblLJ|n;`wcZ}>b#_J56Ot7(PIoTQks=+@)e)6E)(dOq`UIxsQDimsv$1 z#YfuE6H>sFy;4!DP7l;&gN!mb6^OpdD&msblVnoV3hh49M(k^$LD14Z4NnD5Yt;cq z`5LJL$po6^))yPR&c43%RQ0CTJ;>x0;VFD5OR8 z9`oUq4hH(1hbez3y?)pqZ}-#NdvSOhMsKgK!`pDYISF5Yu=b5Ga?ospG<388DAXqG~|1_Du6s7Ix<;1W;$cU(VA~5c2+7^zMuF6)(V#+GZ;3k5W_NN?-I~ ze@!r96WdBoIi&6Dy@R@ixF!8=%Atk@A%!LwWv_yJg1d9S+IklJ>c9+oLe3!xs^B>! z3x}FRgz^JsKQt{E<&szq%+_*E&;-N6NRHdifjNZS=f~h}F0D%TvseevEwNAQe)|T= z$wq1S(OA~_PSJ-O2+8=l*7tsd*U9tYYe;}dSVzqoSSb3$Sa=CS{(2`N`$2qBM^Q#F z)BEcFXmwh1`vz_%k5)*k^�zPp!~x|Bh6Rl*%#*|)Y(g^v&1hFqYDTlxhbMxy zk|KZ$Xg%0dy0sn!HKhijFH;r?lWk8>ZSM#N=nOV@c%6j*2bkq$Xg(Iy(xu5Pp;9{$ zPQnq)u)G-|un{fWiR5dp1yP@>q`(U*#l)cH_F#QA_xD0L!(ha|j6}8g zOs7HmorD2M*Ct9FH`c6H(M&BT;mX9DA?cH+$qsm2zA_J0bX6VEB#b^Na)^>`^wb5y zO&ckaKI(8AitH-S0j3`~G;$MzLXe!I{%Ed3wh+v?5*3NXs4B+kPDB_gR0ECuwSm@E zRm{;*J zC!{v=pk9D^eI~g@7FOzrmRrzelH8r&KFAdL_Hw)~QzJ{IXt`iAGFmZRu&FxS#F+Yt z=Iu}Bf~Jlv!g{h=(bO8rW08h%Q$%0&{ok$Dfn@hRp!Ay>((xcP}oy)TB z_gF*!P+J4UG)kCG_&D+huF>a>orJ%j`aew8 z153-oaaJZEy*ed;^xNviG}WUAuBT_BlW=i_$&S$3nQHbn;jc9la$(BG8g!s(rO)Np z#DCQ1h^j#9$&;GPnE-1!bFuq;X)t>aF=lh1;h18n;keGqOanBNWIYZz9Gyy!RP+Eo zHYOy|8Gh3)n)SU1=KNFyEeQDW%2@t^b?5P9Lf_P&SWIMy+|k@}fc&FSMUO5F6_8#7 zgk%rP$$?5C%f`ugCJ7&+)+Zm-;CBH8s-rdU$z?#L?aZgP7mEGrQk?zq88+%ti4CbA zEv!qJZpXsu38~5kkI_lM4utdMEYs{djdV0?UwQ}?9}5@zRS>5A3B0Pdw5~$%Kh}(% zkgIC&P!SrBD&fMnZ@giJ&jM190nW#g$X-D^;1;Pw#~`mCYaXl;ktlEsKs(j~{v*(c zeAl4`{AntH;yW<`Z__wv{NErw{V9VWbrk{S(5%?66t(?LJ$wNPr!}*)nou^v4kSr6 z>R5AxD`*b+fs$JY4#c#hm30VO)bC*x`2C>JmKQxzx!uZ)P8OFtt~xuDI7d6L^L%49 z0X!~#Nvk|WYRB&fiTz6L*pHaM%n*>~*-zVcJCbRC)p?#SZSjA9hvdh~acf6w>)#pp zeic0-7ZlwOo~sF`A$~n|mu6CCrn0dPhv=Ikx5G%*_I=+0Z%=jPV{NoC@b3)j=PI$k zgIp~3)6U(FHav9|35B^Mds)rhwm42Z#p^r)06fv|f9M~AOgb+_n*QCs|LnYA87R8D zvFIIILHC|$C-$pty#3v&d+n?m(Hc4)n)H}zPxK-JI@6Xa>ml~5 zV8r|HCNuGl_SUCg)8-_TPfsTmi{lf^I2->k3g3JkREJ-!u2oCpC zfiBiR+jt##-{T1_a5;LCNQsL8C5uaeOTtMBTnwj^=SU6craF($y#2EcwVfakYl@AA`$tPSA<46U zi~`ijM6n;?g$Yn65k6+z!vu$}5>O|#p5h82gdG&Xm0rJT>UBI?r3nf{rEvLJNKbX8M@ilqP-irtPIW_WH|aX1;KWd7f;t6HHQI?HiaOOp1IkRO zXbq@STCGYA*MLf)ymx_#Q@so&uFy*}cm#$CAfD<1h)q;boFt)$wEa+s>4|G)D~>2tzZKz{G(WbUMM4 z*@OH_?efZ)*19Hn;!J-*PQb?>@H!vC`iH?1)Bniq*HI937TX9B{q{*gsRV)mf4sQQB;Eh>Hu%At3ZbbTbGO zAGw=a8u{GpM}v_!F$952jk=eUaJV5#tks=%8gSnLWRC>$-4yHC`G`zcboG&X!_7zP z4ZBM6&7Z4Wq*A0q)Q)?s?+G&*^n?`fB!tlvvElFU1EKt11_))PB9v7$ynC(Vme+YL zo}SR6jmDGSR8oc2wo)aHG6?RG_HnqNqbwR!8YN;s(H;_|?O)4lXm2O^eQa^OhK2C8 z=D%{lOm`zi6PWypHzh$FzI;sKi)!15!yx9WUL8IZk-dQ zT{L@llF%g1?Duh28r?;pDh0<4(&d!X9wM0b!2vh`^>wJLua5|6Oby12b#9a{s~wzi zq~CcR>g?;OY;32AhTS{o^^x>c@!_NM>CWp^$tXHM&s&cOx!`=UP7l2D20tCAbG}$_ z_=yv*rwcKZY(m@X*nB+TmE$`QVV}bxc1k+{r%nnDfR2d+avu;K5(j)8`20FLAP&?Z z2&72RU-s)B7|<)K?`!RbB=kmKdj1Ep`{8HEw6e53gb&t*X?1y_8H7G}LG>qWhNa~4 zqHxu?Q4w!DQ$VLkoXhSGduI&>)WLlF@)DYqH-O5^OX&?gzWksx0AgXeyo_wqyE_6L zZ}b%hYAbGXAj(E>Rbri{1dV?5<(1mngxcS?SKv2Y?BshLGnn6?6=T7=qTMx2pR<-j zt^IZatF?(VwikfeE5>yrB3gGE>cA-#%7)yPqdx18axJCBkUBBI|A|j(3yF$<40ICy z47KJ;r`zF_H4|;VmB4K(d=2uyW-Hr|`uaYAxgNgO>zUhQT(}taSQuS_$Dy3i_F(_k zm`au-giU${8pV~~RdAGr+v>xdEu;8z$svdOw@#N|YtDzOx&Dkb@yY;CP}k{l%d8Ff z`uFL*8!t2raeq>PN zeA!nP!qbKow~$?z{C^}6HXUV0tFozTvPoXzf4qO?sz;$~V8vI`s#HB4RX-9ZtLFWi z9i#m}+-j#k=Z=l>Kiyp>XcUjNvSHzXdpMp@em908f~jJS-U@1zg>MssKm~R-PWB;J z9B423LgsdoG-w+IzaC@GgS&Cd9gP zUV6+y4O)*?5_~&wrltaPelCI@5@#sohaYof;pU7p^^CQYi1=>nYDLRh>snAmJov{*t$;?xdE2 z*eaOt514K)8CBgwp{2F8&38Hqc{O>Q#bDZ({HA^ihb{#*YT#lFGHaOzOdWjWpcFW| z#4OZ)XCY|iT$nh}SuIdqJoaWZ6>}>8huCKPOdn6!6W(Fi^<^>naxS zb6CXB)eIHENCSr{|0+W7qMSG|TrVIasE&!&N$`zxu&8CkN}fDvY`EY6|F}0$?4Si9a!wu9j6CIQsa}NJ_SDiy_Q#xhj~ns zdC%+L>$SJdjwwz;f0Wsv>A_RW#bwmrf#-ieR7{;?L zXNA{!2ek7}YA7>Es+3XD0Lj44wu!p1cnd7bwsQLF=50fpvNv4P)4fLN3k2j;6zE%4Z%&Y_+nZ6WnzuJslqq<2AC z?=}o6R0lWiB>XW2gC4KBNstc$ra;v5;OOVaO5{X+JODq&$shJxq))%wP#jpSp|gY< zpGVj`KS_&l^Q{*bpcur=cN>KkU$r_x8mNy^b)I(TeE$#9 zpI?dWC}#^e_xYu&XMY=oAIs>6$CHay^2G(zxkloEy0`SeN)L5zf$uT!=JTvVzA_7M z6vu=z7ILpSpacC}WL?J+^==b-Lh=;dX;R|l?&SqnwKcn!FjV`Vw!O}U$vli7ej1AR zDKxlmLAn<>9BkAv4_+m4M@F5&B7R{i4+^8W-kwI$L>y2zyyWp97W=k=#b1E$MM4n$!Q(i6mg)SIGql{%%{ynG%HNTtmtE6x7kh!ce&XPKNAzt^l zvf+dVdZA%81HB7*+ASe9hB>^JBL*SsPFsg9x3UYJa9@9<`? z7*&d*Rsl97sa_o}U01#VOs|e$h+Kt{>S`*z+Mmt?46Y6nc`YOiJb5kUb{M6#vJ0Dn z?&vkyBe%xry|vsLqgPzD!CPbW-j-mp+IO-wzL?1Ed@<6z{vHs$N|!+J{xiM3jFW+| zZeL}tL7%R%-mmL*u7i_Yw?m>`Z#*XwJL2s_Sy&liHNc|L2-)qg-$7_gxCrs)dYmCl zM+?((TZ#eg`h`--RPe_Ab zRr-^KtJ0tKSIjn<$x#eJlD>j9q`)ewZ5zC z&H9s{o{;;bu1W;&yh!^y%p(*Pc`C?7-URMngmngYr2VNoJu#tm_n?YU&l$*|C@b>R zPDb7&G7|5kkfpf(>^WRb|J?nw)2hQ*%fs=N>p)R-=DGVt-egHYnRygfZ>MEBsQg54 zdO`{fW>bZ5>C{IhCwhy#sflW(m`0Vrx6#Q8^JX1irMXLlV^n0axuN<~Q;4#UsdO28 z9v9Eh=QT)y$T~GmYe73}`dZ`nd^xvN&01hhb!(;&dr?39jH}sB&85nN>ZaiGk4Hv* zi)*~AynqIh8%;r`6i zchN7f7<7$Dp`XZ8=e{!Y7SSl`SZ@wM`e=H7kwhdfr^~ctVa3Z`djPR#V;iS2BW@illUSUuiYkjs9Rm`F% zqyw++Co4ce(3ejKJwiIgxR0LJcFu4ez*cnzL&aDmzq6g?rYd-`*-wn zzoHU%aEUsmV{!g1>tqQPTw`ZF>jT`7v8R%MiJI`Hng10pwM&4^i+c`cXsmvvAG{QelA($ z-3UMZOA(o|&I0F;8htsFgbr zm2(ctfCIh1_*XG+dTvlJKa8P+i{wcUlQ5w1{t|s4r0xQVny$R_`S(ob&K9LP~+iTs8 zisNzGC@U^^5c%-8JrRrYF?#Mtm?D|7h9qk<_}pcz)R#MR@Ek3l$=f&0kWC~SRkq4L zHiz5SnKBxUj_JY#mNNlObaDHkVM+a{Rb}tYOO?ehC-7ULNJn zIi1P;7WF4rs$T(}Y%d^rYQMFrf1<8G+#tpyd)S6NMYApw6QmE?T30sV=L&j4+bnNG z6@tmD;u2NO6>0n$yszLI3w$W@RWS4X!5m+nl05lCs4MNF{*F0&d83p(DewxVws&H$ z;5OjP8*jVo23dE>4>nc)po98gll&kNw*isq4&^&?`70h>UO}Xj{NRemd;sZ0Hr|=A zdxfS6SI|XP5Uwkbsa@6g;qv<$*xA$HcatAjl|SgNKCn!Kx`#bvdA}~Ny3td8;5Q$b z7J6|HYv~@|Ei0(4E08_BM}1$rY7g&~A4FIFptt%U+BB$pNM0kZs$+UwEu}it(?ZHR|*e)svdk_ z{yAO$>_>CK9BfK;CqkGCXAwOP;Qp>q#5K^rC)O2W{omdtf7FoiRd)`u zaL_jEs|NIhmVz|rlY8D<8|%_)&)bZjT*~+LLyx{{Ao3R}*eu4g#?Je! z=dg7D1U>Pmcz3(9;x3)0tVhm$?gpO^mp5enL}yIw=e(%@r+AUSG}Eqt9f98;qh2` zfF^s#LIavn*0v$u>|O2Xsb-bXW-oQt0g>BPdAUrO@nfB!NKe_j+S7w*j}hv2%YyMp zxQiZhS3K@G8jOSv^r&46>roGWp?AAF@=oSV=rh+g;%6s%;wbok@Ew;aXzj+m$m&cF z8#UpbYwZQ1cXgo$n?2;Z@%KLX(Ul&3+03>fz|pRDqCh#k6(HyZ;?dWaiIE(`2?G!X zmd7p_Psw+Q0-uc@({~+2K@Iy+OndZGcnWIT*EUj-PNKkX-?*2OJBxx^9(ylQ!mci& zptk+@KJ?mE6hyJ;JKFlkJ@|b;Jt3)H1Du)VKd_&If}%hvh6RGHWMix=t&u*Bo)}18 zknI0!R6r#)SE}a4+<2pgLBnM~3=W^a;8nj<*wc zKcK8Ah)t9g71X7A##uX8d9!y9peIx?4pT`cDskv~Q&m`b9?^B4dKS^`wAuT{61Uk2 z&pH$o1$L%t?GC;~l+)s<;qlg+YrWaI(ezZaYH2vgRSTY#s(4&d9dnx-CQv4HBkR}{ z&K!m*a zK2e|!gB2ursL$#CFXHt&#vE&cf6FBIGb#d~7O;Y%HS~nse|9=3q)VcpOCeNoO%+{K zfkeeyih%2)wK3TKB2^aW$cVQTt)nLfv1(brwNGNh8TE*Q)@oHKz_BWx;FQ=_PVl0& zBD0{KoZv<4L_vG%@g(aCW>m4Dhpk+<={CB*WaP51OhYrpLKLVNhXoy|ipkdBCLy^A zJu!3(BljuTG-F21^XYFmDuSY-KzYIybYdnk1vZMw$Y@DV3?iHbRU^Y6Rjvl5sh*ai zKzT_ObYVU*74=L+Mt6Ebom(>1B$|mS3u{wY(8RP+7mc~QDCnxDW48`+K2jQ;y4pF- zavo0cW*@FaPt_14ra7i%qTnMO{(&g?$Xudeum>jLBl9R;z5hQOT%xj3HQGO1OB5*G z&Qs8n8qM&3qRfOfJef?5)BT?es6?KKC$>GzoBdmGy5G*$MijktS23TNxxq#hXwd3I z6~o%WRodCVokLI1I#&$d@!PrdxSXPr9BlTZ81vi1fU)0#lcp$G_KjwTc~0wx^=1g= z&k+Uv?cyo)Iu{f>fQCB*2kX$K-!-Bqr0v@DKZUXCEY_v9@mljl%ze7!H2V)rNw_A% ze)b<8q*t7(gNYXPi-JLV8r^3*i_sBE8%+HmAE)d;@IJs9hWaerpPK!oN6YE6t!Epe zIMROCB_!=>_%l47ZAk55#T+i-ky|i=8bJuJhiiMY;Sh+Yx+SVoMJSJ6mU=}&YN7%y zOI~v;%|iW&TowKWajX1o0McV(mlX@})Yr<&NlTmWMAgy4`>omT3vR8ZzS)onKp<99A#}nv@usa($eHw^mw9ZRgg~InNK+V zlVt?N1=dB3{211!WBo(96dOv*WJ}H%nerD?{>ey_zl8HoTC|!Xd#RKIPAcUcB7{`l z>89=MleH@MlCL<_RVJcC$^0vqu#EG zvcpMD8LJdRxyMPH_s&qj_=#juFjvx*r(lk~IYFh(qoFM$0KPcN0C2gJa0d8)adcBH zg}7QK^#-4;AQtlCSo6tBCl*>{_KQ=3MgiK#y~)`x8vDR1ta3J3QFD4iEIJyf#Akf8 z=$u>t9FnJU_Q{38i_XbKD5AxtlWYojBr13T+H8Z?{?J?$tkA4wCG~nW0*U#ISvA5) zvpgw~zs5&WxXn!#=EmvX z^i-oL4pM!PiXt&gSzPIfK@-`o0VtmCEedhKh%G>cmYkZD=6e`J+~uJ@pYEgkyeka5 z5hIYIV=1M2w)imNzCi>C-gKaE!mWR|MG-0%`W%)cyQujq%^q1=GaIcfrvT2$aM;g2 zol1{75u05@jgUDR4EEWlK})Ac263|H9h%bx%$VOz!^mC;6Xnl1Ki`(dJ3BPJqz9F6?E(se`s5gj?O7< zMKnTLTHA{B2>IwVjqnvnYOmCa-!lm8#SAc$#!mx$1xxQMwV^tDo~kc-wL3x@U^s?& zlS7~c8Oc0mQJ@KDKMNlX^gz%X=|@E z4x0NQa3}T@M6Xv8f>H@z_`t$d6!_pw1A0{0SZ8c7(&)}&#c9(jkt@6?^>=*Fp!tkI zL8nx+U%%5#UgMz1=X~L9nf-cWJz0ajL+w}K&Vy=2p;8G88yto=q)MaB$X!07^Vi!O zInLSK-d#U=GtF-m{<0SeO@v~Z?lkf2I( z!x@-W&U8}lhNR=3;fLG}rQG1eo`>-EdVfF}uI6O-w)Y^)7Mc)+N);?j@Yug4y@S%Q zDgMJ+dhaL-n@9oUOeZn8u&Ik>YMYX=s+|*jzU!mgr(W-_5QP$?!-t50&_WZ3INmJxM?^;acrn#fx z%uQ{^mOKiJLKU2&unlQuyXshZfa%{-=%LWlmFiKdS*3dN2r7R|5ru6PuWOe;^$5$^ zdapOT>>+wWjXSs!|DW}7Jj^G22N;w+Br*%t*{s65s7Blkx(6%ZTOgmeW@yqe8nhG` zf`~`w7!^l;7_8#FH4|0MB+7}F`e!9mxKU?llJ9AbQNA@p6n4}sy_3{tD6O;7X5N}9 zatpgqUt?eaT<^_(8xro@jp6`)H#mo~I_d7EpmqxqfGld`N@+m+CZEKA=?)~{Nsrus zaJun40eh!;LTl>Vu!X$cIPRG<;ThO4s2L_%vy`5*TOtqq@$Cd{Pk`w);R)3Do;lg1 zD1wZxB=Brs55T|ONEE6-BZb{Pc2A6(l6u%L-9fK+io%|I?9wsx-dGg&va?&#Yl0}G z4aZ%vzOVbCg#_qGYy{thJ2#JjGT){l_EbCJ8ui3`c)lx(o`y-Hh6#UUlQ{%O(#T@^ zQUt2$MUPr__3YuQ4ttPuH$7U386U)15(u8}jnIrNLV;6`{Rqv5w|k00TuC68>^^en zNJ`1HU&1Z)XjByTwGSfz2wD(@{b;-4(q!m$WNyy^b)2=$+PggB?R(x zQK*7J77n7V_g!(;8!&XgJ(Zr&TkpCSf)&m>LIakiwOvEoa)4OYfZvtgY3lOsI;z5Sq%BWuxu(@WGMm)3$)uyFU~O2F-Glqejd zNoA~*?kH^>wb#hn(+5TB(o>DmR(JbxLSMJQXe#>Zqt7gWYVB-H@}w$}*v3@1_mF}8 zY+d0g9Ir-|W(P*oNb8Eibh3l(y3@*9gx{^`32BPZ?MZUs0diqYF2MuLT14%&5{2rn z=fa7~3Px#koNQNP>r*VJXM4~S(j2av%Vg^0=D4t)m%+__+L@Z`Aqtg`>&t~ok)~S*p9UQ{*Z|X>$k!&1g!W zH%{Wak|YDZt;?(R_1*>Gsput+iOJu%H9^b^HBkf#4U05Xw)ycQa!g=<| zxhib|b)k8+JReR|UT=0ebn^0=WQ=KxH7#5(YG@J$acWZ*un|~|o0L|Sa>)ASer^8? zrmwHPXuZ6qC{$aP!Afy=!6Z%X{&w=?b)trQOns>GIf23{-J4`kTVh$G; z@K&lE7MUoxQMo*qXmvZN6*ccQVz@ zZc3(XYfbQGe}pyoqn@E1=cPQNcFrbXEFWRD+^9%z6VDVxDMR-^hDqk*@DSkCHAsRZ zJ|T;0bxz}vw*JqIMhhQ@i-QVS2g4-pkd%KgoIugu`iF%gpr4Ox+8|kwhdV3P9MUcF zto(-s()hThIOt83y*?O0_1tBhfpGP4J$kV2kix*!CkdAW1i8Wk2*Ex>;?T$S#KFiE zRgXpWbf`4BbjxzA+fe&OfaPL%$jK$;J5?Z*d?%^?BIe6Q@9j)HQJHKnjS4o|Ive4+ zZ*QxIu3iKbYhb3YN(i8PI%rx~!0uDgK6+2A2d<+R?*_sv+KPiZ z7|FpXsaR859s3D*mZB<=c~IEpHK}NOaWI;C-Ki3X(OpdBE?%nP&He-u%O}fm_&1_$ zTDWpNh_0!G0vdxVKpUv3Y6y}W*CmtVmkm5NB<+ViWd4AMRxDW@zl2^%Gcxv{{k4UW zXD?Y8zpT%*S9+Ydv)8_a(5Gli9E??{fG;sy7%7eRop*Ki<-vaOWn(i-yRgON%LLf> zD8DOB;xC(+>~7Km`DN2;Es(pqlMwf1lKG^E?;!a6m(5j;aM>b*S`*QO@39M{g`RH_NxYH%D;2CW=B}Jvbq9&pS1!i^*kic z;9YmK*-@D#!n91p{06!V3fv>@j$gUHv65i`S(pPX_kNY6`h2f6JAUN`n=xj`OpGew z2rR5$wbV9{v>=lsxb|0-we8;iZxtI?7RFtD{NFB?1A19;&lKyQ!@SvFLook(acB`< zGdG$$zN;;~**`6!z9zg6*6`C3*8@kF19{p190o{zy%>;cr)Y+Dc6ar461oR@jF~Ug z+5TN{DI@0k(;BV)sIdc3(GsQo5mzAAn0UjDiHj#TS{NWbgMNC*?Uyk-%NhpyzJ~eX zrf1~MK9LJQg|vS&ESUW~Y*ws6M6@@}zQeBjoLJgmx~bd>Q^>3c^wGEmqgUA0yy-?> zG93dnd@poIUM)qrLww2j1urg18$;m{Fh>t)iltR&J)ZlybW41TV@ugL>4h2`<|LG) z>Y&mfIn6vUxj7%vc1Vr9=|;45i>N-2kb_?lJb3243s0xu%S!1@v5kg`V_yQ_ySdVx za<~*0iC|S#5+55CA~Apk|F)7ohcK{85r3)^dvPQ2C78O@NJbI>{u`meg;+hIz?G;< zkLJ?R3QA+BFurb}$Z1N1gfHvT1jexz9R9MuX-rQw=5$S?(wzQhP^T<@n>jrbcwt+| zH{i?PB(SNrMzF0T8U=Xw;f9H67j9-Yh{XP?0jA71jm1G7lH}kB`_DdbUP?=qi{Cd1 zBKjchqV5_8=gUZM_Kn4Qri43MQ%#X1GMSpgMSYa1vHkn|mY< zPF9_rAP!DRw6o_>(TU<9tCx2!Tls3ECo5;4Q?)|%ybGIP=IG1DZ&nL_#B$C>=8l39LV&t(=WlKHM_ zl39LlA|tpP73e=R%kRm_mFPEv=JWS$)UWA0`Sn7pm?f{XFLUV!xHRH^@~;`zQ*cT8 z2KM-GCjT$^C59f(0_icF~%zf+MrRpa-mb%eCZ;-EV4cyN(N+9oM&vBK{Z zad3&o?^JPcDUEri#P5x;U%>B;@L$634X^&RDt>Q7RL1YaY>`H@v#JGdG=pwmd%+R=a4|dWu6)_N(LqcCDB29OB*JB|ePe$Cg^jSW2Al z#}0<`J;=Yin&0ew@#Ed*_j1lpq2Gx-u~u-x7*k;7!tXE}eoU(}6epH&@MF4}P`n@L z8-z5zk%+u8ew?EFwTi0!DT1oa<#tw6zkiC<`D;kQ`YF~lyVgm5Y9+>r75Lh46?fQ` zVs8sPY-l5smu*`6|y*?ZHm?zgp$TOq%Q@AG*UjU>^lRDHyazvyZYMNoc zJ{vRT+6ZxoH!IgU$$x}Sj=N0p=nZAfoO9hRHDzMeWFbX1?i+tu#e3ZYn)oBB=hr5S zLrIz@nya25tp!aLTvG*+Q&C-*%K%tnLH-7-1cry}fZO%qAtEK{-Xs#F5_Sym<~WvO z&y_t!$VoWI;RD-m)t5>dscrtp666oo)8B|d887SUQuCtE3iw*E#f-iqLpG7$u#VTKp#0y9YY@*{pTXwUQvlnT*Abc+wJlH zMLDY|b#r$Zm#DAn*d6ZAYboo=w4O>&Xdg-qKgoq~cvF>DHq=DL_oBg% z8M>MJ4n^2ZR;f&qD+s!o8Pf8Z@M;tMm~Ph46{?&Rk%D|Easp1g!H+i$~P!B$4 z%4t1QfP&o-1mMgi2EdX0+XM{QZSn6lCf~=uH<;fwtScB()=+vvZ#hY=0uZ36BUV;j zT^cS5u%**UX8TG+BBhE$gJd^7hwihp>eiQOgQ=S}vCBTio0A<*Pc@2`R$q8y1jSKj zcDOh+L=Al?)q~5np#AHqnCh~phiP)50K#TKOwaj^8`aa4!5Tp&aN8C%XE_1GVENN* zgc%v~an}|E!JKWi3~`tu>X7Je+_v@hd{c#zugOHAI)U4^u#1?p&D7xPX5cu~iDctdM9>Q4Gqzz!NJ5{J|k5m+P_02XHJ_y?Zsiu%K2S?L!R}VT!CVXt^Vd5Wj_95r!($GK+_PTI=3o$eZb_h;^w!|UC z{+Ba#3NIp@HnjsUXJ|+qn&zQl)|Xnj+{qiLI=vJXMdcz?;GdG6tO@0a&lI0JQ)W6F z(P35b15`l+C*coMUGtBwg8D+)@je8S`cub|H(7Gu-H?CMwJMa^h=1`FqWFcYse-PR zGFM-usG1NUfHwBzzMF1_={(`NO1kSKRuMF%)g^&0>3TZD z2yy0;E=B-_%zIiNwoCQsC;>!060Y-T2=Xp0!v=J}JT+9WaTyj}SM~Hpg-8=?+FVzK zzR30vi^>#B^;EvDYIhTmqw|c{kYiJ7T-}BBjD33;Y*RUA14DuC!g|IwP|%fQFjP;H z?Bp}?;lI>Nf+p7!Zy;vssUa(Bt}1HzOZAx1KBJ4dqfM0cv{3bQtF|5tjLOOm>0+r7 zx`0A~2CGvKzeOV)<~>bcCC%9=UI)Ds)V7+ay_Ql?f01NZma5PTFnqSmU)UE;?eG@n9g08F zr&b>L<2%Xp(7`~ybm2fJuk)UX^d7DQrb_68oxC^3KtG6`aL^Iwy|HFinV=&Cve>QN z@ZmT*`sBMj8L#7^H6bbsT>|!AIx*6OC;Q^nm~uUFs+R0_VLo zN{8ExohRa$@0&XhD+d`xa2V(PI%a;EA(GcIpnMPgcQ5^iD?viS8(trD3(vdg^Sf=7 zQ;b0t>0Od57saa^Z{BSqQi>W;hiZmVU|hg8@#kEQ{c-b`rx3G|3M7V z|EyIT?AphX-K8mp=YJ42@jvUN4PIwvVo|3Mp8r`-k3Kj`F+BgXfu3rPgPAi}w0f%X zG?w8H|Fc#U!MT>hbN*+YC`y#kIsda>6g832Isdak{Y#>XS+X8NZ`eb^ zJ;aL4!zx`dO4;|*ivQCXWeMAjy(5&Cjq1Y0+11n>&ZTjoAJfQ)N`>86j;e5~-*MJR zjV?uLbR+rudFp%Y*Zfk~$nS0U7zrWi`CwErv;bnydg*Q=_NW)?;q@LFMFRolQZyu( zsg0#tXv1MQORZ`2mQMe%P&pBHk*t@rU1T?ThV7nA|4j*|Kozb?#TiY07(x2rcZ)}n zpzarw;714q^%kPAy){d11L^5cGn30%LtbD z)?5&s!D^RG`(fBc(55KrYJWuGo8Fowin`h7Ytrj%F}SF^y`3UUyfsG@^`Ie4rJD+} z-j1Z33Lw7XdV;LCEyL5y^#o@mGN;ie1 z9$20BEYaHm`p^bX&$9mB?EK)MIlK&$>qvf(@~It1_!H+hFlZNGXA^Mb$P)z~wiiea zr0oTU2@RA^7JHV6B4#9f&a=QK2FfPw-LtR<2v75Z0h)oh*8W^V#tYcjEEuRV=>lvV z?avw)b}1<^Lke;rgxM@IWfu(7bwoJB^@1yjW{xT`)vP0?ghwqT>PV;bIMN=ynM6(G z2Um<8yW+8S`pk%2n0D!SA;;7x9*5*{@US8CwefD@>GeA79>wG7iQ5xfCE$dUd>p3U zV%UO<)8N13r%Un{>-7VD=F^MC>BvrxA1eKKv<(Sc{^ZCQgFNii6;HsnW{r$kH|ALi zeg4EIWMQkWc%t$S(hCfL%7;CRCn0lEH0nlV3p%t>4D({~WXzZP(jtPrFO0clY-K~O z6Z^*`q>c+tW!q)!ljv;8_@MMeLf>X8t?#747-i%UmLh!L!E9b4jlSQ*tA}3`Ve4LF zlAnePX^9LF{cb<~D!l?qT-n6fHZrNj*Fe1`lQo{x3{*XQpE{9KiB|Z6?o;0~6@3DM ztF>vQ^ja()D~d*WY@izU)#7oYXtWH&P&{4~jj@j}qtrBTH06|BoGyySsrUfJ6GYMY zB>M^Sk}jSoiqhmcmf}gGD7}+B$5K356ftwhwhno0mn?GWR~t5HNTBffl734z=y9bw zqZPH#g@{ySffGwGdNkHW!Og>Jk}Z^L(~>&cv5$QiV)xZ~G4WvhhDgV)FLCJIp01^J9#zNqpw)LPBO!<#K zKr(^vrP?SbUy0fuox!P$Nq&{o{^(2>@!bsKlN5h^REO8Bo}lL|f?g(ya6N`1=;fkl zp(5xNqG*vK=#?O7<*Hk}N)#>81ie}mE!70QMif1$2pZz$GELABFP9TFEtUlRsJ=!9 zCd%6gdWodS$2{)1T!NM>!%|hmEW}law(@c3DnuKo<;@P%x}9hP0C=EwwL}|;LUvRf z@!Z>qmdP$q2l;iX<+%ZYY*7u*4MZa|I*8{6z{CSFxAWY`dK+5e%`wSyAL|pG>hj#j zQi9SGF?*Qw9_y>7dI+BTSbwH5;<@~)c&hiEj-0uNrHhWQ5>OYEl@`kM=Dwi2vKZFS_?#rVqdIe zo`D!qOq;;Fmr1_&*qmT6FnEx;T(UKF+(1*v8NI3pS_1wp?W7i&!HaqTu9ksT@%eLY zy5m=~H3BWOwE*_!1IckM3M>1}^O-40BG|JJw3e*LNS2uPa#aqrK`!*rnGsLbFq{dM zGiM556E4s;`ar(t7Q{nZmILkRiP_UBm@^$o3L2~jHS?-`q|*x{iP})X zE_iV|3g8{>9N(#&mlusi8a`w3C^n9dc8Md`>6;Nj$N8XTSag>8DE6U`c8vyU&`B%C z>ruE|9_^+uXAqmH5qlJV2uHie19xpx&9#|S9i5Rq+Jhch9pm*V_OXw`i(qDLeIAld zC7!6MK^d+B$tg1|3Gyd`u0IPB<0YIu#Vr(Yk9HQtEhTJ^b`iy`5^*dVtMSpUqBvQ> z`Diy$+t(;y*dy4$xb_C~@l_UebZy|RlB%mS29UT&XWOSvV z5(dsgkvlXle=f+~WvF2{Ne*Q=-;aC*=O;N-!+98zhE>aAk7ASh=``pc9~}|I zVvnXGA(dEcBCCPe_98fI_6SZU1NJFvF2lK>=49F-o#a)+$2w>@4-v&36`Y5Pl;Tbb z&i9LA98%>VQAdY~;x3Ah9UU%;yDB)35XIfpnt3!;6n7^$ud2kVG@OZ5IjqJVyAM%F z?o%Fx68#Y0Xf~5f`&{!_ML9t-K-S&Vajd2Zq|!ZSys?$59X`i2l?UUWYZ=5N+{xQZ zs&B{c6UBG)uFq;XM5UvyUO~k%1DI1h$5sv+%NiIxvY)%xn8^@^f;*{gyXa$hupXB} z!?+8d7#AfS%20L@RU%FWwh*$P8{moqTE|m9dAs4`z(vOBIphga@>t5T;wcO{; zg(A1d;mGx%^Pt`5H5yYRwpj2=s#xa+rN|9KUvk4$ILGIkX-EX|Z_?jU#w#K5ya{>i zLV`ez5)m|_=X>fA!MTPp^n9;~s$@i(cBaD6^LM**!x$ZH};I<^)zx( z#0b!e_>b2&cvJ${2}pUz8&pnJ>)CiUC5DK^VlvX@aukB&Eet5JzfZ(|T<=j;N9@O2 z=>_<9V$X#K08#Sswi?B$E+zNzNTn_pP5@lUTxIWqJ6a+cZUDsWj`!DNzim+=HS735 zw-;K;l2o5t$BBI(O4jkw1`ezSVaS50=lEC}GAp0C(Hzd`__&d>;TR|OEJTOnOU;*I zYLe!{D}d}hFPK)V%mlav&`fy25L$?tV5>>+LV|`+)k$!NgwG2GK2;_G?bK(#(92-1 zp2XY>cmK*Hc;Oz?H#eZ7ngsP#tNk?0U96}{(10hw3&Q~`A58*&BSMO=GzrFOYY?vh zywaB81X`Ilq_v40#L6P*32kj6eY_05_GOVFMILF6Dzi|)ick7o=1?ue%O!>t@-k3M znNKgvnpS8~QamcFf&3a;Vb=;dt@GueqOzLwxEeNFsLCK{mHAbb+CqgIS!61M`c_se z8WP%nNs1z2xC}&9Ry!tXcBWd($=&&lAucvt-PkPG531$5%qw8Q;9v@65l}mp%cZ+4 zQWUR{ns1pUidQDdV|ishQM^j6;$<~N@#;NxIR*bJt0{`tNWrPhFN)X71--16I8(e% zN={|9Me%wvKz)h0<9E7j%<&NP0Z}F zMj-_&h0Qxr;7%Aw*fyz+i)$N21Uw3vcZ$IQb!xS&u?RrrWRa{aK?J->3Ym!_5TTISL*qk2^ei&r)WoIokgIwLT4ACGfK_Pt|CxJqqCa`2#wC} zA`q?6*+T?kG&*~VfHE4C^%4O(>zRvtP)OeR1#}KZ^Mk8LC*+Yb#CHr~C1P|!=_wl; z*Qxv$&$W+(Ppf_2zLms`$2 zi5W`wH>LqBTZ%ExME1;hV&%%@!|SSC=4K&p7JLnBBr0Qc863^a5JFqdMpEBsnS0ah zZmInaslG|6G|)tYK0^d>RgRoV(?y_}0{sIbkd&)|JVOMUE5ObaffkDE%@Su|3%f*T z-^yl-068w@Cj9Ce1{;6bit5*}mB?OM{Tj9k*{iBq!`S9hMxsOYR{pXz$gal9Uj{3G zStTof8La$eK~{bV*LlB4)2%gNSBLAnNuCuxmu%E(O@N zBG6%`0_-{wAn&EzLsO>OX(V8diNR?PBd)ta=*Vbv>7`UUx}9D;Xw z^XlZg@)pQ#QN4Uu-V*sOL&$fM#>=7bmbX&-F`w82DPo{Y?7#2QFZG+y2s39Vz= zcCsKq+#HOF!b;QmAPa#u5ah*5N|%T6&Ig;jv69Gb%JY4LG!0HAE5-SKl~Y+kKhM^0 zHElYp=p?wCDbPh>x*ThMSBc&7BoXMAC|Oo{a}nq+IaYZK5$Lf;VZFSi2=tUlFK;CR zy(H4hlR*o2OQe^#76Eco$|YCK?DHmuX>L!!Vd6c%RH-mpwE`Mc zqwtR8M%QX3C)`w-DI4WTDIa)@YK1$ANGbjxOn0KnP^AUvgK&2?YID6-9pOMpt$v`Z zKuilg)I`~88#$|#xnCY(K(GmI?l12RqU(LTxxc&*Qu|bE?k`V4c1mb-KW$@{_oXL( z51T)=lub}zrKuc%EbpfmYAt3{ccs!)-XHn>t6ezC2OxU@EgWg7#&3yKDzYh;52Po^ z)>%FX34?-doec9<3QNI;h`d;3{G+pLAGEGKPvo5Xpv~>6G^ajjTRD~I)CcWoV?fQR z5jx~gZxKkj|(o!TD!DE#PEyYlp zAvBLHahEDF*rir8r_>zj!2KFafT3=7@hIMrxSEELsfCspNCw^382tfDj5$j*mJ zTxS+4@C8HKE`i+NACDI-UAC3s6g3zUZkN!np#baKxKCK%R!0d>7ixW@(IYB z5G>1}AYSEu30&BD{MEQIXSgWwLKm^ulY%K&=TCX_KA`#f2 zu(enO9y+41wL}Cq61K>#Is3v8jV-t}gZEz;s*O{@*upfy2lq&HRgQ6$>6#QO(D91e zAr~exDO5z!6G!`vm_sIZ8_z*PTm@{{6?H@^p=&8nBR}qWMSY02R>niFZscw+Dj!gNN=W2)q@2)}cU|aR&d73M$eK|A_o-3D@ z!w6r*u2>bd#bI2j#KL$*lsN2@n5(EG4%eU%*fe?}4#T{_T4hDFIP8~5tcVeV57&}d ztcVqdYfB_n)D?%LXj?h=UWpXBtt?Fz=t2-uVB@N25>f_dq(E@5Xj+X7&RBsAU(qaX za22bbL<&UsiX_FmG)^G3S2VAd6;?oOuV@jP6;?oGuV@*}3M(L`SG00jAp`x2doIW9 zhDvW&LfDmt8YLbRV$4NJv_?r2;W-?mP|{Q!j#VgWCJxtCJJ1zL;;^kz(p((AL+wCU zv=E2m6iQl(!}SzOT8aF_^$8`)v0pjRYBQ4Dfu;^tVBS@9R8Gu#Z-`be76krfR`DQ_ z)7k!@iu z3r-;rTE4hn_bwD#?w4r!BFNiSp#{eh2rXYcpc|-ymf@|&<&+`jKhj4 zUfffH|C4#*a4$N>ojXd#&zoX;GFryZqie+|e++f>%M6n}R>jYoZb0qc;32;lhlAeP zUp_!z$oVi{1ED9;Oda)=j2jwSPRD;46xrmK1Yp=eafRQ7YSi(=Cw;FO}z{oI<=f>(q)4CF+l#~G@t>PcX#d&WWS!u<2)XEI;~bJZQF@^K?D{wAZRSb7 z@=Yiz-4%%u{!D&}DNIe1x!N(@akMgpe@-^UHPuPj1LpO!X24UzeL*&l*pFk7=X&zW zU4K+F%?Dxg=Q+C846gMdQ!8ROajhF$DHu&LwX&}DF2OzMpQc4hF;ROUJjUGEA^ zbPeG&B*`9|Lf5-No=^0eXW64KXgWi%06 zo~tDD-x^40AYUCO`&)y5k?K801f40_-u15H@GOra`)=a! zY(@3m#bJv5oI8sVHPU5WvzhE~jWM*u#pW`F-%8^?5ryAM*R(f}YnZKn&gY*dNJcJ# zIuR+}T54AzMKwbf5-r~%cO)jhMW`Sr)7;#X3m64RLha@<;4?nCjw@=oGpk^vSoBA+c)hqYj*$4muP>DEQ2hn&)T=?Ur40%a+uv^PDWR9M~<&nfML{65%{2zh=gr!)oGDOz*V z%!rLhjP%mJ$cO2c&WIQrQ>MasX+LE4BM04F>1;wS4mqX$=?T5dkyAPVnFHKij-1kg zNEoQ;hL?z-xIAviKo7`Rkwc8srvMe%?tXrW^qJ*vt%3Uqj4>hYaf`VpMX(~pL8gf=K zf<_{HWC(3m;RkcFbQG-L>`AYU+GLGkzVosKh)`laEAD&(kKbVxIW2#yscutmL zPL_@hGImS+U`m#bQ&s9+DAY&>^PE!5$?rWoCq9jS72a~ut zp=XUmk22_K^rW~|LJ4drKNZ}IxbCEhXq%|U^bRP2N1z9612YE!yeuR|IqDK1XdAb(AWO<0MOwa8uT!c(GT9TL}tw%X;Cu1DtjV5?nD=>{Zi z2(sD%DQb}jMhfXrXtgNmnxrwbMwE0@ z2wE#jx=VWyIx9+gD5kYul=M^x+8}n9^tzcf>}UQXZ}ct*jUE}9-3Wht50`uKlN5*} z!fJR_C@A{KPN#f=3c6aajfl)%hQE;42kGx=!Lmx1%98S)GqR0GW-rH| z5}(0q!j8Y{;X0L##?Ekcl8*a{iZ3MnqCi<%QO8w}*5K8Dzd<0dAT(V5*H|$>cb?A;tx} zG&<-m^663PB9+7?vMIcdTGSy*(O0R+9<>IveAFX;+1y&b*pH;6)ntJ^MGl>mD!Y~} zM#}yqi~LdOP!HT<92vQ#KmL9dW;rRcU@**b`l_^~#nQ9X`r%efnWRH-P_LLLeg}x2vd=&5}Aw9t$RRMfXYJraa>0pmh2X#)qd(sd?NX; zxcyobxpfiUpv;3^ibat+witi)=vGYH>kJEQ!s#dZ#cPctw*o>-h^QoZtUou4+`1Hh zRJX^}?bHombPswO(bpaif6W72rUv-!LlQqUK7`x+t3nqK*Z=0d3VHJ9`=Zj%Pnx)& zM*1(etCaa|dZnK~{HX5NQSCU{lY>46nJ>d|7m5cJU>AyI60nQJat|}Pt&7D9`?ba> zwM4A6|J)4FUn=Uax(Q%Yu#DbL!qJGxhxLYbYPh8MXvFd$;_+k+Bfh`FI*@MtCIf%O z_8D@Afz<=)iAWO8a1>c-l`XZl#o;gXrC>F}YI?5UuY5x)>dB&tWBw&Z{-nq!go2-8 zQ0rrDtH(2`x5w_Ww#74dakfVCYozb-`PR0E65GDtBVWJgrdZn=sq`1eyYF9ktZjEvIzZzq znqq$7*Y9hoUwHppg8Tl&RBKyfRn-qa+SWE)EhtItPj#(riDUWQ|CU0zhh|dQ(nJ7D zI3px~_Bg3_MLn4`k|q~tj>-f^R{1(w+uAB?#A>T(fwg@s{zAq})!3m$4#Md6RkEzI zMU4b&dVIFxOx6~^wS64^gt@xVs_1TQACEucI#}^O8*Xh+lb!c%8REVl>~C#P=i!pU z>6;DKb`uJ#Hj@V!tp|pmq6LX)UpOiTx`P<#=u&-7TWoLf<87R9mU!%n?kG53IJ9}c z#(#0ToEpS&kgyi(Ts>?13}70+)>$XhtQ`yS_p1PaxtHmMfj73Jt=y? z$pxGCz~}&L$6_YNSMRcR(7n_4$uyK-D4f+2Ne*_sA$f2T?|Gvp;jE*Xwch`mL9*Af z(;F=3;S6hM6#ha-Jk^-uwH_ViqB59`gwt)r4CfsF^%_f6b&BxQMsqfiqfiw?#;{AJ{?8 zCRvo$5;~Mh<3PvL>rMVVS{`C0F3kJvuQj%IPQV}Cd3+?znG4GNcKxL!5Dp}cY=U?#|$fKhUU{mRt*V&teV78DaDiJCzgtiiL6@Ssd$?p zvT7$1S4FELD+*BAvB{Fn8*3+rh&b9da70LtOzyd6b;;vLnIgMC^H~L(0OM({v z)UoVJJ6k)~Y0IA9*#wxp*`J6rllg~}aJsFvbNxtNA}b07N~Gkh7B-@W4MBWp=R=AQ z*-xip=xaq*UBzy)Y-Tc)bcdbQKxV@AoI!-ddH{hF=D#{m!hl#7rG)#P&5BrRcs}7I zul4vEYgcRhg;WJJowF){A#+Jr6|i=-!C$@OXPQb6@&C`*d&fs{o$KSXJFAgcgbFM| zfCP&Wz4s1F@4a_PG|@%xuv2$-u|ck~X?BRQ!AZd7CUFwm;DU3V*ohP8I&tEZo7nj} z?WSBD%gJl!_q=Cjc4l+^$M5smfzh0@=Xu`eyr<6D>;@YM4y*cH*Q1oy=BGmy{H z%6}U%260ku0$6%y2D{Qz+q^bSIWt^}g;IWh;&uz=%m|nE|2I=PGt!tVEEc=d53g;rZ&PD8_6Q$=oTxa@BCnsLknSNBPH1=NtD>Dpdt?zc7 z(m0UC^Ki_)`TiEAaS%s3zfWo8RX+3$KMZH-kNt5A%00SR8{@iHZL9+jkH$$3Lfnpz zJ=4jFH-YgLDCgEGXUE|4xub3mpV)TG#pal<4elA{{s|NC**j*L`!_nk`12K5NW|uI zx#4=-fSpEkCQ+UEa3;0QN0XGsz2a{yIWPnJ1SYILM3qJ!aOXcb$Gv$UQTa*i+&Ywf zOtI$u^sY9;frCdgWA_Ym0%u`0v&^}j9eahXiQqZEVz@1oSKBEMcEsm%JwvhElWY`c zi=(e0qQ(FSrTz?ce5><>Pm_)V;}5#Hf1|(hFVsua?*}W~T8Ao~z1Q)Lm2Q_yRSyJI z`6@bC4|Xip7Q3p%FBkoKZn=20H1^U~CvaKp2VKNnQscLj)u{5_h|<&wpU-vs9ttt7 zfKHN#8Tf^41%?#Qd_y{a`i6A=b`1Hu#cpf{&GQ4wTW#GZfQ<(Le!D?wDq@p;utjMq zhDf8AHhRiLyZ7Bl94U%5_m^t5wJ{4-4^Jd*oiHE=t``R6yBf!Ud|Mm)-C`$gofLbk zt#iA9Noc9uHAiWxz~^&orbj}O=T?{mju~TwjwzV~e&qOl7O(TCYuOKq-M?MSGQdg? zU?&FntF{4vcg;b`DvGr&t{LkcfE56^)d7gWZ91O0n7v)=#X{+9mz>y=6q&fQ~p$o6j3yVc%M@Z)Yu(;D}WR==LCG%eH;v5?e= zDyydUAm)r>4K7jIfMH^|JnK?V2EVd3d;39)>@9}XUIgHD zZWGwgv^x9PSf0IoZZ_Y7lICVfInNET+WFE!<@|x{mV!LD;pB!LJE1ly=MPGJkSq?= zTjbwg!uR93fNvtt!<Y`nTp)4eqm+)mE+1K ziNTjR|Km7}Ey^WqlyK8@Qrp}cQ!Wj2dNwwSA-?2N_BeXU`;xd*MEqC)m*SH5Qp^7- zMLZvdx+D%(f${hTO1OWG`v{i1B+i?7Xq9slJ-fp%bM((WvucWdyvz?^{9TxU)HJgDxUM9&C*+4#AI=RW6s|@MD8M8{1$=JkDVvUaQch!3go2d|gg zIa-Ro;&$7d+0xj>+Bo7D>-$81jqmo0UK;Ks9kZb*Fh4xVWvf;m>ZM$+#>XK#P&R(( zuJO4u&u9llRBtuheT=TBc+BU?i4sE-=x$!_=1Lu1%*)+{D+lc8qnfz;_hhd)sf}=EU6!J3X6xwxv<@opYK#I55}kTxq<@TFTo{XF4g29CxBm5b6Csg zHtX^bQ3ezU4fo}t!U;0(;x4MXgD|%!Ki`S39b9Z+pp_hiQsI_oeAMpBw}1vFtj)0> zDu8&Hg$?69U++A_L3)Yoth(}8cQ>XuWJEOP3YUko!~&>4|DJzkt#WyTYt?t!xDw>z z<;A{*S-;D*#RgtT_zGJPxB2{riMT6>J=R@3OByX=-`npz}M} z8k56}q4dr}e@y^Y+j+ztO@~D6A|9!H(RWIdF^Tg2L@_5SV5pt{dx`SJ_1S*a5QP6v zT+B?xMTGpOTIGv14kFRf`C_fN5h&vHbTC2{F|k5(~{|9xpW zzN*;3>jbO_+$N2-T#jCfdb+D}MaD;rbNp%}p8eknA|3~of@61}Rrs;q&h$;Aj6<0#rv4HCTeDhC9#PLm!>W z9Qzt@UTIZq;4FV0?eT3q_Bgc1cU@vn4HwUn1|Q_F_EA)8g>t1GKL1__e)c(q;K$iQ zG~_8<2!7V?-VO<#BywE}=Cj0OVa;1YA3TdCo)rdbObi7;+1eEwUEKoXw%RE$?z%}% ziE-PV*MmgN9%9-EX>5Z}A5i-$@75_-y5kcVvwroE^T=Iy=i|ad+Df5(4EEoxLss33 zjb4e^R}X;^-1g?I@K)$sQRPaH+}}EJ_BQiIlhALwqjJQY-@JKlA7WykG z?@rp}|2GUaQ1l;KH~+J@a%GU{21S1nn3Ih@?RH1uPg|Ws(FQv|l7KmWr~lJmZT;G0 z<;rF!Tb!@l`s2RYKb?K@UjgzhzR{)tQuC*ni)V^(9^W(Iqn`VYom0pt`<7X@qvd|l zfcy^+D_0JC-JOB_=i?>bpMyj(9`EI&69vgW5Is2*2t_b2 zQS%A2e+W5$V|Ru#0Uf&+vt^IZEjH##v8UIRi`#jz+o)CiaDMC$A@M9>EZ|x-l%@Ch zJf;V$muuZy>?}Q`JU-vIg;&4W6f7?m(Bs|$fcR}^=*QRBYkic*7y9zzrt5F0ok%U@ z3_U&0`~I<^`|@7rHZT9tw#ws6gn56D)Y&n6*sqfA8HO0rKfWxx=QXFL8=1F5ou@p$ z{H}!|bP@2=4l>^6kkpgERZo_l!~g2ZU8VTX*>S+|hbi~U$`c*&;h)TOw!x@Yh4aXJ z*(6YW_@{g2ID(6fHE}q|igIzkmh-|-4gGYI@p}<=g&N{o(@VC;B;7fSuk5`O7u2Y_<&en6M*8(C& zL{Crggf50>BbE2oC|Aqz39jA2M~i3P?^*;kmKS?(O&r0+MnmkmeVnq`*kd!xqtwp- z4=X+Gz4D1IxWf0l=j?*zs~vphdMpQ(do}w$ByV^2l#2?SkJ@#$f_Z+Wr+dR5*Ln1p zoqPiUyDiAoO1BKTem`4Z11(54>gTi|YHE>kwUY-YlG_^MH@J@|SL5N&{U*HD6?%A& z(^SE1=TYRiZ&fc8@)B14MMSyU#rv9Y6lt%{@T(*QcD2fBY;H{ETONIWv7@*XTH{C9 zDz(LZa(laSwX3mEROfHB_3u>;W&AtPw}YPEQXr4IKFBE8zp6RCR#Z{Xgs zT%B8?$E)45z_NO55Q5wB_hRL0k8FhxI~D#7_2h7_=^O=)_w}+IJ&ulA$9*5osu~lu zO8h6$58OR7?#$KLsI@;3`rCy62PYmEebZf`6+3snFwhBRkAH1+Zq)J0yL*&tWAF)1 zU!!Xvp1H5|WqS_11|55MPaL7elG#4?HKm+yP2TvePRRe;5arrfwo3EA28lZ~l3@DU zIA+!H(bv4wcyQ8+?~Oz&#=5%}IITC>3uA>tc-OphU~?7jDa#W$gqMvupWgt4D*d(q zy1O>X(_Q3qba!ns>kcY`@Nf@25Z5)l1>}h zV$KrL*W)QQU5>y&GU@d@-?pPJ%4@_Md5rEngxx6$xkJ6vq` zPsE;@0!!hRfALP8Bzmsbj{N`axn4WsyRV>AWUIWrU%7VdbG?CA7FY$ud5v^7^8an5 ze>Bd|3LR1}z1v8OuI;ts;m`dpPob;;6pHnGJj**DZszoS+2=Zv#PUDx(6C)|?pQJ> z+0<$(-?@WcS&*mvY&=1_?IS$YlVU~=O`vlF%RlL?{(gId@+2k$g+s`{vsQUhcK(2< zF3wP%jAVlDMf+2h=9GEa#= zIUE=`@zi{@v`?}O-xq&RQ+|FxxsiuYj0e*bLZ4zJ9bE2dIvYPak4YkqI0xIjPh+W)?|Dm}Iz9UC?%ZlJL;1~I<*70F z1geRDFrx=EA(mFLW+kF#SHK&4DNnHni~VM996`nABDZLZ6R{UC)y9vO@XkVYCjWz1 zyT7?ddAcH7J=($`?>M6#wtWJ6G7FWby@}u#OO&VMp1HLn%F~_L+(IRs-E2(398#WM zp3Tl%gYz8*-Ufepsq*yNtSU>r-cV6Bb8REZPfLjhOUhLtQ_6_0;&05 zHSceWPbpPnY7K=#H#dFOAzUIA7|En`v*x`?HFl}mR;?xcF5|7DO}1*bt|DG!Np04$G<%;O4&)>hFrKfNjyd_7Y0u$EkFg|j>n=n zVJX7JQBSwAOBbkdbqC2#c_LE8DUViEj*@m!cOrz#gOWy&lUB!kR;j!2nAt%w`LSwr z<8pRYcat0u1&WL3&7aNUf>x^yBu7Pw5>9+|4oVtPyQzC9f+ES9af+|0jbkMM>a89my!*ff z#0!kL%HvWYm+!+~5^(iV4^cE4`dC5G8eHp25>jDfvfs^`=lV-=;OnOzrIw-RrhEoq zg_4mJMgOP`Yo@ECBMChfeltqQAvcq{-uTTON% zB)}V{g{e*G#$frSz4A*(7@U`bIXnq;BeV#$4ZU11zg#ZA+=a1u;Z3^=fNrFgM`fW0 z_sTE#l3yOo*u3zE29*HaC{3Yup*Q==FOQL5o-EM0-~ZmirK2pOs{YW3?94A#}F8{PG_8l~7p#;n~TI zaGVyUj-j_Y$gi}JUujZWH^pIZ}UKT`HRe-uRK`W%n&^rs| zSEk6XEGi2i{K;%an9y2Lr_hHjLA)bwp zUcuIyrM08(VP6l4Z1{`AU@iRIgjP;Hd_6Ecw}{REoIMHNZ;sZUdir`05FJTBF@vky zT&)B3^5qb~_%~s$d0I#6?aLtm@%ajdIA5!vKE50R5Su?c#fn^@RZ`!GF9;vv3&jj^ zq1K7|MSVf|5S#yXSYTYFb*BElA_5pQYZ8FCSc@Z^1;OZ`h~`h{a67a_>w@R32u1}- z{I0A7RcxtNMFV_A08tyEh#BlXmT6sSpsxtPY2zs3%qF(ia;=&M!GCxTB935(&AazX z0JB2tMuVdPNhGone%wP;ua#PN8sbYLi7$6^P}8d!&1$VD4f7QNNZwc6Gn);zM(ahx zeMJD87yirn>_OIQy=jE62!`i=!5?ecVC%F#G%_FvM(99zZUNhCz1EjTNxmX{h^jG= z4OXM|qtQ`c5lQtL;gdl(pg1C200?0ONLukAt1OZUc!z=xhz_UdgN)xz) z;I{LAWgZ6r^5AeMs4Dg^fndXIkIrsoC zcY*~Y>LG0k%@b;1Y*52bcX7Ayur?Ksg?E6_poTvjWv6jOn@00}F#t|yR>K3U+2J46 zrqcpn48YRa5yR_Yw$(9h1}*f(04SYZuK#nI1SrR~nY1VpP(wT$!45H&6WT0V9QDiS`widS0b^;BHkX$9Vt}!{5`1qLoQD?D=FxIr42;fA|JD&y zEiJ6grxm^!1VBd&A54I;G+A3fD+6Ni5q@zHjHN}ig|sT-tHB3&Ul;(jJZ%xJj{0iw z0lvK)YK&=$wwTuVY6t*)b3J^Amai?LwZ0ev0QJobus~Z%>wGZ;0RCYz8sQB?2>)96MTnOq^+j9fEoa%%8l@oji_20wy@F$Ukw1` zg{!CAGMZ9t9c}XE0CRZ}?j6VGYOSrO%>g--WW!Zs6WgneRzq7PUl0K3mOxEbvB6?m zE!Bs8MKDH35MMnCgGIEqS{-fm6%hcbs%zO|W!eVX<|`rq^42~E*-qO?+kHg@LF)Bz zAX>S$iFWvs2!K@ewT!a8wwZSNnn1Pl%J}tF46=i^g?354D14A-%h-+`wR+kW7NP(= zXyU6Yx$CG^Xj^HQ5Cx-xD1I>&lc;f?Fh?z*kre-%CD7i2j7eEY(J^6;r48XF4`X22d#d_V-o&K40@hg4v(i*X?tmZ08d2f$9R4}4IWSHs_ml#0Xzj# zKNruX<#2ggwYEPj9}M6r$ws&$OXUGP2dHU=#0ax^4gwDzl}z*T9HORKkw?K}H|;PT z^5IA*l>5Cu-om2jt{tJn4jiXQfOB~|SLGhsQ92TUQz8vuIO^%e6131$J4Qz%KA^ZX zz|A+cfVI#|J06ygg?&JYY=ko%q?!Pp6V$Xok_HCwoCF>`0_d!LPEpgM)=8AAw=U6f z9}hH|{a)@RYkl+(o#27#XBd-m5b&Jl?uph{57SA}J#qAwkOncHug(xYrk^g;DIZP} zfi9kBW9Ut4{q;y#R>cUz6yxmnpQ}+d^|dSMNYyafcEg{`P8&( zh7>E+2IvL+dX$d{#d5R#_6RtJm^M&vf!C!3BDYk4^tJU|g9hnQQssb+!qO1N^yOt- zg$C;_@#2q_5!K^!`THT4_GCwWPzh;-o3na)7t|Ti#_1J6RqR_Ti45F0Rs*z_1yxB>Qv*va z3#t=9HHZq9RbOXn+FLDUO11HN96P-uJ}MN<&Gzi31j;r+??NiiyOY{BbY`G@Au3-e;(1vw zM)N=GSO`<~9-)w0CiH)yT)xl={$P}t{<^Emk->%b@`VaX?@3L^Bu+6+?}g3FQs_D4 z*j>KR6VoC{fcda=8#dE)y*H`lQOst_7Y4`|24T(=!F_MmG;S6iWTxI18=0BP3)AHb zGfSC{#$(R0I#YS@X+vOvhY(AgP@~FAtS3hUAMf zPpcw$@XeZ!2Q#vH`XEv}M?#JD@mt`E;jWdeK_X$eIWqaIF|R1+F+(m zTda@ZP@cpDsBwnV>-my`nQU!|K9bbxfDj6#agGq~M7d5a)kk4#t1krL@-lc}0V7+c zkH#j{h%bbAHo~`NvDKFAV<3YbqINklNJ!&^4E|E>G()Zo#?nKD@NlKt3Vj@@J;S~X zL;}d*Pa$FFmHK#Wa`a`81X!ViJ9F61tMvpn|M@atGK37i6lXiH(I;Y8T|fq)M94rr zy@3tAR-c5easeHH5upQf3mbZ!KAEtgCaVJ`MCjn*UQEY&eF~`qBEAqnhtR=Oof%q< zK9$sgQC|lF8g!s$lq9z`wfZzt2l+y9ut5pmoXLi+)29>m;`u^wz(ELqAI7HMsL#TV zq<|12(nJ=*`7gdeYs1K1XQE;i^y zd?m!Q8JyY8(CYPhym7@BLLwXCjWS`kt@?Z@VI;d*M+iw2PAK8+mBNF%LcmhnLzP1J z+w_Ilui^{ANdP6hHI*&BU0+1%XkQ2*W17U?EVu@RAizNge;LK5 zKB%w5nrc7@1=3U&!rd(x+97>ChYBH-NK;)Qs7WzYJgnD{I!!DsIE_PGn(8RwheZh( z`iNf3>zKY064@Mn9~ID!>UE^f@Rg9ncW1w29$W61z5!C0=}Q6drV1&1Z-~>CbG5LM z9_lZ#@{jAAuzcxD!ASrqd}j;W`h>n2%aH*oL^waR4L`(E%Vq79UQaj)JKHqCdm|W4 z!*@%-hc4+`NuB3Q0j5SUng-Qu16%7MeH*FsBfb_uiIBpjO_07G*0+X$La&h`y8XizC^#!GQ)PTpW$Anx3ccB6V><2?83F@a!U3 zT37Vlq%H|6L12RtUhK!%3iQ3CE(<83M4HJ;xH5r}wb1t=6iqG~hqyG;wbDD>U)Q7h zeo~imeuC8X5nl+PMCjmoUR2ZD=qE|VJV~~X078Te zZtoFxj_IdJt@UNVd23^HBOCDYp( zVZu>-*)9SkjMR`p^Cw*xTDc*Ux+$mwfeku%FpsfyFceZTLz3++#-+KegP#s%XdR7w zgrd!b4ieH_*NQ)DkA7xMuP`t~s`uN5BvLv;c(oOLm0oFJfV9=u0f2cqyqeF@IvE%y zZ42n&5#->0$4j-$O=qJegs?pz1mxiep&{rHaHhjU3)ms(aibNfI|4!w2_S^$xtZ6y z7)7M+3gok%9w!Q|&LWg}FU_ogi3*m>$ z8CgFAW1+*ILs;k<=@#z-(EA$|q#ns}2n!t@{A7l32m_2tQjhvVNFrx9hiheQw1Gw^ zQjcYYzjNYUv0kaBhFzeUn zF}ATrAJX!JW)(u;7eH_LLeF(eei zK{wgnAFA9^QNx@6S?Wx6IKJK(N)KVUj3iTxVWbrW#2`|jihsP18JTJfC#~2Q1FVe< zAqF*>&xA}jMv_)4#@5b&5QGRd{Ada@GQ$`}TI;BEgxOeYEFi6WKnP$%2;qB6 z7}+}PG1PkaLU5>n5Y)`1IH0XJ7LnF7;!8n5<7U5E^hs)r#iaEL2b3VdK?%+OC>FM^ zGnSFo$M^S1X|0$g`mjBFT3bUMsj$C%s@8wPNR;rVF4|G5}^e(xst8D%h*8L@PHUVi4a3tG*G*Zjiin6 z#lVCJF+AFpu{9W*NE?|mO@v^G;lc6*biBvdOxmcNX(9we3%92WOYb$dkTyCX1qU0n z@a77(^?qX;X=8mQ09!44g&&M%4}QSd&Y?mH5vkTy!dEwAnn*ur>>zDiKnVp>t)qmO zJF~w!Wb7nuysre{@-lctG`)w7U8G^OoSiR>XCpkfnCs{fV>gt5@p4uP38_{n;l+yJ zG?CFj4|f%{`lzvow23*>L`VQ3d~>oe^f6;EX_I`PkOWvEgqIJop-&k5Nt@#9fXNU# z_>Z1!=#$0)(xwJ<07`@oE^lKypE3@THZ7n75F&K&&7%;$AsL5Ao9@ei`4BSrK5yPL zLdIdzW(0Z)U_;2@!#KDw!p0HOX6DQiAs8~S3z8Uj8M1Mdv{}AOaF9U;P z#z3I?pEH?`HfAAdD|04^5RPeMTkP2}VrDDSR{5=i0}V>}!yfd?jJ9SGX{&u9IM5)3 z4-T-M%gkcZ)&zwhut5mV9%W0Ho2^M(7tle0w3T)6VFg2LZ?@r3p@R}>tE+>HD-yuf z!HkiHfpT^MAuerobfEHXKcl1BmNbl%vpPs*Ge`{|X z9duCV>j2=kx=q8eq*(5AdI@GZJv^0%ghnT`J!uE z$hNLFJCn9GXHbZ6XyG2Qd|`Am1Ln9~0xA z-QJ*Zr)#Ib@lJcAuZclVLtyNXkajv!`1>e$tNBJh6T_Z8z7#;4m%~rDaPIw041D(H zv<+T_%e|So0cIaaVP8($uv19kZ+n7mgV~oJUdC;MG0^NsSkL#RfI^A3;m?zV`UaU8 zD;@BakOW$xgufqVu7{Wy4v9s3r@siUksRa5C+4z_hME`*9rlGFMK~BjxZQ?zG|a?M z=!owVFwj9TgzzQq@fpL-A*3D6X&Vp>9o(#8Hb$63NjsL)HXs--;U|@hY@~@X(ea$N z0l|=gYIOzOF=Ld8QPBxs2o5v|K~;H=sWI9dLE6cn5(GFX;YK|>jj`rv!cP%ln&{$a ze0a%d4=drftr*)la}4SHCWd@*ihOYzFAVN+to@hP=m;3&&9NNrI*mPsv)1&dJ_#V3 zV2&dlZ$a=B)_nQm!jibOM|59)fX%L@M#3CVI$kn>&$x(nuJu^%^wR&=4q>&4<^J&j zgB{SkulS%Bi*mL(lXNk>cCknJ8-V?JUJx+mnzKnS4qyj3f&JrZ1~<=~LwZTT>Lt=4 z#@@)iH)FmzmvoGzvu2M=haBjtwJ!m93(R?l;LR=^a!dYkh$k0}h30(HTl>(H$XZ~x zx-zmw<^s~&_+|$(FN0qVVPuQVg`~&0sc@l3vJq5cG^=unxrp?(0lODShn%KD@5Jbq z;Tw1L3GkO^FudjFQqnP+&T2j`9cA$EEn{7-Fqe_uUN|^M^9kvw1O9`yN$!8F zG?ycS(0mdJUGPmZ2GhnWa|P)geCz;sRA7IdhZDwXb0z5=1K15yAlioEN`a)Ov&Kmj7Iyeq| z&xo5f=a!1PwaMH2T ziGIOQ1KVp*P<#$Z=2kja7+!!RTg+`p(miy0iu_SX{-}kUViXU$L%buWLH;N#f0Qqo z+v!}9NK$X^AZ&<$@A;^u{82|Y1$@r~yV*5uHFuKUQ+VZ%D&>#5yJW#De`!3+V4Jy% z^j_Thf7DCbV`Pmn%>)$zy*`H@vn$0PIyM~A!!WOOV#6J`B+^F*n2$(mOQ!xi2ifhOutFT{4yM$W9`{y@ zIG~-h!i4P+s6r0)sJm)Qhx`xM#ewaVC6hij;*%do1UHZ7&v+Wsl&lEp~Lgl_SwSF%fiqoqT;~Fwq-r6JitH^-c2i9g@|a&J7lcVpa#z=S!hG#q#w- z^7Z4W2iY(B(V_%sY-@ESeF0v=DwJ;&$u}zC?6WacJ)f(CS!Pv`j;;ULZYDSc6WDN; znTXwvW;?5r^hHeajsEy>RZz=V>sNZCnw#ZTC(;*(o(#)3M#(p(a5Y!U8j}$$stv%F ze6zjPnZv!hQ09_+*G^Ogvx5~UeF>9%W3GH-ehEtii9zz~2bhwMRu_azf@J{n603)k z09IjDfv=@vfN*1le4_@HJR9X3qKbk!YFl3^%sRH;xAr zy*Dw5Eqo2~js5bCW0KX4&W#s|I$7OGUmj+XpHk$fS~7)RS>9a%1|w!?s|V>TnB=D_ z<)`|v6w5q^sir1j+-}CLo}{l7Bnu85l3$pPP0VH&s~7330wjaQbxiU-o#8CaDyui? zs{qc0#Lix`hcytX)^YWBcn+qz zz5C?=&x4R?mZ;D@t-++P_jwMc`TkhEA!7EjhLB$4^UO?U3;gMP42sR()=<*%;sWm# zXBr)z)wS5>YWA^)kzNH{Q$Mz1KUUWZixbEt(u*H(vQ=FlPef9G(m9%fA>eOrL!5=j#tfsg!WZN-4=DCbGf*q1;65w%!g%R0yZ&;yu6)k;CsBxr)0hyTca7a#a-VVzr8Qmxg z1F{{CUk)S8tqE#sDHb=((bhB&wllzT#EiRp{>zVl_^(Fg)AuJ7#EvQ$x$IOks4BEsW0gJ1hg(GMD8ajpU|tinR!QiPtV1 zhk>>;8%5O%opoQA<;8Svhr~W^sn<%}eRrE~Kh5r&$=M9SYDK zNc5KtOyYD41GU2en!%)3-g|d(t)5|FxORjGy8QNn2n5ZlwUcZ0ObdgxqhhS|bg}$& zaXEMtp82koqEgSYRw3M@G3yt<+nQ;dV__t9Jd`;oKa(#%)0cNXXz)h{x32exSzB{0 zjHgb7{Y$5{Piwe)#h7u9Ok|&ih|v&f43LQ zV}Z2+0p8o99MJI7UW{jvFf`3)do8!N zlYx1zdili?`9;jez+Sb0^Q8{#e^*#L$jIaOxnArnzgWdRD-qiKX#*Q-wY7(gf=K9% z&GL&!#wK#F}r(4)@thM%$5eydtGDdk0 zBO5(Nepeb`B$W?FS_(#XrV7YtpTo!&!N~W zOvsT`J2I+s2|?Z<Oe;K96qp>1+Mr; zXXfL0sv`$`d^EUx+#O~AUXvlXf=A!#CXd=i~nD?inXj6r^Vg7h2E z)`G5kc+XhrQ06h*P0bedv6rFs4zg7OB33T|wFU#A)_1TdDqN zS*&QP9|sGzP+Ru7Z2h?eEsNDEHHeJi0k%+CycpMct=%e04JKoR&lb0v`vhBOdDDnh zoEk#LNb#PMU zQkFu0%$Sf%h*v=Mbc-BD#?kp6f{}Kq@nj@&896AL@_+4TO3G6cINYP;pi9ZmjxZ%1 zQT(FFrSqc%AwyDiWQgIRPsnjWh*rsj3{7p|aF3AVE+N0@$b^hYZ6RZAE+Jlw=6~=u zVryioo{V+5j2st?oZrKYj7p)|$D8C?r;A#19GKO+x-liAQ)u&Raw*~9=1;Z>P9~(# zZg0xrvI%Vg~J>4N#nqpd|YTJ=NXb^kNTq&i!^HI85Z(+k}1 z&5VqLmBn_HjKkUvzFS0 zWE>R*nI+$vgDx6^or1hJ1=A?jG8;XJW1$!O$hYRnw-)fcrH;eFTHUNU&g3k&(S0~B z%6V&%d}|SRK=r6wNMjbpuGR`0J%|&cj7|(-^3|mmh zzqMxDud++YI3-rbZmpMZ)iTOLr;HE9802cZHJOrgkj||Q@~sUWfU?jn#IF@-AZD$x z+mMMxRWK!D0BH8Cu_kQ4EwI+wF){^HT51Z;3Y)@nZ+a1z<1AkMT41fS+Y&a;z-U@( zHmY>`uv|1HBH(^_wrktzDyT52_-01MC-J%tW=-AD6T=rwjbGV?<3;k32XM!w3} ztccO)X5IA)hFfcwlc`8NXx38O`NASD$H0MWf9}e1ud~~enZF)K$RTt;UtT8tZq{8D z9gz)o2Qmx9c-2FLOiCHdV|kp%M!O@KEyR+9hX$mTI$*AB7xiY7T|p)`&eY>895i69 zR1#>ej$&7`*{&qBWe^Q>Rw~fEv5-mIVs|35FaSo7h8RF|xi8m+db=~3t%6{fGsFOx z+lQI6t#+KuBG!k8g%#3{!8Cs|jyc_Gn*WKgh4`WVRPI!tRG>19^n1S30ym zHV@N-)eE_AEqM$fsOzDd1mKbs!7iusx8>Nu4pD0Pm^Y4sBLbWM!3(g~ z5ql7sokEvzhM>I_*LL9}D&a0QUZ1j#+Jni&IOON+5!irhFJ|U}1CxOxnTuog5HjP@ z(DSQ7&3c0ws#`|Z5LttpVk9!Dq@c%vzKFg zHwN$`25hhD2iW$hJbN5$-&@$;iNlb)IJURLj_sWQ$sSMAg^*|a(`BVSQ;I!-uxE(9 z;psT8uEO?R9NWKEiHXfrzMUYmukatIdm~CeK?h2K>52cu(%t1$fjyDTenK6mhad({ z0EFog1M2vnUd%_-o=oNdA&%2?5MzmOb%+6ReAt%RXlYL&6PuNJpT2e)0ytgA#zz#G zE;E|xD72@NIVdb_cN$*z^cHRh5d)_C-&HJtR4aQLnS+JtPD5d*cX(vLbU#=Q`=?6m znPd**di&QU_z3IwV#BFgIXqpe)SgA=a3^WqP481!{{_8RMuP21Y5e_ciDQ2WGdoG!y#ERA5B>8V) zZU_+$o8C7z0hn#=d1Q_jO;~ete;F6U6z9!+XA@AyQf2mhGRLt0YHl8gYbZDP*|T3h zm=2$wYG*GXb1ZO(!(Vjw^q1e&VBsWHZZ9Nr9COh8Nt`cXQSpx3BNN!5?d?Tmjt`+} zYyPA!t|6jpGuM@`YHnqm9qh$qP6&Ow7a|PDHAdKUu3+MIp0rMNw3md!W&*R;yAVDe z*IWjRC@}j6`8_n zxcYj$48VA2gO{uaLi$MdI=awVB{#@M3r@)y>{Y=FE^j5&^}yD$0WCbnaNDy4%~xoE5q@4&j|}Rf(n)Tgk9j^jaIP zP(AGJWX=x#eh)&s;p!=x4#Yr(_;xq;rakQ)p*$1A-6(>g$xp=@s96|I)y^z-^QQ#} zgX`QGj$G)4HAX%rsrge-{Ao`_Td4z{}k^d@1_fV!f)|TnC4I0rY+hsa#X+fvnJ1uhgc%yIBiSuKMdo(_|_iua|e$sV|RGguMc{0Z-M zPmM^+WMXZ(1p)`-5@SCRLG8^bN2ViWuHhZZYH}Q|1h@Yp{9&GgPK`?Ek-0V!vRfi> zI;j3WHGjjX28X$9fl`D7eW|F>DF&5_iYbUs4d6Uq>PHHzCavE?8&HeEob*rKkP zXr|5H+_V|nnV6@sxV9iQF5QC6^~{TA_Q8d%Q2@35F~Q465(Yl0@#!d;HKF^^#WM%< z#r+y%yXaltJ(7VZCOSsB=Q zi|)endl=lrbSpA9ID-}oqXBC?MtX+375e!|k{j|#=_0~bHXbaPTCTizv%V#Hwe= z&VJgb=Q?{(G_wu?8>DoMF2HI?HzSRK=r(6?mxMu*eK?iaNBbWKnWvd)3`4g^LwCaz z$(gv8pu21AHyrQ&_DHBblA4vqNOVW&{ox2-fvbjz;vKOz%l*!5miz29#-cj~5lQr$ zlADCw_d5p{UW4LGQghN6jP7*S#Gs1(5ZoJWu=t;vo5m1yr*ljqs6jx(eeL65W?mXY z&t1YoX^ddgi-Z!KDcH{%7~uRg2A;bkq8FS-+0rXmc=Y?sEJ@@burxybVdA<}qrk@_gm_M~B-5D0xEBe4rCPE9y1>>LhIwZx4 zzMEJ|$LYcvDd~)V(kq~o#c2#X`J6m_0gRLeJ)q#AW8)uVL(b*Y(lo}D2Sg7yjnmsR z3itZBm}tb#?_{AYOJhuVP>d-vIDb7;QpObnG0+gc-IwWDp2nE+5FZ(jU|;@{NMlfW*y#gjsu87!2LzqqS7L0am1ztskBB~SrWa!L<-Qw#4?4kLDB5mwLgr*S#ec&gyb6MA>`;d9!-%TgBbi6!=i@Gk25#h;yx7<-zdCi~j zJK(AH>3(FM`ghY|^9D@!o#n!GHR=9jN&jZLqmJop-kOrCO%Di#tkA!k4!Q8qbh`az7r!WNb+fCoA9Ccc;0ggOCvgmQyWpNKdLhJ%X%) zpzWY!rUSP7%?cL4*7QiSTIARcP8_+wcCRgF`|n7PAxo^RYIiM`aS8jMWXJgI2yU8o zrpJ=i3cpw&Yj+ppYAvLCvN4&D1-&DTcUO8GSw*2AqDtP~9#;i-Pz}U@;cm=jyt~um z$-+boOZ9H3?C!p0z>64oIpP^U2rku-obhOofdZVc;adM07xV!#4`#W7$33ulI2P900n zBCCDS0D#3YV1e{DhIKqWo2(8&1Hg(L0~TnS5l^M(kyRNqfB-8D@SRzZtSzPIlhrB501jFh;Mzu@wL|FzWOWt> z5HKABs5NL_>~MM^hq;IIg2tQmUn-1GDYfPFA`Wnm=jDKgZ%l*9>_~bsS#e45e+E`R z(;dyGDSqSQEBk=T&Py*Lt4lcaR~YEbHe5TMT|@U_a_{UKT3UQu2#&wZnK)%hNCSXQ8nqEm(cTb&W#3ASQF^1YQ zy$WHTI#Irx^?$+;r_?S?uSS5UP7Y{j{$x3;({7bsLspL2+lF3X;RP5CiJGdm<{GU6Ni;R_`2cfD19eP4mBaDabBO*O1jG zhaAWgQ9#bc0nn%2I$cW^ekCBl4h)GHAm@30572Itt|JS-6Tnp$Cd_xZ`H!OlXe_+} zfkHVBLY(V-`UHBycH8tu4snn926#xP1oIwtS$Y#;UnbYw#vObKgNcQdW}3+cYnR?k z)&P7VLSfRz13ZE;qmK!f@r_9gtUSGiuuT)&Z?(olxQ_A$Toa2asG0xC70+&;t|w~{ z`hyna*?1h+NtP(0)L;_;cY*B=>8)hpM+3e#M%L~@PWLDbFbco)Jw6~QVs}h$BWp+6e z$JK!w3(jN+=Bv6h+<1C7S=b#ApcQDv%FkDL-p=llZXgRU;|Ev;SaK0SSbg#&1FlZ*BW&&rkjj7y!RqheRIBW6 z>HTDl3zEv#Efl1_KAX{YPahy_d=9BVix?m^9b&XS(g(@H>-hmz8Lc4o7Qc6B_e>um zD}lH3d{!B*AXPPD0&cJLVX`I$S#{tttM?vYxV_Uy$eI)+mC=fItb3<1*gol_WK9l| z%3$4ftP9)~u=}Quku@bqD&xg&evq2tbu7DI`Z!rrb4dkYL;v`COu z04@Tl&HtFpcn73Ul7-o)fL4LGNU-{|E<()%)29ggK?7O^+#;wMw8FSO?LirdteHVl z1zN%C*H1Cr!I=dQlltS040mWoCTmU(sX$x8ou_LP z80@f2ge<&*5RfXsmOLivziM`2yu&kjWX%&>mOT#uyd{Fw$Ce1ZBQgqE^K)ng;1b9g zw5n;IgRnarUhZwXn^7d=LthtF^kqYYf*YDPOf(~c~>jsLEK;8nQRpkphFVHYyi8JTjjYH-pK zeCEBixHh0$E5g-|qT(AQAB`w`>*>D>5m1nr)zTJnkiB zs_5b(cfwt}e;}TX31zy9XV^B3AL6=yJg&*%Otp9h#VyQq!)R@5s1YNN`!P1S{{Z*4 zMdn|RIaP4qxZJTHN9e~8*aK~G ztrX2!YlHjy<}zk)X{Il--5Gig^gd97Ynwx#h++(NCg-&WHX@{6%Jid)Yk4WaUXj5F zu|fC^SKmx}TlO1|EMr}*%3x%N7xM%92I+0_y-&1dU9HKCB5Qv%sBe|S8}SVfeN6ihnRud3k; zv6Df4CpjEnpr-p{!NA^`U=<0hWUEJ=j6J+&0i=QPiBNWj0Y<|X#?)J!l(&`gRk2p_;!5e!$cBe7cCWcYM>%%mO6B4ia?VJbk-~ev2bTMIuk% z3+duMBCQ~c?R7R-sP0l_Y%5zF!Csp z?ai#FR5VB5NL9}IK3m1Q+MiiRsluSVne=j4<%t!ntAm*uN)-j=&AKWV;%(x+9rmG2 zEu}D}5OCj67GglWKbyeXI-IGa6s8oo59qpYXbUmmy_^3jeqO~smf1w9HbH$uUga#W z2XT^nPy2XgGo@ldeY5-Ja=tc!tI&ze7D}}Z%A2bYhd;fYah}Z7Q>rW|Zx5%+s~2f0 zvyHIZIq1F_C(?hZQygQSP-Z)&+DC%&W|Rnjr!_F9!_stj){>_tIf6|f6 zZpgbLC~ptpqr1fWUz+E=8%Vko;l-i7(3k7I_YfZOx@th(d&M&pE-$kW;;6)YobSHf z(mfjTng-W<@25+6WgLl=%mF0AkMD8!&UN2zqAzUVZwjdUAYH;wS|U+?28Y$Au#+Po zZ#U7|;cg;N-G}K?p-5DaIYOy;Bq(oABc%JlL}sr=<|wko&_3Y46JC_dZJdR&th$fU zrD9&xOBbFFQ>r=~ls8g!WOX8q&^L<s?f|HsyQ$47Bp{lhbRcO)T-R*O(U0)!AC5WRya zq9ckBy$VF{O*B!ZnVs#k3wCT{u#JTz+qgZC9e3 zM6~8 z`-QtjJHtLkwzhAFya&td9cPaFj^@(Y?y^vRG!KPFwYG1kP(z-*x6N_i(Jpkhhb+`R zimNJuqg&gzQ>Z>IQ7B>H(Qb6MCkj=B3!?cH9MjsqWf^JUUk+#K^@w&ywI!|XTZ&Jj z81wkHk00E3w1CbQrIeyruV^0%j+gd*dcQDE+fi9pHt;+6Jf?8(XkQ9WkfWN@$XT2| z$Qf>b*CsivxiFDUt0-DbK`hl^>$GvOUeVuGdf(Uhp2KjTXg>;0`bPUx5c}tswxGbix+2U$>gZeE*by#{4xr%VAJGa6lS`+bN@6MXiw>mV zl-9Jel)Brr-qxQRQvc{63QlcJDod%mr1humnc)G^!4#aO7@+m)Waf8ZbO;5f zyIYeAet`i>KjuaJ;X%=%6vS3~&TE{({M?yh&LbAGR38{Gxg8uGM!_;k>Y4sP=#QCZ zAlRh-Yz#Y&A<^L!oGD4QQRKL%P?CCTGV?n$I)Z|;WTy8Frfbd&kXagFV34kdXE49R zq9Z9dTc&y)6z~faqoTpHp+9dH-Q3% zfq|Uez4^@W$mkdfVj;qh8Sc#tzcyDgJStj3L3tS-m~|NLonZJUQ<&k=(XkYq_wNk% zmJFM``8_-)I*x+#|D9oAfZ;!7GruL#@f2L}@B9J-{9fO~?2e62py0xPXBQY?_rrtC z?zm_v1sDB0yTAauzaGZyj*m{H;9{HIdSrR)yRd%yGP}A_F8Q4hokYPUQo;3D9arCj z72G$$ugP;5;nL`23NDrW*7pQTZ>iwEl3(4N#BOO~bP8JaGMOT;$H=u_9u?&W4pQVn zkqFA_2Lm!fiB6@nQ{4#4!jq!YC@A+YfHc6c!W0dmAJcd5WERup=yVFMXiXhAgMPO8 zJ-wUdG$lHNg7%0wK^>b#KS|wQjftx{R$ps4q)Q$;@QFQ^yq8~u9itZEPcNND^u$$ImbD`ChvEBrGRHd z=MeUGu+yopsU}fkiw!GY}2Agd524Qc61&E*SDsHWi?pRV(~G_;W<&n-y0I5 zvWWrHz<{VuA7ZY{qYEgwF=11P%j&DyriL*ougJ}E;knU;6x?Jh4X(KUFq_n{gh~Ce z8bhRTMRXAbH`|0Ddt859n$$2!$SKYZh37>VLup&2RL((m=e*K3fC5S$Sd_4+b8P_W zphTC@*(K7V=0}%OQ0_4YVUjo&)Ci{Tk6A3J1<_>`+}4^n7Sjk@Oef}Im4A3)bU6j( zR&t}>CXS^vLK3IzJ6TMNqF6W=++oWpK_27;98hOwBuvVoZY7;vDNSl|w333jvya`+ zIjlfAH^yP<2Jj8z*q}z))ZNZU=()p7qpK;nTm3t-Pz|Mc zWF~493<@U>{d_DN)UqgsDtpwXJ%D0bvSGS3s8J{D_F-<52MOWjQ4Ce8)l1NDLm8gg zyusK%>ZCpp!sT=8!YiT}s_d2BO9Qe<4V5y{01TwWZX3$Rv@*Jmg8QVCYrqh%VQr>s zvaDkm4(pM}cZMsY>nXTj`ml!eK-t8pB#^2P$0=-U*|)5UVzhHWc2NymfU#XBlkk}l zIQDWkle#*J@yrTdtx=Q8IjNT*_mCwU`}!ybM@Q9fmI0cKC)HtiEQXP*nc)pl43CbfFJnHV zk)Je&;c@tm;bo3DMt4x~xcXQ4+D0tSZp?6$Gah58^&CWPiteOfZEG`PBQ9Y(dY0$= z!<(bKD5OX$PnZ##aEWb4zevvq!&{=eDWoc`?FbB)NHh9oKJ&XZx`#q8S8F?hF#-c- zbi1584R4E9Q%JP7BPh7Up2N{iFEhM7x|gsqi9;&KkX&2~8c(*N{fj`u`sD1^Nx96%&YNph^ilHNKjHC!D%L?PI~52lph7^ZY>Ju|#FdYD3O zTN@G&q`(a<>C-&scVF}fh1#{YqXfS&B&_^pe)mU@QmB1vLrU-qLoybyiVsAOQK&;} zLrU-qL;Bq$=J#OqIE9dpXk|z?zp$ft8S`5ct)&pQny^1=oX!vX^6_j()jSyZ*z6EtNv)(#jf_p!5n}bmbp!TiM&SJeoRUxhN!$`!gER`!%li zFstKbB=paT%;`}}P^hCcp+>BKYTPQb!Q-VX`bQ>nddzZDD8pt0F0FAduWm*iI{477 z`ONBZOQTRHw=FMhn`k`BNpGM)TyGv`R%@*!3T5IXa5TCm^e0V89NGf|;`*OytlEfT zB~vI%nqgCCV07okJ08XiGt@&GbQ@8v6bfZaGi-tdG-0JLb1cnJ=i@*lE~^cNa-_L8 zVOdDiVCk-a!RDR=h9kmCrBG*Ss7*tGFVJPe_NYhNSRM-Tq6f%3p?>JL)K-7< z%|hh*BdJz8h4N)b@8}<%s#NO#V_v%wX=`~Y)V;O-S-YiD|8E>-R@+$}DO8ZKW7`0r zTwp-|SJ^X1+FKbE!l8fC@7n5za)AN$H?EM%?O=7HP+@EJOID@+KOW4wO|vp7)U&nv zS+}SJ>i>$jh($bB7KM8KNc+sN)czl)vu@L^Yzp=Mk@h9Kto^?oVRpS%4uy(ZYd^s* zwEqXbPA1aP>P(?Nwwp?5-)0xe|AKdsMl!5i3iY+!R6_SQyU_ht$E0RES$PyHmaaRY zdz)SM-A{LC)n-~`vmge|ZXXn`L#SP=8i0hm?6h$&@ozlWYY) zx0m&sZFQs20IA>eSnzi~lMh7mPm&3r*ZDBvNRE|Hp@DLh#Q7{>WXb6WU|^L*eOG3< zv(=qKgX~ojHVT_EFtAGEp~=i}u2n!GY>VObE{RIOFfefN%mev#+<5b>9uyiPS9B!m z0K=0I6=AUZXcuOjosLkKf0hf9s?5OYSlT0JQwFU&K}quHIu%3tVLUX>Oa z!Rqa1^`elx7tc691_)#1{M=MqAl&gr@~z$!8ez*rV#xWqsZt(IymTVc-72EcNW~Ti z8t(ZLjsbxId3=MBQhKDo>O-MXsdClGd5OWU3K)>b6Ff)fj`XnlQfRa-54#R<%lC*u zAa|!C!j2SL#S|K2%fqgN)r(3XkA@M;V$;U}G+`<+X>P-v<>yZ!_cRZkq|FmjG9kuQ18b7YV;5-83&R(@xD&sThnYer#Xo33h6o7Z(*(2kN z1WNP2_&oPWsWqKK$hF*s9LZY{>RXG_!EXZRu`R6ENmdz!a6{e`;N|TcJh|!MH-VxX z@))Ja6l)e?x7N=l0gfrWxBJ*U+vI$Ffb~1gnnT!^#pAm-u~z%d4N|`gPd>o|ylYk5 zbf;V86k4f1iV@SB7;3x;^}&`I1rg+{yl6Bs!0t)fLdmzJBV;v*dYP>(6HC=8kqR_e@sS(Y0In?;b zRyOIm)?x~+|FIgu@p4;kO}sWHGS6B{p^dH8$Q&n7KIFwBkpJ5^`LuGUJFTuUWBxQz9@(ppEMJwH;S>OAbWl;7zsD&1oq2d<>a7Zvi6-z8ZwQR6v$j&G#-2)j2WgCV zsys{$qz6a&T!B==dTSen4#`g8U9_fmmvUpPQc%sBi&Zbr#p3+P25UQo4y!tE)_zam z(PV3@vXyyhEcYB6tsN9PqMkqq^bXd6zhnEDDj4T4N3-E=vUXDFD2|qZUw8*8igyl3 zA5(Smd3`dLlUA}0Hd`2w+xrm`;$juBLkAlY0@edO{}tJ4 zVNjmfl8}%v_}(A|{H5JnZnLT>Y!Chu5(e8F67B7-5@vh5wU@%`j|9y9P1N!Z_6pZ9gGlRyS7z37mY?ScWV(d=aBF>yh}W?$Eu-l5}%v#gNP;9Qo>DqahE$%Z5^U;vb5mK zu$#*+*7jD}ojkpYoy=bAFojd3o|qm$wSzU%P^PAd;|c01CF_2G_zY{)l#^gIHpoK?MJLY!#gD_&EMlr%ZkX6n2W-mAMpZicY>Gy^0Ic1 z#sr1af5Z#4iz>j&yL_xxbM<;-n0 z^P)#qGPkv{BnoGwst=)mxwak8Ztgd$LB%sQ+&8cH|mbHrEre=C717mr&wwi7zjka?F@dSTC5#~JGIvMl?Crfx_}MJh+0p znVr!#&k0}frySUGG$ocs;V#nGULP%SxDBHsM6|!ePJd6dP0T}KdwhQ#!O!(s(wyb~ zyGNG5bwyKS>4cqSEZXaE>DQM^Yu;x!iJzpP{YKlyycEt)NcN~OZm5!H+!ujV_nq6A z=XSA<6z=YpqP+npdLxwwaKM0M|55-QN886TC|ux@qP>9(%niO+8Z8Vc)9uRvt#_f_F;b0Vwn^!l#;yxncT>h;UFr3XrDR)gN}M)SrqOmJ=P7h z@EcuZwh0&zZIqXTN7G~36z-Mi#qKTyPQJ}@LbAG5!Fu+_awyzeiuMMA1t4*tfQlg6 zFL|yp+A-Fd!bOU->l-ks8^hVI<=()%d3Gq85zD18PPSm#-oW_kMk#lf2W-*m{^~kb zbf;Jzh5OnpVLsqSIjcFb@lW>+!$2;Y8S6sfVw)#)g*O(+sS@mU15dx+%Z8m5>q_B% zau4DSDDj3J?;l8T^oKI$J3H2m!u@TA5;!nz)WWvXgIUgr&E)eV8 zTFXOTr0~BSDMq`;`cQbp|5qNh33>eFILo6T)|bK~|6LxqGysCXut2u<9Ue#u1Q*mc7914Wj*G!znzG4b}WfH9xHXK#FNcs?Fcc=N8dF zHiE*FxJ9%er2t7P#y^2s9uONz;mNEQ2Ln!kF`$<_tMb9~z}P5YIOl%1d_mWj)`8nW zvC$NsA{~9A0yugukCuDee(CfE$8frLcq%J4fda1wR-|Ij<;!C$5&$JuLQ4JM#L+f* z3EwazHkQJCq6SLu!*hT=Vjyuo+qZmXcXViM9ED}Jz`V0m;NdPHAU6bk)`8_PEH<9P zGZL-(p>&|gi<|<^$!C{1ArKuNn?T_*DXlxFNgS3%s+5)Pr&s0PkQIV`5V&JV%P_&Kda1OlcR1txun<=XOvMn?~Vs zXG$iczkwVP9L`!3{C_GQO5gATDJ>gCsvani*7F{F30LCVN^B0*PgNATWgPEd7n5S; z6kaHWh3#wRUAwrS3?#NRz0!q4gUPYE6ka5Sb?UelS< zJ!*Vtg)r~tdDVb(ErxFKpe8ysHjlzcop58kTaic*Mh7XbuWO}am=>E);ia-MCNS!N zVLQqH?Zi%UdTaq>B<3nwKJoc*%vD5Z#1>L`nG}~@oFfDN0>t(8LJt1QVmO^Ayj+UQ zM&T}jQ6R1-XW0w#6VxfO#Z*7n5!c;p7c*l^C@fd1n0KQw-|dlBk=UzrVro8wF)Ox| z!YdUiuhYrGJe`b?At1Nr)bq_I(b=(O6s~l}*yp`K$wbf)@FX<td}kFB8aYALM*3R?#@@W8rmOk=+=H@1>7q_iLj=M#TkjAJmP z6|qVR%QY*YfWN?5eJ&1Z#rZ6s=)Bk}NNbIhmW?8H4-`lX2PN6t3=*Z4*lMa@h83{3 zd7aKokIs)(QFyKFEzHx|_(W$mi^MLZ=39K=e{?}?4Taaq-oiXnAn22fH%a5Ni*wSSi$h%R^AuKe zSqujhg|TIY9ledix(5ox_2+i>dXWS`iEXC(b&BG#rFEundUSbg3x&7HSkgShYz|y)Ww9Jq#dDXn`Z**K6zhLqM@72IR2itV8A4ryEt21Ei3Nb3*d*)gn+?PLroEl9%o zzzgnD$f7E?i^4nQi~uO$FJKua7l*VyTf^;OO$SHpP3_3-jLf zvM0%8+xohQ#jrkxMpD5JaXF)w8@ACAu+& zr6xF@k!RAhB5t+7S z{jq{Q#kLqS9yL-_XH{XI#rO{fA$LyPyN<=MJ%*IWp@dyMJOC&j*;iyIg!M;W&luei zL)zo86xLaf#9>inOJRL01L~bIq&=`RhXbwzO1{K^u)b=?9${A;X^$f<0fh5`-yP(s zlj!a^G9E`|Gqj6y0FiA65dI|`K4OJBWZ`o$Qi`A8d#c7j#Z_;)k;y z*hF<4*$%#O0rbH+pUsdqk!=g>f*e=ujU(M5SLT>!j{@bW>_j@VU0swGu`ixP5ycVK zOrRW>Jw@k)u%6M_U+j-3Q$)4nhqLHffdo->mcn{nEQul&4-^RN z4?7a^gZ*tK-j2?-bvnee$6*zRNc2@FUi&yF6x?a2L-kz8UA8d#c??mUYW6XA0_wSgJZn@%_6lrHmYZqA9 zBaI@@mewac*sw%Aiz4mq@B!-*&3eAnDe`1#+=x6ej zcvm`yBLoxS!#P*Fl^X9xk&brwfaRCwxg<7=uC}A|CU23t9`tHBAHTJ4ZS1|%OYP|m!CH+ zS{>p&D3T?mr<|2Bq(&B}T;Y1Jli4W*{9>NF96YoipY$+|fybK>u9@6^5 z7PJE^J>Cn_%CW--8;5ld6iDmdoJ9Cw1C)4gI@hNqd}!#EZh7NH6zOb-4-G}&p)Z?7 zzAdfhTSw|J+ORst`%olTHpa%`!fYJQ{YZg~AAY)r1(6Z&OA#k2`3S;?#*wnKC~&x` zTg}qw6fdTT%t`{mMuId7q`Y3)i~hpOjQ68RS1B(C1KlPtAg^=X;TWu}cz?!l;s@so zPaZ0TFtXzVDALW2AMElRKcG0orJFh25^~}=aw&qFOgY@Laaj4lfxI5 z=Z3Zf5RD_zLORC>Q$*foYBr8W4JB+B1&IJ6JO}>5%8d`9NP!er(*j{OVSW{6A-7Ka zvXJGF7avNI9x{MvS`LKeva={m2=wGkM*yQGfN(zWtC~6pB0oNoBE4+KWtZmw0>vS#F9yk0P!OL^ zks|4NnpWW}Rm`ii!{gWOnAaZh8N!X-%HM7QyjGYG$mDvU@b0=w9&wCI^qUW~1*n}8 zFQaqg6$Bngy*!YH$D_t)l7e@L+xFsrbe#|6xZ<-^74O(~k-B&Q!;A;AmH2ErH<7o> zS-s**C{pZJ?``4E$?xGJKpQ&R;F}le7yqzr8@w4hY#DahQ&AF zy+i39ynlnkOlx?2Bi=id;{3a+&&Nxdj)-q^D&l*Z0r)75DIFQ#?7Ro1hw;9db~CA? z;#*n(Zsiz%VFHsnI=;2#JuYNW8&MK_n;IPkpdtac}aXb01m10HmApzF|A|c zI{D0y&URsfpG#qar^XLB01%9mt}*?D(|szeY4L+C05>132XUn_z0>10 zEdZPi#u~ZvAk#Y|e#ilUUOB6FUvH+jEPfaOhh9G`{XeD4m>E9;fI}}PBhbSfm-Nnx zA9d>Dg#83SzOI&#+3{noAhpa%Fo`*t6F=?%zzGtYSOUF_IVq3VwgAvQ@UcK27BMGt zPbe(__(DF}CNPLOsW_oJ0C0lT50Y3@nUi@ZTmU$nAQ1x3n8A{te?kD@aDuD=yM%m6 z@PZR=r!sgujFKU*woLHC6Pg2Hf-?ay_A*w#flxgG0KuJ6 z;ky(><%a{x?lApnn0z(G*f$`c5`i`CbU+~QD`@3#f$p<_6Z%&I(rusZ=t!F{9> z^jKS_dDRI7+zAlc<0=Vi*v3?^K7lB^7)yarV?J(nl%VrdnC_|*h^~uW5`>G9>=W??rljv3Pxjl1dFJ?s{)&D#lJOwfVc9Ho8ku`nTZAEx9XGwEal?nLuec(N!(#mNWX6pC+pE6TBd%sp8*j78`* z(zB_JTYc6mz8N6C84Q^2AA7QHIDj6nH-}P-)H{aYI6^G-v8ogtfB4D>+*%1}owu}O zXKJhRId~S9?^$~wZ1ebwi*Tbez$xC0Txyr0T3EprQ}GCfUH~)+Q0Tu?9<^8h6LZqB zm3US$^x_sQJ_S^9do#NbMpQoRvvR)dSDi1zD6+az8mtG)P3%uAiXZ2r+Fu4w+1<$F zR`2)z@M*v5eA?s9$*1%pHGuV(&ZqsV^J#F^xjT8)dlj7ShuP!!IB54|UE^pD%1hcd|n_xa!fD za@8lXma~QIWQS}htFV}G)Z@K48>fZtWQT5W)w3UUQJ=+%ix#qz9kRhyum054t-jRT z+13rhx;mQnczX|^Zbj6;?s%n^zXCZ@8w?0^^YQS*nRiuWo+M)r5(y)}Fs9GNaD3}^Jf_kg3 zVug}jH8WM>)ScoTG?I!k)c-mFuv2x3Q#F_xJc|0LUv6tvb%|3om>M#guz%s*Dq%Fi zP@3AK>hVt1U~1?XDpub>vuR4hlfh7@YG`U$3E@n|OW35>l!+&s!A{*C@9?qIzX+$n z(hPPNZ7#lN@hN!QgQTqZ6O(<{ORrd<|vVCY8|`SmDCf zV25&#ck)asDN>C=t)UL#;A_e(8jHIM_B&u^tjr-j#XEI2jmuE~w!#53w1sqVHf;`# zSKo&JZXvwPAsmcNFQ*Bq>N8zhgB`-b*NnMTs$QMd8tTvuw#q7KqFcRyy{|vi?GWzq z&YVY+id5Z))!^?O#q!7wpsdQ=WIUm-wwu?i`7~MmGuEBHn}J9Acg&o5flvj66z}W> zG$liQ2)jt$g(rR&ou0qyl@S211sI&oSx8gW1~?U5duzOlDG-0vi;IkUJ0x*?%NNnK zRP_b^^jb)rBqg= zp2F&;7VR+%6 z=Q1{0Twa0FTVJ1dvaW(<=k_jINpr9qR8MLJ-|4^+XDqIyIUt_HOMmq*c5;-4z;Vec z!s!sdh0D4I=W#uqfxpCg7!v^Yc$coG@*?%F3@)?JE`um?SryGyAH{)u*P(>#HO$67 zr%Z}>`5Ky=q3YqT2r2ys>_Q-Z#ah)>p}sN|i?OEQnT|pSoI;6{-EJ^&s+&BTLq^ZYfB?LS$!7*NEh>vqsm_1;22uxQJyX6TF4Pu48~ z6zX2Tla{5bCpKcCmWn5h!Oh=cEU^@DsC&aMT8^VACj-_MPd|o#P;|0x8NlFc<8E5v zR=-FAXe^!?41N^nWGn|b!@Fq@tt?XGBLG=~2Q#n!nlB);6@Y@R&A2Y5QhhlYGHKC5 z?fbSFStbWr<)4t5@*bs2Eu-2iu#P}@ogyAIQOM6(?M zcC=92N(;M>(1z7&9AH}utl`=gHKcm?9HosJsxb#}M+>!%7NFwlW3);Aign~Dq1I6X zRJ`{%ZN>m-8NiMfY8@>=!~1G!iyFq7!_CbG_jnKLDYU&vZA9YnPRuCWi6KBB<@E5Ab(K&t8rosqL%Y=9j>9UKgILCr1jYjG zoZ>0oBYL_^?9Nc{oef}nJg~1oyKlGLUAGeDk`8W@>Pto(J5$BzO3XpahDeKc{ zGMI$nmvAN^?er2n%M`sUHKwv1>x$luF#G&d%=(_j9QbLRG#KzW#KE2DF1?TrsxJu4 zv18@VJs1@SGFqtf8oFEWLxo1*Ohd*NWB+dxEE9U_rWCv zGMyR{Y32JM^7{rWda)`R(-L{*`=IIj3KhMd>QWj#iNvz=vOk!D1=xw@`!Ef5UspvR zK#g9>C?tI!Bz0evs$-G>HL^oK;Jj4yLDYzV6T~O!g9)?I;VGDY&BId++Oi$mKzy=3 z#3ha*)chX0KRW~u0_Z@tBYbBv8CG?+T+xS8V^)IrvlV!?MAe6>s)Co$5`=$tA)dvG zKAakJ&>wj96nz98D?*AK?>FJu%#}N`gVE}3^pP&tarN>HOc%QG6tLNLb_kUHgLyyX zbbpqjkD|uB#5rT;{W*BoP1Q%scVIMCA49cn^(jni-`^Wg5!b_CV)F(i?e%ifT}A2@ zAT$idgY0@B-}w-e(m|gq+`2%I3qx%HK@GJ`Oui!vn;4w9X`-LfE2t5DhdlJmY{0}u z!+uqtC*R3K&&&oi!iM86eLmFFU12$5f}r6Do@0u>fEo)q`q9($g`~UH&!Nvop5=ie z1Nn}8*m^zsqNF5U!%=bF0EWz)kYWuKICR^M1Dn###Q9fup0-CAutN|EIiQ29mUVb_kFH_{p z=36{5+P*#B^5>AkW7Ov6LL?$PbiiBpW2hltzHJ-{($dn%<&spCDsuf{JdN|F9uEmg zL>=DRL|nuCVoG{C-b-<~6uE@?t8(N_y_~2#j}#@zuHwQ7 z5SNjG_y4^O$jC1;aksjHxK5pLznuHFfh;(F`$i8Cmzha!ms__|Z(-V%-#$~t#ARin zhL0ED>RgAHsF}sT2*hP)<9&iSd2w~~_rs8Z&dDLoCd931HX+y3k#ru7R`=4zQt)|<_PM9OIuI@WGBkE0SNyH-Qn(96|>{h9g z){=#~LZH>MKUYq|Vv$JVrl%E@msZD*Uul%O==|QnYY)P+klk0m- zA?wS0b8l)F`iebbPkKGxDV}tFxv%18s`fh}YD7(X5x<<0uCMUTyQvvdgr0?8x(l}S zJ?2#PmA?5mwRhJFy&Hb%r7pb2f(E_Px8SDs6I?{r8^81lt|OGLuktOt>DKA7-{PB^?w=|2TKqiq-``~7*7%lyxG+vGK^{)=6yYtX zTkBhTQ+o{qJLD5pk1O^2n@rp~-!f9Q2JHDkT21pPm{rfv*ZY=}OZyo%h#=w6#=}#a z`Uc+$7@GDp#_DZ_(H<{B)<)k-5*jYqHjtG_>r&_b{w7Ooldlr5{~L)CWNAF<39>f( zR*`UNpJ972GC%3r3A(oURs;D>O&AmKbHwJ+xB9B^{%@!O1u;^}2)VVLNeU2B}xb>aB z^^~N2jQDRge((w1lqc%6i);UDAak?Jw}Fy11JNtO+jYEp0ELq-u6>jjEbF^{8!1II zYK3nTe%uNHO$Kxz3uupT6SdL)fruLWHE?}j3PV#auKj0MR!OyQGo@Y(ACQWI0%SP%x55^ec`61Iwi zz8#dN$vaBHkKGa<*3fSC8sARxu#`>oPu-f2Qha;q91;yEaMZVtG8C4mIZv1iATr=%)j)6#i|m*WN9J}) zWmTG3+^`lh0~lv5+&P5f8B~Y9ezoE|KsZ5{3mo?yq)Znp*W4(~&DjzkCsp1#ninDH zwZ0n4k}^h|xg9d*R!I%?cNA`HoU&MaF<)ifE;fmkeV~5sru0js+ox z$81YQ+N&-Rtrk(K5H5IS4_dL2ZnmR7+U;FM6rZf+R?M(RdLjrhyk>jqt9?8~Semd> z*yI^@N@XTGnjNTE3Jtd2#}?XOxx+Uy%rxpJ{gKs4Se?LvJi+pzh0H@I(?k7T+Nlo0 z>MN|l;Gr+7e08@}M5dWe1GGOW!Wt&5NuD~u01We~mPWRTaoHeE4{j9JT45dJlbpEd z-+FS`wp&=+g>^tNGw9qgX$CoFCmL*94G?YmzTAnh!RTye(hyc^tQ&sJvAoInqt&dq zTr-P?+Qm|oV!PPuN;o5&p6|p%Ywm#jZxN)`XZV_87Vrvu==lnLfTqk+B z7F#A_Rf?I5Su~*(!N9tjc{D;hqltK~h!0O!@*$;eW)~Xi*1ky>n0JYn%3j6DH@ng( z?c;GGK25|o;vIGr8a>RORHA*oOPuH{PQaE*A;vPPGNCvBNza>T`AL8 zY!0AFE{#`(l`2ILC4=;U!cnNv&m2gTwa{R3YKS<+YuAbtdxyDoj9o~7a}Z6@Ual0U zc8ODaxZxFHA3OZ%9Y?w84K|0-4DDrAoLVAIE#szFWZK1F!%pZ1V~9DN$}}tn#~}UG zc+7%yfM@qK{GXMUpX~foSMhpnyZI<4KHHGhMS{kwp+t0uG^2`$Ban_cIO=C zYos}b%3azo_lr{p#i?V=oc-h7#N9&NodYv=cndD&);QW6OBEUp zi8y^!oIdXG2JhMYuS47-OU&^!UyEmpdpe7Iy84 z+$foLY-oOO9J4mgETx6or`yEc$Hi%R6^BDz^LFNKyg88;DH>mDe!8keaiF$IG#eDdu!qq1`~m zW5t>A4sSTF9K9TPn`+LWm0EN2I&o&3I8)674Trg#$GA~VGs~#btv#rTGd1E&Z94NN zKmPL?*4%V+CQ^Ocl}X|rP2AHC$U6q#hEGMjNH6kbkc@@kD#%@m}}0b z4VuvwqY$=8yG5K`ln>S_%muVj!}`b5djy}BZCfOkKmIP2wKmUONSoXmUx|Is7;(>7 zX_C*^WuZr2&sv*rE~3q{gR4&$_35z5AuNnxXT&2tC z@=ZQ|$yj18rybhqHllu)s7E9AFciV%wHjt=skwr7D%uyN;#`_Imkv7w6v5@$8fIvj zxsrCdw10LN=d#4P954hZg3F&4NJT9-D`~g(G|CPX=LSPj=?L-=T{hp`%tpAvTt$1d z$Cik5qs6%rj`C0%;pP2{*alactEpQ1YNI%Z@{>6L0Tkiog*7-a!l*Q>Xs`CqN^x$6 zIEO8Vk|%_hf9j8+sIkgiL;JLcz2e*+ac&=b4wOZ7sRxF$0j@UJ(td7Tia2*foI7T7 z)gJKZMz+8za~&OUX|H%iLyBlfwb|-$aqU|Jz*dH_##~PawOWA%&<*JbtC*`aaP>WJ zs5jP{8>mKmM->gZq9HHAmFMExm&UQO)|ngWkoIUF(a;0G1Xt;hSS71!y}5}Fv(IW6 zgkM5a-ivErt!1t@n49T{v=3&;wvSk5DHz&lZlR;HGjAvn4Se>Q+|U(qvywKMTUGU# zOIpB#8^l9=N`M#M0)F~$a{1_P@lcX@s0}V`CeA{k$Zqp6=}9grp~rTM$Mz+P;P9}! z+Dg^#F^?c`!XkQXqj+p9bn8`Y2lx3t)@`+UR0uruU!?>e=WVv{xQuVv=CXtlII_pQ)y8J@EfnfLf-K3|o zRvzgn9?4~U;cDU0-pb%caKMkKMsKHR|1ncMc33>#lLdV8JU6jlCEJny;|1dJ9*Q3; zc*;4_H){OZDAK{LMe@YMY2x9IIK07Yd%4F(*+m}q2;61t&!L72S>%u((TSes)*jd| z&S#7BLvYP6gepB_WbDfxL^0=ki1UMR>j6YGU&btl{duH&5<_s7F08MxWv@AU#uB78Z4)#9nu95YU2j?ZF4Re?|Q_hx8fyTC*gWc|I-0e;<=4e;?BG6wNWBZ?fnBu@2>rQfp~g2 zer(!9w?oAR;FBVTP&8!1ZmQ6-C>`V6#CB3KirdK>ONjx*f<_RM&912*ya&dT;HSV)4vE@yrrFG=?j_9mn_=_%i$>NH5YJ>>!@mgde*>&|G|Rt*;Wc=gabs zCcRjDeV=%?yLfgYD~rqicSb%K%JyULzm9>;S6SlOsp8p{35H%9Qz}Q~&(0OkF2|A^ zYS_t*&ez#Lp7a6e;C6{;kBjF-0{wq^$Ad4|KY{dtvcrC^gLsY|r##A25A$_>8NNJ! zDd~fxE!F`7#ek0He~n?4cJWUneJ~s2bMx_I_9wGSAKAw$?dqSzP#I*nmB|T}hB8>C z-TaeDAHphqZiRRbt}_J)CRcru&;In~`=^jTlso+A)`{mfu}Yl^tUTtayMHR_!`#{v z6U1}7#dFngJ(E#7gIOx@Pa}P}i^sLkw-wKi0ZRAa5-zV&_9Fpk=mm@#q+bp^YVm5E_-GdbJWvcM*1l2n>6wKV)6V^ZhQ>-c5+>x)yKgZ?LOSJzOC0^($URWp@0#uKz%9nE-FANed zj8OdLgsBQ%Tv;t%m?mDRQ2lf91{aw$`1<%Op!KoZ^JBydmEr}Q*kFI4`Q~!0ru`Z(=+TX8`V7d(=pgcg3EWS6-h#04DZg1He_N~v5+fc1A5 z(p3LKOrc=ZhH>RyaRC){Q2dK9@#w06dn)!XCLII0NRhaZCoT-+j*nTroP(Ig*U!HM zbWYIz-c4K>B`(ZiuglPj%TweHTNkE^3uTHQ^C8LZdf@l>FC)EFyVzG;SST*Y`{fz^ z#0t1*-vIw|(kE))W{C^C#D%>rJb$;68~#8)4%62sX&>zn7Y>UT(_5q(t+EG^FS^Bx z?G^t@YQz>p6d2^MM1jfLYdPY@T=C)vr$FyIP;nuzyAzmsGFD*eV%+M!}+nwJ_Q^iXQ6#pjGg_%aK zzgoOBUA#13@o(mdMsFQvgZ7G-W{H>PD*i2Unh|On;YTQ=muX+M5ihM3FCBIa>CFiq z*u`$~5{lF){%x3TlxiO7-wynl+8^4ApSi`)ybk_dZR{K0eunqZ-VSQS&>HoR^6w;l z7B|{o?8A@Ir*NbFWjB`4X#XzKXKODD@v16bbu+_L5;mhprZd-L{JTk?qi9d<7O$p? zSKGszI2hklaPuqi?;*WBRnr3t#j72~tC{E~9E=C~N-4K*tiPJ{xtgzByqY6kEkYZd z0?#7b|8JLa4>-=hm-Gthbzodv8gl(0iF?5D{(Yp+WBOlRfFC!)X-xlVB#Ih*6a4#0 zpU;lv)g|IpY@JF`rrD+S2%lZ)EA<~BeE}DLqZ5A2;B+p2riz)H=s!sMLWTK%qd>gD zLD2LB``_jG0GUmB&aw*s=^VgET(xttzO1wWqyuTalae3rtFP2H~IWwTZ+A6gNHi`EpiT5@` zb@?0=BP07iW%3TT_ZEovRwx0Lltwm-i+NiD6NNziQO=b4<^@tn zU$4bFig#CvcUN(ali^52=%GU_mHB}-q;JqZX(Qe$7H{?EU6NoLar#qjS?LP`sibdo zYafmkZw(c14fjZyAmDzOkKOex474SEQ>yksck$i<@!nw%%j9{EoWCxVGFcpGPx=<^ z%S!QHig>T1!(c}odBMjH`IZDakiJ#>-7fL&G4UR5__P_!zI%-_;L8tL1#8<5UU z@!oC@6!Ptm_{E{j-?D&*^zGV-apHqP;)9_!fA!oVKJCbEaCsn|^c~V#m^0WKK%Z|Y z1#2q;Uc$vAY%Xsn;Rkig>!kk5mxlOO20D_yONOZ*j29o2B24XWAE&A7Tct5q1~NpF zzMEzLL8bU`pr;PyZQ0*DP=@OtY!M&qR|1`&?lC+%sTLm`6d$ImflT+>nulV4w z_^`ba$fCxv(h=?xA9fTU_HYHV;TwSjN4Vd9r37+NZajuUNtgluFjIV(k2M9Ozf^kdaOC ztqx#ZP%VWrQoN1Bx?M^Ex1m=SbK|QDU|6u1UC4(e;=_sDe-+qLxsl20SQE%slk|No zlMknhkGiow3T&Bt)YhJZ|8TMRC|wD3SGC4zl9g(acvYaf8>dUM_KFXeiH|xcfgV_% zGJ}VQkV>uis2!%}d2vb^OLM>YNE4_vfV*WIXG&=v5FaIrk5ZLDFKV2{m&5qh1`zdQ ztj|hbf*+LJgW35xUrpg#7eL&9Kq~p|)#7cQap_^3$k+2_`&=JD*nd!#-Xq@L1M{6B z$0GHU*b{FEAm*>p{!0_@_80Gt;m!UtU|#>dokg`VfN=ki^`>9OGQM8HJnaZfBtt<`rdIswkodI*H^m#6j3Lr!cL%1CA=thCdc64cL}V`t z6_CcQA|iR(6PQj0r;BfK=u&8t9h2j$>c9*#G$#Akd-3Dmr6-g908c~s_TnCH)kxA_ z*)J|15SNcJS3RM6_}5of*%8&{gW__H5|~Mi%VhVw54UcsMzVHxnfOGAPg0#C7-s$> z*)H;lTYRD^f!Wl!LKdkBEK*%YTkXl-;*(9{lY>qXOrkxx$u_W0wun!v6jzZnas=Kz%nx0YhR>_ z%NxXHIRargroSw~DmofiK}H&q_(|eZMSSXF9Wesju5PwtEgi#s(yHN6w6o>n(;4E^ z`C0iA1CICHXgdV@bhh|(juOC9ly%Znj|Xu2kC8q>dt#0FbgB4sEe>**W#Ei;YlIzy zeY#S7TB!t9Q{x5>!b}(LbXJW{TGMFp*?95U687Ir2^{Rllk;Wx{8_p9Y_1a6M2(wd z5!~`jMyB@oEb-}h@#!R7J19%QsP;DHoe=5IfPtCG zf$d~;c4_x@5}ziEPusx2_>0-t$2y%GjhPbIK}N3TD-oBvh)enC_T> zon+)`U#E!6y~X8Xo+RQLI%D#&Cz_6#8rVff7w!FWae1(~JiJpvJ-IlaWe~iK**377 zjIP@Mc*Nxrad`qSaN+WK7pwl74fUAq0(&5oZrW?>#id^2QeW=s8KDaju|>8VFBOSP zeUv~oHSU%|X&=~&bqD!c^Y@*_r9t9SsZ*va_O@?Hlo>8AO;7^+;FqgqfLbjsjS-i| zDY$-FZQLtuZLhdA7C$9$fExF)t(hGH2T^l(1U(bPrD@{QBFEag;k24g_BOXmGsUHa zN}vWc<6>RumoLo~m*y+DlUZ#%$PN${&%;j%9Hx_vHAw!r&9uM~m&+*7n!jr!F0Bxk zw!u9~N#|pk%}$irEiSDUm)0qPqbPHjb84V?gSfO&4IHD!!+go9>A|hb!oV26`TKlv zX}7rSPUy2c7~f{+)GpPC%UBgv3-XR7l4_R@i%Z88T)3<@9#3S|E*%w@jw(Tw8f&FU z({bCfFbcKD2Z+mPNSMR3wNL;%2#>Kvdby*xoU7pGWLJ}#5b5Pk;&QeUbgOEUDke*64agIMP?KzsLyxH3vy8N*C5u=y6xm6>@#4;cfse^iPqrQ*sYj@=o0 zPao!|OE8^`K|H3vGDBR!l!iwFudUxsJl7uVjK%8V5RGszgv-3I0{ui)rrw=$EvjbBQ}dIYn`7{RlEI4{Hi!XD;r zCUnC3`s66{R~XDDV9dXu|$A{sJ_aHXfzr$-$6zbBv%aVftPKnHA!BMP zD@~TgpaVsrvwJs4gB>313#CnCr7?UWEOs_HgVH|BmR?c5Rf5H&G!-Qd?z+mBJR;bS zjOnbjt24w^%qoFpTWR6B7>t-BgZ;^vA(gg7T!o?k!1M3<1`u;pZ~z%)QfceO)s5_U zKpB+wpM21@IXXCyjG4B|wu!4d*pLB-&Mr@6osJ0(B4d^!byh8|?n6@r7&`lD5v#N$ zIGBvtXsKvvR}YD+JpBPUGT8iiL!%vCq@+ zWA4fm8oMorRXRR6jEuRgu>^u!DVG}4BRH47!JH5rPDTZ*ECEkpI8^qgMl;mx8s4!I5OlbFbD7CQTk&#E*h;UZ<#nD1hpF`SPT0vsy)dE#Ih z`Bn*zrlyf#Rvz;7c^Vq<7f&k~>Kz>3QiTW3#ZVpFPUd=Aa2y%PS)iSLK1O^#mYXUNptJ{T zSgF&4wcg^I zjHKk1*bzQ~(VQKeOvXwLvqslOiEHC|@XXMUakf^2IVU)Uj7m+nmWXT9#I+fGVH!jK zyo|Xj$34lau}Zu1sJOO9TszFBDr*iOvZKmto5Zz4N^lxAO_l9=ZV=;!)!HW$#I@t% zx;)OD@t-MUDO3b!ptdSSdv2S!?h)6s+vdyK&W*G~;p-XVdM71VMok!A0e^mQ78z^Z z+QWOr^|kn6h?Dy~bh7r>7|5oZ3xc!BSeL4OF<)HYh#!(@0AqsSoyk&a3xjjWSg-wJ zjJUp4T<4WySIT;(Yi^bylZv5D@p*Z@h&$e)XwKzDJjE&riZVbbZ z4I+;n-(NPc*p>t<$k>$VuYBXgjd5%cd8XZ8>A@0~-qPSaGB$Hdx*;(jJp1Id^B!hz zS#UlXTV#i2!}A%8hIFMvDcD;cTmZ1sVL4Tw?kYR16~TpMY~^+&i=xBg6W7p?^x!&X zZe?&0M7@n05+h)FhKnmlOL`1zx?P9~wlve0jA6*sEcUh?kdcA)$AV6b*$rMR(O+}Nq$?qRiQ>2Adn zxUovy*nyuCTtQ9C5am>uRl${H>|)j2I3#WymtVP?TMHHw!hx;{A_;BmX5D<@7GLyW z4~mbVx#-3)dwBOnn)sqX39h21m7KFR{~uG=9ahzm_RqbC5kwGB#14qPH|z}?b_GEN zD@^xgk~il2y>rer`#j7a z+?hFN-d^UNIpz8+s#+;_k5$igRL`MM4>Q1c7ke=w=ICWqC9@glwyNh4lcp*~Ov_{Z z)i7^`KAWmm+re(OQUn@e;x{Rkput?doT}DHxjdh)o==Bd%8x-k)l+iVJbeyTtz~4L z@2Z~91&0+la`|ChfYlZ5CV)erGTEH+r?0=gn zGWfhuqFyLf^i{Nbi5$NQpTBi^TtaWI;vD;h%}LI9&B}5nELSgVQuH;ndzqZDT3<^Z zw|J&dy{M`eg&Z%{^Rs+Ews(!bjy$OQ*0-n^YpWMCpk50=M;;F$r zru7zm8+mH6uDLi*4v13>K-Kx^snm<$T3ZX*YK6 zY@>Z~URt`(WyFz(3%J#b%hZc2AU=hT_}s{c`1st0AWy0!$$IsY$~Z5SBzda_aPCu$ z8stf1l3a>cFJbczAWM>5$%97l#ToJBslz0>R8PH>%?yif=#c7PDu8sKFcQd9muYgT zm3m2*fukQZIa3an^3^bEk|$k;fR|>fmuA8XYsDnF!ynf3#T$v_L6J7rez}i&nLj1n zYPaOK7iLz1oqRQoB=Xc}$-g{by}TOi)QUsEt0@dvqLECV1}x@RRP{;@1J(+i2M58u z^wlzIlc%AS=__s2EA3cpx%X}hhAPQOAx|UmV|(>VXZ1?g6hOtDuW+5OFWE>XPh%GN zE4|b!^2?^&d8{=9RNF`+4{8@Q94@#rP`#3u!Vn=5#RB3>HR_TlQwqqHHR_eEpkgbB z!CqU=0^&=l+Wi$2a0QD;JpEeevv*3^U(v1e>;iSfD^=h$t6?etk zSO_eGhwZCpG$c=R@nJ_5m0?$xFl`x>%k3Ep8Ac=Wv=IMjr(RvJUPaMns_e98F&63@ zjmgte5uqOHwRrVfZAn(7U=}eF8W>H;gR1R)o78Ld)oYoI1U&ZP9uff!ji%&jEnblP#Fx7l#Pe2t7u^0W~T{NWs?R5PO`c{&SR8G2n&ufwYYaK|dU9xp$a#K7ekt;o|w zJd&eck5{jwZUw*{tL%2X{Lnmxt+~;fJYB_^B=vf2^?Hhg?O0`ZWDb7ioqt~oqYZhw ziO(jf*Xya*QK|{xj#cKO4zFrDL)X%1OP=mv++_876ZLu~LwBsQ$MN!KvKhEmMmzH4 zCJNLwU+TCel!RSH=<%Paly}nGn zzMO%JR`$mh`Q$tR*U{)oo_=m|7jxID*EcZXqLl*>Fy&UVP+B$F)ZGC>J4Nd;*?^qZ8<-g z1k2&;YV;t_AT}&FYRdr$O0hI7=h`uN-He{($z#J}ce_)$8;0fkMhsqeqZhhk0etMq z9~D&swp^n(c?Qb>Ud}}TkEt*$cJ@6G)muCq3u(BV(725&4W(<}(NB*oBeW7!@ zI}!Yi+7QN`MnCclW#xP$Q@xSR*0OlF)Xx92os~;3qd$3uv9#T2qu!9gUa^$6>Yq0; z$M!Y`kY_lH+l_we4e9HkAEx8lRED{aF_1j@ENwSNt2a>81DK__9b{+3*VhW#UeDf&CZ7g@>-+t0`&&qy~*+l?jajg6owy1Sqd##Xfbjltv@#nN_DQE%3i zU_S1M8a6O~VZ?lH(y*{7UA^bInGlV>c8)6Eg;P1NUrqK`qG z$_|z@YCbA^n8lc{i&M)Re691f8DMOZ9dFrj9iZPb<3}biM zmya);x;#ZJo3}=*x28!Mca{g|ufBZ@2nJ88}JpnatvQ z+pXTNDX}f(_wp2b#%)!-ouC*+v}cT*G1@35&lDEu+bQa86#dIrK%%P>Se=Y95V(0t zSfXz?R&T>Mkn#qJKA2@kKDV2wx0@-(WZHwY4rYusrjSRLJAB_k{T^o!5|k1a@qpyj zaYhOEI-XsL*B0%4z4AcK@kS|mrpiMh-}hC&=R)<8-BL_mAIk)tU`!>?G(YY03 zo%EDEr6kH8(qU%QbYFonjXcxECo9xD&D1;1vBOgWf`ZROt>L!%3XSRHnIUd(RPS_9 z?{s35i0R<-m)9`rCK@xyGn3QvcY3RL;8DkMH`sjN5Jp6iF_S#A#Hmf{oeAn42pPbN z!l;xz%K?F}*qBA0GNDy9R_~Omcc$_A0`x-)ZZ~8hoMe=dXSVcO@61&1l)-C7w+xV% zun>-<|9;pN?znHdv4A`a#LMyOy*}!_0W5^*hW`1aJHs}^SV$fu4qlq2-W#Ug z%TLSZZqVful|h?nEF#Y$BnW|-L*4JpMRvefZY(3uQcg?U zo3Gwm$ou~22G%dvVxrA4mXl{0AE&vuQoSdY3%ZloCC_8PDvTB6Sq{w!qTJh{{^*8& z!2l2?%K1UxTw^79R>*L?x;k4$1J$N8v!CHjige#RV-QofhWjPdamrK&>Ms&ei|JcvAo^S_^a{)?M4Q3^ zTx`fA^BJ^71|rZk;xBE{Jrk{rH-o224{*nNhHbI2o;+*g#FdrkEk~}VgPdmf7ChMx70ukx?b#GhR#K3oYI;KiQ~M;0H)kB15xM( z@h3MrdC+nRqhyV@YO}##ZXgcbDE?gsoxG0K99-ZX;Emh0*xIi!wz%D%O=8zV^lnhA ze@fy6&s1RXPnov*sjl9RpOK&2N_%*-lW$k5{wWSE!DVdY-}pr#t)*-)1I}w+0_C(X=gS+gUly0s{0nhGDyzOx}1t(x%~HzE*{>n_)O!wwp`-{fb$e zya{|}O{)SPs&LR2AfWr7UBTskmzhG|nsMUUQRs_Ds|f;e_i6rcqu*_&k~a}i=0bGU z;>PeD;?qFyZDif#SIso?*5V^`T2&G^Xs1~?S%U*X&(;Hc{y4J^d6T4y(5jL#0vo>o z@DP+x`A2T>o?n=C$(tMMs&`Vb8=fgf-3jnW@GZ!vr#Y(0}5GTVL&ZZ?W0^Z&0pJWLf#CC z0qhK!j=fiO?mnb;Q zAAgARrLs-YmCT}Af1*9prHD<90swT*_^yhB@R3|FXV~m z%?peG4tDW|u|LCXLEg+*-nZPwftT~X{`zK1@@7dxqj~CLa$Qidf^qOSR3@gS`x}_8 z$eS&3;K3~zo-C;13V;Y(_Cq{_*wAcE-ewX9o>myphC!^5IQXF^gV@MyL*5*T0}rmt z@N{GlF#tG-s0?Cbvn_d>OB{GQV*pC46LqEpameL;-a;nr9HC5d(mN%cwa?^JkhJ$lHq3Soe{^s<2V;b#FfP>(4Sf zlDD;F1J4-D9Rn)PlP=JQFg9)c*=8s5wsC?-Up z+e6}%c@oOEI5Xa#j~} zFlO}<><4OIVBd=qsq$@b1*?DRQYnKw%?ss%58scLxUq6}&2iD)+98TW8;sZd4dnz9hTnX zW`@i)^U2#!BEnaUAq!aQCQH%(U*7EX_b^A0w|`trGC(%pV#rYt{4y^aXMrm*0Fn`vWvc#xW+8cpi4)Dx*#eEfA;cJfXgpWQ1RrEhB=2x>0&M5+ zh}KyWT#CkXIOSd7&ohh2o6mQtX#QNZp6m4iX?5 zXYyJ4hM1GcJ5qc)37v3i{9_u~2gVNS?n4Z4#spuRtVwqASBW z%$!2r(TT$7gwCmG(^Dm!h-EeZ6lpPsnb*x0M z4TXy*AW8F1Cx&&5Ig`AFZV@g-Cy1`sw`q!e=~LSzSC2Jkk$0llnTpOPXqj+e8PvWg z@~F==9cPx2w@ADKj?i18wRUKVEX)PoJob+_XOp*B90f&m$f%BMHYA)#n*O~J({zGa zPToo4ZD3OGiH52r2`7>)|CSoHz??(g$KM{j$ADodnd4La{wd}n^3D+df+LT^BbtF7XbI?WFpVZ5Eio69 zccvm0v;mYGEo?}kpO4mLNK4HnMD?0ex(W=Hn5SLo3;MOf{F1w@g|W1MaAi z??5^NI=&Yxs%hpj^3Iml#VEi4WYHz0BSDZ%q)YtM&E@1Rmx|liiUHW7k5iVj;;#Ow zHM8yva|LV&-v$?8_By+im(N0Sy?Q?Jmu*oOz-*TX7Vn!wS)zi z(CWbvCinlij&ZTT+(O&z1ZANUYvGembBpTSS2t@+R@ewt~=S~@%EwxOrQ>U+8*#GU$o|5Wg(Eq)eh`11%SptbMUl1;Nm37(yJ{* z^6Mo_2VhtNU4Mu4{X%BxH5Nko4U(k;@T>!{8!<>VUnA_}`qx^BTGv?q6>qR^KfCH4fby(Kbs+oFOmP$_6YOEJW+L)ZzcYV?jV0MP-zIheo538k4!^^4g}*`O-)tdh-!5K< zdy31P@ZIR&VfjZ57SAnKD*1Rr1B(piqZP1x+6ddV770!NRx6EsE^!8Yg-S9lxafDP zeZQE!rfv8jA#Ps~FW?qHT>qp6LDv}7UY}+ceQ3cI=-8%Mb?Nzd&hq-VTj}Ie1K3LFqa!f*@Z_>4Apfw1lTYr_^vJ%+Cr~wwIp91@fheD0Z}3d@+G9$ zT0T;r0Zp-5k*}_Jb1garHwRE`F2BJ^06o=eO}=yy*o00nP(kFP6!!kh@UeR ztDVdBd{c#)4h$B7dlPA@SncWgOy_WfhHtBAk(Ms2gFO(Z`hdGgb2J?O=}6CKvum!` zwK|cnzW56yHqw=w16EI6oDEz4?yp#kboyF=JAKBF#TRq8#b3Dj5MgdE71RJ$P5b6J1rcAHx?}d5z}`|L;pby1~=2{L%y6uDKtBpsoIX_U{^c>vBr~Yq-17W z{m9osiUh0d9sS^!ro&xBl>N_E@Jsb(R)6xfltQ%wYHo*2%i$3SMm}!H+?rzzAYUu- zaC>xOnL9=>x28jRoN2{SHn#?nuQe>&eDq%RjMyHjCm@(Q%z2qtA) zYZ&=D+5)>14$IEkta;Vr2 z0}$g>jg~-mvTy*=*G=k#oq%*_KMAFbrS>h9Wdqty5O`-_#Tre@^Zn$k&K5SGech$1 z*$KyJCm$DJ@*_w)HNcq#s_)EGtg)CiK+fu7jU!*KxB<6#=P0!CF@(M~j1k(^8jpwe zkaD~e=Hj7DiBNk_+}c!WXEAm{G9GH8SQF^^JkDb1-K+wU=tIr~M0^NHc(|7{4Pn@m zTb)@CVKEOCD^{V){rnI<83u(D`wd&t%JV~6H9;mHg75KAkzy6m^TVWOg2H)dI@)%Z zRqR$VnT;_0!B@7&z3J+u@*u28JWgu7FDvj=?f5VvhEYYJf;FJ~jx z=tfI%TP0+#2I1wyV55hds#Yn`HG~%fZN{?kaMw6%Di33NcDJUHuV>5wPxso+Ibu3a zLFzrNGV=8ifpMz#2dJ1ojB$v9Q1E}JunFm9%_d)8@i3PE2O#(l6&w_U9S{nhf%Zw& zdt2q?>nByqBM7b^K`cJNW;n~J{;7<4wy#w| zz5y~*=9}eMg64M!x%>$6b{C*H?pZ?Z742VG)d;G`iILy!o zSo6teCu;1;sr=L~9Jy#Pd(Cha9Er`2iRCMv7yw^N-^hkN1S`oxzIfIG0Q6BLZ&njgmpj<3rG0 zzfq3%a zj>*5zKwlDCZ3nmunNmrN3nY+lg1FECUGQ{p7oh{(X~EPTAE-&b0`U;f%tC7>iHp@8 z$YbCp1QN+tC>}3C7uXjP80jupm&?9J*9ApyaSNI@nMY>?;Hu7&G1ODN zF<=Oj5zhdaf0&9GN}m~kt6CGPh|HJNoD*nIzPaL=#pr@<-PJ<^iGI!BfmyX8(1CpOL>NBhE`9~P zPQZx%d%c*Ba|0d8H(z|w6J6kiUE^#zqQ0vqQ*mAZPVEBWg_!JuN4aY{r!IK*7af^V z=Lg`@E)=*YP}?;dtwKVFZr@6VZ9xDI?IPUD0`l#Gp53(wjLNgIbH<#yFaUpcv0Ll~ zb#|>s+r%_P{~ei1))xif%PtWI(^TzgWWt`V2^G_URg6*2pe+u-kzFcIL(HBAr#_t; z1MQ8i4BC1Y`-(2fjZDO?(W1G`*=z`akyt9iN!YZ!icAw#w-0QYr; zcoHakx)YkbBZ+&jja0HQdwBrf>q_y)2K4nqL-=ja{*WuU^c8{L`pL|+|% z-@8_5!0FSA(3ZrA9);K2Mqd+v*Sk)<2+4UG%Jb=MEWThmq`#lbWv6g$0ABBU!5-~1 z(2mc$yh^(?sX*}5@0zEZ(JZV!wgzstThR(lo_`YfO2?eG}i8+*JZpfr@gNb^ws=T0&D9@Yu*7FBp$|VOaLg=Bj6B$SJN1HfjXM6Fyljs(*!xx(D*UXAZcQ-vf;r zEMsuv0~5$!6I-_!@jTq%=kpj`d#xvyFjb9&Kmqv^#kY{VJ#d!yECx|mDtHLA?!Ss5 z*GA1iA^B_J)&-cJy-0rTZOt{G&Qq$Z5!R#{iGhjaPZEEIzq+?08a9y_81LG5KqY&mjeS`=bqH9(JB_8WpH%Msi>h`Ejl1 z@#a`4RKcEpU}9&)AwEfC)DBE0e`=z@ed5}lu4vsMsm_SMtz}^~QUX)RpC-a8digmm zZGh!cwO|tntdSZhA%7ha!fyMXc4+dftMe2suz&$h3zU+-u6T4Lx(m^YQho>ckF3y) zI)SO=PsbI;L(x4LZ73f!ah`IJPgxjs1JlS~PXu6)_aOG!Glp*vaYj6}j3G`BOecKh zTYSnZ1#z%|P*9*)be|@+31lng0cz#c2(gTn+Z?=TFexS_d@;8(_6npCc zw@q!J<@Hsc+J{fHy-d6&N?L4FculZ)9g}_Cg%@CdZ~BZ=)HLnL8Q=@Qpx! zWAOn*dha~2SSy=5_A%-2=GryH+Fl6z^9_|i1?}s?0h-Y$FqiyIWKgkhoT}}Ep>r$4 zSr9+YV*EA^%;SFNTF2pDJE-~dHk1+?O#(O)=x-{8^hH>kKVefKK^blf>G%EYvv=By z^HuG|bxL3X?aSqikkK@-ko=iQ>_g%X!9_V#PfoL$RP!}viev^Bkv~g(h*;(jJjp}N zc&Bo>J>;bpOp&a>V)7$Ta(M*0VapD+frH?TILSqbMs{Ec`J2H-Xr^i}bwle59&|?N zH6e8AMzg?D^5=-}m!P)=8ebVO+_|9k#5nkTMowTE`I`$bMDnFPv>|LWoQJ$T4o1Uh z9#~HP7UE-Q7_LcpX)GHJXT+ORp-hbyffeL$DUJfaFTwV_G?}f2Gvcp&L6Xrju#)_( z#3`)d1=Pp90I_9oSy6q!855&*U@Q6CAhn0yKf|B-a}Ov~=MmrV&aBZku$}zv62+&B z&De);U-cuk7L$9uWI5nEO>1 z^rr*$v80$ zku1#y(YgoI$e)Y71|2Uvl8BZDtuZ{xx#=?Bx;#LZ8>~bA9*N>Lh|iG>w5D)lc?3M= zYlA?wIHO0ft~<`(Q=I9IZm9hu6CwODCVjcRyoFCY0v&r~m=a9KU1IwR86m*;kzQy+ zm0&#pKT%=?z#kcaHbe<#(7qyx5CDG!_VY-gD_EZ}mx1dUY=C$65`XB64lM1c3?vL?oUT`>`eME0c&E5Nw5K;r7%3 z$bV=hgkP5KIq!?Ka4>mj6MBRaY>kJN$1oZDu?_8;1I78AF)-K`4;zF46wb&Ycr}L> z|Ci?cpGJIDAKTNuc?zqoK|y>J(Vr*&j*gd-(K0!i;wCX`%!>;#v4A4)Qa2R_w=4v~QuL+~8nW@(&Ro!(%uA$NB)W609{inmfOp z2{$Czjr>D}2{}5bpn;wEUF>;3v7JF18thK~Vd6v3{vhJPgSBG9_MdVl+OS|Q`G<=O zD!P)maZJpzctlccc(4cg^W(&AsH21FXc=q^&|UrWde$HL!Jc4eByB!~ns^nu?bT*d zf9z)4`1Nu}f4qt(zgkBL_5!RcVhZF{pyt)uO0YNWTN%?Iui_Q2Hg*MZq>BgI8y~O2 zYQNe*3HGIZtEHJ65$s3)k>Zc=(2s(=N3luxK+-$=D#u(){Dx;$(YtPehyiyGs2$*Fnj#B6Jih!6CG7lhni$g7EBd zE=mh*0GbQXCZZ&rQGK_SbX5w1xEI4;D4vI}e6SdeOGTiqIELCW1v(!TI0)Nwuv7_- zg3jM6XB7tF%TJVE$U*3jg9|ZftE|s_X$zaBi9vYsMNSo(9}dMqaK2mF>b2dGzv7mQ z0;4DhXTDhGHV-bghr}sc?J_jq0OqpdAbj~rGPfBUk-#I6+&r!_K_&&^%1??FXvQA@ zL+3o;baD_r{A9a8)1HlOC`?8X+82Bl&X^L!i7WpUyFk+(0hD3{a+}q^Nz^deGtsmd1i2X{4R&YBT~*N#t}@G4(yiPpN22mSUcKh5Gr&J4n9 zM}i4o_|;y7(R#5jtAj1}Dt|8JRn7{+YoBT38H&ImZ z*0+gQU={HSX9wY|mx+Clx7QY+VS7GR+2lMTRLF}g55il=fvFQ6(GOII^SlyNpW7KdFnB1 z&JE5Ye}#Cx6Z+wHy*`#n5_`@^ix}GZ!P#JpdD61Ij+D{sD6@>igFsdt`?CD)G41sV zw7E*KoL0ZW{U zF;ZW@CcW>aLHOq=zTv|9H^D}4^6^Qn9>3-I1!6z1@=LXD!na-_-||)?ReKA&scvO6zvUC8TMLX; zL3q}DMhbo4*0-87w{NyzqUpogBUl}TYrRU^rnf-Dx4N+!-YjjCR>`;T8*78O|Ioii zuH!8zj<;kv2Zq2pRn3Rbht{0l0bxNAvT8Zo~*hibl4w z-D@kjbK@(4(~ZGR@E#%)Qcc9e`v2Jw6_ z=4cJ@kEw(Zt8BIT=WU4RaToKC1IQc6m5AO26zN;@W%dIDfEAbJ-->-#8Dz z#)lF}SM6Gx<6zR`ol$F}VHE)6G?$vjnF*nqq@xA`@^*YQHKDrU`4BI&}0 z1TM#M8OUpHn<&N>1~xHNi-$PJ0yVb-%FF!`%$v1BNu<}XL4hzHm*)Z>jJd=I1I?sR zGU@S>xsD?$I6hT^>$X9;HZ~j3CWmU1o?u(aHzauN9YAJ zEmVi}T5_T9G{u-K)(e_yFZ8SKsE#-5gzA!>B$?-(Yz)caf<;Z03w?rl*sL2$Cq3C- zC!&RSXDAZA`aW%8Hkq0N-$2M{1IsAG_zhP10!OarCOY`NX=Av zyVT4G)h9hg;{2U_%pVP5#S5HK&ozgAG3$pKkj~%3K|kpF4!*DeaN}%H_8`w$U^WOf zBt6XrWjuxya1k-D12}(f1v7EOP$Sao*q|V2dk5Q609G(44<|FMjY5q{uWLgBOY{yh z#$aS2LHSP^1KT*%1S4XKNfXW@_pYo2oJ~SaNl$l(0&Dfo3YON`nEiZ-Zo1htlu0^& zVF&%tEAOmkXoVxvf2s}LWM+o4NYAh#K`8LfI@V3R3_x5q@&n~ zb?m!!(YVt8!I=HcnX1i0El6)<>!x?Xg6}qBXlvLcd9hsTrWT==q&Jq3ybI0qZd2Ax zHEiAVFiOS}%$A{6q}!Rdce`Umcd47=nI?an&7iglwI;o(4M{Er^pv2+OGqA_z_7Ls zwc!yl-4yRYa%@62z-$w0OM0da2t@7OJSnWPF?%_!WVQ{pBR$L3P46O3cy}m68*fAM z!i+os+b-0e^lV!H8zU)q;5Kr&!Bb) zb>snZouFrev(A%qaJa+l80tiNj$G$^kk$8)>I3)2#(cmf(`KhoXVRNX)_D(3%X_xd zl3=g%Z?)mNo1H^lNN*t#{$5KAY0ZUIT7q2XpSX_9>=NoqI~79rLcAjbtk=*y-pb4_X?SP@rg0pLf`Jk!rDEQOL}X2ov^;| z6-!~QX|MAKl!q6XxuG7Ux3Sj=9(xbw7vR>E>kPy(ReOYblHS%{=2DDUDmgfj*ZC;B zXJ*e(FCGwM;6!H$7ZRC)dxd(F-p*#=_ts%LA1l^kW4`ClT9~~1o_@p zX5d6;nQJ5i_X+hSy@S0@A zexd$6K&}%o)^gVQ9DnA?>>nCHdMAm__u;y|-%|Rau`$p0XFvyp29n-cqVxS$7}G|w za4mbC``2az+<~D%q<69H&HD(8-fz$Lrj|tKf5tPggF<O9_I^RiSV26c%{ z*ZW(Tg_9i({P!`efk%c$k>1;0=T;2aE;VqnT<5tBOx01L(WLj0WIq7~c>;TXAZ~45 zXLJPf@95AN9uU(?wVibyNoK7yCN!4xz7m}$nqYReBx!7n=IzChjtz|?y`Qa?_`uYO z=FG#jZG375e~H!{7aC7GK1IuwlqXuCwPL+gTQ2m}5=qzbp$VkR3xl*1FwZ9jvR+D& zdPy@%n1v^V3V1|JF{L<5eWVd9rh-r*=>zShj==OWlB%&W=hIm+6^15~K1gEp#8`|O zFS$6yUg;(NUWYj`R7857y-p;>PZUbUlwxxz?+Kelp<>bp+luJ~c<2P*vZJLkMxW*j z&CKG^Bn)_ z@mU(0$|GXIMV9-2Y=NV(3Fuoow@BtFyRI?vW&e9j2XBps*NSka$E{Cu(}<5PY=@`(wI z&zYfF81Mj}b)02>ze3`3R;Y~h(e^qAVfIkQr~DEjXJQ_m%J?h`%_e<}y-t{tllc;# zb?kNiu?6FEcBq{6vGzK_J|{;>eAbccG?y|y%R_TWmzNuBCud{CY^msF4gPuFV=(80 zDtLfICv-uq()jy%d4RGaG?(=8wxU0|2-BCbki^D(%u%>GH#Cp*3AU$l62a=pm8=!& z+ROYRNh4g%VA7D%216jkF!R+};8&-b{ zLrY07l6zC9;7Xs$VZvr$69Y%--r!46&BY;nre2?f;;P=N_6<^l-$+<9?BxCn-JN*) z8|0$DDN{l#Xn#X++;irV&`Q!Li(7DxzdNx2%{8G-q|X$$kuNv{J$6Q(5oGM)oZ<2LtZ~tJELTGb;vg0m95m zuJkmwg%R2>5V%WD<80^|)Q8v*7orTE?_@T&hY{K@#QvNw6aCmzIaB63 zVFdV#@P#b+@MqxBotfl-T!ftN{e=v(EPlY>}LG#eklSW0*+*+KLM!!e1ud>5ATD zG}O%5M4p1HCOEROL>K}7aum1n1CaVZ8y|zY1m6Ya)TmV>oCGFa!JCrssLpmrC>4$~Yl6kI&|zcL>ytGtDezTqT54@aR=;@i{`w zvq0F{wh~##Doa5W+#+Xh)1J*jPirNdO8a~9o`O{~oQ8R;-QsQ!^k7rt>^M2^8CJJD ziz}UJaK6rtRl;>>e;+w5F^m8n#b8b`RRh%tBd%%w~aH07kM`+Jt$MNh`C7eO~2e8mu$zeq9 z>wyg*@f?VHE;mNdGBC!kNVgPNwZn+qH;4eV(j}<9OJmpoIO{u%Qhi zB@xEXsuxDgy$#<#hi`isn&EO07eVk-<}qR6J)~M0VT9e=g$F+4WgzRaEDCUd-MJk# zp;m)%4>DX3lOk2Sil6Zf4$CNPr_;(?n_3T zcpp0TpDAc*_S-5K;NCW_`mvgX`;j3~=LX*UCwz^6@?{hm(aMFW=Hrb;t7*7D88vXo z6a&76&il3q_SJ#JnWZPS>b_XB=9H4wQpg? zzFjX_$=S5lwC;@F?C>BmYVtSDwbM|Gr<>W@K_cck&IMS_!g*vQ^4ChWZ=s*Rl{X+{ zI8Uj*?_z*+!h^}EB_4s+{1zxajoiosTlsWThPZin2pLI=*xLa^K%{R+{H`3ds+2sy z+#)>GkPG`EA3czV z(*u8>_V8dwIh^i~jsZ$IpZ1r?X|2K|Ff9cz;v{yq(r0=Aw#lYowzO1PNzutDV1~Q za0wX=1Q&3ggZ!K;vX!&s>5COC8C}AqWHgiooR=CxzsdA{25KUgpgJaB@c52(&VGd<9;Cu+cjw7P|-k+%j|o<ry9M6&$Nv1}|(AtAak^ax!wn9kA&In1+j~T-E9<*Y=v_}>0e3D0-M?ceC;&CAvJkxg4|CA`3;?%xzE3yS@? z(Cyy8nTy1b%(x`b;+60sQuc3QhFgdKVf8O1xx$MHKQW*74=*93Wuo{WIKk&OqHUMD z_)z6?oO+Ct1Q-xrN=7U3uN)8=KK%JcHvSJ)uE0G7jo8!;4C6}zMr-jWK#dP*Yv*L3 z%%*N7E|Dmyw0-zMKw@-m{UY_wO${M#g)5aN8G zH74RFBUiiz@wx^AT|?^W0o$J7i{Y)Y5!IbwAW8ON8u}ZcE&82p?`(9~_8Jm}*Cr^D zIG{O|`If7iVm}HxfRu*(`iFLHB7UFeiqvq41F8J|4`y5YMnjbd=zh{un$l}KX% z+&BhD?8hc_pb6(|t%68XFi0O!O-(Qy4*ZR*|8g_VmN-m$gL|7QkxV*}Dd!bNvdHKw z^8^2ZTk#)x%|SjqDV6``pYcD$Jj&o zID>U?J((=Qw^f0X;z$k|0~EoB8a_rA;^Tq92nO_mzx`WxRsd5XEy>7pi+{iw-~jZq zVpyDdHg`YKMk;`kNGm`%SiFn?<}=Xza{iUTPDmlxT!l%FA%z;$Mk)}$P;Emdix z4H-kk2hhl$1NhI|{?{zr0E4`#H8s+f3_HR0X)<7J#0jB#hh_D(=Ea$d0&7~N9T~&K zD--a9E@*w(R@H+wTLloRVXShj>5=wi441ip&#}bM5%~gB&_2iopsWmdnic8lb{iwb zSy;R;pt`?+3^SsnsNE=MUWRh|0;c+lCQ76m9cUkOkiURjf06BqbeF&3Wo40EGDeAi zg1x?IjfVXtewlpI1una@W=G(%j~35D3cl!%25a=-T}S6JRmvkhRn@=;zCHw0Uk*bn zl4Ow&!BqjanfMYx-It@4NH03jCB~;;!lryV-WBOBe?tu&BD3%9n{O1h&gR0tBa9O@eQX&KCKrYl#j(fy& zG~#G=cnTZ9g^_$bc%lrqKYyM<$RlMH~b2zlH(*Iz7V;5iYP+L<;cW z5^=5+KQ=^biwEb)2Y-!t{p(gr1U~11!SZbooUh@&eBDxsOr!%tWPV^}q=<~tIPpqn zbigh7x(6Nr*{+s!%K00CP z|E`G*CTm*+F5O)57vS(Fbi*w-L&x2(^<{Kzk5rI>5;QQ)t-5IVhD@sLerYh^3Mi4e zWXxB@N43xg&c4N;mFC$OMu7+cS7aU;3lhb@@$y^K&}JZwAiL8TvVc1>pNxgF82%RA zgj*ZIxShbbNC1Dd0iJw7jo{q3u}JV)pj%+d+w0gv;3s$#=V;39BK*8Yi7cc8Q`qka z#6=d7u^5Retoi#~G+9U3NnVP7wHchMfQT%{QEHU0{`JJjm8nCx z3heGcZc1b&9YF3AYfOl&B4fFDf%~8V?-s?t3a{V=){MZDGginH!`)fvUnmzSui<|_ z&atO=5p&#~r$pf2mB|$*M%cewDbo&jfzG>|omt4${uNh8$b!MU@Eh)KP$F>e%H^zD z5q9rZiK-f^_5-}TA3$Oo8E^J;=KKIr{vk_=z_qJju@59g;M%R0rw@LBlK-KUkDD`9 zz8(ONEKoZFr){m+T?bv@s~k&Nw%*bP4AB+id~(Z?$J<&x+)2DVYOmdkA_@JwJ6egrapYWVUIjTj zQzy?hw$)IS)p<%Zg$}G?)f{LNO(oOq97Cywa98tHPnZR#;>!-az^2hOGEslVS4UJs zUaFUY8mqi^IuhSZV`^kZ>yT;R3xVs_wQ8z{fmYE*WF|?0{%J6Vpu!UsE%+QE zY9E6c%yO-xjmb=w!u!)Gj2Ocl$0JaJeW(jV*(TbA%-V7tKSLM)j4%dJX7NIfW-*j) zqfN<_9~0Al?tlTk4y1`!665u*0i5(1Z11=V%Ku>xoma zt-nA;{UXztvVSiZTL!vBTS8JY#Gx_hgcHNz6V@XVc?$LH+Hk33XMb$`=jF!nXda40)T5hyGnT_lk1p=>95)&ZPrqPLEjLsg> z4rDe)>asn$VQr`(qcc0mlcXPZFpXctV%6tBbF5>P`Ocq>v)dMP(H z37kXilxSBvm?73N?M-G&!A|(E(09MiY|ug*f)Bh0@}hmn#HY>V9e%&U)B1Her~{%6 zj`k(9wY<3E*EOnk-{mZHNVFfBZRC9w_d%-r5V%TqTZTsali5~YQ*j@P`#w@>EL+2( z1IWY|)A@eC`%o13;UU0t3=EGBB(uGEp%6bI)VV(no+x8GKZ=W?O(a&TVIc0q>AYW# z9$OpVhsSh(wi3;wgDoW%Mnngb*%8{9H+Jr0mA`?tm?K9jt% zN1Qmj5*^#rDh>A|@X8CKW6A8vvQnkB<0geRn`Pz5cwSLqbR3yncXVGWvTU!^${(iW z0ofCy~F|z=Rk3^ zfXu!E1)EjcOtdnH5fVFa1H8*wh$ck~$?PYd=!Cu{Xv_YFKQ}>+!KU{qXZpB|4c7_K|Z+qf;CEWZq}lix zx0#pF!G3bm)MzQ0c_O$Gzk@DStGTd@*IrFS828hnQ^_1Gz8sFeO=w#0}N~6lZeKi>G)e!T09}{3Tziu$j>r zWDb+`i*{41qTN`9HIzj`d+V@x%!;}&#^=DCXgQgq;>2^)&^?}822?gi;0{JD2#Ti#Dx!19#QoMcR;wFyUmNvC3CDq$+Lj?S-Cl^HDiJJb{&i2 z{OCL~$4P+zr)kZ+65o;DIR6w_5S>rvcscn{J+f9$S#&86 zk=088vbnQ}r(2`^Gq60mjLagrhL;;-ep6Ww*<3Ed;QN0AE27KEES7k98T5R4w6wr2 z7!TFIlroenqbtaqWb^LJAjQkm*aWw**Kkk4UWg9dkhjWJcJ9)W39CqxfMUADbaNV+NxEAwm=r5v!`_pC&;_hY!|+chNWPAEPl;M&Y)HPESEHQCYY^9TeU$|UJJrWi!+8kVk0*#O94=^ChF@NW}Qd0a6Ph%Q|NH54olk0J3o zp_oMNT1Mg0Nc_QQ7O#Pf#GkhijVF~jQOl{GnoAhJOIUS>y68$cd`OG`j#G*(YB|+o zs%eQwNhIm&s^akeLa0WkK}is`I75iI$z5F?g!O9a1mk4ssv+}-Vvw8WG%AUrb|W23 zMLWZQ+^%r)5^?$YEGP`8Nogl)H_2y@p*0O9oqfEnn6kfE3u2wJ(q7bVk?WzrTMAH$ zSwB+y&-TKFa>gskqIRo%8q93LfVLp%1)V|dmu7=lXM)l})K*bL|WFeZshhp zpw*eEbQHDN3FX05ZrO@b2_ww?&&+^YbhcAEiCS!fYWpt~P74INg@t+~ni%Bc z;?+IX#GxJvV#s6UYu7vraeH>R&LJ$+)Rw}pclJ~eL>?!vyXINwzsp8d4mgWZfVjfh zOFgrSczP}K6t zznaSs$jj@Q-oZ4ze@W{}&c4bZVV7c=g29-w7=y_4YLJJ0+X9{XA??&(4Aag(U zeT(B@XFnwi0Gfv0uPf;5`B2c#Ol63$8(DWfpJ%Fhemn`le)UqEzpo+@=&uYFc9VPq zEwXl0Q^_gD%K%4pi_GkX?rN zKi5=q9X{_3I2mS!fjIwhqq>4oa~*#EjTA}AF&NKxNUg>)3oM&niNNxFN48fG)a$1J zX_k}`;(RBVt4w62YHkch8D&rqOrG!13+8ISRyR^oMv9E{ocwhTQbq}TJo2=dcsItQ z%*2rI$EHvCRps>*Avp&tqYVao0#+75?=?vFwS3w_rqTTK;%bg#Yp%gRzcxlv#)$JA z$2zl=JoHPH|I-x>lTZ-i#JcU!d(A+@EJ?{1=Q)sd4pGJmdpjAsiECaOfHH^#jJO98 zv{-hMLLI7%6ZRyz3EtUD!%z_U#W4snY46ceoHJV)FYN8HUCoGQ$k|JKNyg}3Acdjz zGzPxyn>wH_JhI?bk=6+k$|2e(C>l~>R2z!cr0|R@V1PO*i zWfivc`tbT^C+8?-ny@1$)JPP-8MwZjztdpBj^3NRuHnp6<_LQi9QcRzcrh1+LIC|c zp#=I)zJiTa_H_9^XuJmf@={0jLyXF^+SY>_EE3gV&$cpGoF70b3FkPaRM@-8-u9@6 zs(1;3S#0>9+eiaBUYRfK-DSL20n}i1uA9`6*WLo_0qQ2&Ca5 zTuznZoT4lh_C87SBe0)1j9znP2sV+^XYfeMbA>z}QI9^1mvH;AzSVVecoOH35f{fI#+uB$pvxDJ4@d zLs=*6{bh@N5t?DiuJj~Zu-|*xG6Ry!+Ht0`Uf2i9PeX;{s>YhMlwC6%1&V)&OygbOsbpN>}U-l)&FS@F?YUFDeQD4OiXg`zUr{-hf7UBO@LD2ey-v5A%FKqH(dZSJ+3(9$^2*P!zs14+@(<4xm4LN?0K>SqVs3S@t;-WifymZ32pzS zJ2;%nlmo(^FJs}o=Fj6$urdc%3m}+MSCWX*70Mxl(LOFtZbcaKW)jLka-Rv0Kb}-` zV>NFkqdHSkaBSlI434mX(wk8AZ}ydxBjS7^rL&wXm7~HwUTy_L--L2`bB4xLJoJ)J zUs|Oc6ZQ#`?81C`lm1{oxh+hv7*C?QS~)K46O&{oHA2I@WuTZ|1&HT)xkfo5?318G zhM*N5$6M_naex4_{x0OQtW{15`(*j)RI~x&)+wiieTsYrLiHA0 z)3@N7YApR2{>l^1^*)2J7s$`Ue}4;}=UX#r6C&{oGxALexttq(Mq!`EHVgY-YToW0 zqw?DD3{bhzXA<`55?idd5!Jn&rBhjVssKc8^2G@|vNUXA--cOxJ71^r!z>V)=G^R) zg}snX>Dw6gw`ZuL0~%h2>Pd8N@x=@KOxi17^Y%iNtuY#3r?Aqw)t4abMe=Xq`n`>b z`!?($(dey)PI$C}1gFB6h?zS}eoaO*EXq63O++R>(Xd8yT;GPCe*3WGYbVYxV#jrx zFG<*ozTv z4o>1@+Ppg+h0Zkahuuhqr8sx`ItY77lKfT<+Asj`7V6CTB|S$R=dAQ~6m~pG_H8FL z!Vtb&s!D*jxWN&MO6>m=;+^!v#^(9cjsa>!n=QWGcC|F z60hzc_Eh;&gnhpJHGLlpe3u;xZvTBJqH~WgRp7e(w@0Dv2+A>zUx<6ZJVAoK*VkFt z7f7---Bk0Q5had^^j6PB7@0+6?(?My`$D7qGf4S+2rk|;Ln+e`&BL44Dqpp@u5;_D&o%jJI+nQGpr zvXIt{nT)rRh|HtDp2EID{tB}GKKS%LP8w-K@PU!YJm%{q>?>JG{snXTFRGHvA{G0> z6r%IEueY$TileBy<}Xmyf7wQwBg>}h%D)~XN>BLu2>WV&a!`i>rCA(OKSht0r8!Uf z`U?9RT0YhxssRC2iS^^pR?w_I<;x%l{j{R~h0t-FR&+_ee!{M15L6^MPQw?FXZaaz zvvnDKnGpAN^yr}W1*Q}r=`WPqay5ZhJ4?R);{0|=;#UU&U6|rB`UVJlIcxjBRN@~F za2hY`NmlnSmqM&vCf`6|U$5rIZ_P5*+@jt1mZ8L|Fv+zm&W9idTTX}s&_7h?Q2}iy za>+h~F&iL}P$<~6Tytv`4faq;gZlLj47V%ZhfroCqj3v{=hilkSLvTH;%(oq!qyX4 zf)63hCVK0+2Ag7QZtY=S3N(ZJC{zyRq2yO4n5%vmP0Evj? zHjL8kVN5Ujf6ig2E7><(*tf}ln~yd)dACbcQUUM+B_CWJd?SQ?yZp=9Xag&5uk1h? zoKXBf+CAaw=*t!M9r8J9hXZ$;H)?SEowY<_C*Me6-^o(@$y!s*Cu^BRzS;eCx)RxC z_8|(wt=pUm3PXi_x`79Dn8xjo_7j~czR|+ID~@wPpKf3v(247vkqY`VNwzE1H%8d; zZW+m~27!?U1jJUEQVnJ0>g>w{h!%ZXhUw#ZZ5_f-SDG(h*sD16rFQ51Ao@dY|2>#U z?cy5?x!uEZtAem52MFZ$^gMO1i1uyCH%?rTBu#EV-H`HxE8T~{XfF%QrgR7el3u5;DyzQdqp9pFGiTDa5j!$%Yp?s$noBk6n%0Gumz5;O}jx4>a zr*Cz9qWzHkG6JQ$JyG}(VCt2MNNAhZ?JiW;-QJRKjkpl6?QN{N+ZT0x4ZgKp$GgjG z?hZuVV54uH!2rN&M3^v1cOgG_2TQ(kaiN{MOSk6k2vm(Q`PK^waOJeQyyh-+@ZHgI zz75ou6T*k7f{NtZC@v(kw*n=7cPtgzw~0Rt8v6L)Kputjis^c2;epzt3XNC+}~a3pbVD>wwL2x0FHB6|f0goKX{Cq?e+ z=YuPGLjDAE5=W(K?u{UUr(3|WS@6?wG_x~(@F!2o${Muhql}~2nf?TRoFCU`nO*&T z@Fq{m&w=85h-vOk(**&s-T#gtu^-^uD;$zsSB6$7`+G<{LYd<~3ZNW*m_nmA(6>)G z46;&)=2Db0&Mg82aZg(to#%HA^6eK6>_B-FGJS6;3SH|?|AM&Z?KC2IuSA;gID|GSLc+ zdJn6@D#7qjK3fOd&7#<^N_8$-{leg(E3RZrF!bM9%lSB3`6Fne#$<<3t|g5xx_`(O!NAEW6(aB|{%$ zI3>^0!BSVQ@1$@f%kBiU4noP&Nrq1lBDcgf(sxQYI>=36+5O=tx$KqTGw=zT@t8rT zYm{FSj*jxvP@5E<-yhdQn#G8~C9u-wpE%cOzd<-U$>&F+c?wE_j>vpGx4MUfZH(V2 z9A^0%OvrtR{(Vl=5H)3Z3w1srlIJ%GM~WPQ4BW>U-e0IAvH*uKC~$S<`{RToRXzvr z^Zp8y3QpSNKNiBzrpHcQWBsylbe4m}Pzde)6RL_OEl-bUxyJe9g(D5Sl;8ulVsu*L zanu_^f~Yl*cs|~rARJwixF-7B2}f5MkEhhMLVvUlRtW__ z{c7U(B!7~@=;$WD4bP|*)2>xr+QUCoSS;zJWZ>Dg=Aw+2{OzGVhLM)p`(s@)vsoL0D$tvJ63Y0f6&|4~n3=v03v;poYV zxD_U?btUJ^vsFd>%S3Dnbrtx{!qH2{*2jzR!t&Q=uZ=l3?}ElfcWnoB6k+A`P3XEdT08(2uDBpC1CMi_>TWQ z&h*X#>#vO`WmV))7miFh0`>nbhUZ&O^xz+`z062rJj>rzIQq+PfzEFeQ4pg+RZ;t$ zy=0V%{oRCP0NAB&LYSp`I9C@GfW$feUcxa*b+o=+htBJW!UEzocGQ5t5`S;u7|bg@ zHQ&+~))RpRnr;7Z5NoKp{yxHy#kO680g2d&N5=hs3TT$h^Y;~wA#B?<2pZD@bx&gr zCBI#z{tN=q*R}LdTpo#KE!TX1Kj9e4#$D~tGX(u%+|Qn(@htPhDRpGCaaTdu@&W|L z-IAx-P_;$!_ZJtirTet%m43TE#kIgcKsbh}OR56CnAk$TcY!KXXAc#C6^s0Xg=08& z-;g+bhsgfB{-mq%1=w~AUXP~HUhK~jjuAZC-^0Rv-;+jrCXe>h*eRCfTH+rf9JwsK z*m_g*J#KCUCuXW2`b#N!olE^gg=3`r4ovU&bEvR<&t&2KZATjNW&Uj87$tuWb%1>~ zHQz7gAqND6_pMA~$8!HL;lN&)(}&Ou-Squd))+JSF`Iu5CGlS2&k+vX(*4WHXof@c z{SF#?K;+2t01 zk0J;b4~TcM_JQ*i8K8EZf3$FnXYo+G^JGSMh{vsCL~OZ#48#N35(-*W7@`&+5Rb18 zYKa-OLGtGb+?*wuRq^;CBgM7epD!FprBI6M2bkF(2GBGpR!8k0nV9Hlt_^;;mySt1 zZa)kKOp(e8-uCv&cr|_Z1Kf=trc3^D!h2yUrTttR{o{>B$7CMNAK*v)u!}^dSmLd4 zck;Auo6xXX@=t&kT~59lH0uw@YYq+9LwuQs zYqNi{aL}zi-xF$cXq2EP{v{Ve4Q=sH5sm`x{LliE^&wmvfkB!_yDNVh(;gvD~6%7YJzX^<-X1;R01{zazAdO98jE1ejtdHnuSl-~VyZSzkPju|o@ z1-G8=fr68*@Dc$r0(dN^k=pK`E*yn;h-?a)b5LkkF+t?Qnb|~i?(okLj+rW*wV<<> z=`3Zj_iq0z;h0Tw8-oDBfPmb7wT`rJmA{xESZ+b7{sHUA z0?@k0KU+BF#F5}?{mq~i-66NX9ZIzB_0NG&mGIrl8VC(4Kp?kYXQ_)MT7%>-5f`?B z11z_wgi!AD&lQfjbOg;>I}U|+_LgGcZA9if{mB6B_v7r01J~^&0bn``cAQ}7byVN% zteSUg?JTs-mi(pSLZw6<5BPD8+fho}Vy(51ncDLIujADOz2h3Rt&{v^;=*q3c+kH< zIOemg)$T;wPVQGmBlyA+;`|~1Lg6S=2XGev@LCu+U#1S=&Aw#f5BnDh#{y0DJZb`v z8jzJ~Luj#_Ab~sLUo0F8)iK=5K(shs#$)(&ISJfR{}SO?#5=t49}I#)00hSHrL+PJ z!ZH6+K*X{Q`WM36>DnaMasM*mSgejAcQ-@W$}oR1h%HzePjsE|F9)BNknYjGpwi2O z_;jJpCGITwSBMLTrD|;q_ktNG{VRoIDUYGOgUM>g&DF#VZ46(ULKfkae-%D~15Ox2 zdsh^kV1y*D?7#_?xo#Hn6 z*9%tW~==Twww>5zW{ftm8qyI^td zcz=a(td&262s)4BUlK@zmT2pJx0k{MxfA@`gkzn2^CX&+Q95!=SfVZo{%IU!&YkGr zE*#~o5u79OPa~PlC7L+Biy-LqenXFTcRah+!}VFWmza>^N8G!W*`&b`6NWRJzFv<> z=T7w_?yXQKod$xD2L#ykU;`$dyR#o*FEU?RickN*r=w}oxzqdzdABi})b2Ft=#UKf z^w(aPbnY&GEU!4WGnZ5lo^<#GG-tD})6^Epe?(mDqE9+^JftDrk63pHYast}lhv;V z8N=1>46k;C26A`zBTC&x*MC_9JyCkAoPcN!MPxpc7}3LzFm<>52{;*mr3qy792gFe zY^0p%MsauV07A^Yaq^er(GHszD4@`7xJ?z!P%ce^J^=)o`;z2~W|K7t@eQVtRM6iH z#{wR$I^r^$0K!b9o{pfoJ4z-kbKtO@`ah8^#FLBw0?qxgk=J>8KMsjscfSB)%meZ# zFw4QgD16S25P6bJ#pzTU{Qd#Nk%#2xih<`$lu};LAw*!E@1?=uxd#Lih2yaNH|YFe z2?~z#^4K@fQe6w(AM73&K(KivPX51jXkUo3m^LKQzhL9Uy~#xJpg@vv9K|j5@BlnJ zPlT}=bt_bw@ke72dEls2qI*aH(dG&H-;>b{HC4Bf zCq8`(yL(>WVN03e9vVQvd6KfY);bvSy8SVX^D*h{vuV<12M}}OtP@U~TEht_9d(qk zx1vqZbKLG>0kd#QN%DW_TNs8gueZ^su(`sqrJ7V#P9Q}%4f0=L@WY)^(rM~KZh1Yu zP4tIr;vODI6;7l4uN7#AT!nkbP@VuC0)N@;9uep)oF+MHG+7@Ri-Lq9Ou}$=te@%v zU(B5wNE6ODIRKG)1Y-3_fhL`g(P;jhoH6&vKo?`2Q}= zagko$%5ski^bpQ?8TXD^LtsOwvql~xW}|mD-J=6Ng)>2}?F88X7lL@OkjZPH^xN6l zWVglydI@Kud|^EP;UM_kJtm1rzx|bN1#{;GaID|iPOgW05{APWUiwq=+I~n@gF`and_u?A)v8_g$7Ry@8+?gPngd*qOM5LYGz zh6$&c!(Z#87`R8(>>t4(06w#pX7=Pjj&P>L$^XbhI~3KUDf%=q!>Oh_2i;Qw!-W(3 zYCeW1@+iFbN4t?jOaGFB1*UAaa8m;#gtIf{!>y0@M(G=yB}j;WvX#g#2;>T98r!Ky zG4&r+vqZV%ezbjy_5L#Vw7^K=?81iX(WQV`%Jw{0GgP5+(r42Hql7b^4V4Z9vUAx` zS!&Eg_Kd)2;q1x=T>~Lo1`t@P|2;{%p)fE85HW+Uf8mRqYLGiKkSCnoRD-VeC)JJa zFjTiWA?7X$xov!zl&h}>KlGgzws>7<%-wLuDug*h+L&H1BC!HHRcaRR+{bFP2> z-8H1tiv#0@vj>~8$J?8%k9Sa+pqjB?bR{!Z5||{Mz1fUCo(qssJoLF35STHGjShpl z=LRMVXCJm>k3;Go&tW@8FtB45E8VH>E(=T(&P<8L?8)^e>yw+vnIjlT+20Cj>=y=R z3g>_%7PBWIW>3;pH4FkNdton+{h~mTa1K<(>?woE`jk=Q28-FnqohI>2WAQ9AeOSH zAc;@mJPsTg`V_?M;sTQSC4pk$9L!SoR6BsEdnpM7V&H*nvxO5kQbWp~f~-E( zfjwUWft0!FL0k8-z#QQm!cz7a1o1I-cfvxFGG77_y*yANoI^QpWPPk3Ao#}Kg-=$K zmi25Wv#=sCS2(lPaP=uD>!-$&z>lK;_;eAIyed#CoH_DW=zn1q%66i7l;*#FI*H8K z>cD*A94@~PQE!BnZ5+wY%qZZBLGr96rMV_hCY&SW^J~zYkAm%9P?`jSG5U8GqIg|k zv2c!*U)+gi7{f-yYY=w=fir#iAW>W%SR$Nw{>=)zaS=m(5vQ&o@1s=H@(+rb+#3SR zgmVl(%4cmvz}Q$w$ujyIxFere(MWF$EEi6^?`A({vf}uGwP_uVG=U({x18pc4fm$N z3gOI`FCm-I2*uM_LSZ`SW^cmcOrW9O99SuwV`Ym2DzLEy?CC$Apu+bztC(6&jJ8FVhG;uD8 zSp(1JqKPkTu{I4uORf~ygnm2KtdO+{_NQr*A+Q;Sd8hXFomMFYu)*x&E?&YTEj&_=0Hx3vs1Vc{%3*t8n{ZB&{|bHHRDyzA+ck-S`xNL;4&RQzcHx{X zUw~*f!*6UJ!rM29Zn#fh(A^;J%D_(HoT~b`&G@V4vE<{9(tOkRkCVjg3RHscxW?ut zq_Y_ovw14d6Z{X{Crj-jE!WXJ8BJ59z%Fs|0HwX$y8{S^oYRuzXW*MP!%8-n=(2`A ziofcm_k+LMTqFgm#KlA0uPU$y{iegr!*n(i_IpS*Yf{rPSnmh#zj?b9*efm`;eLAp z`-F1_Zu`VMY}$l!BwZ8j37Cq*s%iZ81r7-3O!<#X&(rw zW@XFDSU)&kZ{fX7x`M&l0KM* z3*`Sp)cm`iD5^2hK!S9$sYePX3FpE%c3FQ52l;oM$bX`_G#9JrBOvY`L$JMYF2cFc z+OB9vR^)dBRQY57xs`8#_n3mIAan_Pu$R^WuSRzk+FkLv8 z%V*$qU4%<}aihj%265~FyF3ZOu0Rkkx=lknr2FC__Bu3XobRnZ>1e&U9Zd(MU^mgg zM{Yfd!S2GjQa-l<^(Rc$2D4_t_^xKfssZY?VI;XK+Q(ZD7J$v|gNQHIB*`Ao*#L9eF!d)~Zu`N6X&&cE4pM}% zRzAHI05DJu+kVQgwl=fM=LVROhOJVtkMK71BER0#A=pkOpY1hPglO_s%ZZ zAy3C(h9TZrE`I@OYCsOOv4>`dnAAH7nmuVag{JOOu%AJ0=&RY2Mwp+*Zc;GQ5a(^k zkSgeoDXU)D-(YI!$A)1)D%+!Um4X8d#)eEb3U(5S7SXHg=JMgG9ps z%Kv%HL4*SvM#Ju;9a zJ~fDNV3Q<&25G+pPwWz1w}_#*T)hNaMCmM}r*ja|z~&_R=kOygVK^@J&=u}dEY#Cw zzHy$kAi{wyxEOmr+QHRJS(FN3gSiX~q3hsEc)A1;5Nwsh#c0k!8JRAvqfa$dFDIX5 zKL1gA5D`Iz{E1|;o`?E4KUE!>Fx}<+i_tVNU4sY+ka{8reC@m%aEajD=v_*%$8Q@uuAVmW^*p0q|>3wCe zCco@Q|9YMlv|fSTxH3ozjup6D1KP#YD+u?yl3i=O_h!A4%Pu-m2jALROuX(LoGzTZ z)CFzpl`#O~2LcEN5nP+dCvNu%&Ja%Q!=e!G3OH~@6MXiqAKk-D>KiN+&MJ1UuRwgS z6lvni&h-bQh)WqkxcYn8xxNCGc?D@8&5MF_{R~conLYi2@bvexbA1*0`>X20b`d$o zzr@DTCp?)!xcU1yc)5yHz}3#IBZ{7^Ch>W)kR+^s5N`f{I>BJQiphQz2MFP<7I`fl zK+t~=6V(HPINk3&fXibr)2|LhVXBMpA76D>?~buvg@j(slY(|`UytYL z$W^{N4uvsdS-}OuiJN@L-@6JGdzDwl0ReCCwUw+Mh6EQ1C-y|0E<*Db zlnUZg5dynmBycl`PeX%?g!33%_^VKMS9dX=@Ha5)uXH;;NaGB)y z5uRMbm|sibjY`!1@K%zE(ZSWi6(_&G8f_T#Yd!e9AGQB^9!-NW!8O7qGe56EdauD_ zfKNOIj8ax4A@Jn$P>jk zs_yW;h@Nr54Z_uqd37Cbe3?jqN@e*f>XG*X_ zxH=_qEOz4vK#tMi5(q@~X+9k9nHtkhuE8-qQln0}!S0Ykq;B)Jcmz!}Y?WEvdcujn6-BTFc zCtO|R_u=W^gzdRG;-`#pEhl-H8Qd>i>DXsqhW1pH9=ttO!|Y-l>BFMn0paS(ivK30 z?j|;nGh0R0Wjz|Z#(+4F+O zg{u!wrr*Of{~lW{LH07zJRj~PqDzA(gsU&>omV@Xtgqtz99Yd|0d|DmqdiWZ1tFtw zWyFBp8Bn#I7N&Xy8)oTb~Ud#ANHw&K80JD~a+6mX7B>61HT!ZC@r=od03a`X3(~>;TZ6jta3$+)nEat=?dYP<$faeNkEg??)9j|tl zd6tKgg=+|N;uTQw%2v+iEm1iU%^+s23Uv~$Ve*5SXoj%9a**}o5|tA;>JDbD4w;23 zM{WS4UZJ9%x6nYGW+Hk`C`Gu2tGs#@^8RW(5v_sTqGNxawV_nu8i9pb5cDcCY_GN_ znl+GXBp_*?b)n9}l`G$>L^GVBSIv~*S)$Q=gYvkZ^`S1pHHv9|#SCQN(1YfsM6=~| zeg}Nuj-E}SZo-wv zohfa*Snd49-U1N0In-Ua@>P@f2Gr{tY~#mJ=l5v;lV?k)2LN!FetSM;Fb18;^Jprh zQwE-jP%q&c$NKwi=#F+%K~{i3a&hk=9jox{3uOxz4pY&X zz6TxiUJi{ZfgsoREQN?^o}-~r!ZnAb2ghWr?=7O#2FPxp8;PySG^Qs)IKAwe$C;e> z``w}M~Z_|Qz@!n^0>2;;z?^~3h$ClClUAk?Qh#hVZ+ z5-vP^PHPz-c0lP!jsk%|0b2O%t2Z$;OSqP^2K*2*@L`H3CaeJ+v;)-JE>tXB>Q;)k zc9^Vh?O?LYNe~}Df+^rl3e6TSbt}bNRRF+F7Z6?kB#WbE15Bp3eQ1twt>T&R;S_uZ zHwi&T^65XWlB3{F4wVSkYStYePcm6QUdR%XuX-exjTxZ0Luf9>ZH?+Ff4mGpe55d6 z6*Tue%~}2!mi6P^QfQuNG?R+-b_|sY*IJ3Q(;q{l+-gtSA|Gsk5AwyB0&uERXufc* zGqRkwf|;%K1OtP>1^N9hGACYhs7$!Z+2y_kPTfi+PUUM8$`ePNN(n6xuJ!6vxCI~N zR(EEVhM}t;W|TKIv{1M<$gdB_S1_Ym)fuIMd^#O7%G)`#NVqo2XR+|timBUrLS>dp z=Urx3m(UX7+RPKG6~>}9MP(OgfhipmqN&qH)e1afw0gj*NH}C z7QmUFp>l)CwIh!6*S8SX+-|3`<}uQrwkl1KZh`l=O;TvR!H9?FDX^tK(<*TUrEvgf zA25iw;9A`_N}-LSaUik}Pk4KU;5_f-y!35Y)7x{{5hA`qnf+%qd;)LZ5WL>qtf=nn zGFk6bab8-Z=-f6bCB@q>1dnzP9*@Ca+(AflXB&@>mcy`MzZ1!2W@tNjx|hw^ZOqTx zyEMV%6@f@!b$o6kQoLO$g?0$3aVYoeAKD3??vp89dK*OCX8#D|$}0kYO4IrwPP%i|;8_EsD6e|XjNC}UuGYB`Xy8YE)w0B1tqAF9KIyW{E zlSkDVh1;m+CULgKdVjRaWCrz3v>K$kL_=KT%mGpl&PD2#?F^>IBA(-LRqvy;N>UvTnl$2k1eO{wGxSOv zDQPU`H9HL2{X-}xrMhI%IGg>3yt)p;Eps{*k5pLit4EYIw*IDotiss3bm5Lyb8a-? zHr1D_p8_}1JFc#)a3^q{@(xDs6N!`e`Re5ok(FdV$Jcce?nF*geu8=NiMshA-+Q@w zHcY*39;v+vb=`#RDRMAAOkdez^eeiSxts;a$PUsPUbY_$30+4bgxKq_ovK2mC zYq?G`94QO#Y9e_ptjiMa&g@CGZU)TOn2v=jb)Js7d1uxQ5$-hhq*|eDTh&wIH8x!n*&yAd=$%(LQn-8Z&J`U7luqZJE8fLKYH8gl;qJ{FS9Aza42UH7;NLGK zbu_Pm#WpZr(iHWCP*@w?2^C5=!&t*d(%*Uc5~ zO!iwJVALOAD>x*5y6U%DezusD`jWbN!rh-ku?J8Z4^Hv0PggbXxxwTgFRd#DssrR3 zaMiy=MEhkwmiOt99yqCv?V3{m5>oSJhEz9SH11%fzO1ec{RYa{knYD-@z$>(b<9Ri zp4Il%`hA7;?pKvk-2%~A$^BN;EfMa)d~uxhYq%F*tMiM#2k-FJA;kWbbxZNzS@QEp zaeWJQ|1EZPK;j4n-eKGKqqSWBw=k05=1O(TL}L}@`n{{`;03ye%FPKT>o)}`C9#fh ze;yv9_4@|W_04Rl4&LEj?zg56-l0321FWy1e7;tXU(g2;;Jw^FL*3YhtCg%@_mJw~ z9q#9T>+04DcaHq45%@nif&W#bCF+J`_vbRrjg58tgnJ~MVK};9uSeNPz5#*2H?Y*C zk+^KC+b`Us;KSg5zFvf~gnSr+fphSWnXLOZ*Bub<(ehuRhH*E%_3PD?GMFv_2D<=W zC?GwsrS70`kCB@eqqzcQ7w`U|zo9#cjv&YAt*ARJ-1+jSux4K$M>)w^WCFoa}G6Qt) zs5>Uy7Qr9jkhcv&8L3VaUXFienr1)S zFb&#a zfY&@XYUA1lKcH>A6gG$^6I)%dr)?6-R72QkFi@qsVNtD=!Y0uq)55uTS2)fX=bpq7 zeH)~z4R=+ux@n-(&X(#7ZRNZT>Z+|o3S*hQDM8<2qgTd@rbJqyBnpUI3k=}|IK2Rb z(+lITR!ZSS(Uin~$L?@DkTn_B@*#*r+j5kRI^(cE;ETCB;~*$)tE6y}XiAPT4sJ@@ zdP5kF1668_<4P%0DqA8X8?G2|0cY*vlV!3a!QO3pu3P-h{ zDj|W|7fu!KX>sxmc+cO!(f_8KE>JkCZFz{T%zo@0j(2103T|7nep80BlL8kKOdQs> zm1v6ho26)3DTUL(11z88Vr~q$UfD%7b=CRu4IGwlz^rr?5L+aY$ z2>rjQFovXS}BFQi>99JjvWremKVv_;SPKO4t#Nxos;P>w3dh3)AT$N zh9#dR(~iUkaIha3cnN?2J}#uWc{Dr}>@AjmeFBY8Mi1cLkkSP}>k3WdKInn^UQ#$) zH1&nXeZqSz4D&r({`C>m51_(M9QWaf{&+aYWOC1upTO+-j>Z^+G5+J$Ec_cF>A z*t~@(MLa1qkYD8y$w}d2;a)CL`p8yWfx>51=|kDD3bZ__v=7e~?iEJ4egT?0q4Z>; zReaCWxxo2Ka(Iq#ucQo<4Oi>gyz15v?Ms(Z=}R5La7l0^34VgDHUlMx{8z23zeil#S%$H#jBBOh(!r0^QiG>+zr(j~kW5#mPqI(X`sin5583iOW8FIQLDY>v5T zE0e;wPo!x=VgaOoFDkUka)B*`glc+ty>M@m-|CIF!186!Z zg)2nU49$?)>=P%c`$KGkMIuI$_lcTHv2r3)!Nvzll6Wd zj=XL5GPEp`!dU2(n)r!u5UE#Iil$=L*M#3vl$D0?E_@VWF_hGPR6wTe(CoWK(;PA^ zO3!c=KDv!9rOlBFnlo5y5O1+<_3?wMMY1`%psAY_#)4+kTur^jD))+}d72AgbMypY zA47N_1Cpl4XVpq6yk9iUCrz*P3LgM7x0C&}IgBV+aAhsQp5Y@a)lEt^hm59pDSS{g zEg-(pc(y}HHiVH1l28c`0JN%=QuwfFT0|az(mQ+vWbH7Lp|#o9qwG@W8mGN}KTCB0 zZ1zoP*(!yP0@)>+p^H@>6HQAsLua#Z2VkWkd|U-&L#I_r;S-{1InRtf;gd$$y;FW~ zkjdsWp!8(lka&sw*xl9IjB>`Ksl61&-p8hux}0j25lJ+yVz~iX&JHMD3=xCD2td#e zR;qPU1b&y)w1()1z&SB+&dyQ;72^>BQsuw|ZuMF1K^vPF zh*RZfqHd%i5|59fk{uwMS}8@~cQtL$5-&FIa6pcbBJjI5GW{8mcA$Tk z{A>cyfev)$$LNQn{;L@Mz{xpCiX`FB5ZI}T!KqcY7foAY^keQia}AMXpt4z~pX;Ou z{4c4gLZ?3mfWxH-{46ZKV*L9>IvEq)yLoS~%?T6ZGVAms9Qfm8>W4}0Bqkq|B4&I5 zVH-O-vC0&nw^L_;6V}doM2e(}Cd6s#_&ZPFf7=-%oego+8+6c6aHSMUGnkroYo3nH z1rc{8N|7%3P8AV?f79#WJ4sD@2q9<*7X%)AOd{}|5P5-+%t$w|v2%Qk9PUmVV(j7P>^wJ2?Dtm~g12H;aa-CZYk)FT=fEpoODMfmr_n{ae8v(gV ziu4vuI93cz-9LiYn%#RSlWcRsBs&+xtjYw6I#+0#(>WP!)1*jW{G0kpF{Z6vnIW3^ zkz!)}43rW>q@Tek0T2uz@#ab?l8MeIm=NM=G0JQy(qA;4q=d3EATj{Mi^GpdMB7|l zP{xoeL*k7%?ZrGb5p8pIMN3~Pf;W+9y#4(dL3cx(?D>VV;^m{9kC|6lG8ZnW6ng${^ZYMD7Vy zlU=5G)4r75vcVB#h7M5v(B_7yx#KAD2d{zS&6g`l<7P#2;rbus1ftC~1^=@^^BWpy z1Jfh(i9o_&8cLxQ840|Ti9lkRRyRsW%^iq7;#d*NY(oV1R0EFaBbITc6d5D%Km(}` z;#dhvsWF0#4*`PT#4@gwBKZPOK9Ex}Br;aG4^isU=7xrMW5=gv;c>Or)su*-m7x*% z$%iR*Y5N)Y@UxSup$bSNYiA8o~JhUFJWXB#$n+uc0MQ@3+SWvWSFV^J(R?xLl zicA1XU1D;fRZbMmhj%8SZwZe6eOD9zLQKN zr2O>^%@Di0p{b`7nFf@4F`gJ8y>hx}rpvDBv2dHaH%f*fGJ^p%L#$RxkwVejm$GEa zh{#NQ^#twkv$-L%?$I%+KtSpkuSta)f{T+)ks|zAKTRt1x>=BlOie1>FbVEahDb5P zX;Pt9N|D*3c>qg&Ze$Mpxs!3UQeyLBvM45X`ZCA3Ro6-km&`*4G%R_ytDf$@`DH9$e?Lf0B*(kZ%G~-WQ+DOq)USxs6 z;Nj&Ho010@>?8a!{JD^4GiMTM3e=o}S+-C#=NQY-FF&%#5a)@bl@gl*2~lt*wTLTy@*FO|L|zuz93DKb75p+%H9ziRMu} z5@RFFg-6B;JcP~{kCO5e&RD9KlL8tSSs^^}xG5KV&}<6Ko6le_Ai-p^>lU!{IzF;e zcoO8N@c|!%*Vpr>am#OMkxiKpStUHU1+JF91Mk2$@TY;xs}qorRVGGO%Sj#_y~RlS zVAOr3F((__H0~QT6XVN8(-hH)r?7?SFRP!mVw)Qi^O4&69biK~jCwQHo3v#25sKsRkicE2YRL z(To@adK5D1gC_IMjf)`I03gsI#&Mk#*@77}joCISvQ>Dn%#W*~Y(6S$Vm?3O`mZ<9 z6rCKY5T5q5oy6vY0QY0-Ug%CyK%;pr5| z$qL_Mz%A45E^-zBSVZD7JyK~fdd!$6K-Rkwg|8;e^FB%GlGx(5(_$cjg?rW5uKGn_fi8?8reVhIGD2N&{k2c*z6% z)>M_Jk|0G6iROi%k1yWnNIjjD%1I7H8IuC*PJRPkt4#>jSb`( zsF5>WNR~E8VM?leu#B95xsjv7)1AGIGw_Yh^kY%lfWLTepk$e0vC}_y1GV!a$AqT` z`y6Kmpbi_cAT0#=`UVn}(#Ub)>B$bq8RC34?+d4Pi-WHJSLR1f2oJ9Rr}awP8CZog zW6852h>z%?r&1O^p#(Px;V2CWwDvb!9P4l z>3n5T)F?a|s%SuV&g^B4xtV0cQcJf1DT|{f;ps<9K(;fO&u7#$$YzykUt!1a6Uvfk z9Hcc<{yP-XnWHA#(+0N8oAH&qoyZG-sGd27a!QIKIo*ti2y>o3r&Y#_=Jo6aER7}z zPk%<|X-u-GQ&@9tB6L1ZAQxv@G*NiyK0TA|Y-bep;_gjq?(AF^xj4(C?Sy9_=g!V{ z2Z-tdY*ICCqcna$AEXL++E=|ErcsBvF^kZF+&JIM&2q}s*cJmh9 zcAmvhoz0P=$)b5H+s>8I4#G27_QCZzI|^kC%Psv^M~pPx7O$*|b`+khIQdbG(OIa| zv*Yy7K|zIj(on9Bb`qW;YTEEzdz0;4d$OHdi1JH&cqrFI&B8O3C+WEk0O&wz!!43F zOP|6X>?~z%G(~u_d6H@{GzGWtBz=O1b6qr5c!u#L)gh380Ynm3OmKZ;rcxg5Oc44c z-J*TKKY=Fc`e>T)|e#YB(S7HlN2!Nm?C;up*Bd- zbkV#gHc8KQNKrOMy9&<;b&{R~ug_)EB;BHp@Nf9&m$Et9-H6i+@^9c|oEw3%jbDW_NM%Cg^va&1`5(pCg;Oh~ zXm3O=huLz$4?hRvb8fQ~?E}x@2um@h#JN(GjZ(BPA|y3dgN@TGGax%0tic%Ij#1mW z4N|lpOxJNXU0b4=0(88+;Cm2&O!^BI{~Cw;;FPV={!|}G{hsC{9+0i_AHxMfN=0;l z4ll(?g8_f540|Y)ZP9@-_(ZC&x<8PHYkPE14Bjja0esuHKTs{@5K9}j5~2s9BV!*hqTz)qZw4WHFgi+y2cjbZ zAEXx%l|#|dG5AEPuez5@h#roPiNTwtF@U$NB}9)z^H8sI6!ldWOCDi*G@6eFou$*z z;e|9Oco{R`F7G-Bz!V}$AP=(yMyjM8*;_%equJrNzR!vj;)SACL9n4XMIh`}dH zGXP(|hA=%9ofv~RQ+?HY9f7G&icUhk&eB4_e~<)3eTL{{)axuoebqnu5TZU~bc+6g zYN?1=`g|84>N7>B#y(&~!wXfHW&%-PT(m%k2cok8?@s`tJ~=uq2A@dvReziZM1Aqm z=`nb-lmYk)CPFkJIs^4OOHp6-U=ksk7%fD-&e3APw=QHv+eK&UAE=g)#Kjm`_YtUvbs(bAT z*N)LSsMnc_<4P5Ot{_}HMN3exGnI}peO}7Anxk{|FH}pbiK$Oi5UMHBd9e=|(eOgm z<>`cKY83I8sw#l$I>4VEPndR&BIwdoMIzN#o!df)rbWwQ@MdW@QBXUdFzpgufCinb zXsGg<3DflGLe%SAwe&!b8|jQ{*XSbs6Hv1~h_8?LB3!#g7stK}@|AX<)w7>7x_ zx>Ug(7+tS_1RQ4d+V*`JVLT|hA@&upm?o2@x`I$19Nnn*A(Ux4xyTs!vZ9+}U4Zc% zbZPsRWnxHlbF2$cMoZPZ354>{=oU2S(u?yyFH}4@NGNAV5nKJpV>DE~zn*zKEQ*LK z#^WW#z~f-WD`h8senk)bL$b+=%SUk0KV*8WJV2vHZyc zgznfVA|SOM2VUl){?iGB?YJo79_-Jdf69o#a%@D$MV)T$+4_v{h^0y^Iby5_eO$^mFsK2n3Fr6GlI1|Hk3+iik z5vEh32x4NG?nS-DPL6?ZY7{|B4Am28c%y(&Er=pmiJ{7GJlcyG)oD>gDe7N=uUUj^ zn^;T8PLCoy(LN5@r5n#5DI{!XL{G&UAiBiaYl{fo!g?vz0MX4w!>e5h-DLRNJ*r>nP1XWJJ z*9oS>B85&v1$+zY5rT}AeZx(bt|G-uUs+g>kYnTk*@Ji5`-+q}LUvI-VvLdUKkbp?g*IP|BokVZ-yDRI{sje08V3)XnQQRz+@6}a-`Vw#YWQ? zJFs4{ymqp;IvZ_Csc~^aPLqFEWcuQ;>5F4%s@aWG<7g^KeN2``yzD(;dLWw~B%$d8 zWI%GzB*mr1$BTp{`F5`9tG%ZG;2(2sxHy@Fbjf!~;?hZ6V*2q~{yk3^+KYlqC*umtAD45@d|^xmNQN=P{PB9OSs+XuP~(-% z=8rdU%_0%k5jDk9qWR;ET(d;Tolr9XXyTp8xa2tbwH+qQ<#i|slxDVlJLx4A70$d^ zE@MRX$xLFwOrmK^$;zI zxz(u!9rBFEj`GJjsO*6<3GAHN)V=0OrW*lT6)Fdy43hFi3&sIShQ0VX8fAiEELWx0 zbQ~v;X7lHnEH9c-QYFb^`jNGr#tUi}C#I%M6sc+Qn=+a}%uCoAYDv;tAtkAkL}&Sv43p)0ca)xJZLhaNP&!W*X-V>n z`0Di;C^ONVtT#he(x!+mvc*0gO_eAR7fT1d83WN}sz}F+Bp~%h8Vc4~EFJY`b832l z=$a+BbVJKj6oeI)PI@b->N-tylRpGUZeXZxR1j5Wy*V+p+jP-AP5x_{$?`Jv!OK{F zvZUy(psM=}(L;VS9W7%~#-lY=Zv|C73I&cVzq<`h8&Ec(xwGC3s(Q{8z2qB5O_q;} zP>`)m|K0c+>|j(UL9Zgw8$;C#O%R5UumGR_(k3)d=ULEumgtiuN7kSPXn%xUOnNj8 ztuxRHqWTnzzL@44(X^Ecs7ilgGTIB#o|xKqw#Z16v4_R-Q3VyW`sbl_CR#yN#vIX4 z{?$aZ0QHZs^qu~f5oj$!E2!#MA~KU?q|q%OZKr}}-ySs2LNln!oGbdv4=+UvWj5#EYy?)I1QOW2EU7?veJjNZ4v^IO?ywb6+tCWT za`EukNHk;eJb=plGEtI-Hy?kox|+2q=Nc^!R-z$Z+F&rXptpI$KPLbfg7c*;ZG;{g z4m~-Y^pIAE2SZy%bmN?nb>X_VlY{X`5&nLxV7S zyBL!s*TSNHH3Ve};Bk}%&{?TvV|EygdGf9PsGo)cCuR8OC#bE!9H;7hM~Clrik7jd z5{v*VeMcu@s6bS#Ve42cIxyjOo+1iGwzW06~Z}n6( zmZd&6{)m_$zX7rOW&sNJ5?Oi?AIN}$>WP+kG07-@1>Gf9p{$3&on%Q6ljG!nV?ckv z3p>>~51l&Mk|?HR!5P2+nb2|IyQH8G}Cpf>SL2kGS`Muj;tg#rG+DbhZ$j zBXJNyG$8~?bVTo>hz=6HH_@x97GN-%eT0M!Hn@S~kbsRU5FiEYIF4z-c3zwtJ9eCM zli0DH#3}ds-kV159q|8KQ_eYpBiniZm-qbJ`uVVD&+JuZ)_iNtn%RyRX@6}lKK+Yt z_gq~F3U~arvwM;EmNbs!$)X@^KferR_;$)Q7_%M6EEM-uR2J|iUhgRT3*%9S4c!NuLI&-Z-c*fDCT7;C?9}wXlV=&iLv&#QhhGw0hi{19gmixn0gE( zHI}D}LVRry9~yZXAI^BWJ<4zWeUV!y`#MHxA;&>ugOci}x0@kaCRA&Ac@Se~YR7>&uSH=?mP==6%HMWc#NNplk#Fwp00+83_ZHVh+z2 zbL{i@Y|h&r{57FM^fD?fNOR}%zG5yu1%3w&{|KMF`O!2ge{vzdJ%$IuY4dnLF)!CX zF$zWaJk^hIKqXJF+=cIsq4-o+&%c{>KsOH*8O+5$d6EC|~_ zNM?`D=q;E_|sPNLXQU@Be->II0|;*8xDZE zyx6={E&xX!dJy;Fk&Y9WFiD5f*6{Hj&π5$sDser@DoOwD|9#gv0()E{V79Rfgh zE&lMC8*ynX^}n@zqSw31uIuTG9D-~P(eRl3%2ri}PS!@9L)dS72rrr>E^XIo$Ppx# z;?Kh;;~p90b$p7~yV^cA1Glkv;!sQCa`MZ4R6~l(LtzwzAsulE%P(jf3I0fnjT}n% z@M*Y*$;(~Oi#(n+e*2qX;7|wr)T@)=^C{S^rF5o}&~1jd+8etk8{rHcJ&oA^wDE%@Jrjt*m! z4GwL?0~bfR-ze(|(ZPsp=Ci~G`}@oAULtxMm6JAlsV;IKpDi}}?T?6i?0P!1m&&OR z&~y|^+rsCFO}X~F=skxW`lDj%0ewg@X$4#PT(Q~ySL`1;4Dt^*m$IWCn2+*6+BQB< zYz8d)yO(-<&uDMo&gYB!>_5!LA?ge9N9yh=xhBh3=1<$f7YHOi-uiFsLjA&hIB7nG zo{)T#@q_)?$rp;P_P=8@`WKhu{Bc=+m7pNaLeR$je33ws;P2+65L!I}W6gWMI2#X) zzysm5QodMh&$WMxT`VU$;V<8Z^73XVCpZ8ScJmcpwiAogQ}KGV@Cd4PvPslSxSc3I>F9Xs+$B^+e*s=A@Ad9aOmmRZv`5A22duFnSug1N!GT^-(_&2uN zJhREe*LY-QtSzI5KLdiF*=TW~vdby5>tnZ!xw%eUZc1H$4__~k&UbJwJ~9E)o`8GJ zdy-bjH^3l#fNv0`$@Y0D{R#BMClE^JJxMEMJ<%uj@{M8_%p*d$a;p-xR>t{59ZqEH~S(dQGRkf%6rrM38bJ`a36m_?6<#& z;d~OiQBE$VZqb_vAe0hF<9@zZa0~q&yBtqaD?swRH&H+s1RQdk?-Sf(Uxso|p>Lm} z(cPO!AP@o;IpYrsjt%X<#fG3$AoSEgJTb!R3ZL=M){05>&OF$ z|8PGJhC>H9wE%xh=m}%0z^8*?5Vv#fkJ0r{VI%1&8a*?J3W}W&qsgvUaAtoSr-EF9 z3BBBoIL((`nRJny#+$f4!IQ%FMQpnQs*60ok)DuUnY4k)hBtGW$HSBDAC;gLoI!AD z2R-sw4!Rn-T-04)%P!I1R?AHimyzg~=JKE$5WER8>6-Z>FG0;OO`&SC57RUjPU9ih z5j-W={sH9k{8nG&1)xcu|L}MXwN8P7R5w}hrZ!JU{pt8yE*ZEby6b14tgzcl@DN7v zo$fd}7Lt6C^qqfjJLsE^7m>PJxV=4oo@$>+Loud=7Ypc-_c!B_8F)lxQ$$|GaCvcr z<@WLTE_amc(A#iB-^|A_$g#-S!+^es?Vc|Vw_K!{UhYIGR2VNWVqCpA%yRn)>oPtf z2o0yZ{RL06zhLE!_cvH}~6g?9W$VN4`RXIG;L+^7(j% zJ5ca&uKf^<`B(PSA3Z>wWD%Mm4YzU!3Esl~2$twP#@cyuka#|IlErue;>mOe3!Waf z>1>(vP{Vl|ZTZwm$Z;g$w04Jhy}YIUKIC@=v|fRG$H%?ZS&dh%-Ydx{Xll7b#bxsT zDA6Qx1yo(>?s13FJtC%!I~+YF!~Pm9=M{+P%2=Xi-0R3vpw5Jo63|JmU{GPL)*T@( z_m)GYEy9w#RkB?R1z*7=aixeVU0tHaJB%rB=N1T_X+H-LafKdQKo7hKC`bby)|9tL z7?Zd5+rNQ%x&mQdSw&AgmUC40P;|8{ceLPba_yg@n_pRjKN`{F%9|-jMLn&9I|ff6 z2XKT0L^CzWN#oh>STNN#Y`;GqCo2}?51tvHX&Qe+GIb3vy;fqmh2rStfiwZ|j_x?Y z+u4r{!(od<@JI2enMSpw{2X_@;O(LO)+j}@*XPg^Mspb6-CXSN<_Km~ZY9_?M^WS| zxbZ#gwftUB%PkU@hnA5RySv4Lcfwq@jUJw*$%iHC;Z7GEC%N6a1u}kwnes;q@j$=W z7^1G4?`{^nn|%)b_$_p!w`ij8N7NFUCTjb-_X&=#N%C^+G=Hlz{t$uS{irL_d;@a( zxmyJ9k!!yNWAhfcerqH>K>Sd^h`L9AcdOt%?Mnd^g5kGjQ}5_UU6G6t`sx68o8Y~| z_P6lF+j!60G@masMw7T4=x!IhxBV}$DQ{=ukLJx~QKJcN2e~^0#{va^2tE027?!sQ z94|9glXxBM?i4)V{thhj+feD-Q|SR?HHp_D?)`%I_1h1@;QgjI{s`U=kXCaD2t{`q z>Xr)LFW3G6rujEB@kf?-fV7$f5vV^6b9V{e-{xiOQC#Ya{I&&gJAfZ-3Zp|P0mgT@ zyIb%9Vf#-*Q4V%~OVPgpKTX3EgcHd4j*c`Fe5n215Kd;9hQDHZ0=Df1r5SKMHj*y* z@MIe%@>iG$enm1HKr$nt62FC!mV%G4|A2|?SM*RiJwP&}F-iQ6i)09nv+VJC>d3F4 z+Fy01CrD&8;X<|JBdr84fEgNv!hZBe50J=c0Fr7aMf!QXe2o1I(0Cht>~<+}JNiU7 zutL!>g;Q?>P2FB$Mf$^Rf)Pv{up+lHrQBX>MFxn=)3ZV#XL4j9+8XP(zlC0Od#^8Y zr#-bLu?+rY5iWHzR3_}T&qBlp0%X8%mD0LmlPLJ;PL`JIzB~sEi zt;iU0dA=0rw8&V&$NTL!kYjoT3I9i$(>uSt55gdcq5~C03I(5l4uk|IO4>exC&R%5X#W>?;Srj4(S4>zU_mB@?O#y?VpA0V7EQ>$!#l?>h<3CCe`=@EWk2yac69*&v!fNPi+H90h~RP{K;)( zM*97?lWu*oH(-mlwDeVO+qaW`5DqYrfmN^D?lkVBHwEw|Mf?|1Zwg?o$`hy_W^c6R zXBPvM-}iP)w1%}RZ{WQ=8@_Lu*WOMG&Vh3L#^T_s_oE>!SNQ_3O|`dES-=X&l)m@r z`!HCo@&}Htl_hYHQG!&yug}don2NP3v_gq|S<%LR7{(GGR;ujez)e5Z2^CNkr0@N- z9i^ltVRsq}R5nA=4&$J zX!$%)C29V5`k1bhECK=k@CqCFlP8CWC9w0{L@PBrxruNBzglebpv`f{HW+%Eb`#(F zJAKEmNs34g{I$CcGtc|dP6QO<;J05+vJR%EG!;#(z>~vmKFH>yiLs~YOpmjRp|z&X zL`rht-$vVfg3XJuqK-1`ZT%7LKlV4np#e<;l^tz9!{#efh&2%NjW&`mKF8)OtPnVp zCz=OSMKjV8$0yxL3QV34^C$X-a41a#jh@;9TNBSrE9!Y0icD=TLZm3ZPnP;ECRN4D zyFiO+VJvGxSGq7jh0xZUZIMgYyameiX)vb6KU4ZZI9;UqNn5g3D2pmNILCVmSZ;xn z{F{48UsU*DMgbK~n63oJGllC1&Thg_=3m0Wu`e{sFTLxycazs0$Yfy)i zz5SNITn);~FgWheNwgOu_m$QNA$&wB01N;|Sv^7k|yjl&OiN2+?S zexiHeS1ER6pIvTAJv~ha;8fy_&QS0EqDSDzv+Z(bmphsde5!|+_XHz-28f;kcxL56 zyS%w(1UrfU*cy!F4-~xue;Q+#XV~R!sFy+B*k${}`@l%wL87-6IJUqp&$i3y+o&kR zzSl3%2917$MIUeA#d&slce}hVv2m2nzxm=2>TCUni2T5BI@#re?DCOXP1t}}F&n*Y zz);aQ@NfO>@3R6Mp7Objg$gS^!B4~)kJCX%V=KiUbZ2oD=222-~=FxfsZ4HhEVDp%cR3{)|E zv=}1mZLkl><5HW*A=4i`j2MF=X~g_i6I7x3>(6bGd@^#Z7)p{pu+u)Uht4`Mr9WK+ zJrop*VS&1t_JRF&1*4-PP3boVLKCCMixK_+K15Z~%C6{~o)s;js~u@5HO2V3G6y3_Qz zVoIQTlzp&`eXw_m1*OiIC#G6~tN3?+`ydW^NB@{PUrY;pV~Blln0;^rmM_rNW-Sm! zf%iJw2gle43vnxyI(wlg@&+i`oOF#uLTn&Me(#(`c#!g_NzKTLd%g(V&RvX~^e7l@ zf@fdsj}*RnOZ1Z#w2LQCZbbIp{H3B8a`9d0iHo{sQ0ptjN6Y*;;8h{KVLgeZ4R?H6kIq18{d>5OLP)zf`ybp$S z`8qKta4f}lv90f7H!?t`lpmgm9Wo{B#a!8?NIIYzUFuKXtg)#pHi&s~q6;C99isb? zzUa4dqnPiH$quRSQgq*JUJy!MwMoqP%KnDTcP)f(&W0+(t2c`UG0~NwJt@1lbHTxy z`%tDoRA_2l@gSpYEeO2slOCc7-F1&Qw0bOg`lU1E81OmqbnlD^RE%DrXiK=sOmt8%YN6YGxjPux`T)wL==@d-UFlj^4DW4wu|^Xox^uG=)0#A25PW3Rx z({PcsV5GN8*{~KK{O5Ie#URF8Fy5Bzg~7q^?UNvp@pJ|x>lFvEMmjdt3!ljOxsSOX z=K5&X0QQBVzqbRt``{H>&bPWU*Jdtlctn|fW!WT zLf|Jgv78GVn487iY{dpP@qTv%*npdq;&tAb$J`v|(pGEKYl@Q_mXV=^pVZX((`x4C zGPkQ{1N+e)N&^@0lbSg-cw2Yo!eUD=vp+KqG z!H@_+Lu;7ZkGVjMl8;mz`n()`gi=MSb9O&-2Qe4tAW1&3FZQJw;3G9nq*=~u*~}fr z+!2b8=2w>e1m|6(rZpGMq4%;b%!Lp}D@MXN=6yFY(mX7}&XYr!JC?cQG$So=*!?On z5^f<{IKK}tcLHuUwbfj7K3}C*k}b5GYf2Fv=Xf>=N<3>sV`7$WL{bJ%5JdH zDpO>7okt+nrOaKfxM&U0Oe8KcTZ`7ttGk%Hg1M_S7i};TGE7CS+lV%f{K5hlP^0w1 zR?N0-+KRR`RNPWrq=_UNDvx3eJ=eCK$J0&@l}HMUgb7$Ap(a_!TmO?JEf0${Wsx+i zy*RLkEJ?d8kGH+!`dB2LMFtVeNqG2WbwCx1v|*8fRtJygz+M0agv?o_9g7UGvc-XY zWWnJiWjS?yS!4){zeiWZdQ)R+rfFWGmDI65qt+&wfo8hO~WJ>nQ3+Mcq%N@ z?i3c8VRaVp1~8R$=pwS6cT-tp4vQ=#caqwDl@5!|&J`VcMK-d?R^UV`d2S$d)2W;2oa}sS28(QG zkzIg@R8lnwnhAIAF1k3EZ5G+XBKyPqMO5cCM!>8<*g0f7q^1$ zZhb^|zjI5hVdZUEdA26}&vdd{_k7VK*}1%tmFKYXJWcqiK16trzM`jdbtEhA#>(js z1xa`vzUz_Ov!CeYoZiaH^I7>oL-@&L5ZMR$gofuh|H~^9PB(euqcav+^0Ne4Zx!)}5)K zyzgLSw>cMFtd}V7H$?PzzA%85m$34+hVmoLL3#h7Vt^S6)S*G$ADdt( z3>YQ`(oi@s0vGi^iM{^<_wKoY!^I%yy*x&NGn*a2alHW9s7iIKw}SjZBgA0mwW%ng zQuG&O-UP4{H5CjD9w~ zreD>9N0EX#0+#;mcCbmq5O5vlR5p`^ICmC)f{e)gWMCP=P<7iHBP!ZiWfYLXjnv}d zW5sar%?=c^12ZVhkWwWX_(40M#}S2Mgo7{|J1~bGSW0K@r+~M2H-Wd2o*d392D6GW#GiET zpB+Q$89h;qcK&TNtC+|t=E4g~!R~j2(Z03~+>M#!@r-dQJF<%TtYQN>utdv`7AW2- z7PE@=)?`u9g&_9WDPpYiyUDC#536(#%7>>m6$x$5p?p@^gjHtZ*4Wf>)5JLEPaRlg z8&;Wx3_2K_@kL@hDZ8>eE>gDiOHV%lWlty;6O6J^+Mkr2td;%K(P(bsbTN^XUD=;X zq3mR-?86i|nluARX{2mbm_=n!_W6O(_2ijilF_wkkRSAsvZu@vlS$dK7L=W=lzlEA z;+Q%ciE5;5D#U!~r8Y4G@k9HSMC5&Ij;P4j+OF)MT0Cv8m`d8N9L_37leUwUwyU>6 z+eP!lG^c($s~pcNC(<}fmf^R$LEx@|k?ik*F9lx56dX^k6KaRn7vfYG72bU4{bsB2v}MHys`vZpR-KNCJj8ag^D2&6{@b7 z3iC5}xtK!=&}E1ROATC}2{&L~3Cg4ojbN~8(e0i!Hg*0AF_#n|Ytepl6|a7uaK!?+ zee*2RfGkBXMa=1OTC3WFyF+Z6U@UWjf%qUK*vxW#Dnq z8jojz^V^Z^;biu37#f$6;u9qlEMN~eV-KfUYsJxuLVQd#ykrA>A?H8+>=0vzrpRZJ z7Kjc|DxW@-#12iiHVUg^Jf!DewnZ$V5qUU;9j3!w0vK6P!{dF?m6mT6OKC(N?!XSi zg@oMXsQY#Q=h{Q7qY_=%b*M)wLfe@ zhgrEplsM0N+2Q%@a0y`~LAA6UCb ztOh1)${rcR9+^yi;A#1Jigm=sMz{~_se>HpfD3#ylkxGdq=Jo2@F3PJJ$kKXT8}R* zg|Dy~KEwuzS&rneBe~S?O*ubI;PO5=6C0gp=ddFq*byMFfYl7grU1}CyA7;tfmgA~ zar4-b`Rqsubpt8|qqf}2gvXLEm0DCxq zMP^%a5sv9w$)W-)X=78jBhz<_!yoF!jwZ9C@-z;51?GxhtiUVoM>_9zznq=WI5>mh zdr^5)A+bXan5PD@rv^b-K>}*O%%B0Y3rV~?sjodXiaj+3!zHL=?YG1n1lxD>G;zQ4 zA4AzwFer2G<#|h2Fo7a+~X;A9&N{-n$4bCLbElMz3iuN=51k5&1X+7u#nDM zvDkkqHS_>)A$B>xnZTY}#*X>OYSP0`WI(Qak*&Mid7%?KX0u~BBQhY1{&PB5-iQ5S zdz=quv137Y4CnQSWYLrK-SGXqm3Y9Cn#{6-V3+uHr<8?KIradHyiXEGc&JnawSVfZu(w*9f2c35&v14=DF`TcXxP5IHxJ9N@ zTe06c-IpD^j~(}iH3PS9;bWxPNOQu%faM%t!HzR_9Pt;$!w<6H1S35Or{8g(Q`4Ir z@5YV;+$uIcn5dk_<9*oiffmn(=GR&lE#kj#f6U5UoP$#J;o`4B1n#=MuR!-4(w z62z%^2$`z9iSrHAUdc|bqke*7ba8%gEhZnH%8^@=;+&hyPHteQyfnX}+yRs-AW>rt zA4yKmA6Bzdes(I8CRh|>5`8S31>Tx-tQ9x&JAYfuPPJjDdS;HI;wBh`^2_Wzj6_u) zbn4UCsov}qjRv9}{_M|IAYjQ`aI6x;zbv=dsX}&2f+y5(3a~bqh)?HOB2IO#q55QY zsz?(LulCqLqP-=@@^G57pPib{PR-P$!>{EBx{y*bki5#9JKyuLQ?uErxteykw%3=S zyYp7aW94DLb2g8in$J!x#0-je!?)#!Ez(OSpMvxyuk*v5>=f8rs`LW?_Iu$njC0-w z`K!F8G!MiUbdGL+>wg$ROS~;FqO$L_V$Y`FNqeXLv3dyr=rFWJF{m&aLX9AU67qhO*LW9X5dPVQk#pkR1}=d zWY0n-twM&{?tBTBDhr(74`9ztX3s6n_+1h{J(kUT@@0azb#8sKi9NTUo%UrMeW`w(N8hb~>2xQEs3Na_z%c2%hEq zb{;#O%1+~J*WX}X7*C$hSHgsJa9-KQPN%ce?GY|tM#KJe20Psb38)@VWk@Akm|Lqw zWh$Mnh3zD3kdu~e0ilDk4(v3(94e!x?DPnBdJ+;)MP+lDjfb|Uv(vLZd>!2(QTIoB zDbI0!<6%{PR)xcks8b9;PATt{?EIz&t7^`w^6(l^J`kCtytDI-W~{0ks~Vn3NV%8~ z;z)+-;=H$;RgGj-I7<{JBFqPKWI*LQms_){S*&U?a5m^1$`QZqYB^Wdv#R~98Y>vo z3r2Ee81-~&b69l;R-J>JMAtYs1asKmIk=UbS<23=#cfJuk^fj@xv8QOiIZq^yqo6n z@d1A4N9);{_3Ugr&`JSg`H!}i+uZA|Y!BYEVRFyxWM@h(H!Lc%yvRh`&dxStXHz|H z3t?4eNy|3DMS75Q^Umh5vpKMAXbv{@ax!2gpXeet$as2)zn$$w;L^m3zl@MiF`wjO zrCU0CXFJIU{1i5ab^F##Vs^5N6TR0GFn+vt8I(f=eg{(0igc>1>+YM(|-0Q=T2k&W_3~uu`j}1-N!Utb?Byxoz=) z^V25m>@-{%ro$JznAnC{&U>5L*|E_S<7!6W5`x+#& z3s;od61O*1_*H*)7UJC#qjrVchYGIuW@q=}ic!1L&8LDV(%IPuaYd+MjdE{?6DB*ChYR)t$!xG6=kF)`vE3a7n}$FN))&qV zVCP0;!hAH>(Cn#pWimK(ZXi2{gx}GkavDW2_zp_79Z72p=eM%+dkLR6mssuNz63~i zx`0Ckw90V)L3Y7QfCR-hzUH%(>`f`<&5owUgbOX$1;Fb76$6X?HJMEME*EfS3@s;I zXw5EQ&IwU5tktzVGC8|lz?rcCida&((1BrXHH*q&l72au2K*iuc&5-xs|pwTunQvq zNKgzc_I5LAP9JaqXvWdP!UbGKQXCSD{j3k!_`NRh%y?Q_xGpIum@SpyXNa162bpbNA!kro&b;k&R>vj!-3wIi{%AKAWq60I>@z!U2= zYrtaX9|UWzMH#@8!5Y>XE^K5MC@}#qr)7o%$>7cPP$uvc*as{%T-e4g>{84DjXf$+ zukSvZ>>|_5VZq_eJz&oDy8uN+a>?Oh zfL%<{+|il?dDO1$0vi?6s>8)*>>}23q~fsZP?G}gT&xQSKAjdGF1BJ9jp7h>xFyK3 zc9UEnr5P5jK3wd;E_PAe(c(iH&G2r(1ztjk1q%=t^Vr3niaD%5Jg=Bbc7cv&(;CFZ zaqQwG%^cPs{&n2O}GKCQf6S$i!Plb_qn1?-yZ$m8a)Y=f13UYT0}PJ-@I6j-u`45zPs8>{K5 zZpZ~5n+p>oPhUe2dCQTew0`KOy1--V4Kgf%BYys#f(1l3%>^RUuRve`HL6x1uxOgX~d43Q>UxLD7hZyc>m z@Jh@+HIZmfcUKF(z>g{2cYdVr{HRctg{p9r9^OJqXz5~Ue}UJbW!(bHRDHiWh5XzM zcP)&?LI?Q-=ke;9@KiD_-TF6jD751{KgD-`y5+6|eA$Na7Is^?>rrPB;#-C9rqnR3}^|w6I3hQ(OY&wJE<_2`Ma2);&%HegX1 zMEedMq~&&T9}s+PoM=NPS=mywynIaoX*D`E@2 zIZm_?kEY|&{nWd9M;LNLOfZegAlj?h)VcaZk_6vE;WXWC3#`s0+P7xOQI;PG2);EY z+A@?%*?#2Ectgf20YOOW6jZ zl`r%rjSq;VKu+6ZvW0_Sn*VT}3^W7nhRYC@OSR`zU00l6fQS`Yz~9IR63Fak2^`tT}uLIl25sdEtl5q*x9 zJ23*c3bcH`6mbekO13*G0*?xhS@7gU2AyXWa3@FLOqDv%!v!i98L}r&iNK8lDc9h3 z&CsMwjlhK>QYON8BT`7f(;{%6z}h0XZ6aeVH1(ug6oKbd>il^;TsAG1;s~6k(k!PI z?%iUM0jXrW(<5+~N}VtCfS+banGu1fRO&oQUYaIlW&}PGNI46)YK10cRs{Z0sZ#+L zXqBO4b_AYLsq-e@utrf*?#_w8DJpe-3(syH?QMX9=0@NTfs*eGfG4IYnHPa8RO(bE z!v`~z%#XkeDs_Nf&)%mgSrCE$14_P|1kX!TvM>VQ2b91wzF&mtY_X8LC<3>q)H&G- z?v|lsaRd%esq@{9aJ4igORyP-DB*|N!_Cs9ERDd=DRrI;!@)A7EQ`R!LFfBqGn^|; z%W~|#AzCiMxAAIPN+R%VN}b=WghyqhvLXVfrqp=^_prNz^i%GxjKG~KbzbWRM@rMO zDgsXiw7j|wK9nY9bp%dKsqcQnKzs%qB;U1Nj^Qa#0fe`%Mwj8 z%5aXD)7)u+Z7MUGq+G=rVvgLY;^bgsN|Rg`L=zNGKQ@$oxc*MYmNYBtcHop{^St+P zw&5`xUxUn3oWmT+2sxGq1>q0ZXNlnH{P26zGVuStA5!IEJn!eD?_}m!S96NY(`8n) znR$Neg+R0*3*YHxFAuzviq<{tJ$KON&qq^R{dAlC!!HlSzn?xmfZ86;evx6Gy&Y{5 zAI02~7Hv{d_KOT^Wnh+WW)RgL!JhKoN$u&SH=*`Q%~+cCUW`&z`zbHg9$cVn524y4 z*^wc4QWyEDwsE%4GBE6g5Ew{*B(K&N3rwyjc5eBrQ#G{mh-p&E^z~KL%2=b3LaIHM{c&t#8fjSjk7KF!IHQsA zRJ)M7|;XWz;~=U7O+SxVzFJ7FG!33!u3IU2kD8gzjt;|pNcce8j2x>V zj+X8?>bl5pXgNx75Y6Zt?>EDBoo|Q zMX#K}KFagC6|?9s1Lm7vxia2(1J%cwz>o6KV8yK9>D8uRuAx?DvKM;dKF+?XSQLU8 zzkRn2SFMWl_*Ny;jq;j#`<;{(@1TPzi<#rG&r8LBC$t-9U9`W6b0HsEYgO zFLPU(wJfd3R4Rx~C`yc`K#EazDOE2tX_57b>QULIOBs=44uwG7r4U}leZkWk^~*Pf zREMH_n~9J)?9FL-WIO$RszA%o9&cX`g;(qdskd20?V-R^vy=jH#_F5XNKo5@r?=?W zZI%6GF8k^Z+{bxW6%QorCx$^~iN3mnT6rLNdb@6A$5o`$Pv1{X%;$MeP4?lSv}@A|!=ML7Yu(k2qW7uihMz(oyf!^} zy43WdUDVnFwARvhZ2_)KbfS3+{csN@4M$@dRD;qqht^iw?AM8Uds-^k~b)0LfafQD2 zT5{GWHhXNF@7fw%>13I}yR6d9|~?;n)C=GyAu>HXoywt==a!7A%@ zB5o1SJ21d^4NYy!1h11cZ5TQ+87NR@Xe%I*N*Pe4Q1@-#+ph^}DP=36oStRWsYm** z?Fi_8M#pO)QzsF$qC(qm6s-HW`j z(xZmA?s2T$qcS`EbRV#m7p!WkyLweK#Tsq}6+>OYP)IS+)b#2hD4?<`uU(Dqis`NAX$`yjs;s@mdnB>P)jP%`baHS`LSIXQHyizlPd=k@A z0MnARK{t|pHZMq-s(MS_=vE)e(2e9^RlZ{AMw4JwUt(w_JD%;k(FND%V~C#A4ABH4YldJoKcN`{ z^T&-`T$u^7IF^jp#5yAl$@T|Y`)(}KaM~&w z1AzPCC^>!8)cjFzynkV^YP1&Z7>P{Ruy`n&2 zXdIwzh?f*7u5U(l$D4U`0@Yo|9s^2}h&Yiegq*K9MEn@KJrHr#M2%sqCQ%dXc^+`N zLd1k&i~Oe6Js8#$D4zyMo*;46WG#s))Y=BLrm?L?s)#m98ty@=rnMMSB^<4gYPe#I zM8sCrRNeYCsb(PJs-oBEMH_kE57sMit5BzUPXg3w-V;Ne5)sFvPKk*n>Wo3+c^~>M zK=U80myo!sSkp0G*?gensu^-90TNfu>>b6#GU~C3=W%zI#>7xe_k~^~hP(YtMI9N! z2AEg_bOP)WBNIT+(U|z&0rHpWfW*W)Iw)SOFtHA!RL#*8!(7i*6yw)C>6T$P$UF^- z6FKija!0k1Rv;K7Hy#tiNR?@uEg^BsV}tzNv8UAacuwy3+|ig(g5`ND{TBmq_N>5Q z#@7Bucv37qG!Iiz28`DNt%QZLGY|+~WU09bKNqXHs8z6PiH48(xs>|KeeC7_0G+rJ z`nQHn@^8s2kxzy|>{Y`TA>Njg+IWwFFUgi5(qmv4v+_MqSpxkyFzhv8SSm{~_HutP z2IRZk4C)fa7;dd7Q|tf&uT(QDe#rpH7Iy1@CTldDFe-2OdCl-6;crf7s7bmq@}KeS z5!`KrJ&A-9c>`z0%hS8{V+87FckGdr}r-XA`<~&+9 z$?z$%Oplci_}HL(ft6J0ikM2R1U>e@fGh|C?=%{{UuhJ#N)@BaF-~_WP62#(D@O5a zj|>)UXD_wU7#OHG27y%s$MBhD6e=OG0!>n%5(7V;7S$(V+?JaMB+nCmJu;2Kk?6NE z0Cjz50sv+7NpXdj+JH@fUmXakQh^ZMv8q%c72H^k3Q_U)iTu<4j5Vp>zdNuxD0O(>`vohH;j0o#_7rX-jqWKm{g)5MK9%$v}TezHq~-s+GZb=9egv$4VIG&N5E z>Q*;b?BG|J*ePWXFVdj*Q>Tf8ggi9r)q}stGN@P0Qx7kaptm~RyuT&AU>Cb}d&-?p zol+HY#bbc3)vZkJOsc(`{Vx4ZLO3299Uv_HyL8mGs#|NFwxPOv*kcnk>`fSa$2L2O zITcWS48|F_x4Nxvq8&By0MC0AI8X+HRq)+Zx(BG50>#Xut0e5LZm(O*l5lh{&wB(w zO-6!c5Hu`FNB~DoYcV(~VK2c^nn@nnCV_9YjxkhcXGv89_*Qo$y7%$CA9vBXS0PLF zrjTDE&)IBse(Ui}+O zbTAme_aVeU5e%-*GbDFaB;!^$MKXSMm;Ty*_81@(LZ%rBoi;;TbRME7wev3LA^C4P z4>1!8Mi?8bNM@2%!Qs0@Glbw}{P$i?BqJKaKSr=ABUs&2cd=d?P2g5VQm~oe_RfZh6byL8hbWO_A|OQJc??oDH?(2 zh6SrfD)j)>R#PEuu0G~q1SKJ^LbFMWR8$)1AR#-tJYUz!6OqKyFUHi&KIw* z7m7i3QLtJEIIQY1iaXpIt2!Tk6%tm!bH%~xaf&IhBSzgBE1) zHxxw+>Yq?0jF9Ax;A#kPRmRPzH%k2akA!fI>d3r;HjuqMSUpjXtx0-p;nrlu9)3+x z+#!ZrJyo$+60Dv^++kbJV2xiB#c&OCQJ~&f4EHM5yU217ObsD~YdWTct&IVo>pK$w zAj2J)J2x1-0i#yyU`6$GrMj)b>KVFY!UE1z%mKE}QUK^We$FQ5Z1yq2WHM%(0RI@? zqWFg%B>V&7i5D5M4gHLw19Fflq}mt+ztJ=S1dsB9dH-Vyv;mgtY>w)Ak{8^us^?1% z2&gWQ+99C2klYJwCI4kF4OA1j7ls34*5hNcWY*Qcqh?*=)L6uw!p;((!jo>e=BLg2 zjjs2OEXk2J>%Z(J@oDv9-Sw8Ju1BDBsqA|6Ynd|ZHvmePE9UU4gm_D0Pp;JH6o4fw zhQi?*BNxvwXRNw{r%|ge5h!WPSak(S8?);1kTj81e{!Wlq$|x_yGp4Ch;+5m4q)h- zXc)G7EpZTFyb>|=M&q!G1&vrfBMys}6eiV471bMPVn6P%#}_3I#2Wc16!-WdLZq7% z^<~wYsctg6(KB%%wqe~HJ*h5Se3|H2y@l#FVIM61lt65o27%ZQ7AruywLmwqjhaY- z=Z7{VB2|G{Q|lh=WC|3%{mx>ANOiJA^$u#SDO&5O0jb2d3vpbt1jYB@Thm&?KpY5Tz-1$o}r4IWi!*+}7Tw5*8JeJ_@q5QmmOM;!Lnt)8(! z?AJ9M-KPnDP!kLjyk8N#Em&hw9QJsKz1mj8(L__G5nOc2l<+e)Wy*gl^rNCbgg(x`)cleWtnusl1wU8j6s%gHgsrnDl8;Si@)(+%*=3m0ln*N>DYOQiM!@%`*M<9}mJtIR&E?DiNWktP6sIM@nhuIl{K}RvsRes`bu45yS2B%MczXd8nW*LU6vnXGtD-(C%sZVlF$Fhq?CL(nZ1hCm>;rVDu^89>s( znq0*xe&tc*@_0Hsgs7Z^vjhBtf}4_~3%MxkJW32>GkZ0G^-F_ z4Bg6ELor8SHeKTLdzkx&ac%?Vp4lsbZcQJ}T)t+mOR%P|?4AI+HT{%f1<&s3Cl1eF_DJ6#xaVf9#EHoNAz4f ziFj*9==YDL_A}YfHaD{Iz_hIp@6R>^wbqQ%bw^9w(VG2X&z*$%xDD(6VGq?Eqw9{9 zNV^St3dpL_WZd=*5bsk9CE~5onGZ*6DD&ZXTb}n_uSUEO4sv;-weE_&NACmi+Trhd zCE%?Yukmxu1Zu4v&wFj0M!YKan5AjB2S1zUVzEaVgH_46uZ@$4w`QU-u9FndToA08 zOz&yW^A376;8n=lyyqTdZQc_@))Mi`q-`3KpPGz&&?5nFjovC!GgXm+WZar*3O}w6 z))bilY)!GW>{&eTg|0dPE920LwghP0&=y1E65z(CMaUbUo{an9;#e~73td4l%<**H zPi82Bk&Ih2QxS|p%8xkSfqieI#=8mQ_lCCUObg?l5tj-l|EW{qWEe#PUQD!~{BPsj zC?j_GdmAz55X_yU8JVkQS76(DF_^1@5Cn4<#9;11;x3#03sQ|6g}H_~W2fU`u4Klb zT*HjSwvEM6u6H-)A}&Qb$_xg}zfdkQfUJ%>)GSfV5)2;2>KW`dES+Bo1YlM3{_ z8ilj)N{qf_`1NbAc@yUDU7!9L`ua7pv@f3s&-*-&21d28s-u})oAdQkZP9hg$kcSkqNW(Z^Yx%21882)3=br zegjw(-wTvMuG%O(t@TI-08g*hdKK1b60G%+c5>PGmuh&LC^l|5Fh<=(TMN{hjryn2 z7Stnyt?5!*DxUn0CkMo7=le?~Cbep9%@LE@0TQiEQeBUJ1{8C-!CFUSZ~ROq=JHr% zb>q1KhBsr=6()_@2!%;C_NG8Gr3z#=X47ws{|p-ufmZ}1TAQMIX{vY`8?M9ib&Md0iQrFFpD7FW?_1^&vMH7Y3a7?TWe5w}kti+SEwiB4zncuaLgDP z!P>5>i&?eZBrkNUyMhGxg;P>>+_ko+nps)}YkSEh*}hnk#jZ1nNIz|Wn7KtEQfaNC zfHd`Mucc+hF(g50W7A7~z%`mh7{?IE0Y`bk+CD}*`HCal>Z{nmF0k5uijS_r+Ww@c zevFr8>xgSYx4~%2%rGkA8r#DsQEA)^BO|V#a>#wHUbDi2Sv02|L&8Kp-K zg=HM5t-&D0*U(@sq6M^(8>O`>S^#8PJ5;+4_$i|W{n@2G8k)jv+_SaWFleS3g-l~1 z9`)~-;s}Y}tvHEJ8%_U=PYy^htS;>V2Svf!5k_w#6@R!@px6UWtsSLQSRAY!tu%;V zW8@q(0K54YYj~Py5;6>C8OI_Xj?z$z3%MF}n%aGv9|{yEGN%#*8ucyWAZh~!wQm9X zz~b^?tqxVxj?>NsZjDzg;@1Qfyt-ZztevP>#IH%zNe8m4fR%(i(-W=I8U~}jg~X`J zc0>_s3^zzbn)6j5?N@PH_G3bymvo+d7;SOHi4)(SNYjsqiRz($X$EsCP1)$X0 zBBj9X!P;W&8RF-3Vh>;PimcTrRr(jPX-00PQT)aHiyJaYK>HU2>S$Y6>g8v=#E_2q zE2!F7SgvV;u$*CtqH>@|V%6H2ddSU+Vb$4^4`9{WIZ{hV6nMIJF7YuKd@R;rHGwN( z)MRXaLvRX3sT)z7FR^Osyp<_&HeV$RG-mS?B@0-FFUc1AAwg1o4uw^_Kn^v!wNUm$ z`i1QeWb_d_tzE1*#IGgNofyKNU!VbNg2=aF(3pG$SfiFh;!{|Tj5w38Fl%Ebzd^!4 zgMpq^qnP_@}I~cc-u_$f{1( zfIN?+OUzokTJ@5$+BNioVeHcU#7q80KngTonoqD3dlr;8w4Uk?XTKbfIQz9>-Cqu% zx*JU0jZ}98d*XiIcQ)fnnBUe-f<+od6z+WD{wzEgEUVS&3bis@VI(`Z)OVBNO1PU; zH9;#lG|Dc8)T5a)Z^|6HffpbR>8CsGuB#gjA zPJtHqIN!~2(Sr|2;^T4YgAb&@C-`no(6wVZe5lRa-zf3joMartq88Ym_>Lpb-w-qg zZv7oCO*S$pL}~phIS8bHM*40}X+-?cr-kOzzFpwEIo0xrfSvT8%Y8Se71KMfuJ_$6 zy1U}wsA><|Nk>-tZWhaDNeKoOCQYfen9;b%W-rthwRC(bTM=`?8)-{py+8Y=G+Q(+RGPOWr5mYT~j5( zx@MM?A>9f}5z?=a6yYnKgIDWP!|%fz#?QJmV!x2*U7UL-Q~GK}rbpl7Ntyy>8ZXYp znM-xro3Bfk9{D)-`*GU5CGt0Vn2saO+waFo^H!&w`nnA1kB?_xo~vwDI)Gv<)TaW_ zrUk-@an?=2+L+|A2puzTYSkrb-5}{QNIGiW%x)X6-nSSvZO{gWO#-vEQnLa5YSU)L z4H0Sm)FT*LYH3KBw#GVW`vdW&jVsHBx*=-6fob!`MwJEA_GLutV8`lO={cq@)0nop z)=9>+)wMCE&8ll_Oj{l8g*ZNeRr@gVa3wy3!ALtcmq^>z$Xp^#o6ehxS~l8cutiIo zOk2yx$LU-FY!VfcLuuDcm-oi(niQ`xYtczT*)?hP0wkS;cFpLA7Efb#?H;ps&wNy9 zx~I6utVMT)8wD8kkX?(?9&gv|aJ5gGwK@}tsOxO(S{)J>bgNuvj(@CL`ZgRh_P#RLwiTASq76DStDyT}y~Zd+DBo7C#WE$S=1(u|M0M;Ujqf?jc7GI0@D6v1jU$f3`iVELQex zjIn29qxP&Y3f1by8GBYY9wP=doM2hq1QKyEdo&Zq3|GPp7DmM6+$j-DbJj@zzA5cl ztbZ${Cc~mq!eq_l-XGb&8yGPwX2c4}N+}~2HUDu&O!yl!Vn!(z8Zg)l3c4Gc-L0vK zQkPH^J$n~6i`ofe#DKpf@$p8?YG}kB%~VFL$f%?^YQ&}+8)ntbh#Ik(N+rN%vksC< zrr%}6;x-tPXz;`gWyGS=i8Es2HTlHM21aZatK5%ak1G*CFgnh<%ZN3C5M(jQYS>H5JEfn37=(VaJ{A=#Qn1!x~iXS60gG^{*?5+OQQd8@4iP!&Vs+_H^B9 zk`K-cJk(Pjyo)>;!yX)_mFUCKJ*v_B(uN)CNzlxAt##6Cox?6o&?YR=`fwl9Z)5|P zCMeIdZiB{?(s`ZBz8=;VjI3Y`n*peSHr2#G!Sn0^xc()tK1eNU&h*H+*YjMj%^8iH z#yn5+%sp#KsRN{w~A{`m)_BlMysZrJbpYc4uiRFl!g~7Vrx|{DY#?7jGz*x7sy;0+~ zFXnkZNJDeJHf~Yd6+>v2_B(f+S`p6Nyj5~962 zP@1>;CQ5|$DN)a}zNtZI_05cRyILPK=B++NB3#V#E-y8nr|Hr6c%G&}d7hV-!o1b% zWA^I9((_!x&dvE0&$F+gS(>+VbEJ8z*UoEwy7WAkvajZA^OnH#G%aLB!2^ZhG8>s! zRAB91&y#%osOPCqIpYNH$|n+R&%&gQ$yi3#6fn5gyls-KVC+ceNy4=_?^6Ze)H5`_ z$3@nRA92?dth~=?7uN2l8k)-cOyvAv;D*1NFYQ~scHrwXl{3qI+}8iC+S8N5NF>CJ{!HwH@c-~??P`;4aK218TpGxZwkCw6k1Dy zhMvdy4X|%keMdbybBuwjhgYgy(E85Cz}0ujQYxAdtk0#0*-Hq2N%V#}4G^LMj4>)Ny9>QF5=~X;&19}d@tZo(D+=Ebc?;bW zT8oRMlo3tHfo75 zn-TK=f6Ny3K`G-Tq?U;r+Ohu=p)@1&e>9Y~E~d}B?O4=Q7&~UBvUoe@ZRmym0q3Q` zj@3`qUTFQas2zi2YV25X)Q(LzW-L@cgS4{lE<5Ip0W})^cw+r$hSD}Puwxt9lXJBl zOAtykYW{y4N{cN=!X!M2STTZSR=xflK>adf$Lisk>QGvJNz{(5h=$VY<&rFpHvDLX z38fkS?hd7?`%tKaSs&3COsx7f+K#OyId5hM$A4NRZJ_Qr$`BqLuk6@5-GuaH??W_h zv$kW2B59_H7;cbAtufpnmq2549A!53z86pi93cOLMRzOx$E)*mL3D7s#!6pCM86R1$W2GTqS>yZb68~6$P}uJJ5DF#*QL9diE};W{~#XAcHJi zl=e6ahgXLykRwJWu2gsRT^dZ|*6yf<+hZ(TS^WdX#MSSmakW)jxM=gH>yQ(mOx(7H zuBc_8nvyKq*xtax?O;b%X$zMq+G9o;1}Z@~BpOIG)<~j(LTa(aA+-jogR4=bCP$%O z15MbI$10?zdZS+pghsYELgZRsrrR!SE-%**}?t3FRsFs@QA7C;<+@D z2N4|n;;P^o?aY>)2~!iL?9r`>vr}TV!SJJ7kzjJBMV5ZOOsL+)^NzOneQ`T3z3gGC z+-L-GbX9}jC2(D@Vs09Q{p?3j7u_Q@w>B36eg5< zQVYfL?Xs7dsw|Ha%0VCc&NSbNCeaZc{ldig?7wYPmLQB5W}s5=jLyJ5)2<-=GRu;; zWCk|Qkvp92JAsEXB)GquCTizXc$G9!Wx0ev2H?4jnq6P$##vz6w^;CK|)cZqu8sGQ&q4^cTGkQmL*_nJLYTW8e&3oCq zOMEA`;lfAd0>L=pShq79kq$i_I=o9AJNPcX{BmMj@QnV_-kJVdI=D4}Uf^SY%lCcB zgX?ojM?Pz$^SAsA)C``{%eQ9+De2HHI_~XhKhHbq^?ixq(jIaw=jomxpwWZcDgc8e z>zR+njP-pf$;=sXJ0fXFQ?G@&Jc-@IUt+;CL$tjdDzhnZ=jk)FSp2ljUTNd|Qd3-^ z1d!gmT^g!aaNxw3ng-99bm%i9sR71*vf1~gR9p!&#Y_uj5+q^sfPD1-W9~b^tg5d4 zFLQ@Y5jX=}#-Yi86bI>uQf26!0i=)gCQX|3;=L3FY@x_-zxi@d*_}zpznR}dw%!&4d?b*z5R2&Mg`Bc z@ku$iy=mM8jB>7R8d#|wB+B@)g~~1c73{uqt)27PR#wM^aP}cTqE+crP0Y{RDq8uj zY>$ew$A1pVC%BrZLpX^@G&Kb#$sQhCnwwH!2(I+Z7yc{t^N>PYR+(VeyTM3;(0mmjo@lJwV^pKbDE`Kg)zWhnp?Hg2`p$E z!TtHlECDOMe(!t^_vo5P)`h78H*#Iv0Qd}FUoZCv)B}WAavn(0e%Z-T#mP`A@Zd7x z>BgZ8Qz5+oDLwZse15hIQsnoZ!qHNH?yexkUCXhpv6)FRrj2b4@uvq*yuUT{=kBNo zTcgj<)tR5?g46utR8z}%bjk^M6_H_$H(Hqw8U+QG{9FjBJw^>OES0>J!Xa}Pl_cIC zM?CaFBf+DE+O|aj7mpUZc$CYtaf;DKa3Ld)r@tpdVFV9!#exlGzR$;msvoT#^kcMAkyJo1OT1oDhW zR-vObDggYf&@ze`kY>l+1@GZzaU zy~Nk8l>n>>dp2ko>HJ2fPLF7T`&2vFttSbL@KHy=!=o!}B*7!nNMvIYAi1`hYhse@ zqVHtzp(+6+T^>Gv=W>fk=V?p#sdQ5}MFGigF)(%@Lv>Rakpkzp>9lVr3fG#y4H1~} zPw?{wYc)(i7m_%^&-NUxC1pg2 zaY*$Rg(QN-+_Bo}1SYhN;GunR3nNlNBN^Gef)paw3CmyUib&{Dx@*)QRHE6QMJh_r z5_OS)Jg+o4=|E7)hZ7>l`!g&NYrmQfF>dY@=wb5(sj7qUh_L_%!2E2H;u0n2B{ZqQ zetO`fI`wCZP+f*o&_w!O&{UL0hLcv%j7H$PcyLOnEpSmFs*Cx_1cfNSO=@;nXso#n z3{lhuk{%bLAU&yxRbW(pGJ$cbK$pr3uvE?-UQef>rNya&R*X}b=2yKM#HrY}gnorH zyMa>$j`lx|ajL61jmHh(R4k1IoH`9F5ja(#!Ht48(pKP9L0cNrZYFZ_&IWNRfqXnp zoy570z^Q_eHX3mZ9t}LANXDt_&EFf(#d@3fdF(?r1*D zH1?d50F}^B0#qoC3bs21djDOxprbS&k0@wys)u>>j)sxSw%-q_9=(H+s=yxfR&X6t z2T~PK^x;&`NY>xsYg`>r*@|4#`D%cQ#aWT+@0cq1Nd=iYRb5@6D(FV7>1Aq{H2_qx z0~xBtb{x1)fdW0*t)K@{xWW8+SVKT%yC9k9Uj(SEdioql_2*%XR0Ta%O&9dCNL6qH zBUP4ppjiV*<>r%fsPBPhj8p}EbP)S8QuW5(W}JZFr*6FBfGWHNtSZnjLtX)$3wP?q zNY?AEVzFwpl%Z*>=W1AD+3{dS3mp_5js@(Pi}e^)UT?){RWKl|Eg#5c3ec)x5VfLD zB_w}X8Ltq)qGf-M_4z~w3dgyT0aOTK1~}cUJ-kI^xjB^GQ0gKMiTLqg#j7|7 zJ#5>QfT6ZcVcRCukRccv`Y|s1CU2@hLlFfdq&`5{$fi_Z|0ICrRu}m6Ee6s7<`o$8 zghRfkxg|7$5levF11wr;Ng>3F-?~CT{>nOmEIoRzV6+EW*)C*_p(YPBuVdCY(zm@$ z8f_o-!gTSoBuqsk4#?8e<_pF#>aR|o{8D-bX-*dC%sfmn;3|SMOV$ThQQ32p-lG2|n^yh&~rqB@FWFA|ih~@9O zC6H&tvYy*xYd{{5rE!XaX$pvV#BqutrgVcMmVcl!fjoOw>bR9|0Cj-V3~l^O1w=f` zp~l~AUb$BBDhVD6c@Gc8vr@m5+NS~|_vSa0Af2uqa*Pa_Lc%?hT3vk{&9l<~lHjnx`)RfOryz)sxvb8gxmd|0h zDp+7KU?CA0W}fM*aOD@vh#jdIw@Owty?%$SU)6wiLY`|9zpB2Pex|RW6`~*PR~0OF z(TYx|J2l*VYqWxuZw8U<8~}1KT77G@pp|9|6f9@J8)1HllhXaXGOski>X&p-dVvNY z3Rbf4jf`YHKUlE}YJF;syHml_<`S^Bq`m-DJrBi7xU|Yb)oK^2*3cM?iex==M;ug5 zO$JrA70dk*sI&27Tz7d(YQ9Y@3t-RJKWB+axNiD)m`%0tl!yL{V_33shU0QhnLU zfXY^9fohDofWTW)ph{@ORREP#p(g5u=>k+5{wUb)0o4u{sAxa;sj=n-#3363D%%*I z`WJ8-Cjr$?m8x9~RO3w5%?$#TrQwch3{X|!@_Gg;UF$E{;{w%QW~}k%sc8-3l%-BB zOu(t9rhzR5~73z_6g>4zArkmfi_XjGkG=SfQ=lheO3JKys2(*xQQgjnY^=>ccoV4=QSjLY2SAX34v=#x7O2r9>WO zL4O{mt*^GZpU|J@6!vG%oo`<6rhv03r~%M^@N>ao4v59C)lg#(oOK%u@0OvsUo z1?CUnL5>0XJ8TKm8LF(q_6K4*VAWtHf0H619u1+fUx?daS1DNedu;XT$0bjouz;0D zB?@m5EF`evsKla3)^5crcp>o@QF}(UIN!FW&y_q8BhR}9s)lJ-hg*m0RN)Bf>SFWc zO^Q^g&)XR)7QL;UCMonJ6gC2Yg`+G_(f)>0xh8*;0+nChyrn=~6a!Sg=k+DYov@vW zaj3?}2foBJ^KvKhHwjSbE{Z^5whL4o^0?i+zCeKrE^S`SY1wdauy8b6RW=+d^!xP% z0#tgwTp?{2IJLxFoSGze!j|SiyEv7AN&^ptlUeqb;tbHq3RIjsVM~O)$(JN6`$J}Y z@b4;eCw?_qaB7Oo`^CbkE>2CO_AiTMJ(;dJ#cqA>9PM-hqS!_V6Tl9GLsh8Jh{BoN zqvfV{pTJaWe`R865F4=F%cyjPg{cqsx$9E3`yjDEr5t7BS*m>Th)%gXwZi{$N5n*~hN`w4QpFlGH9Y4*j$}tNK8p#vuylx~hJj8$BzWPZh5;zizC$ zK1uXN>K3y$^y|jxM}H?=xIjC?QHfP1vRHL}lErb`0lVbDt3$XSlaz5}F=#@u+xS7@ zVprF5{9v_-9N(#`J_(dq@)zy+u&&SR$K;Ri1brxW99<`7-x62XFXh=^gKL;`RoP$V z^s};8Ecq9%-GZ_Y6l%z#aJkj?g)10K)|z{*w)e*p+kAI=S=%d?+^fodrM7;RP~Q$L zSxqyz&OF{ib-h29)Tb`G-eSq)Kw47lYn1$2!4i5z2iBdsBa-#|b*k)X#WW{oSv_Gl znXL-qj^jey_?f0xQ(}ToZkdV+{F2`z#{+&zpzscD%zCTs3pcz65oDS%-+kPQ+s>fZPGc~bXYo`rk*yxX1n z@iX0A(KYr!J8mkPB>MhN?KU<+Xs%o#ZGt$p(UcBReeW0bo5z}uBou2ep;DwdAXP3< zc$ZS!NhCI*e7+Y{Y`PV$v_`K4RW6LBM%k~G?HvV5*O{hzA7DVnw!rYI?@s5xBKxXM>GG9=#ECB#-!d}okreGdLR_iBu z+rudW9~A|taa$?zytR5MU!--Qg*Y7U67oitj6R~-PCk1j}! z8Lfo8@2R#j9J+z*AF$)!cQBL{X{e&8i8QvS6E13My_TY8)b1Tv&}^bmmIQ@tyM)oh za9M$6Ma^Xnia2g@m-+elhTRrRM0R;m=g4oNto6j!|9m{EnB)}cvH3+UrS-^VDB_62 zPV<8)ifsP6+g2v}h$j34wa6u?$Bxz=UFa?#(bBZ(>BV3dwwc$}~8lYXK0KPaO z7o}|brLfuBWU-rSqqjS}lz#D>c4G7}lu!Y=*#NyF4Sy81mC9B*MIi>g-R9X{>f-o= zo~_IiDMGytK)pn!eRdaW+wK(Uxd}z-%CmTMEp=^=`PGezfk~_wsZFdH#Nv^^K&j;& z2EEffUh-)me{~~6ViArNVCgJs&wT?V7Ik2Q0ozQz4X=ZfAlLx5ODp7RLkGw1GqK%t zV>MiiH~Mj|t$(&&z|$4qMkYQ$v8bao=3-GN3yMXZsqg!6*7`UFMN;n`DvC2;8z4-7 zbR5HCQ5Wr6CXv{0Ub#U*(RYQ$lIRkTil1j$$@Ygl9~%9(^|T8MyqNB>DFO9&0KIYp zBW0jSH>(tNvq)KV{YC1^0ce2~#Y*%)2H?d;Sfq#{F+9A>U|G~lh9g{b0}(lBidXn= zgYt?)Hx;h{QWj-p>QK_2kyD2vS?>&0q!e?>7OB4tN}elff39s%?+j&}3>4|kk|H^i zA2?amm(8TRBUz{BC{SV$rsgiT7D9dQw2fmsUm3)uh!iM9`*i#hg!P{s_!Wl4%CqyMB)w{Xzmoz=9BzJ5U|OLj${bb zZ<3D~CNv2O#oGe)7Q9WuL_KPu=w>m3>Cr7zVM?+f-exs zizdoY;}LB?$!}^Z=PJS_!Bg9M*Xw1!PEx`v=Yl%$YqABIDMB5OrV{mL=Erjt(vmp6 zmik3#Woo?mb>j5?7}N=`rdjIKnL0h1K@gUYy(f{AW3Lj!Y)zjN!$_iqYf+Vem~L6Q zSd`;}*eq(!HRh8~rZoU!wgn7gcvV1bj_QZoh(rrq%HuSIVwQ#&Zf+_c8E)#5$^LrM zQSrW=HQ-f6WhT!#ua8$~k^hOAktJ|$|UqVH3U3sKW99-EV_2EjMe-XHf^ijCdohL=hY$&g2 zIl)za8gfc!$HLW8t5xg6m2Diu)!%0eTw$Mym}#`jB)@ee>$TB-a23Hh%W~SNM^$VB zOVzVPUFR+?+R>12_pQN}*IyeA!3I*{jzDSF8i<}eqKzu~Z7}2~<|}%UZ|7#fX$vvN z$p@c|OESzsFhkG%w#KK5+KKt}n^Sa$t$)4Lk4GC)sR3=1fSEf$(A@N1$VBczHUL3X zhOb#@$IbJE=w0@N;sk0n+H8ZAv`ybjQje`NgxUn#G-Ok>IUp?uw%zGs+ZI+|?Mws? zC@)d@N9=6dm{TGlmT)(?F{dd%J676k4^@R zVC-^W}!nDZ&pr>J(qP+y-@`%DR`R&aKgpdi^lI-iU;}~{> zC+z6~#Y>vj>)Hv5F9QN`YoQ3w0jUd*C~A}6!F&x_61*!o2`bvkT&5I9FRx!cEf0nG zHA;g3A|BGZBnX2idBqfk$;W1xM+vs@p`50;kx4G0@c+EXryM*{e@~VhEA+I+qqH~H zIK_>$vGbg{3!D%J3Nf;p)&&iXGGq%^v?k`Sacr0!#{VFyLG@Z?l7AidY zIYyR(FuRM6^_iUmT=me=!lU#io75z`cs9E*c8;NY$pwtrqf~$K>qiS2F^ii>>jTA2 zEn*f^oG1S}^HZGgz{gzpMl)BanwQ0|pN?e2EN-b|L1CBtE~avAlEp7ung_CSEfBL< z<0-{yEL*tm_&Iyd1^fQCM1mJ&f5`mYi(k)SyCA%|V%-9BvAB&z%wpOCliwA)*B2{b z%Hr2b?X-B%yth`CUu`3}KaXRj1%c3F4WksNbC0^=2It!pG0~$K?c7wU#)nsPb5bqU zu$rFi9bRdl)>oIi%V9OQLCl*w#kxtRID=FQJ9x#kNhbe#XuwVia&bOq#5O7EXj4bo zlt&F2eC`G${h3bmFQ-^zD8-$mI_%RbrWi_o_hgW0s|#EdOzdIm#sTB-@!dIL$Hi>~ zy~&Me00Z4RfFpGX&z$Y)6l-{<7}pG^jHThZcjU=qR4Z-(%}Gvi50x!FjRVomV#*`Qzrj4QK|#;oc}$?r z-kn{UdV&(i=Q+iiR#J>W3y%dJQK%(9%hX}fmO;+nc}yTr@7fb0>S#rFl~b%Sl;S?w zLLHCjbdLPq=CwJBYDo}V$j3O3?A@vJ_!?p<0J37;Hxn-IFXZuvqbfI=_n_t&$dWjZ zw)NI|6!%V?$M>+88#q;LZ!;{G(>dr72S~6p<~WwQ7`bG<#>4calH=+rF2|QKkt^gM zpd?{N*U9!d-c+DVx6>34aW`od-%KOf*ZdwwZSe6Hyn5xC-_SNwb7F@v^n1kPXp>g) zQ01v%M4=xp@w;2Gt6`nbM?$&a1zWS;^-)Q;6RUFi9GkSzBmt`77P3dUKsE9$YIc9~ zc8(&I-zv!()vf_vwtG8AfGS%%K88VifcaZr|4mw6X-MMV`VvrS93-!J9Mz7?P|I!J zyI3!7k$U@y?elOvP+i4-;&MrQFCK4)V1f%&6WMARgw^B5id2M_xofr430!C!!9ewU zn1KXTdS*vn@f7OO;7HcX5Mgo7Vsp$R*C^F&kGI4wvQ$48P<`@Wx4204GE$)ksdR5l zvE3VkN3=I4|E5S*bb&8Y*)}!U(*&f7E;|NouY$0E zk!q-U5Pc#4E6I6Iu{08p>cO6%38d14I*J#`s1i~wrdb?jo(wjKR0-7Mkm|`G$OD#g zwaJLFSft`8%W(7F#0HTnfqX1dy*Clm0ZSS_DPHCw)pBb52=mE*VHJ@buq03=^pijp zN}~b*lkS@d7q4`&ibE|-M70a`N)gQ5mfcz}oP*Z$|2UhE8FmE;Q?rZ?8Vh1wx?oI`(jXLj}h{9-7zONyy zvR(M%JBqD-`W#?YzE7|UAr*zS+PBibL6KY;>|`~9%WZBv6iO~5KpLyZ|uRFD0Lrik7ESVeSs~Ui-*w%y& z(*afeooF+Wg=lkzE80P`tU-I!9yrt>U?q@` z1FQ!Qf&4rt+DaSkxPTQ+Bl6Qs%<^~M66mvcr7M3AuLbq(PE@bF2x`P2LdY!7kC>`mMKg+z|UIEK5 zyD)a7Vp1YMPx6QM=F_X+s`Wk=x^Pxg6!8znt7un?SJ7_N=Gmrpn8KCs(uBKY&c0Rc zFvhEBPbJoiA#aZPD8qlNmRA~h^-%`!Dyl(mJ%#-+&lo!0g;4K zODa3wx4N3+Fa9=+0V~=&tj)gB0#>vSjltYV)|=}Tuh_}Yy)&5`WLv?2^(L}x0a#HD zO+|%zPQN(WK^Dp|vQJ1BWK?&_ zM0J-8wrWLbmrVZrNY+Q#FbYwxzo*zXDM8q5lUxj?!n&vUBba_bt3Xr(711FUuA()n;g9%l)k;i@0?NVwCh5r?(Fq<*O{DQ%VxC>zAWS8YkAJKeJZMYL%q{J4pgs#GIs%?Vj5Q-fy zXS>=$2?o3U!E=zQ5C?PXt-FoldP(Cz?m~ZVgUK83L^XsFMF@jWF2N%XVXQVE+;};| zZ_uSiVbRbBHv%lfQQZj><@m%J^HaosbMQ%{;H|fG37El}s%lBtEUhhmVNYlWAr5 zT|Mi`k_)S9;!=8}I_LSHTj%Wn3D|Ye*HQ)I8i#%am+ahHC%VS+##)h3JX%LR!{(a* zhql~>j~@H!9WdL#)M~yL72}!3{QWkSU>&-(ef{$H;k8fh4 z?PxYS8p$f_B(HXmeJ`2V7ZC}Mpp<+FI&Eutti>!y{6i};@tzaiWP7(cREC8%dUPk% zxxsw$*^Gu*|E@UJ$IHU|nx+xm#=O5Vl67%pEbrS=2?Jz*xH9jLiR1l?BZc=hc_X^R z<9$xvz}}f-bNrcKs#NC3Tg?5scP2`EXYx0j;$97Kzil&fe{nD2e$BLq?j-`)_422l zaooQ%8TVUa%>92t%#*lZPveO0XYR+gmv^%~?)S{RgGw~BzLjT*QbGm6v&aBnz@;K! z*ZE^kKYx3VYvsL*lXXc3boWj4pcR3<=ppJK_PRWUBluVbU{`u4$JiESdo7ZnzHJdD zuW*Z45ZIv#Jq4o~TpuV=o-Ao3)!pTkq;QmKoB4Zp;cnj*TuVDMUJxV=BAFoky*mp+ zi5~6|C`q+~P{Ns1+fCkLl>^`9tKB;G@DU)&d5c*LN;CnYq$!Qk4#M&sDh9HoXGf9kEm(GqF~A&y3RnpijlNkl#lkj9Y9wJ>o#Yd=$tb2lBGkmb=D;5mg6rae^T^0>c zBa1>wTg#jwD+?vO+L6T+zF}U!=WDy6ASd?@OxZ=POi+$#|^Hm(c3baKd zE@ZJ!r!~O7`)}uqG~gN(Hm^!JW`Rpk-fgGSK+KSnYD*z zfX4F-A_*D96@Phv$U;xsj9x?lyG$bY;Rpsci6}uPH)X$$T79k+Y8IjHqvI5Eop6c9 zGfJ|E9QKR6&`pJb;#mQECZjH_qBL}{?<9q-4kk&uqGj~XtyUIZ=q93I5BMmN13my0 zB^2B^ja?`IK1c;2UOr_J(nmgHd+G@SePO`C$2F-&;St(rC#C%ZUqdj)%w)o#JsCcc8pJFL>XwyVT2RA+z+?or0ILkIsf&`aBN$xHQ@+85P#<-WvM1)@TMEjix#c zt{b~F4zH#XI-hQWYk21dFO7FCdA;B0NS0MZocndUDHWUM7HcNOreCQTc3PaqFyD^P zm5=tf1I?=AG>zTuPKj1Vp9cAcBs-FI6n&;w`L-BWFX+$^zeA_~rLVk`E}(OmhJTAwGR4+9HFR_!dV)vO zLVxMYKQ=9)2*v4^G^AT;(&%5Uu!Eyf@MHR1UCa2@q%8y6gI*@6IVGA-QIf+=!IMDA zEUMB$13pf%4M;Oz*%JKt8hoyze&_&xRqxSpm4IGd9}6t?h2kq)(5h>k63v|`xsCM# zo`g&0Qma~OGAR+aU-0AW>T{^@2qq5;$Z@H-DRB+O_N`md z&dyHBd^?j1IJ7~J7LvVk8haTk#{@sl!bjo~RwO~(v)TpLEN5mDtW$;Yyv*Mqvi&2*{t}}W~k-~ zPRTOcH40Un#+HX;2y^&ZC0=nf1#gi)U6HK{hQbu5af!zVX~D5Qm15{?b01Y?b=AzFA)n^kB@fAySp*LQMB~fQ7mjJ#aeg2Gt7t5M7n&X zb?~tbKEC1)CcD1kFDZPm-YL;2M#+XyA^$j0s z4j2)7Ec66o|BnxVd~MblqX5O}_K~dnng<^rj!y@&dz$8W?Nlu4VA?arpT9mX<;$Yu0-+ButFG~Fq=%L>9ysR@tx#L?5Z#iJ@a`1ovm zt|;TShpvosvDnS-kI!*s+`XD6U^$?1s45$sob8n80i_p9BuRrFQ846mXY=Jw!N(Wk z;~Pt~^|Ppaxf6P}&?(WxjFJOH;5zgCb-~AP$HzY!Ybl7s1KrB+ChlqK4vjS``1q2< z-cV=!bZeX>!$`Rftpm6pwBvq=bt^r(`z>l|7xT(4RcC(Lqi)WlSck9dVtrPsr+SnI znISWgSJBMhNr@>>3hka|P-vy8!fs9}@Hh}SjeQ31L6fjXlbC~BDnUHlU)-pJ=ro_G zgB=M12@=lta1|glSgD@zQQAauvglD$8VPJr_~f!xYU27wTBuS>P_1q|mp5VuuIZBayqc^s$JJzI6cSqRlkgpO^5~4xrlrh$9X}B4U9ICHwrw2}{reFk0+d*&0BTB(I-OIeTRyCBbL*+J* za|VezX)`?%?6tM@Z>Q9TElM+lI37{h;`9wTfP)kT=_FxH%Tu_F)H6I}d#77drnNjo zD|z>2`6(ruOJYzc<8NoMQYzJWMrlXkPbj5Qif5e8G9N)1vr^&(60ZTA;2t-Qp^r%4 zE_4E=*NLo^Qas~yZ}UQ`s--K97P;ZBUh>aye|$;I!BlotLYebQmu|d}iuT>^l-kUT zQpvoaN8PBU*toDZSlk^Se+3$8Wz7w?v);o@7I$|_b&p7CPqrJeKjDX~g2e;y5ll&k z+j!4P-@6K3f-b0VIR+IzKqzvub+C9KKBA?CltiV*LrY&o0|u#@&N;T6Tc;EILnL<> zL$G@3RNN3?lad}~;g&S`jKu?;(%!6&`RD?_E!j;qg%?m^P1F!M5zc7SqACRfl52UgK zB3bt=3Kmbphc7YCO^G-Eo^(m_gYFp(R`_hc8(a4-Dsg1P(J zTz2`Hx$X-Fi)Y#a546UwZ*-<#v&GB9mVj5=1&f#Bqc){ZN?Nl#yuqnUvNGs)je;)Z zF>oQZl5uO0)&@2ws*BEw?|W#&n2&r2eeX zQ_BK5wm-^3uOduXywoYxqf$$6Q5M3Zp~^z|b68sF{oQB){v1wYIJn8P^Ml1}v4}?h zy2<=}POuma-tDi4g+F9cp!k}}yK2q##rU4%({sY;_SZwf;yw7J+szwCM+S@c+McC& zJ;Te=vwiM7+MYq_1)%kQJ_iln?Ud@-9i`df(6^hRvv@Qn^z{&a+~bsvrS=aokt0ol z(N_3eK_`e+g&y$BBCpZ`kylLf9~uXv4nDEd98C*G)6~E9ra2oypTfbxXzN+5aJ!(Z z(Kgn<$nm-w_>|NDW-)3H9nwkUI;pE@ekPjalxn0UP&$$ON{=Rm{?HgdI!@{22#ng1%6;eAY7+r{u^`5V_ zLtoE(j&DlsD2&NMr!+TPdviOH8^xL>y0}56%(cTT^5-fgl)p8S_1iJQ=tg{4bLXUZ zGf`hx+P+y`$))0ih{+_;mC;SX=q5Kygl2!UZI+L&jNWN~^wE{kEy;A{ZxO(WZgfgD zJEU}ZrZ5g3tx(azpDWw2u8eMSN>|Y!jwW3h-Kn}V+x&WAu*BfwuPbF3Vss_Hn@m@h zGzpe8iPe=Q?o|2c%93WubmgxXW}-z-=^Z-z>qS@6qYbPpOPV;P9Kjf4BKM9BmUPDF z3bO9%N`5(+t}MAOSaMzLG~=4uk}k<~Wl5%|D@(e%ef80mCG{rJ)0OukIkBX(Q+lWL zHBh>RbtOI8%DS@TI;V7->dKNXPU&`i8y2E;Vz;pHKn3-FKZd>&WIe_cD2gVQoK5486koBV%_ z%Y9Z~?sxSiha@JLpJI=v@A7NJR?Qmgr?ktnR8OlYy_UVT)tRoS#W1HeFQcx`Of)a2MzUVqqB>JnhGQor6J}4$=?HEI+0%!G zDOyvKTiC)B6R0uA#iH@u|%k<2OvhHbCahCO|%LNWjyYtbNG) z$#u0)jHmbMJFIoF)#6dOPi!K_*Qs3URjru=qZ$65=PEwqjeo;2awKvNKdy4ZW z*WN6n%TrD-h*O*k%`aN3;$%KSvXXMS#P(wOgnje>6?^j+twnJTS0)(Y+M8t~UBx+y zdb0?=`AXHB{w9W<$p5SM=8=`6I8}TDWn)~$Io2x9vT>fhSvH>fy*QHf*XgdkY5SOH zZ`xnP-u&xyQk;`@RN2YTHIJt@WN#j}7ON=E#}Ph-Z(gQ*GRmg0m3BK6=klvGH(i3+ z@rskojHYBs_-jtPaK%gIPhjH-{PD8s+LIYpaUL(@fWs1V0-6)69SJ6%TRSV86RbIB zS&MHrjqFnM6zo0r(0$e&;>$mEh!tnqoPaij0|d+91I_c#r`F=uM7(z%G-tSMu9l|# z6sMO*vfl2cs*@LOa}Qe|JpmWvTl01=QJwRZ+yds574=l7XinD+=fII`Z>GkoPS%_& zBUz8_Rn1A7+&#_ln9K;e0-HmCkyS=q7a12x>!ztS6=QTt&ar27LIZn7r!K1zFKl+r zE4v7SI@4U6(?WueLmS=V+A=ZyB6H=jy`nl7>rmz9xi;tRp6Xm;P0preOKECW#i>r* z+p~{@&KgtPPgSR{(d_oXGn(1v^f8)UlQVXj#pbL(&6$2Sr=3JU^SYZm|8^2F z|1^ok{X}zWHbvP6HLp86WgA`1xoLoBa&D$xW4Fy8uDPu7Cbx_AR|;adnB43?Tm!`! zDARO>GIsgb!LdB3s?&e`=BuM9$`@~o?$l!?%4qY&X>5`CF5*8N)?`a5X0LU^Qr}@q zF*9Cw#w-GOVa>=&IO<;+=|(obi-S{1Y3k7w*=4(|)-2=5!+P`Dz$EsbTQA#tuMH%7 zZ?B5ZKI+8=^Za;Kn&?ErinCjuweIudMQdu}LfHW};5V9|5A|PwiZA{1p;Wsb0#SB| z4fjoOBaXCCrAd~1?%@SI_QWZ!MI%msJT(*(rFpl4KzV@rv$>wq^x?~Bt{-2L8Hw`P z)Dk(0NIx5#z#-(Z>vT}qq@Kc*NkvnkDE_Z1O!S5|X1VSGDNl7ZW;t{YttG+~EjO;l zEN?>Nuq94oZZ&^i)sV)t-2K0-G5@@ZHDdrRv$ej&pOxuJ1 zqZ;#(J6U6vw-MPb$3_hfNOX3}Lsny!xAQb+Ij1^o2Q%NZ*;Sdgj|nQ%<6}~pC_*~3 zT(b(w+27w`?q3uvb@1`Os_C!|bBM~ke^Dl0b;|X!)AG(V33r(vwn-9Cbc?f|`C%Jq zigL|EDDT3S>Q3|JlwesCd|LbO1$RmC?yIr#ZW@~-W}N;@a_*Z936?dZ)LP`&>K`}R zKZeO4*flcLKKji;``0J`?4^IU>>Dg={`Pnz5C+;d0-}96B?DDBAx}L^h7ZN!~1j|tIK<@yPxcG$I4~b7?>B|e>X+byRTyAW7>yxR!m0R^pAAL~v zQU`g`eIhrZN56K^`@L+uz1BO3dW z^^L12eN9BKJc{ErYX0zS70wY<`T$bY1_htqf)6jm=B6IDXW=F|dxtGKM(OvkBjo8V zPPv|iP|oi1!AMq<;L`{2(Y&I;uo3>0NuZQyY*=zEOt?(y=>tx=o`MiA=bZ+JOhJd> zGimrVJU-cE0(UvqG5Abt*K8e!n%@~Cs|e_QL5EC`amsD)$58L@MpSfb@R=_7_%9&1 zT^8>?a~TBNkLq&t9i|QdsMr9QrU<9+887 zrjhw&i{P_;@VTlX_+B@8xkL8{abef6MvYUxIyB`luhwv$04CwtzIGgB7+eeSmn||; z*eSOME|gCTeXm;vKcejnXHsw}Y181dL-CQ2ZLZ6ViE_Qdu9A>!M6zzxa(qyEq_MTm zXlj1GCiv`dpNy`D(>dL6GQBf8`0NO6b<8&0fWE>y_}P)O$<}M~T<_qsqev~E*d2WK zR?=Qi;sn=chhlnln?!j|=vIDGJ}XT93POWFJ4bg(oJlqR*|;I0U#%Szweg>gMd%k; zp{E-|&onkK-jqb3jR7lso2XkY z;$*L-`SGnPdw${Q!|SZxV8H$Htt@+ia`mXo$)i5g%A9YT%#W4wdKKvTwj_M&4wvtu zvbZniL_kGP)-4X-q2iwHIg+wXUmHU!@sQ*b0g*h->@VL#j_Da({c>rCiXN{h3Eqmv zt+%2SPwx`;suSSuwfsPS_L6@&jZpooQpL z52@(++Dvu;h2uTEr6>>Z_AmkNWec}%^vza{v7*3DHNvI@t-91-es`KHc@+U_MO*X8 zI+Z(LyUDg994~hZ-$^UEK`~F{u1SEeV~CTydZ70R;$;B63f)-{t_WJet4O7`gd$lF z&Q!V6c&ufKM9{Mhd+7^)nL zF<8?{Ww90A1y;1D|707<;rIr*RxTf&5Mv$F-o`4}TQG7f)ETenVr|^x6`9oe&gS9i zD)7FmHnu7r+$jhh;ye4u+Cp3dSPsL-YVif*pBU(YK0I-J+M zUFDtnpLH6B7R2OF{CMZU349?nZ3$Ggz_iSt9`rIEbZ^YuPuaW!5 z?JVsTx)Y(Ir^VTdUNpj8;)FfZ+}lru-9L1_L!}*h4AXZnBJCvYnzUa*Is0e2nh(>G z#bIo5mZuNXNZR!j#EOAz&0x<{F|2I%YsH$eC(|TaGjYU<5r-sU*APKo1tsmD!G$Uh z!A)n!R>G~GW1A4C5_%GE4L#JAg*{NAVS@@lYLEbbM0JVC|qOi$b?zHp|e zDOjX}=W9^Jj#b1Hyt0?N;a=fb!3z@yGtMSM{Q?B@2x#>N&Wc+zUCEWe(oh&EuuHZg5g*T zwBx8_H(1eoi`E9tWSLL?b(adCU#imKU2#Z@mqqy0E3cTwhFWj)o4rZmT3&gG?r-*z z@aaN%Ud0S*!Hw9SG(&}tq$M|S*dBzF5Xy)n_Cp+oNy0bNB6kjv!)~XCNr>>#%>thA zC89bX^a>?5LQ7w9W!f&NTCb7HcJ zo-fl&6GcJLLXHh8@tMFjs&rf#{uwu_6o^Nf6F4RaR&K?-uy$^~CwmL18U4)%`&IUQ zQ&(I@5X5&;?+ZkBKG-j^r`rL-6^mWj%cZ7Z57h;DaYOO3ksZqs$!GA38@bC8(^T?&&5XTql82W?@~lr@v5v-Suz9Od z5+m0u56OFrP6?~H!-~p!DvRq>zSlz~53Gknz7E?AokSzoQez|cdp$(-)Qzs7Z2L1q zB3X5a4qs(F*V1E9uA}fUByW>7a%pk=%+2PB?1qe7OM*eE;ehTF*&=$HM_;jp=-*;4 zHBrg)XL(z}f6K_dgzZj{yg-Gn*H>)!ByYzH)Q+L%)p;s4WnPHKvFRfJ36HCZ)M4~0+H7gGFK2KaHa?fm%y-W*xo=NHkcJvir z*o%$qd}*b?o@N46>~k&7{WQkI<75xpmJWAT+4EJp2Uzw<>7s8Tyv(u}s8qkalG5?d zj5NRNm@Kv<1SqyMx^d`t9U*+-N=?15WbwyMET8-nRw5gn>dMPlBOVJj9Jn9gid`J=hZvB2l&EW{B!vyH{CvZ>*z= za|@JM04tk|gjZg}*qV)-8#bs2`hu&iLK4kA@WXM~k6P?wy9&nMGnvVjb5IG(U}a0K zy_GA1$15G`>lpJo;{Lp@;j4>nJF??N@C&$nudfl8uTt~yE8AERtZd6JA2#p2I7UTK zTt4>*A=Cb7aU%G6T)u*ZS91B}jaUKnb@^VzhBJg#D>YD2nQjHJ@>&|fT4+2=3sk7ge#bsh7 z>l-%2!>aCxQNT@5b@9}`ArYR+ZbB}vk`}?wOp0WEXQfKtm7G4Mr%vB@pt%5kfl58M zu(Aiyn{4u1HRSWTZEAEypD(|a$e!NF5Uyk&e2RH@kBXij%e(Z29{WNkjl73_Fd-7_C`P=`!UUm6LPse+Vw6!?d}uTIg)XBUdoY-GmH6n4G#Up zub;TbXwxga225fazkcEdaUqE1heXIVK2bSDE!;rm&D5_MaY8=R{HA&EVt;&m6Aq5J zcd;_a{-${*-gGKQWviIpN)&T&fWdXai-Yk|cg`)AV57RffS#OTz~yAarWq?#ylvMp z*CGd8?}qiotJh`VWv5bi;|D6UIXRIYjiDSE`eQ7|C$UNA$WkQI&FSGE_iViKK6hO%D9sUyj{vXyj}k3Zkwg|UH8%>_9-U6H-2&v&|*K$>3IAY z(^>5+H4bPNIyp2$n(kDN*O8wP2>l)jJ{Lzhl@q1s_-oRD(8Js1;bfYb+3?#tN$WI! z)oo7w0Esso@twB$xleagI+C92wVhWg|L42>L!5j@ozp-><%~?XzcWKmVRa?~e~&oQjXKhm`VT4@*zjgSdf; zx$FTZ=6~yn;FwjlxVq-VuGnE(zmKz+E>3kS=V?>s%S29fE>#B+9Wd7%2wt3t zkMAL0M{I-G?>m6*&2%a?QN5DAb=+lh6m6P=kEZdsWwH}=nWZM@RuT78In8LJ-ts?% zFPQo1-|04^m|nNcua#g7nMr!b{FLG`P?!Xxfl)d-6{9rAsk~iBX^Akx9H8-188G~~ zOc)=3EoY&}{;d}mpcp=%b3WY;Q)>N7Xj>MsJ`>`F3TZem$g{fma;>mFe&oo)0`pOu;Kf{g{IesE*i*qE)8My{+JHB5ol3o)v69!*7e=y9g@PBC z;bVEjmP+Ie`-^*~8JAPu0OJ!CyK^H}pQXAPPOQ&Ph0w!gPNltlvGPutNIcpyfH@z3 zZ6yu12%B3>@Zu_btOm1fNYG&N1GvrAU{p+-!&;mFO`HnoA+=5a#v!fQ{{jb&!{4rI zb_Whd3v2CFZou3!uI1_&t(_9z%eM`Bxyq^BZY_)*GLd+6m-KfPBz>oFE*^410rqKq zb)K|Lce%Q4$@D}O)?mM!6!#YntT9k=4|N+a@h$yZ4`j6s*Ttj%`Tl+t$MaHu?Y{oX z{ce8`2;Z)PhkcNyHP<{eBzXAV@$~gw%{XGuh>@hJhlXU*t4>v;Oc9Ez6e5FdWPeS^ z57X#t`NNh>;1BQEAcA#hGA-!KurWz@gus7I&!E?xK$YgRSEce4(zB|@93om`KF+~A zx%BnT{)z9Cd6l}vZ>IrMaR?Tw`4~ACc<5B=T?bW7L$7Aaqr58i_?MdEPIzTCebd1P z-r-r|Z~9fjF9V+fp9P48LdBhkaHSk;QCEH~fnhUa(DH{I8Dh@TQ35q>*u!0S!9YoYIUBhq&{RgO%2pejw< zif65}(~q5Z|x zgqE4E;(W(%Z4_pz3TXq{$wpjeCe9sLWxhKMZ4qAbi+*X#RN27*r!Mj9dbG=|MvWVN zcP(ej@|=Hn7{B3EWvDi)YL9WGc7WSDu(!S1ygD2I7S@6#<*}A}IdOf~I{9rqRiJ*I zug<1A!BZWzpPe$bPCV;OgT4m)K>OewW;7LGk4mEVU|S#)`2^C(ne8;@P{lEawdTb= zcr0A!x4FojRb7wp*sjCmp4h}M4X`O!ke3(XAu|tJ<qHYwc)casod{uf*NK++LAa0>HKFEh(FBbqKUl>3d>ZWp4iYAY3sh+W zKvhpqqxE8qw%*)F4AFo_vn|VH$n}^o-PLIKF%N*pG`vvNTg5lm$*bb z`Drv;iP+m*iM;G-v>!RjX?>K_`Vyzc6oGML2sJewcC0v;Fr&O0HML%<5POO8ji67Q@qJK(NnnUfd(w2uaTPcHis7n+I z59u%-0w)?imZ}&&#Q4(5GDxZFEG6L0%{pg&MUn!^6roBSZqI z(<7-}+sxM>j6&K!vsg)DiylXv-|S^yPdp(RHs=lY*Vd2RoGrU*-d|&%`A0oskQSQg zYb|M_(Y#xw#eu5Pp*OLMo1bNe5cTB0#$<=yM+}?)9!nkBj`RM~=-#j43VZFn|?db<l z7#4dg-D%^)c1cR*Wj$^e#rcs_H7%g5F%g;F9NE}y4io>ar>}o(!`8uW)nVq2^-k4nZS3y+1tJ^V%!79)Za(MSOlO*)wXb|G|p0$8#;+?ZS*Kybvspi zz|?NXzgyGS-^HO5%vV|wr~ zN&0NDa-}j|k+_*j|Zdj6n8~=`5Pf^UN z(p?f&t5`kYN~JgNaA{r`86IkwC(N@ z5HXR6fNSHjVmO{c(~Rb6GDx6mqre6|+r+Rze{ELSpug{=j-&`|G&Cd@HttLJVB@~@ zWUz5I$gm;3C%!m~OP9V6L+3tfV5Y)`H{SJO!w#DZ8&WO_Y*=rB$Kd;&Y1%TKD&6~$ zT_r&nezudE(%8JT3$H*7@9TXf>0v8}bh^CWfseSbo(nhy_QgxP_-7c{y2Ybv5A-`V zqPJ7EmpgCFpR(}p!StovX%D7k$LSH<-%PeHxITVa@5rzfib3oJF0NHQ)TaLs5+z-;u&As zaJH#A34{5*W%Tt&Fxy3Tq($M&>*`e2SNJE%e7Sme3 zAl1!@N(*SwdsBmdS&Wa3q1l279;f^e<8gAatBIhY4zzW#6R5sMYj4476OXD}Qq2Br zOY`Nm`nT5lQo=@BwjI8Vl%cu!vn2Qj<(UejN|p%MkwO8jbBPwC+D{P z5+3be_B++~YRu{ow+&AaETY@n=tqhboy8WkFO3X-6u?KP&l8!%2#Os=45F;RazU)W zl1=(091!fG-D{F$EeA17;Nx1w*odGchUV#^acb)1EI_DiJ z(sZ^pZmXPx9|q8u4(CpFN9l+qkl1wl3omoMJ1K(-JJovBLp8;d&f@;9_m^L`>ln5` zqN{6vkygIH9A^krYY?fr3mMI4+nUEm2S51-n*WbXf1`OuTu&b#&96GuDqDHgU5N}f zgGG+52a!JX)ibn@%(O-8d&?e8lGkJF(Z_%EajLsz>+n$;%h`73)jpr_pS70CUoaBl43=YmeS}nOocYvRe?5cq7;_}X0M6ltG|oQjUeq*>ouL# zSz&Dgp7o|CT#K{@L_5AYn}7@d&cJ~@ zrhBkZ5B**KT6TyNB#Le zKKe7Wnj%hTJ8-{d>0a}*9nE7zd_H}X9OQAtj%-*IB{iAfXK-_`EYv2Gts;s*-_lf! z$UVJmInTP{jxDguyMg#_J%-e3&~T~;1(aI{OSkaoCe|bLYoK}vPI~D@9EImMQx`jN z7Z=(tb~azX5x=gcZxSVAdniE{ZWX^SNGi>e6+s0Li{D&ucsi!zJL~w= zU1I;&x8e6S?gF3X6O0TmTQh5}wVh7!7?Wk^=v^qh&SkQCvJ+`@&j$Q{M*xSlM7q#S ztWSvvN(Cq?uBWu+1O?JF6PoI zJZME<(F|7TBvZ@N3xtj|Zt||G1#dpNYcwwZblk4laRGpFautosKiyyJAMcF5{s$$yQbuRpkNv)Y=iD1=(^rc*t`wsa;Dx!$~Y z&_Bojh*ums{k?<8a;(nDRtcF!WxL}-)czm}nD{TbRxmg9h~3SV2!oa$!{BM$J%LhA zwT67E=McpnkuG%|@O+cY)VG7>zu$yeo>I*4PET_L`tehTzP|AsTMzqM1#RrRtPP+* z&F{LpkKnY@68g~~o}}4D^q6Be{&2fwu3-RNwSNbqMB#3XqmSk6x;tANpNa z{dobkv6p$WEq=O=zW#AM+ekS-i&#?L7KhBrDk(W-c>P(7E|1|!_MU9Z#hvO!c4`+3 z$b5R8Q=OYB3`u`eeCO;9<_A4c8-INl6l~K}4U@FV+v;R^m1mQ3_>g+p(+*zFdQ8}l zrwC+V*nZH1+SbFV*4R(=Qf*ETn5Y!{Ih$p^+8wWqq;JD~OcN?bfxNBZrWn5dkY zQ*YC2FtHG;RV5(lm#$t-Yu1!{1Qqb9O@vFd2k2bZCP(kaJGah)GI)Nm{uTOack1d$ zr+S5Q$jX3D1D>tYZsX6@14J9rt7~WkdPlmTxu0EQRds4ik4WDfgI@fs1@uiS3&4%o zewcIJ@ooqsI|{v+wI7dN&alKQ+*dr#sD7txh~`lG$)7P zRp`#o)+Y(tSV8o3guE_;=k_2*N$BtOl>`r7-C$I;!NonRVt|U4=|9`p07pUe+B`!O zw25p2#=y@u6Yqph4x!OmkD<``P4#}^dpxT?5YXYl-v?2SX+k4*6EV`|t}Y`DF+ZZwqlW{Nn4NY6#q5Lt%G(`qL{;-d1;?Xyky^(zJ3M-d z7V~4fj!FMf8o%Jw=(d-dR=gzxWt zR&0hSU!LaF=w_OlHi6L72($3Bw%SGf9O5qCg8YZ3_>Gup=0Qa+=Lq+)H-09o|1c-!IZdE|}OwrI)me z2d|=whmv*iEUxuKbDf&b0?IYl*)HN)7wsbc%;Z_b7R7QHjQ>if?-%Hz6in!%rI)OW z|GM_dUHn%@vM!dlK^Om(?$lhbUF@!1q-Q;(i}YvDw@7^qH}AH`>j>-otB-$R+lrrT zRUt(nZ);q;o+5a+J-^`8Xr4?>?*Uq6AE$=$WX_Jj!Rqbop{lM|kr1JkYM~FuGd3JL!5^h3Yf5<#KOI;q&0B%1E+{U|3jUMMw)1Sy-cjWgc;CUPR zYRJtkm=JPve{qMymZW`xIe~h^@%;%IMAoU%Q$T74O3aC$4WjC?mGavw@J=WCYT(nV z9^9PiX+v4@ck5@j>Dw!ad?%-7u+H^OL~=AG%=E+$z38i5@@Q&M*>f&kngLkUArvK| zy_}jMLNu?2!yDNM0rtiZgXpWbw0lJB&!5ty`2)=e)|64o3D?}B4IN4i9TUmQpNJnu z(O1)iJ(9`Cp4*u}k!X%`YV?ecn&G?_g*}ZmtMS7m`pQC_khII*6iT7KbGlS~*K1Z2 z$w^Ml2=$z5I6N`Ve1wBc{n8g>dWo=F=p#DFG*B~2`$)Tr&W<-PPD!$J*R5RG^TjDB zU85U;YO<;D1XCIa9zKS3onyWI!TjjPIIq4mfW?+$y`7pd+J>>L$glzNV#qP@g852ZrJm zWQH9ZltgT815iKB)>!*`f}0@HaSsv{gkFb1?;N)`H6X2LK%kLWT4-NL6D=N~w2)(i zoElBLshJ!Ijiol@(Uj0VI82EDoXXm9GR|S05&Y;Sy8HgB7Jo) z<5AnqL`bo}u(*l5b4hinXakHo%3WhFX}~-}-lN=#iB63MbZTTT6Y6!2*Kj~*rg<4l z=f{xAc+7u!_o%fn0;F$3FAt~w&vj~6WU3BZN$h~#nLmdp9-B{J%bK=i0&B)u57H$r z=+ByV0GKs@K8410zEiWxvgT^lV0gBMS#y>toq=~2(bu0fZNtP$AO*%RtIcHHKfMuw z7)pLd0MhfoEJLvaM$;+p(7KZArMXfC2UD4e-*Pu`_CdMD@#bW_mIy#GLQRy;piy7s z)U4A%zeCj`9<3Lq!(SW1!gcs_qh&h$y@@7hc9W-&7PIb=OaKtiwY zBbHC3OFz80=n0*HxTx(^HgV#b_)b6+TV7dNk;SZ0@OflGs)(oXU#dAkN&zw zv-#-n7Sxd?lFir9h(H^168MRQvk0>}3H-#uq}hB+DVtBnleuD9B$`U3 zvJb_DIX_!pe#B5N%$dzJ%6B`p?JVP`OL{gvyOwHLVSWabIEf7HlP!HSz}*^n+J4pt z1W&efYBQA4+OvzX(tKqHo~P3{-DlU8ON1bio2lNp-;%Qvkx7PxngK7~CdJZS^@v|;8 zHml9ok%fFRi@yHa-en7^lXCFnbzO|EpM&>xL`w07Q=4h$qpRe@(X(#sb*wSp!Tz3; zK#r6B{BhHERFe(4Qhr?xjQW*+XCqbG&#BdzP%UCYCn?XHp7o%Pt~JjgK~i>tb56Vd zPzU3%k)euC50>acTXjw>jJLEtZFJNvM@srQ^3u2|8po=|_O9y*Jv6R z($}BgT!IiI$+*@o)X%?z4y27b@@s4F{u|ngXf`0?^7#s-x{R(CWzf$RUheWY5`6tfQs(G*X)*S$~Fg zsVZ8SLPr}r!^KmhFpuo{bbn#(LmRDBM_+je{=ADO4eD6qOtr%Ycq)1XHRVq8J0!lV z*7ezw=T;u(IeV2PhxNIxpih7~`s5m$R`ok16|uq|WfjD&l8sCXdoMV96uMh)m#v**RV$uN zrLOKU-@gs7h&uO;B6>2-xD}($NvN0Qq}H$W`?pc0(A^qk3e-;b^f~S8I(wHXN6_ki zRDAz!No?*zD(Rwo%Wnb6`)_k<^{$xO93r#RRPPNQegGR=A8`C_151UC*GSel`*w%! zw7e0y7QFq#CN}m8{;S@LdLD3`T0Q!Tx$4kQ#J|D0o)H{`K7hERKldtrQQ5;hf{Z?mNc~uXQ5 zgE_T3!D{DA!|{ldeD;|0P`3}xy@>6oy{3Er#4JOIPxfsMY|ygK3vp%CY0_H|fFv?(K|<*LJ;gRBb0WV2F5 zKEwkXo!VtqSuPJfhf}Hf*^1C}3+2ynEys`!nESDz>481;_027Exgy)+3VypopFw=I zy|A-4xc8?}{d=6+RWi8Y+SM|+c(jIw1s9J!vc%p7=aSF#@CmvWN1(XB$QVDe1g>}O zTAi?UY!x0d4~+G{4X$3{2gbq&uf0PHuV=l73&sA~+W*+>dZqCm71teB;o6Pp#kqj_ z1&n*uCTMYt+LT55V~woYKm!;01zGc?Og34e+)QK|nTL8{xI~-y0HsSM>MEDp{(EV6C0==s1Du5ReP5TB_8dh8dJ@+ctMm&Ln9^4 zzLuy#mF%)2xtnOf%|h5vRS5%+$99}ZtIc*r($gifO)65q*{{-R52Qjimm%6st<|>L{*st{GNfu`5J;6_-5bG;T@L`+-FJ=(utKyc{>p&18kc7k(Aa$w>x#M?JT$9rqiRggdOM7OyPLE0=MU( ztqqTsw7qaVQQYd(>B&BI?N}^ZV>vV%KY)!N+T$0BuQvpEo9KY0(`8We>L}uL4%?ev z-0w27JK^;i+5LeT`NjRj$Vf@zxO5$DsQ_<)4tzPOPjuwCcL>+@zbgnTwNivL%y@ zlVh|rIQeaY7UJ4W9jUG!BX^^Qqqm=3@|~s=&29Y*js7M()Lf)!v-zk5?LCrWKH$Qn?p%VI|EV4fO) z=gIjvp2|}Lhzi))hLGwggmkVW@)-BIZ0r`P&&KW#%*GY_h>iQ$4)!HNolN9DY`gID z4Ub7_%g1<+8Cf%!>iSdh&ZZU@68ZYjTAagHq><@HqB@P`)D5J<*O^Z~of15p2d5)% zQxcVIS0aTW@IHnkZAMjatbYp(l(@HZCL0qV4MUV8+wnlhxz!|No+o%)=(|wedK{T zZnCp6L?S!%>}I*#oqiA3-4eQZP~WA*rE{6)C75SuMfGPDmb(bILp5Gw@4tQe7$>i+h+dIr0uTbt9F^DCT9{DD`8|c{r86v{vD* zAnRD*)&tM*6MrL~@{e&SKMFf_dK^#PXco5XO))IOhnv#ZA2sas$};yEM8V|k4&9bY zQp#JA30Q9K7de!M6&82=4>zSCQSmtR=i#PKUAFWmP&X!YrWdsY&&JXSbvJo8;-4+( zn}ok@3nVF?TfnblrT01BjXTt9f!Bb5v>@+BYC#L9PLq}D#%F2^@N5FLpojTEZ@iL5 zUti3(_45q45x=_gfe`Wg4|hUuKN->>JMi)byJmJrV)`FOcByC8WeYXGO37r(QuFdtSeztaP>} z${PF|k+qkn5jR4zH4Igk8}Ou^;!x*sJ5?jRBGT@Qh0*|#65K#S>PCcp&^sqB0*Jcl zloq3IAnmkm=R_lF0VG>fmFkwd!k$;Rj9Sp&TtrM-r5%dWJI6$$9CieFhAvXLnWTMr zrcTicA~FD%EwQBI$B?hih@*m+iA>0;TWOWXDk3w`Jc4yJ6?R|eJ$5ugkL)BW8L)Bn zxaB$uKb;$79ziOXO1r;>&`OO|v2-7q2s$L~8hol-M`Q*g*B{|z6?R!{Ic5uHd*C9A zE$%PwVvAzCEVg9DLc6S5c?nVBde}}I&)vP=$1<$6*FR`pp+&rD(xGQ>QEAsWSfFmb zC+!7XiO1tmCOUG4N-jK6>udQ;!B7LWs`Bo)%%R~m^e!yxCN|HJ^!n}cal8U=uz%P!<8>@*3pdBG9afk0F zA|uU{qrr5W=>m=yK1CG9VS z3$doWp5GlS?sATVC+^wx#GP(@ag!U5b+oO;Ta<;WTVA^6xRxY%oF@nE6?wm)*JfS7 zK001nhy8vb)sy!Njj;hkZTSn77j^Dd^Ub;FtJv~>BX7IM6@7Cq4cTtzg1x}%0>`y* zfz=7D!l=Caf|ob+5O=&ybPizXHL!Kz8fpwSS=6E>D(^J%p7L`?1NxTa(cQ%0P7SxP zcDIQ8g%);FTN33l=1eyb7ID8~1LLIqs?haJH)6@A&V^ROkrx~n-oqC-@HN&vhhVoWg?m8T+lGs1qp+VH*X*is1om|H{z(gL191$`~@2WyTCE93Fbj+2F+E5 z-!fx z*Z%e=ZJ6hkU_0n%;nO@j@e2A|vWogU5&e~uxGoGJD0g^I!2f)9C0*eGnc`v{?op^algptX#;wI`0+jjW<8J@EG!&CaPIPwsIYzZ0lKaL zndBmZ@_@M@nXwB61mte-@5kacg1ToP4xPkKktfK(-;X6Au&|OCyKtjT9L)FPPhk9b zE<#s$=0FK!UBqud?)c^I^L`$&8wy7O0kEHon8*dGmbx$^`VHFb8}}}Ze2cJM;QbRM z|2dDY3>zN>@%<-qg`V@wg`1diu?wRJ&O-0qcDUbzuF!d52Zbz?XVJAUcOSmfu0f`B z#=8_=JlDfq7%g1E{y~f{?v15p7I{aI26!G{KHsBlWsOlYe}IwUms6^@j<0Yxl~f&z zhvMEfc3+2%HAq+3-yJ$OBP%37-+@#-AEo^%orvmYRGI1#*0oHY7Om{9;=;%;a1``x-Dg?N1@q zJ#CVTDZMn6((*_2yIqKgi_8T{J6%B9iK&QtvxJEFIlGQ}y)4k{<=$DOZ=Ofy<@x=g zMX;{Z`9yc?wDa3}vnz7!!jT#sM6-f}DEVS61P4M+4Ob!P5Isu?q38EQF{M=K!h9ZR zaqq%{7~#C4<0}vQoL}j^i{hu_a6OK9y1aTZ&ir=XGS~F2D!xX-YEZQ! zvf{^cX@`q`|BMmmc(1m|8}Iib{P*Mj@@^eJRZIRZg2&f7Ez!q0oh>cmN2#$qw?&2j zn0LD>L6mX4kA59Vc?=U)yp)L4(_C1tuH%a6SMGpH)4i3%*wtRqIQ+Set}9SFA&h<9 z?g688L1#c0GF->klco&>Z7MMe9KX*MjLwY6k%A<7Ttk_B!tsNP@UW7^Cz3 z%#h^M6-or+5PhX5fWSSvuvx6+7VCbl$GM$wKgByj?rxylU55IH!kN@%JuaQcAUA;x zf$SYezug9BmhvJE*f85YZwA5$EXg zy~W$q-HGOctlPTquV~9-cgvZ z9RTuNzJ1wTkg}SR3$$kIYxjGvVje+Uqp%P?0OVDg6(G;(F(tLlRy^SS0^^goMqzPc z0LU+HAt3OKcB?AwAxs`boCsSeo>6ER#$X^2kR*0BBJ@G`H(K)4HTnxOX5)sO{pjb!cC1)G-G;(Zeig?L8c zSz+zA9b{8nqk%gF-EY#Y2suWYthjL4IYt!`>o9KhUdObqct#MFl+aL8irR|x7gIbV zb%J;(x2}~>Dc>{da2dy_pJlQAM_MjD}XU zCo$L-7}K|_XQXDiy?RF0>ZxPo{3dmb*eDXb9RYX`c_%QVE1nS~m8cm^7$`Mq@M1sH zIYA^TLC;7@oZ=XPT-MRd6Sor)aUxm>)!8u)UKN82NLf0cz^mm?7g{>EPRB`?X>xDn& zy%WI@%brnNW}Q?u;2F^lDXSvIx#AgpJ~!PnNnwdPjuSgZVSW=i9`8iJS$IJea>!K0V0Aoj&xYTRdv9RwNIav3DT26XgWTOg&uEYLH01+1a}=&GJi(x~ zBTw4|-)*KsLcfafq=b9DSC(U-~9rBu}f0;d_ z0FWk1^&@FiQOHCpshCbZI_$l^756!56y_NPfV{qyfIMI-W+>TKH z7q1LaSet&4_S&zZ`cLtTT+gCq6x)$sq?7R#n|;4%3*mf~`WWP5obKv9JprQ`Cyc`V zBE^}s>p#&i@^OB80&qrlsA9XCsU5ywL{t2yyD84rFFJj-cYHd&$m|y>%9;G4YyV?@ z5u^J5vR`z3I#CHekdziwV80a27*$a0ecE{C*Wi_PbcH9ql`f=oX?{_sRmDn|!hm1o z5=J4(G@py**ARZ|pgFR@q~d@}7*!mkT;=JUfM0YPHSYf#W4t&Z`^i+?XU~XSTR&STnGfxd9pQg6m7uIQm9lqj<<$hFxA*26+-A=x)YVfU z)=7JF4FP$;R2sp=ZgqS5TJIF0!t-fi!BPOoDT~R&rc!nTsq9fhsN{J~``{@==nBvI zE0p|JuF(r`W>Gi~`D)q+{NNf@UL*KLoo95SvM06D2hJ^qNAZnbh*9>&KSo3f;Itvz zXS~n8QDtsKp!On2eZ4x&%!qRo8VV^GQu);BG)%%s(x{H+NZ=e*$}S_7*ZQ+*m3h?4 zbzXb{MiusrLNjUHia3lZIU{7!@>8-L7D9JNsw+<#T|v&MM|wc}-cIWqQB)aUfKI|W zs+4>|W#1C#993TDoTJL?slV6jus+j{k^NdbN1QXV6>xS?2+pRp*Q61rlmIVZ;k|F+ z_4mH+Cyhc=aLP2_)m#MZ7r80h)Y&wbtVAPt=}KnP+9XvQ&e7K;X;dk5(1n#ZI_Icz zxXT$;jwm83-k`mLhO{XcRe5C(VrqdK@n3GZiq~RS^N_<>7#W_d$ zmA4R~2M2H-;(at8AFy-O*62VMA&@k(6=SQ4`~JtK(-c*gG|HG3;;d1otk9n{o%Ydq zB2rINIZe%Dp>vKZDf~V?)H{N58F7xn9bM%mJGyqBaUFdk(`if>*tmdmG|+iR;r53H zdDx#L3kkt>rgE;BZ|q&6(`l79&MgQ!N5j15*Jd9%`50Xp7Gzv6jN<9E=hvczlaHB7 znJTWFPu;#TUhsYR$|o_)baJ{+Q%2fp9Chwb=QWE}$%d4JA$-V!kC;Y!x zBy6>HKu5(3o*4l^ECAL4E!GcXzWd2Xthp!oS#JYS)cBysnp&$#D`~01M%nY$#UE4cf=0E+mQU3(*MKD8f2ph>1Unaqe#2NbdkwT%tPNL*Q%mdHG15E$C zG%|ci7{dWYQ~>y8Il;D-{sS7dGtyKd0Jjz4m5*8ppj(ewotuPd{i|J*VX=shIg|n~ z3&h6-;BL#j$=->{4oaB$Qz-eZ@E-hbR30KQx8R7s-v>MsuNLsn)d;!7^S(;QA^viqt*wsL>3}n)tTW{*{njL_cjI^0TOEb z6bL(6q~ErKd2{U^Rw+Am&^ofWcS|FZbg1g8IG0pWsr~8c-n%H0lJ?r7k>%pMRMSK_ zUoDzjWeCU&9EyB*_K{LdDwbXso;Vv8Ft&IdfJ(13RXJj6s=5=P+i(!qHawt*`LY>! zv3{DiBcpp_cN3+-mOs?vmF!{;fklfo0@N{5F}EHRuE zOUo~(Z?_Sn4%S0FN=Kya#(T&zg9b2|wJ&88D#J|`vKHLcJS!t~s}CVHoBZn1(I`{n z=zETLioi0nL!PfI0JMa}2Fl;Q4FwtWsii7TmK^3^&CtJ8K3vMwXD+J#Wl^Xx&V zZkL+~vHEv3!9{!>A{`x??O07E^{4M}tnNU6-s!!7@TnB54@y^MsGuAfVD$@+Q3I%l=9%_WafSzwEf6AE&#~`h@z<>|PDPtKE(ZiT>PRcX%=6!p ztAMYo#wze}1Z6&!z`&U-MY6VZO}L#@I5Ed``?78-7W7B}joMjbs>W+O6PTg6HIZ6e z;FaBrH`?Oh=l}=H?iCJB5)qkfIk*tH{U-;7uu#8FXQ4j%53_JlMivG<3gF{-c(ZnY ziqFGaQh0bP(PEMJR7cQ41Sw?2v|`LWe5#}HP%|P`g#=}>cM?k|+hU=D$-u&ton)Yr zC-({mr)w8xI1bu^g(Y4s^7^F+<4bpD(7|y54%R+E5OP{OCF7sZ#C;LcQd%D7l6BG>3 zcw&I#m(zFP>ZAi}S%=ov*596xe6N@90V-wfP1Rk}(Yq~YaVJt`Q%lRq+Lm>e`tQ># zyia-ocjk2HRK$b;qd(~-j9yeI4J;;#;go=<5kLr|c^0l%zfAX`^oj37F{hu+EyVf4 zy#8HaUEYTZDCpDkh0#k?wD0j5y>wIxr_e^bo2{x?AvmAzk6~ zgo-VhAjsj-gZjE`ke{-PGkJ^Ax?4b^@Wygxah8cyNlvJ0D?z!>dl^*%<(PpgzrA~=dUeI#hI%hwLs;Bysc- zJmnk1*LiA-T+aiu%P;4h>w!VVH7L>?{mp&U;6@lw*{GrFF>Y|9sj{choW9?C0zpOj zX1YRm{nG}m&_3}n!P{)AWZ#97Dq8t)`T_6AI^2gfD&Ns2oh*j=mWQ?)l==x(z? z4|>0YIWONuS7_EWfXVO3n3wM|Rr?DCh)o7G={d`ptI$5csfsOpe)2DSif5f&SjlTsaIZ@@uq%XHWd<5Plq&{Q3k9#=<* zY+K_6KSY<$q6Xw_mK=NKpoT5*QCzK({IC~*p3O4VvZZLXtxVbGy#jGP+mWuemBga< z%36@|Y)4a_%~Y&zZ~MC)35p(g(3P&RovK@r?MM#-)74ZQi@9-I39WRohI_L*93WIO`9-rNn+xyP&fHZ2iA81n9u}W49*hmdzDC z951K`E@H4TVq!s+n@31nm+dljt*pNs3c(}2z~b%W{o0+hXv%+N`&r+e1fsxHUlkDq zyE@}v-7Uwr{?)c#i|~FSUEyJn_CJYl#Mr zpXFJMoHr2Z8LUn%v zvd8;9c~v|HT$WPN-xm-Wc9>YT?7C1rfS~O468mtU2Z7L>u;OBEV1g>2FbY)5t_#(J z2nbdjyie5ILzhfJr`Xl>=uKhT{=H8&2tz>iU?x{d^$-F|YYzJ20gnJMLbM;hKd`iE zKB7PCOE3?jx3VKcwM`!#pc8cvd&mfY{?h3LKF~scvUISyZrwva^lwmHZ8E0&u=U2Q<Lp@SM@@=1@ zsP^m}6RDPMOsmHdn0DT$b2DwG$^d9a4&Q$|7kjBz%VL=7@$_vL4k|hfpv&mex(59y zol*M4%@6U1TGwDB2Z0r`O`7);WU=@$?GKS-E7}6{oUI&}O%2wmMXj1~bvc%L9W zVyX+pyiF%1IOBOOuq0>g6{-XQC@o}2&h9hSGgRp*nRKS3_j~O0cCI~Lp^j|;$nR$Z z$hr2W8hbw2sJ40*0qKMtQ##;59$lfHtwM?ExW(^=9E^e38NjHSJX1Yec|FIDAarXk zHQ3pU--R~@&=u|jYJ)CN;+JJkEahAm%FD#>qV@)uYFQ;yJ&&6kU`nd*p!T|W@oVu0 zW+2ZEYm-Wau7CF3kb^gFd2VcYl%)N$ zB8#^P;#gBXU)ZvMz;wmVt#{!5M7r80la*M!O<*RP>V*Pkkrml)-Z2zSoSQ;dsH?2V zSSZJCBp_2vwd_?!`s-%XhAGDI*(>sfa5izM@j%fhM*;Ujlm{i#)qk4KcWV;+HQ<-=34J}$aacB zZHq?M7|^*~{BB;_R3~-sca#eygDM+mR6oj54&8c;x|ipD3{e$(dUQC*#njT(^;P20vgUYi;6r zxMAmW^(1u8QSiO=6Yp_`XUvph}YC=jI1 z+A3vv&h+<6ug86O2n#1!~1m!fW^#N;`=d zUZJ850wW8JYAA7YW`Osyy=`#`&!H$X&K2V}(~sD*Q)KzlimXJc$+4CL?VhNif}k@4 zy}!QBq1`qK(f7Z;PQYo^PR%t0WRQ1ug92%rf-yC(g0vjv6`XZHOIdFsO;q{RQ?ahe zrS=AUPfWlYaKz3}%rIp;E1*A5OdyC8O^xJjYI@u8X-K?a2=3oZm(1Aecj+;|KFL>i zg1>V!wp1}8pn??>>jagw9A8i?=&*zHH=7!*5~|4)mB76|Jk^fJB{hAC0zljIkW`)dZj4kan2NYf5^stc%tX0g!9b@1MdGJo1jcFHJZq&p+wG^Vcu(f z6iT?{GZb;{UhBh9A~ll8si8#9nH#+mT@*@&)dY%+8#5X6yk}caWnc_D(M4O4Oi#@q zmLZ@&_$_L1xK~a>WIG=k?`d<^W|dnB!pBnC6RR0YKt_1~E22Qc4Y@)obdqmd3=d`g zS41dWz@{ZqGt6OqBegfuyYvv+W67s6GbOW)ai2DFx?RML*IZnBh#*4pC0SELxtcRK zVQ1maxX+R=wX6DJdTv6WxZH#YUP|q%fC|>GZnCI=@9Ca%AE%!Xtnbk(QW8(uuOw0< zr9yO1N`>g>XzLJ;@+y4U4|8@DU6%a{mHn|Y1lLfh(3#O**-$jfvJbrP>hCP{f=ImEpA z!c$ftJ8j4>Yf+-Qi(GH`8AXhwrjxamo1G9%`G^`E=e>f6Pp}U2%L7=yLit;QCmEcY zTWth7-uvcu1rk2NtWd~ereYoHbbfQYv?BSP8dL?*2CdX^At5~hSrI7gYs=^g&*|Nz z@@8{-cpV^LTV`T4(}ia<2++iM!AFnd0V?ladrbBvF6K|e=KJ)C3nTf%oYXK@GFO{iuPtSp&-wQ7h6>sr=Hy-aVr>pJ$yQvm_ zIlaos23q5ZJj+du zsqun;fP*F^f`vh)cCmh$Ua_Q4T*Z`UuJ^dEz?cSeS+Wy5$|Sr*IF|~zc*RLXT%Gh$3moL zsr5+!ul zP~wh^^8nh66`>!@0i47grbbGJYPMR`XX5-u7`Ftxqr^kqF{TA36l5|i00{3Bq=!t+ zHX+V-Yn*0z-@wFX0=6l!I8zNm0MIu!6CB8_R0WmP*c{MoltkQ=eI$tpHF<4!co+eU z#I^+h;e9|#UTbO|Rsns4h&0Fh5yq<|G8D=F;cmKuVKw*?q_PF}wPl1Z5L6}-FK{69 z<9H$2-_-2XW_Crtl#ixcMwpsMsl~b8`|I%MeRN$$5jYIB)nhH_Zp%$vJjL|`5h|f+ zy*Pk{)IPEteSaO{d!MO!jQJ3$d0a{hT5d8mHdS=H*MM|f%L=+O1P4k24h|TfQFwn8 zD~;AOK#W_L&$d>YhCIS&g{jdERrhI~Tgxa@v!CcQ&wF|&-kDEVxGTcwpfzil-oQj~ z3$&_bG+eeUEELO!qQ+xWh&(aDM|K8K8ADgPd=wHkKg|K8X&F`dQ*tm9Q%$WD64mnA#4Te?tTvnQ zxzqc_I=r!)uJEWxxm9S%V+9toGc9Ao7c~EZBqXuh)JnBbq}CIG9cyZBCg?8jCx~Rl za)-x7E5&kK59ucq%EEHjc4C>U?M!&z9WOY8`(n5yEYk1N!y4 z>ltQb`WdT_(@kv`K@f#M_6QqT>)Nj38Pe}=g!ue;!K+)G;bz2BvXbe8j-sCLTW)`H zC>Tz>x&@*J!yT)Y98zr#HMzh$w--!d%bjVmL7TBOKercvV7Y61NSCf5I19bE4Q@X~ z7e;_c=uEJ}vHI|~Avh12+Ni+kX-9xX-dmUm7Q3BcA~*o&Et&_0-LB0QIK8a>U+n#O z6Io%px+A;{m~B}(6oB(%+Kn4lyH<9miPcgm(3vIPXAo(z+MxlaLP=2qw%Y7fr7)1J z_Ge=uny}ind5mtPwvV&gwKgeqkN0*DNH1IM%P9fXRHRyMyU5@_U?tz~L7H-(sg)w3 zTBMe^8W>i))~1)1dcVS&0I}MkaV=9otz@dvW`$9FuE0wE>K?*pg{kc?+`PfzGs@K3 z6w@;A>okI})edzhl_Rm%R+}Tc6sv9RB$ko8&@RPlTWSCL3c_c;sg+e}wS%3}j@1q! z0a@<-)uRwVw%S)9AimWW`S3^eeBWwkQscjR6!^esM`|UvRLiL)Snb*yZ9u;QyHKET zLCiKJKP4s7O75-MC`d8eT+i4_VgZiYgxww?Ji$^hb~IqOsov+zO7GY6Ak}x$6+V!z z3&ixlo(G7@J7J7Q3CPg|W>vi4x0`7S?GkHFadwJdhBU7kk#xggY{hsZK4F}36J1zTGg zv^rk!GA3y+B1X74Q>Se7%d{yQp8yj6CNcMxTpgUU;d^OQHt8v69eAxJefdG)cX6hv z)gqu;DFRBaHnmy=gx^opP9`d^@hadoT*O4`#f9O+x^}`^GzthVE(-S|X2Q!z*@U6k zxl0zWiZS5w#f7GJijeIVYnj)2-@O6%*U%NZ*@`yLJpJws1Z9n>oyJgNwKk8m&Z~h1 zcniqAb=wv6!bV5P?2X44g(NYj4#YIhMs%f+!;ry6YXC%2%O(i>T+kxFb^`mUe_^QIjZ@ zy7;hCF7>DiP_EmjNc3HND1Kz?{7Y^>!Xuq? z!g8S?Y*NBULC#CVH@B-g@ID9zqf#qLpxXIFq)iwfk4+6273)~7Dte@cD^~Y3+Om;=nNVRLIA6vW^5v7Y=xqLTL?FxGrDLpBq@!Z-K-+ZQe<CppLSGIfS762yOm3f7s{IW$GHV;SZW^>JT_C`c6i^y!1Er`vF~MNNQrC_yO3>Nif^p} zx!%h%wUS;csohR+9*P&72mJT?(3J#PrA1kxtI`NN#$|Ij`M%STfO z(X2Qv8Y#M+k_uK4&-b;)DKkR+F5{L|@D?FjC7;r-S_lWuU z?L0cb0Tu23u+f70kS6#^{5+TENBaHoUq|BB5MN*Y5A2o+pROb_4K}s1X>jeXSoHT4 zDbl@1qfJZXu`N7$Bwp}!4Bqd-XMS(07V7%|W4@Os4y$5s>G5@{et!>n3t5a7tKCB! z+39^3KInTJ=n8iT6bkFfGwb#33=RRMPu|<8<65g?%jkE>g?(=W#x2P&)$WTvL#xPe zuXexn#CLh+7@psQ$iKHE+#^totiTsgdWqi_k02#bNpk^POG)`;!e@u6J*ZN0h$9uc zci2w*JnH=zRrwg=XNXC8|eoVXhgSoH0vSIi%VC6^Us zVQbN+9ZAwGed}JGxSM6aE<46rT-V;oeq9G*`{O9*9SCe#_QPv!6bDXnrU<{CW)j)w zBU6kZxpBMkjd$W(c z4?Fq($_$o&rxSmSA9x)w-(P9!B(YT2%R0#Wy>IWq{cUt*nxO~)`t}}h?EP(~P7+IX z*V+LQi-6)!bixx%V88#EOrP?HVS1z>eLdn2?fhvPef*&aJ^_{vbRrZUGj)=5Dy-|n zYDD*J(&?ZVha3MvPr5Rs<`n}@&DQ}Uzs{NYp%}EzJ!r#e@_OnXz~fxELu;{qYzK?@ zY*6-to~BNAI;!iJAFZQNj_&0Xw+?yLSK&_>#1Hz?(h0jKq`!7Zp~bAnV^OiMN+Miw z$>~fUSivjQ8b4=`XdrNXY5@(f`3~3XoT2w|MR-zumHpV%ArED};JN{VYF|?~5XoFh z@P2^bg9z=z-pd{F=ODVmGx$NwN#^-&!8}Fg5j;g-?ntc zdpA)HOlg+)10)kZfUEk!xSX&W7$rClX+NN3!Uy9_-Dv6F7}CYk?0CViuca9AL{I+T zs^hBSUDhD9rH%~t*Vozz@dU(oY`#FI@}$!C2+^qz@B==WEIA@gJcX_FR%}5mFykGo z6O&Dy>>^!9#Y&|eyjKxZe}I(z2X|y(`J>K|f#}g!mrbKF zQo3Y*TR%*9!SqSK&qw>Ao#1Yr2V%d5=tkz}IkG+6?r>_eS9mCElAy#abVE&LgdFee6I>mhzGwc!7+j zLMQ$IA0)1qvQ3@tdQ>-qaix2=vGKvrSlvuQyR+APFJA9TSGWhPuo-i*KK!;|-pcwk z(*Ss>tErP6h$3}!*!s}Dxzu78?|;JbT!N!~DJR3Ip-u9rLDq*~=TU>LkBl18|4AYF zr5qEflO<<$^Vs^}Ufms5Q?H5_d~-iu!a#MYCl8uT@9>n1wjOg*%U`;{3)bgSu3DcU z@xQqrVtWZHeP>Jr^)Ht&?GF*Jk_Gh4}Tg14Q)KNC6^lD_NV0$i6yZK_QefyJU ztQlP~*3pkBLwG44>{ucMplYU4CsP~W7A9A^I&n?hf(?5DmvMv`@hN>-#;KOnV6Q-shwQzu(!*VL^Rgm912 zP^CS*cX#0xWYaD!3}2qB0GY<`?jjm5Gsg`ySVnNUqW}%_TO@ZBf%>|C}L5OWL9AvZ;Ng3?I5hK^(yid zat7sgh}b08PlxF1A#s2=R3Fj-zEl%{V`x9wq&Kba&_0mGb^M}N?ag|Z{sIeuuE%__$Cl=jIhjIeC0Qv%5^4B%TWE_F3a$?@?V->2+Swo!Li=x6*Y* zOhh(7US}q{bRgO!vAGj8E#3<1OYKtKAsg+}y}H9S)a3QvKQ01RljsW1bsZKZw0-!; zMXbT~Vg^d;+YuD3gnRB#K!Yi>x+-!kTR(1{S@ofkr~4dg0j>U<`m910lzJ*O>upvWXx*qzu7!d---HqgV*S*FzA<(3K9oa0Tx;ZHbsLuJl0Ow z@Hj<<hx;woz2LUP_@N^;bC+uJ5WET;HwGsc`+()SUsU!D*(A zy8-yIfC{H-@OkYEsc`&Idr)~#>A*Zx!CUs?#l<6n4-Zl>*MG1$ny7K z4X&4!bCLSqPJ`>OrS^t+|9xln(PQoD3bz@@JW)dSIR5)ifIQaT)JsmOz7K&J3PBoewL#m)8#Mk0bQ#1 zn|jSz)enfiTOjuaMk{Fis6JpK^@F14576&}rhYK>^G5G`w`CVS_b^=j<0htd00@35weKL0c}xfl|ITUA~N8(%KEYN^(gO|$FwhD zd+P^5JcFRjQe>PA!Sz(sREqU<_C7re^~41V45JPnc$3}^%fw*tkf*erQHk^a`zT!!Q~SVMIVkQy%oiE^q02y|Dzc0^ z;^=*b-2%~ssh<{;&KFwyX75cWRK|NhzdF0w23) zy4ibTgZ3me&UeULzu}1u#6L7FTN>3bAOxmhZtH+Txr`j}EC75yp#jeVF!kINDAblY z*8SNDg}&DEJwL^fBD#^F=mH->R5neD)GuPaCFB=dy`^7E*xR#RvdN`eSZ|Ndc9X4+ z01~L-T|ZF69~lF+TPaYJuZc=nKKIj&=-6DkbP!kdNFT)Y2_%u@ZTT`KP!k-nb-OcK z#OI%GB#D`e0d}QG?JCw=+^fI0fpD4TwSdMi+)GzzxOA**KyO=yf}AhhYwFi9#FF~8 z1g0=v@TJ98W2f`~R>Kf4bk+K880 zVf+1T5a5Nard~2f^&6~}!Me1k_d1}LiwY5MZQiQ`GpxgPkgU?ZI*uW`8cBsBBuAXt~IaX7IL z4Q~;F*h*96rL(*@a}-Kw*^Yz4Q{<$z!V;b$_l;f)s&+`CEW^4F+(GqKoNJMLS1{hZ znIl;0p=tHoS!W6J9ad-YD^~vy54LpoVd}{|p98a181!l(zOd zl9%nKUY4)bKQ7|39p2e)!sT|XO~las;vu@iowTb}?dZg~Q_WG+>VXVUQ7MHP{@{UEVLpf01F3bCAdk|8hLkwjrA}uA#j( zK{%hrHcs%uri*=C2*PhswPqWZ1#1nS&2`Q9UcZCx(-m&K6_0#C!KeeZ6^`%>ps%(i zthW5r--`+P75TzVlc$We?SsS3>vss29mSJx=)`JEB*Pv_1ImXA9GkhX)nzd6m)Ov1}-nMX!WHE)zW3&Z8C|AIElc9@r*RwAfh{f)s}EaWf7?@{T#$kEx{vZhY z(hVloaFc)>MdVr&FL-mJRoUVEzsw3AyG9f@-`6m4BN(pE3cfj!6?eF4kgB1EF_v05 zRpwjh#7o2Iy6mjru^`lMp|%H~nFcKwYOn=E>oBLV#lgJnte^u54oH;3=xCHeYWvbK zKbUezKy6F0B$!Z$G)R3>!vr2{39X3@M7;Ih%j3QXALAS(Qo5JNk=jaqPf3GK!QAKl zV4wCRY;!Y*yA_BZ>?7`>;VC+b-9iX#@P5-(frMLc#UtjlRv5y|F?^c`uq7oJ&RnzB)NnRSRp{)`oePGfjDMDkvRH4Cm zo55;TFC>u}4v~?XpoTdjGSH5>R%9N)aSgq)i@rLRu5i(b1_oTb4uXDltZA6XdRx+9 z(<%?f3x4yURoV6YzXrEG_4C%AXAtghkmsiHdcA45Q-|`qh*~%-Cb0l5&YGt;CBI@H-?|bbt1-A;x2Djg9Pns(QJ`FYpv(5YYdhJPgaH|lk=Kg#=u@3~1@}7oe zHn`pHJvT|Agb(}*#Sd1WMPyFDPzr2Fr_S3;6FEdTUdKnq-W39!lCmJ>oE{}M>g>5{A{Mf0D;oecMY8?&s z(ce-+>eNQluu0sk2Afmai4#ow-~ooPQ(H8u?ImBPIh_nr$L|mO0LfEZzysM^sNq4v zY*)PCJ4lfVNKW?p?s1_%cuTT3i05}OM@T>$HcQX#Zq%iZ#tYs>PC0$hiy!KLTfv9@iFgw=S(53gG9sZc@(UhhKB^q!`ART z?tKkzlHe0k0qx^L5WYrE5;gpY0NH6n+TGrhaQPWXxXo5P^4%~i#LE!U^3z~QD@e*o zN~uF^tC03diuei3UFr-x%F0Uj9%J8_em>61N|DMjF>k1-Tg~}1_XEgtNI>$6hwM|K52kP`8 zSWCEq0`#&0EyEPsVgp+KG7!+7c1FGZF^2?H_EW^_76nh_uaT>4?7dDlpgrt;xI05Y z>mZTBeYhJc+bA_JjaN}Ztt{ddFVmic2DAYP#mk6$pogsZiZym4Anm*oWJbAD;TBt= z6grg^;$?_u`Dv?oR;YH_c(w#JnS^De@oEtT!zxRdV9_gU4>&;?p_4%AU^gRW=yTmStO&~rUd(b7(tP&;W4;+$L(IfAj3UxQiQh&SDBV7MC0e!By!>^#$WodCYx(yC*;pot6$vfHN*T|Sr% zLTzeC{Xb+Hv5?EIl4{H+Y&*dxwht`K%LlUx)Q?LT9gRyUm_2>S4`%g!>k?Bu4HP1c zvU5=54Lr&cS_2vgozC93@68a*I!L6l-@cbrRyO=8X&g-7cJW?8LQ~Lz@oBxefUr-p z8?VeFWkAEaZmV%90lCWi7)}QR3Afvdhab!eLox)j{Iqp2D`?8n?KUPoKHe^P%4R~1 z!$fC^jyJN-q8GzOXYq5y6V#EeqO*t8 zVor9^nFw9Hz{6J!UuU_J(Y5M_QT(AFMy2GV{79mz=wllTZHx6A6b1Vq;i9tkw?WXZ&bql5t zwmmR<`!FwG6s17@xP;NsxP-L!%yu`@`bf|&4WB6vvMY7tt-=CKyERTFbgscclG8I3 zMQPJE4#jJvw}ongDCtrb^-S&ZU0jvf7^6u4R3PdI^Bf`!o}BC zZC{O=M%fy=q;UzM*ax+Y$d8p}(RKN%C5&bgn}!<6U2bk8%zajkb7= z)OMBHAj-OwUGFu6e}WK9(7&bS4t$ybM)Lu15KTuKR|`IC2ueTi%s7RT!F($!T&hbm zwL(B!s;hbn(zD+3L%&eh=8gr;7v7Bgd+UX;!AXxZ;~33G+3crro#-u5ZN1f7`gLDE zD=z(}up(<>TUaDiZ@&z>mrwB`FabN8hU$9k*67-DDAZs-&58;$?dg&Q$_{uNL|47#4_#1|qPML=`qyZhvaG#n#BuZNB5r2ArF&Z_q$(TW zy}mlTs2ovac}{r7Fh8KTudfC<%MnP(YNkfJ^K;oij9>e$!p`CUc`^5~{`Og+P>kDI4m2yjlAaUd*WI+tl;Nn~8sD zdXE6vOF#;|Q`ahx4CY&*__d6}6T6=alP|TFk)H-@8HH@2yU+wjoKx2dn)?{dNaKF? zk_q(#R%_|kL7DHN-;|{(8^&5&KG+Xf6miws^1-CFGT&1^*pF<3^F3uZ+W8*-nm|*9 z{TEQ%a<~%ZLv_MOp|>fCGE2x`YG4Jm%`oAEW=Lj+nn;sW(lqf7Jan(AgN>_3cyBzC zU37LlUE#^Y8=T%kbl!Lb^gKJ>G|6T;B~6{EPpHL@$JScSv-3T#uGjgV0s3`oH^K)? zDQSGoio4!4b(YbjiFVv6!#O#%yU^xDx~^ctCkVF|=bYg?({vT{zp1MYm`BA6%KAH? zm!0rYn1xPp867`TAwBTNP2Ht0R3cM01}-*qP7tEqoBi-sro#&UsCftB4 z7=Ow~n37@2ho1(gd<4zQPx*X(uwdC!hMcBcR$RiomsMQ+iZ%7-;g;^&T+KLE+_Mw? zm__k+Q$A-WlIHT_fwL1^E3QuYl#RDjKKwON2!-~4aTiE5nJ%63QQ+yh8-3!3R{W)g zR?u6)F|D5QFYY3VnQWS*mZqtn2+U;jM3c?VO!VFvm|b*kFI)^~{+)ADD86;n(c@PYe1`z`J5e~M%SKz@wk;g#FhvWe#$3^=Rb62piP4X<`5ey-;4woyd1$3L-agZ-y0q(D@;E`;V)f?Lh5>MrK)Sz zG5vtlwW+F$mUTx>H(7eziYYMU)6cD;OA;;oU6_lc5PkE-ANuAi#r12IXnB{i>F3s% zrcvCB!luze4cr?;)R^l1@n3JnJ1AW}cQ}Kmt{AYV{wDI2{JN}I9*;$JFOJnkb;3LJOjJbmVucsTemqr0f2rr$2~=*R20Y&2@jj5 zaomGQ)A;C{SZi$WO^80%1&?2jn5Kz^)SGD?N8`_{&Ml;50RAqte;fDr^loosynOi@ ziwxuKngcTQ$qf5RuKVORY{|L&3mLj5i*lun^ZLNr`9Cc04Z)czf-{Yv&WslvTls}d z$lNMW(sEDwz?%AFD+!8@ruK;SvRPEW-1!TZndufxh{*5*Nr>Wof-^%chfS-@M$TaA z7vf0I%3v}0zIdHKT?#0%CP{lV&7w}tK?KwL3$dl{tTNwm<^fC}*iOCHn~;$TmZmvY zr%-ABt$tr{;#<$qN^`&Ufrav|egtJM6S$=5c0%U%c)?dkejyS4Lg^B4*@!Y=w|$wr zPx}4UkpyL)QkW`V%1|Kw(IVycJpv_-+xozA`=dn!=1$=@mARCm7W^-lD7RM#lr(PZ z1KXv)TtZN!u%&6fwG*iR{$#Q+d$olU!fbvZ%>HCDL0O=@UP#E?g6^)II?w;vTKY24NawokvDO326-G)=25w-?6?{+khQ z^F}ct+}0grER_Fd2+A7eHmyr4L$UN9KYv)+y-%=d&2GLg?8f_m6Kh&0BD|j9pf;Zc)acvOa3=wHG_SRY+8scG!i2TU4kAvUURZz5!t0m@$G zc3hW6wr02gfcX8(y@bvE%5U1Hrfhk<;BQtazmKRcq%qt*u^j)K6$D7uAT`k%q_P#5 zDcPGQwpyOAj2FB(UU+`w0ShOD>GDK${KfGEXPa`J%2>*9 ze8V6AC11IIaJT3^OvEDtga4M|?Ns6STX%}@3MZ~}Esk}e3R{*u8_^72o6ey6Kfpr4+xxe-s=;~`@|XoB$<{bn`uFr@Q=nR?~is6IBDwcp4g84 zXdJd%TnI{^)fUP6+SiiSYiroe0i8<^6u@7|tSiIY(K4w7($KTI1z` zu>R#7z=<_UnM>0_g0lfi2K&PnzDPNirtB65z+eQc7)KTc){0(DChmHL0b*!{sZFt*M<-r-GQb#o8X{C_rLB@&bv+i z(m3y)SkC{~Jp`ydBh+j?L!1wA>X35Y?JAbWdH2M2?9?HG;|ZLOmh&jD{q0WSyliI_ z!g+Zjod4}kg40Pj-`trxhHBa`dCGa0Icv>%c_5tsk_R}kW~qv4rsYUwD4_ir%p!B% zEt^l{ynA9h_A@ez%~BZC+|6=+E1ZO(!g;rNIF0k}iG}m_P=X_sD<#b~qp}U&@+jrJ zTUyv!=luu7`D3F9PLAk&b9d_4b}T@crJQ$5tkO8|o>_4)XMAmu9Wdl5>gU_E}7^8T1B zPz8;|--Y3wH(wqI?~h*vII(6~t<-EYF4z$M$S%iwt*T4sy*{yBJF<%qlHF&T`&izi z{KKYnpV{UR;_`%$0m#)KScIP0MhHp1 zrMaI)2!*D<7^VpI6|>k1p-%JtX;?z&7sCjS5W1wfKOuxo*MBzG38S0+X=R4o%#Fq8 zXLAY84JwQ_Tk|;J+~|by3NpqKAoQ~v36P{{ngK*$O)TfjI+d%=u*Dw8&~1uNV8;Pnn%#b`@Qn5Uxdzf#McPF z@hv_s-%2e@5~lek`u2c#e&!cBv}t|oglk-fEXMl$O!`*xC(Wa6w)LR*!Gtfu(mzLh zH6f%wm_Xl-5n_$4p@t86^~=8qD?L22RB+~Y>uH~vX32V#G>?za$A>wVX9s=E zmu0fev`uk&gx})uTi>$jBf(@My@lPRDK6&PZG9_diEZ7c=1KHc7Qe-FV7d(zW5{n! zrnj(*v%gDk*jqPmq}Nat=tA+Zx2BMhEKfCH?JZ4I2yBZb&9~57sK+B%ObUL@ii#t} zt@IXZ>*y^eg}#+a3MzCdN3|Ti#iY1V*uaVMZ|7t;-Hd;7S_d5va_m0ZznqpY{cs^a z7A-`B_^V55e@Fb8^>?n{-`ib(k;T#eQXZ%Lsz86cruO%yKePTW^!vNW z^>?w~Un*-U?-uAUD*1eQ9{p$5-<5uUSGoS)>-Tpx_16UYiz+|AzhnQ*`n$pJ??%_( zO@4pxr~Y;i^cQu4et*aRne`W2oT$Cp?)tmK?=Phx%C8CZH=5etiGODO#iAMQ@8hn& zyZ!#|q5k#^^fx!PznWv?@#ycnXa8X!{^dP;XK-Hun*8Ds`B3!lv3=Pe2Abx>cGSfd z$|Nm4BJU5JufSsPcH;#EY$X2Wop`W4P8##8UmUQONIpXwX<8^KFGsZ@0pkI+=#cQj z&XUFpaNycgIOFT7HF*OEW0EiRcpn5NaEL5|EqvPbQ)^5M#pmVMGDxl~b|CE_%^-1n z=u;2n-8n96`TW3HP!#M4$oA3Ou)XzD51En{JHnxYkkDlBz`=xbvk~0}nqO{5SKhfE zH3Jn*JLdWzV=bPA`Q>(|r6Zw<%0U9jo{$5XPYx4~Md%E8I0E3y_vXEDg7%Yk`}n#I zrR$cvSD1GxuSEQITRKJSFpUaGrlm6uXIU=4shpx5m4-g`i`%tL{X+6pw(1GfxIr2`VM3!>N8C1fTW<@&8I$X99w>UD)k-A4AfVU5_>R1V=cx}KZihKe`25d z4rE5^E6_Hm@4u2t{q8>Xd!$gG(g5Z80qUza>C66Cu%G@{rsU0SOZKC-TVI)CT6z*l zY-CK`lHGw7q)+{?EC$HMZOeWxgIo;Rr_?|>3JVD)%YL!K1ohQ5Zs|?04Y*8ip2oKJ zPv^JYn`>>;Pv@JKJOYXOzmVS8)BLQ~Jv|8^C*_TKr_;I~zS_Z=O_8NOg$#3&Y3WNa z2Qf_c&0TM7=`6#%3o!4>n`g~o29>&wLEdFruD2EurGJE{wTQ(XMT=sr$uJJWM>dM_ za5=$p8?rdW$b3R`NPwCOGetI-kt`bwGEFo4GswlJJ6~HDQLSl z!pAmyGmv{jjHDbx`LN6MMi|*Zct8NFPSPP%veR7X!p=?AsHo7HvY~qVGm7Z_MN*|t_+LnT% z4!teIErJAZgbig;Sw{eEF0Oc>6*P)vX~Y1$mvE5NOCv+n!ND8J%J33KU&>mPqnwW5 zi83%in7CE|(}x!aaFj)m;ElGjgHk#I$eJ+3X+jXebkT9}un#V&0RbFK2##iW>>d;= zK&}?`dz>aWv>t_hgK-Ytc!D>E;fZ~mBnTF2hs$vqV)@yuu}efx3l72mNlbnGn>!rHBrLOc5RFwunxOY^Rv!sjl18tngrq zSzmY*V$AV|yPYmPDG;$@h{UHH{hm(jMnxMz6!DoV>~`XFu1ofjb6wJ%OJ96uSa|2U zm=>yYDMvXQ!4vUOcusuI8Nf3kqpOqenGDZBcxDkml(G>(5grBTgy&o?0OqC(kHU+! z%w~YOrezMno5Ju!bPA=zPIRQh;!>t?MFF{C;8{$`<|cDmgKKsfN+>r61-UqPb6RAIms7z=|TSwVyhiZ;&%3eC!CP;;fjYMEZdjK=$nr)@YY*Cyg1Wy!Li}9QS3&1uMc%wsbla+iFw-G>=e1+#LFv~%@)prQqFOm-gsVJu$ zRc-`N6j%Y0q>92lNN=Hv?N95*X4j1^wi_sD`;)q{)$hhOLJ*a0A>Hup5YvV8B5Zhh zos8?|c8A~&f`f+u!po#>lW;EOmv@?xj-p(~%J6uy2d9v;+LgA6&7Sj$7U#fzOx z3vDJ`j%qk+QAAl=bQ*cF8-R98cVh}Mn^A-#W8dmY(+eFx7=fR;h6Z^)i}tNvZWRvZ z^xXOCz5(9JXmm3zRPa-da=JDmtr# zyx6xb%e9vQ_BAd02tkzCwMnP`fY(2rPJT{pKf~*9S`Jv1N39(JWXrY5ayn(q7EY&) z*)gBfZnZ?f7fkW94swg&^dT$!D9fW3S%b91FsVv@dg!s1W(N z5X|;oK1|Q(>b`tq*BraeI8Qluq>otlqL*?L5zlv@qiF-;21=cwz z5+8|ctQ4cf(8t_=%?Vr7QXB<@KE=5Tm$b`eQA!dv6NmahdQBupt99E&3OgV*f8>L; zMGJj>O5_rns05@hWMqBZQO-e5*gPBR{OC0iA$=|4#@d-$!X@Panj8k<0& zZZ3r)3W{~97$@}|#py~%3naag@;L^9Ivmb+bl3uC;}h3Mi~HfcqoV~T(T`xGmX2Tx zixoC2esL5puBIzb{8Rq`rhK4=5~?nEx`r;8+4jSibvd#H1Is&x^3sHS!CN>?>gZa! zaw#$RIru%OPrE`;16%W>C=BOq9bHEkj?NjnZqc$MJMjG!)<9q|-L^BrBE zw|pbbjl^f*jUy}Q1(N)CcH`N7bafZWM?C<}GCPc0ID_lx1`bkNEr@-UdbN?RoM(Gr zX^i*bSp0!Q8INvKFcCFHiT=^zD3NTnN!$>LqR;fl8j~0heJh`St~H5)(KoR@3A$nC zCI&^TJJRpmczLfx-pmemUpAuG%#VF|V_)96MK$M^Id)jnD(u*g=lgT*Fy`~4 z-RG90mLWKI>*xVYpIC;bFvN1yG6bh`9X+V2UY{XUieVXY2+t37A9z0>!657)mK+u? z`ZHX_L}Eu#gsvP2+P@JPVn|Utx&S6(O)Pt%i5nxrkG&=_T-mYDBu2!9ANx&Wr10Z_ zN!%ptI0)8^5`G*qiP6H2!zM9iAoHUrViIGS9YyU-Vw^R~DD5PEuu)cauu&#<6yc1w zqFfbCUp>$(VMkFfywMB(VVC90qK+T-TtVhX5!heUJC{-mU`LkdN4qbuN*PPIj$&0R zE*4WJWD$-wEXos=^a%<6F(Lclai1K(`*(n_bnJ^eeY-z9CP2sWLdT+9lb9fMEb3(v z6Pb)fy-i_al3mw@_Dy0k6RarDByLuM^)ZPlLNJWew^)LqhP6$CjRG#C+9cR$yfL~h zf{nooV{$1X4J8;!&e#CK#^KJm%mf>c$KwM8n}9nLS`+M6CDOTO@fwzm7|QANGuM5 zYB7i2R*PZzi#Dk}^R?IxCDy1G-H$i!&zt-8*gQ3=Su_NFZq07-^?3RKU3t%1v%3pp z)VCMNN=zQ+ig3nZ(SvObb48o+#%ATT&jhlNWL1l{(52&(8|I2|#%da_Xun8ZTi$b+E$B4Np9lUOV)*on0M&M)r*nAgv!re|HY`*!XL6644;?8vCAU`2)Nihb2;w}UwV7`|}t8q>YXk-#A z)GH~@GKrPZS8$>gdTtV{WJD}(ZxZ)1=ZZU+#A;?-u?HruVa^qIG>NsWOU0c`Vx8El z;?5?qo^`3Xi%HyP?GDE#q*^YVqJ4c&R1IxgoeS#6e;IC6d@6oV(s6Hj17V_cMu2IOWH3F5e{X z=OLvS(dq+Y1&ePmi3f#q159GGFm522xJ5WO$RxJ1$`ubbiEU?ub3@FD1T9W0$D#+~ zoJ3Y?204zfth)vH-jesMt;JE!iM(4YSbQs9xD`RMb=7@WA0&tuSk6tw3sZBgr=H3h z7YpF{(xb)GLSg~y>l7E_X(5)Ycgp{FKqM!=csgCeDWB-XC`Tq3(2(cvPr6!z3OP z;@$@0K2F5NI*_)By9|geYm2zc@xt=9h`RzWtZ0k4h$M?w=28mTCq4yQOx#s?x++NA zdvQw(r9y~H=4f>)ao6C+nl$3>7UC`garY>3mz%_1A?^y3*r&u@X%hQ|xT{R!fD-p! zlQ<~EMVNI+h`R>FJxs*K;+PcT>N3@Kk$g!_C#fjEe5VG}nuKYenuddn;vKANAxIMM zDkO<_VVESAmII_UnC4P(4W_lxtb7+tC$kASR=N;y8PpVbO7I4PBADjfb9nFu3L}`# z9K5Z?^R*cUwUlVOt>A4vK&)41$G3en0Hs9Jt%5g>r8xxmB?Ttes-eWvsll5>)4|{^ z*+G0g1k*`RLcyLN^kn z_z*`Af*S`j`w&MD;rXG~KEzR2M#R!wYvr>;eF%xAeIG(1=~g~O(zr-EiS4P;V>k{+ z_nBmOwuDFbn`93eevTe6$!mlg2Td|6%s2$b^b~F!HpyJ^_#!6ROP%m`CTY`eSawCs z5GP!@k>Z4NC@uA4J~ynR%T73l(&0`xIR+9+(*Wihz;>ULl%|BzBBg;~+NvZIQr%1| z*hL&n`$?iAj-@5n6jARvd98TQxh9Ddz?hVXrjvb`l!&I2eO)j;H+db?4AFG*dZAe# zlkBH7>uZv>m<>zJ+NK#t({0g=qv z1L|;^r3BQOwOZoojFExF)2$){38*t_H3!rwTFv2fYppJD;dByf{6rM*Fv%NTIGr5+ zEUPl&>EsAjWyI6TkwW45(CV9n#0yMv6x-FJg(f-rEh6z=CNa;QuqMN#*;%WUa9Vor zYjVs_ig7r7;=$-^Lp7fEgM^fDT6}nor^SW0Jm7e`je$<$X<>BwKqv8ZaG;ZDI@3TW z(RAuSC-HQvfo_Z@H82>BRVLhTlH*GJa5{+{lqhXn^q@&j5Qc09=_d+DwwUB3;mB5# zoUBQtZ64!2e*;dCfxQo?Ck*713JH$l_xbK3-|S~17d18wq4 zoESg*RZVQ+c$yBl6Q&~EFNHzcM0nTn&jQWxk2FKd|};SlUyJ{ z$qAI(!IW?s)+U5AmUYuWl4-d#5~nykF5>&YE1XUu z_h*w&2&a>amFBmaVb!of7w6lUy&vMWA(`5EtQe zaswHiL#f0)rVAR{MP{N>h|EcirxCOS(AFpRon1TWFH7J#k`8W3Vhb0O_tR@_(R?C{Jk`7Rc_E_~O+mdnNLA#JsDiG_r-Uda;{HZlx0d&Ss-R zq3Fflx@FOKjqrgu1_NP;FBEYef({*?3`AN`_; zSn^>(Y>G)fLXF7cd#-#4ZjQpN+DUK8;(K9e@FZYr`Q#+JwBXC;$L0Su6baIyKdlyTtlS@*_<-qW!kY{N*dC|#b?vucRa@R9i zlw^^{F?=Vtr1LnX=0CYt5QD$9&&q5!)(@6h-O?rEOV@s?XE`g2yR8?TvcC(}+gVPX z!r4@(u0v>_MT@L_dZjjfppe@>b)88bB%p?y7MabJvXOkW-R%s{6Fc>YC5{fUw68rM z*CsTkUANEXbbAp^f6YqoqWDP6Ma7HqSn%S9y0F}J?})vszt-ImvPZ|_JlyDk(!m@n znAdb1hrgrlg{b{|lKZ=7ydMSSMPHjNwjxmc0b3F8fh}>rc5`H5L@Pf24fp;p%H9J! z%H!G_UuncEieMyIkZ97<5xw`aq6$$&5xw``vFTU~#KwSa>=>LliGvW3xZHaa+dY>1JTBDBSw`vl)7N@4|CCQ+ z3zsdU7wf3*c&(T8UZjJbxk)5&uMM@E-IQhYwJDE~(PmsE%PykNtLiQ_G*^((*H)s6 zm5-Fsi&7J|QeKQ_8Pz9Hm5iF(c_K1;G2sxA-_n=zF`obWc|)VnUG|kg9wi9LI!7~; zBgVeOC|l*IbmG&#?#H7}>CqVbc?bH}OdrQ`6!eR3MgPw`$cuafQ?5S4sYZ{7yIc8? zN|KLVq^(`K`dFqi1D;md$kR01@Kic(W>2rK4YdY8HIDk}w7%|E4FP@!f^WOUmTdlN zY%}|n2t|VHVxl7YRJy!KA*|fi)U&7N+CAHb|6WCZr?U{ZW$8c&g6`Ngj3Bi1Y%w2* z&TYqKcH21g5y+~1u(e1o225^)M{1FaDO!u{ zd0+6s+y(ZwReOSVeGgjzSyFYD)kZq>l!UH|SJHti{=<;p+-)XDv@Kzn7zjsamE&}j zz5UphFj5o9(M9Kovv$if-HH9DH`(mh z=kB!HF{LU9_i4N_aC-Nng}alIlheE9#m*_T6p`EW`~8{!W}rXYTfgr3kejX(qGDWp z6%Fr${B=j%H8etr-^i~~5*_*Ae-`pK)wY=?Z#M7g<|vn<+kBvD!g{gAzY@R%a0R{~y=LLL9C za{X52vbUO{x^eoVsSFhDWciMEc79w#GABp}(7sJ%L#^546)z^BD9)|E+|JxbrZPKR zM`k|IqKj43|6d7h6)S4FUv39lCTdzHxr_Sa&&-=Z+{Jo%J>~PN=3pj?#19QR#fq2d z=FrKCq$#B++SPjSFR&ZxsZv?hE0xP4BMR%Qe2+~-;cnK02W?GXfseXkHMdnM{Gcrg zXX!qej>6roTr|$P4=R_Va9RxwBD_&XnSUOLaY= z%InYr=7VC{b-LhE-_kqxI*|0T?o4uiG!*wTRTv6)CZTqHG4K2m6zpx4j&gpwuyVmt zx+iRbqWTye4Z^9=KtEoUJCW(K^Hz~tJDsI3&<|AOWg<3Q7XwFMbab*a(^N?#x5$R- zUHbA}^0xX}@3(o#s}!bE)CrM)zYR+2`*xS3AWn7uW)a;l=ULQnW~^q8H!}8>b~iY9 z>i^9m(7i&Dy^;hJ=QyYHiho9?O=kpy5;cRtOHKEsJox7f^Ad_n7vkklU&FI={}~01 z?VgTGR%zytqczZa@b5m(?_AZY)ThXXCa&`;0%=bIj&XjOVD92GnXBCkX+9`EeVKsz z^y{2BY0 zSIcut5j^wI4>Cb>hFXD6Vzb!nP#&p~@|&R95UoO5B0VXlBUOi7xHjc`m#Whp=#(Tj z%X8QSLp9)++5&?gbT$pIBYY#o4za_bDx_Ac3TY3o>Klp)yXdRvo7%o{KCyR&LvVJn`X6l;_r}8tLacu9AMyD9G_zzgr@FDFCNB zDLqmrdgFIXfG|a#+rTZLUo;MK(ySl$5xx!pCoGg6!J_+wb0=0<{Hsj)5Bs2^4)WY4 zO*wvUR+Qrx1r2gWSY<77=^!AU9?ek#Q;S4MB~}!ovX&mC%5w+=fbsN;#zxLa>!nG; z*BMZi?BXX>dW3B3XudX5C%)9gYpOfHT&K}nR;5~u-%r+wcm&pDd>dS#n&@W0VZ9O|*>~{eOjZO5kIBT%qCC|}Dnw&A#Z*h1DcP>R$%JbW&kS9v!v04~w zox*(>0#KD4POLzVD;rmOc0*Ai^P-p-`V~zTrCWu4#r{}8rHTssB6qCJqwO*|@rkWL{l`55MXWmWftrEwO(% zpenULXsFU7ZPuhx=8B}2;@Qpp!)0C^mLVIW68x;MNX0L@8Y*X!RgQLh0Yoabkw|ry z$0N5_=1IzvX}!8w>`wz!l7t8U?5|TRj_y|%qhy-Qi+AI@`bme#awc28uHwK9fb&y! zxJpxnmwBr5b;Ul888WY-n)LD-eN8xUA~p}JMcCgqhfeH~E2Iilo2@Hj%fr*U2j<8; zefe{C9-T1DnQ9ev7j!CVq2rrR1_jU0zEqu6$COOPE{?Yrq4RP6C$EX7Hqqao+3#EK6lxQ;oM7Vau}K1T8B8k7lI4>*!hR zx}eO>_(ecfF|1Uk`%R*IrF7*r*A=vIKidygu9SH#$!w%oC;pKv4q$8fTG}s~k=dLH z*X5Omq$~_WxUw+*K|96Q%DiN49_G;@vz!^$hv@%J0LRBr4T@}%0AK3C!78s_6Hqmq z1%VG|fbvZ;&!Y%;<+XAj9E>`VM0Yji%(Om>7YCjMIKQD}>Oxf%LmH*>QUEE&EiWT#;Y=jKoxP7 z{AUhK+Udj$_v^J_JLh#VqS2Mm%(H$mRvb(LIKC>KY{ZTc{9-H~nMwhd^bOK^-3bLw zpMJMP9K^GG?KPLoAg#tFg$~_Hk+AP}pk3`{p1x8#ujeSjw!oLtT^#Ia!b}Yf0ofdf z%2^1f9WR3;Hr~fkd6*i!sDX3`=d7wb;6nim+R{hn_10a~hgAoq^d&NIruDxN+Z+ba zs>6(fYO2Fjsh&$nN27+xynY&Oe?qp{mx8CoymoXA9v|O;4C$jNUWyME@4+o^`F zTmh&-{-`^lQaP)R#u~DyQO5_OQ7dF#nrYMs)~J*;lIp=3-V5L!R-@MF8{^GT_C|)X z^8F%>qCsatYZgqTZ)S&RN6ryt}C&w@yRS@XE12gsD zR?GMU=H2Nv9yoprNTI-z^ z;s;#-m5j}IR(Oyk^CnTAb=I4S;_2pqiuMj3U`kf0;2_S#M*CJ78G@&QE_yT3gYPmg z6J~!B|C)TA(5<&h>Wae~0FEz#b}(32x0TfOAfwFF53uJ=Wwzt6%?F53@D!}_J50fq z5q&@rio9w1W!XHs)F@}8^>jaR!~!^*4ylz$dAgqmIc1)HTRm?EkIGHf(dFVueLzB6 zk5jBPf&@G{x;zOvWuAT*-But^Jrs8&KB#t zP2xx&fTR93C`kWWBUDCyppgdYcbk9_8g{N0!FewC2$e!t66I{Qo|!L>3mS<6lOJ`pmC2(UA_+W z%$Iq~vUNqv-LE1Xj%DQe@s{&AKffu7{H7 z4xJqAl)c~9ybfa;Q}tn>NcWS%zfYllSKc;_@d-k+%lbu@INBKCJoR?cQV0K_%^Sm*9p3`p7#b@Yct(>%`GM0H@J!NMOs^dhkEZR8}2tpvA0bA*n|( ze)V%%d3&+E)eIH%k;n2l1hUsEZzql}0W^VG9C=Vgxhkdt(hYcHYoyAljWg3IHi-I* zqf5<{6m7nc1}TSJ9bF>xp3-ua??U_s6fBYXbdOHXJ~E~tPK#|@%Pu{+Hui7~5JxxA z;;>3JE5l#cqO#afb#sG`MjKMASv=;0vh#0lfUM-}0C9Fc#X3&8tUoLi&!qqy<+-!z zJf3y_u+W2yGC$U%#4W!zp}_X4|8^OvH3h0ltx6}QMN<3UE>M!4Uq_>=i2d^n@f?(q!>`3tI{d6Agi{ zB0lqcbD7`B4TK*4>F(jqX{3HOCJHUjFvO`?>yx44`7r?Xz>nhiHxqT54v(T8H~Xuk#7oS!ispi}&lad+`W-fUY!X;R|Uit_NIJt~ckVk-H@u7el)DL#ROpR`AHePuqbjlpC{ zzuLKfw1=9wby3Ct;9ybQ;w~X>=b3C%I@#;R{)&Q-BAE zr^)<|sxtga^{CqLvlCTT4*}?j;)RufCR)_UkLRXQq6sX5a%2Ryl`_AJn&{{!`SGXX ztShU<3+UU8go17!A!6xoDovKaY82ck^Sfawff|jU9qjW;sJje*O(^IRRUW5@_=)Uoa7Y6`zJirW-YViQ`foHv6Y*K}^>GF*?34hD{ z-bU5;;TO9psqYP{C*JZs?Q&kqHh7`9z_X`aSa;0V9(jKM5-OZv9o;Kl%mO$%aFq}o z-HT>s$@~GTmD%}x*>OYbI=8s|SQ_@%#twgM-FB3mZ0yvt*riE%R^V=;{R=tDJqq$6%egTaKA7#FN zqdR|``|TElR{khIgPN3NJu^%EuswkEOjV1?)I&n#OQm`!r?!|(J>ZwG;ZX6T7{~(m z-!rpNXM35iH}B+6P<6JKhw{l4Kh@NF@PBuTA7%h*5MA(2RZDrRR?F5WFrl&xnV+dy zIoYLZL(&wgteFMQzuXv5gF>OIZjM%2b%3Y6v6UbZFJZ~<<;F69Dolz=WOYq*--MMx zN#tUmYHocvRJ_~`;A~(UR_f?H)kK+9bY4}YU3>S3m`7jkCSCd30neYIs_G{5XR`8W zfgt2M@$z86Bee%?Bs3}vDk-aOlpzG&7Wk9c_+pa!YrexrIRuNF(YUY3#bP(UwZ0@6Et^5?6n@ODQ20;($6@*T9q@!9|< zQ{Eu+lJUt+*ycX(yUBr|iB|Cqy`xo$Ej@Oa- zONgcv>(i#c8&nT>6362K>e7dHrdkY_ekWG|%Yg%@-kufZ zY7_ADSyQx_hIagI36$amlGKt>lP5Z0SWVBV#pGyYMLuD zR6N0#N@O$!p#SNjno4whIS6PDq4ct?8jifzYoe zQd(K7#PRlk8fJ-X7DOVX13+I6Q;86t&kHm}$*3r55>v7YwL*_z--H@+>)gnu(l#hU zIzXqaCrVmdIg7;c1%SsHrdi<*x@L85TNRYeqlJ_)HZf0ybNSN?7#43xH)sW}lP^Y^?*)U>zW zY9mf`1w2wca-f072j|s$d~I4-7~X2*0UDXVPYVMae;SNP^1G<24p7DW#4B|GjzL4y zYvNl;DLu={V9c%?4DIS6E`vXu|#ZAROY zD%bRHcm%K1mHrsrul`!9CL~#`=ToiMray*Z``UEawSFCF_SfdAsgv~$C`<%A4(tEa zLFLt~H!Wa&W_1*=BmuO?NAhHSyIj1|6u@CuGFIH*AZ-3hqV(&)gTF3r*zJH~NUG=V zNadwJ&g1@eIkGjCe)j#bllb^D@k(<5FUw{|chS1};fj>%I=&3}noE~oKa%a2)Gu8u z-;oC5WCFmsUvSujtzKo^BC`isr9WQvvp<25bj9n>?af=lra(2r)_hRGpZ)D&hWPbH z34bGIe>dy5J;li(07v%QCN52z?%(zVB}1fN?~XX;=eR?67}~%w6+k!HV9%^ws>asEIzPf|?+tygD>oOl35Jupb%i-WG51OWNsJZxSA zSvgYrTNxG5+WkBnbV_RDeiin6PCVF&yZk^_4urKGk=5IJyS2zk1UL)2=`n}{MXJC? z&a6UJhKo9)2`=U&0dz>&d}R;a%5jUFrhq1Bz`r3sZ?^^&7}5IKY<~ylAyQoaj?Bay zjPg`>jvLu9$UE^Q&Dv{G2P;;j<7b$CmXT-%G0PxI9JY%YQikzNJXptp93C!eW^d6E^-C}^!PGr4-*dY&E7p>_^HNM zYWYlEN}ZLh%(9=Z6*+?dL_bAe;PK}S2I%O^&*9JJ43z#JroVf-%drYVNxj@ZU5jQ6 zlBNFM?1ttHmi|7}-~F(0ezC~$0<^o^M5{9HCPgV!M7PXnL#iIEL@F2Ky+?{tJy5`dB2WE#m-sMT(k|0pQ_}nAdGY zoO9Bpf3TU-hq!?R%tqQ!Md~=|AGS=l_bVcnR>BrR&ShUL7D-ZcO2r|gJ&`q4G4RCZG z41r#n=a|WySMii&lE^w$2cQnw0@zG}99-z;0dDEn0TKTMT+2gpKxq@1s#CD? zCiP;nPQeVzB)$#C*{^@6ic|3bZKoJ!RJBtSg?dKtk)}|k=AU$c@8**Ie^YAox+ic;81a@IiRMXo?%-=Ho2HBn?y$o zfa*LR+rgnAq`CBGX@xr7FbZii6d^67e>u zIvj3;N_${Vm`{zt*^lR%n9X#CT$Xr;>A*!|=zgvVS%0_)f?Z?(LPF!U4#$X7g8`0q zgT0rH5gd*IQG?O!MHb4-=HIU)j(!rZ?*Av8> zwd}xo&kB*P6kJ#QW~{yL*~@$)nK*|{^SSM%UmwBpWeq)k#roZMG0d$p{ZG(P3J#*+0uizx_tXPi`?!2J*OLbtBxYWk4SG(A=6vLg&qLa zTfUUCh2o7ic57^$bSRlx`bJyBAD3yVNAu^6cIH!z;SboX*!o5X+fTAllAnY&fJ3kz zb&$i47zYbOcMQ`#ibYT(dx;|%#DX_Q7>}u8PIzU0v0wvKv_~!ERi+I`Y2Z9rU4#v ztWZ|XeV({-M~K`JRpx%&vd-gZq{tl^nfY@^iQG|481`($qUYXv3R{1CDKB;t|KT#s zr-xb8W)%qFN3ozZO$KUfr4*>6`HQr=N)|^*SD>C6cOzvWPAP&>GEn~*wJwvm`X8-r zu1>bz8X$6K0i1%=5l)hgaPTRW(`Myc13=9z8Awn=KhV(YK8T5ek{VHEQ_!Wa$J=#H zwGIyvxpM$b=Eb=FS=9tkm5~WRMjd>w+_?Z9^EXVO!J7)#D|a412S3fXV_FH!7rD6e zmv&ewVf|%uTmFq8^Pzl_|6jH+e75DE2KwP4XvQ2FXsnf5pb0x{xpQS8k^QyYc`}fc ztps|$3^b+YP9xs_vW*dFJnr@L<>s)l;bb+x!+cP4;?I|3P7Jiv{7h!+b2jNK zl}6vX2TK?wwV{e;`ci+|Q=IJv&?cWT$E)_Ysz{rBWN~sKI|!ic`m^1|St!H-^x&;Q zr0n{iA|!LRn+&wogtWs&cd&^msl77b&vuuA4nz}Vj{ z=7VA+N>E?u0|Bc}Hc*fk1dWjabMPQQ2Mc#Kg7q=l zi#c+sg9kZfpqH+!_t%7Do-Z}0zTP)x@@GeSM;%KsA5`z;)ThqrqtIjr`VyM?)@w_} zI|~7hPEcAUN?uzEN*2mMKch+e6N&}a`wg7Wfk#SXntQ(i;>LjirszN_y3q3ZHi~yv z0~~Evg+Qq{1pYUo`qfa?djCRTFyUBaebh$0vlZZMyBZ>Rm*htX?7y>B28L)}4kZkW zt&6S2JG%i*=(M47kSQLcq8tW+3p&<)u{99vmH~a-ATXReV+l4E?$(`QvRCU2^FejS zwcXSiUeg(AM95O>-Fo8P+5pGS=v}EZ-i1;7ZfzMDVK_RHP%N`v$3+XYp9cr~D#eu| zrO}Mn>7IqaC|!MEG!AU9N ztNEf;rppd`5ifrNZ|L2QGN8S7Z(uBIBT7oAE!y;B9B;$IIf}2Oc(*S=X<|iygC;hV zDJFjK5chdkha|&5O2}}iZiAZ1);aFvzYb)NJ1aK5wn?7pi zxwgPCNoEK1ZiT>P!mt`t#EN%k0GiN7$%xpgRb|r>mRn>6ju|qb4+;dP5{fm}(WT-y zBLJjFVyVlua#lv2LBU2HWdqog(oqcN-;9ufX?iGRd5GtfGM#X*wO&dRzsUm7)-Dj| zC`_zmftK=-j)naY8`va%Go2=M_e)7Ym?Z-#a}S;#@0$qdj1% zQd?{8&sABg>|yx+WUW}75I)z24bJD+i*v>inD4^1PqxANDI(J6TFJnC)ogEIf&2d4 zBu-+7eS`JeLE_wKKn?BYW)m@#x60m67{5fz*vZ`f-wr~RqoEr06(oVBs>;zau6NcXNcx_1M$d#m-vHjy_K;HY~?RwCvN`0sgBWniNbw@rj%n{~+Tta~G+ zA*+YnqwIYeP1?;k3-6--W032s9QxU0WRS{*?q5g^$y$X{me}XKCQGMhp zsHN&bl0_}rZGF{9+i6H7W%Bh2EIMSj1q@_^!uPiK!ME;^GjAdiw0O(k3yRWuhKu14U z3!fIsg1Uwk_1GGrq&U9rgMQWbD!LcRf(FDNePMCsv7{yu-{A$q-wvStm5S2GZXMle z!Wkk_OMiRe$752srG(l6q4akE=xNCClj0&TZV~>DfF`^m!h-HkeTbls!ax`%{GCjz z4Iz9=(?i1q{pRm%KF64Pvgy-s;qPJ(4D*GS_hWzQ5&o_;%cG%5XoxJ(A&r9gc;*)+ zshFz2y)0N+x;T^ZGT5u(3p6L(=$Vbzo%V`Ij4*R+n^37Lld|Sg0QVZN>V#h+89D|cD zMYQ=F`y1Do-Yf`y$A&f za9z+&y&Q=IM)p%_$!9~s%v6bxaGKy z2-GtlamVrJO+}yuKu=1hqK8gOJlZizxR4(082o{j0KJgRqa=_l0?AcIdnp227$t!e zKocx`QeX=_Yi0LqgbCZsj4D&QxphsfGp^* z^>{xu)+uR#YW_f3K)!u$Z4!pj=>4x*HtP6NUzjTbSpanng{h#Lv1S;=CIvO0%IF;q zs`b-FV0z^_hQ}>hKhvhaV(TK^YroW9se505bsor)1^VcLx4^5q7fETVQPX9?2r`Cp z>#E>aTUSX&W<;ydEc@Vp=83>YfR^rx1aKRp%!PZ3+)Qlr@3A`#dH(3-O%wQ9i_w+?ZJ z$SkY=#OgB5vMfyY3I3Rh%75}6WTF}}V8`?|;X+Z}}c5cv_1S(~LUK1~v7RCKd zN#vgAHo)jA=xlVWw614}U{`>%D`l8KC!W|o;=I)r2>IB-ZUBg2YurC+JJ}%VdWHx1 zWx;$SfeY9aqNIiFYzMo^V+D(dxOmk8Dvn|5j&eZ}r2cB32o44)9T1Tk6Urg63J!Ia z8`fdNL~xklcO?}@{Oc)#!!@Iai(usH6MHC~B#}!ML38a%Wk2}aHX_JtYq9^mLj*?> zciq22M+67U0<)xDKnv!%36%t{AyquqbVeii(=3c?T6bHepk)c*ai(=@KIPRmUxY#N zb!bMrJxzVaj7FgrRJ$zF-sLvi?Dq1!h7&x&6^3W7HpY|X!;b*|Sd*q-n+{T=8&iE-O*5NVC+dj87(k8w8MkL{(y?u1K=}m?F+^12}qMqfFyDrtrrU4>HPv-D)z+ zF4#i|n_9lZW5s(>0LPX^aKOh!Ru3}Dg1v^meT1W#_4mo*y}AHL|Ev;@W_EMRCPT|w3+roOXbN!l-3$@x1rUFh zc+b8KKAzd6EZh1wMvC{E@Atatz{=NDQ&Slf9!+Zu)zlJ8kCr((ZqQb>!6Ot?!0wWT z5R5CRw~+^H6Pje}$L+*>(*Vu{kI|i{A|F3)2U4cVpxy%>tV<|xYrXIA7V+LpfS!j< zscKfH`M_4@M^jWGJ==X#NB>D%da;)aNRyBvgY{IqUBNi_M^iumlJEc}WR!k2C?Og= z)~k!e`;7sPA~?2^2)??=gN!mLwFm~|2}LXGf430tw+1*$U<3s?`M+BLLu(mK&;l52 zNEljM1%t% z*o1JjwGQnS?}Ly*&OK_#uto6w!A4J26~Xt1Xc0WL7c~u%!9*j1NmNriU+Qa(j0hUE zRYfpDGKt`8jaUSmYe{ZFXxdvRvP5ZffHT47^dkr5Paq;$+FS43y}bh2IFnX8V(AA|bGdHB+SfP{=M=(G*4cA;B} zN(TY-jBTHV_aZw^_LmL@H14v+qJv&EgJ5q*=@5XiZyr3DApTdxB&lztlU)1<|96V` zUli?*{d%GL;YQ=o=>9UOm&$`4wS-Jbt@vzx=>QpQoy~{qO9#qe8$MfKiVkbbw*r(7 zmg&KEynUo}hzzzTo^`Z-Hd0`gEFE4G*VS?pXT@@p%`&ej^*Y@mpjnnCO4Ia#62r0) zqI5*H>jN~)K+DL+C-S4gvVWmM;@BvFs?hzjkzl}Z8N@4#9LfrI<~R{0bx{oPViiZ>6ADGE)A&9^Z_zQlHd(>HaGbxD>ADJSq#pgSh26+Vk5VIv0WBRH zd3ypHxkd&@>qf#a<(@^-Sk<#@WiVYga-9saKiU_Rl4F<%8mU50=>bn*q44C-6pT)~M<7bQ(%x_}^^ zWJyMap-ok4vFn|BK!(X(ufYaqau}nh_4^@QMpw`-U?2JuH!5fCe?|x52sYgNs$tJS9XI zyfQeSD(-DPKV4jy0jODqio2!Sk}&kM{@h4hSPtNO4avNS zY-%y(`RI;?J$Rhao+x2oNR#oeb*z%t3xr zB6pDW)9&JfMgSU;hSzF9nmVaGmNPEV{d=(w{=HIMT13CD)DxE$PgXZ81yjVOCHl8- zm$Hvn%PJO^=fZ$+aYS^;Mf7;(pvJ%>kku`*7$CWf~dRV@fK3M z9#OSAi_}3;(cgRESJ(f66qnYi&v55dv}{Q1gYOf>r5$?e85)aA1}^Pp=R5X|uJ+I4 zAY7-uJWyQPqcZ$_vHkPqwfg5Fp2CJ9Sn&Hs_FBMjyyTQFe(yGuiObe`YR2=%ey~XV zK2Z~qW}O=(K1c>Q&VP~4LWi7#_wqrqbQRWC;!{|McrpTO50}Q<)sKw*6zrY=9?`T0 zN-o)#!ze<~^Vy1$QC4V%_@F<)QSMsXa)-J@Gf;PbSy*3-T_G(B=8pEI-e@8|7!J@< zX9`u7I+d=Bl}8EPjV6$};j&PBiG}ez4UDn=P)~d?22fq*OpRLRem{;R4bt`d4EjOB z_xthm3!?S=iTL6E1Llbj#(<6bXmKI!M$R2ey*R^WW4h|anRcMb?nOu!ji^7z)5kwp`s0My{^Ad@=G#OooGLz;32@e?CTfM@3BHF@LF`Of zn5?@zg=(AROT9N$e6SFpU4K)kn(J>q@QA)Q70g{I3r!!kVlO_^Iypjouo>X!#iv&k zgOk|U@WEzT*jkZutdMr~=1#UgT_=9m72q^O8U$V_1@_`NC-yhgo;5SZ_Ts=+_owST zz#$9urrpAJUbd1ciM=OWlmCwtb5*WEdn{tZs4%Sgpcwd%6e!$6eeHN*MT>iZ03srKGOzA}k+#lqL(idH8CVk4DJC?$pjK z>)u@P(PDtp#x_G{HW@B3K{=yYupu&+0CbRuC|e33%Nwp}Z1D{7(J~rK_vV7G#j?=k->Y|pkr3&h9!0FGnZU?3UbkPK%{ zq-&tzT}MaZWm68xP;dZ-9e<4&cA*8Ra-S?TcY+s=V84TsMzV>AU#`MY)RH;SuAgr= zDsZm#e`3WawE>QXt)Yr^7E{GpBY`6Fi4;NnAwtmqiS+=dEHq1pg~~yrq;w_-zg&gv zpv?n8e~K{#&9{ENQheeCJdz+DD)7!YCk+)E3!TKDRvnxU8T<80`XUR*D^YM2P5?Vp z(nR9H0y+Tlr`7fpvye#G01~eA6tc+rd4~98EZ~uyZPP$GZ4c4?bF5k6Z&#uA)e5I_ zUm&S)8cV`r>!=W)OaVMn5{T#IfO5|I6dD4vAznuXrO86QN>?~tp`Rj;70#f>ErG)u zCqCH>a5^efu}k5)#sL{-k(p*9nnfsZEq=smHwCV<4B$3_Pj&(lGqUZM;7KsE$08vsILilIBuX%fR|Sla0ijU#==vi^ry7;xmluqy5CEF@VHw z(L5&hQ^-kG%IOsk6uMo~d=E^~p%_X@3B_(Z-NgbC{um zRp75Tt9-VgL*~91PBQ0fh+Brf@S@XT;Pez6s_pdjDG$bu0 zI#$7A{9=+Vc&n}dm>@nK4v_RnnTuWrFwf-?rci(~fzMO&a zyIJ=cPK?6qL{i~aj$>@Fe%3==9uH^?5kc54asgFk4tu~Ka&tK^4ry}*=(wNt0J`zA zaGS2+301*(jNm7UEgP--$S?t*pI41kp!V3C7ElLp1)LX=4z%EVnq=?eGQGj1llzM>D*(SZ7y@%b9>jRz~s|`-6_+vz}Bic9i(67X}s)aJW0lR=F|k z>}ph)DGT>$9@1@Dcn}FmMbXs4twcg!L&CP$^I77v!8}m$@d*sO&xV+fPg);07MCXj zoQ+V!4Vyq-bteMV@_WC7wNbXpEn*)x27Qxdk>yeZ7R9;`B9cQ%ylA+c=*s{J*{t|> zFoBZ|Njr(aDdw+TR_O+Dc`D$M!;$Gb)f0w65`mL6`bOUIbDqThTR;eP% z_q`#hD8WTc+f76*G1cy&zm}W7_F8Axip$dgHTUA_LE^J5RFLM`&uH}?UE4`~wv7~J zKU@HJd0N%(Tno~s$s)JrR$~`m07pqpiL!k}%ML@!Q?Wm2BR<1_;@-R)PCMbQ+ry(;xUlVn-E5PivhKtCz@}yth>?T@(h4u&_Riw^3~mUqfsw{ zf<<~?WKjpgXIVe$ATG}VIGgZBdO2qIqYgkZM;7VBl0~V60UHHN@N$4UbZN3zb6?E| zWh0l+(*Z^LxMWdhnt4vwwk|v=t|S5+?V546FGmsW3r~8GQ5NabjK_+)5(;eCyBZ~~ zBm?wjDZ5ma!$2lI8&d*1ObQe@G(4d7K(Or5Ws;D=+he#^wL-WXK?3DXa z4{?Q{hNHfwP7+!7k1%^&=^=~y8qLy=kj3HI;D+ML0D$B8G$^u3rIUZlS@rJxigPy5 zfbIdZNbk1HE*ikTEF}%3ny}ODC#m9!7f{pH#HLcF`zN^i<%(Ap>4TI-gRc{|2G;eZ z;z~Neaj+T;$|xXiQ&zoE$&iiB>8eTmjqjT6T|$`n8iT%?F?D$zrEtFn=86JSEUQ)H1>>9p*kG*vH>Mo?wgvG!vK`*gtL zGm^&6k4c87%c7B5S&m{(CO`sKh%2)JHL#LtClR2$Rd@-~Q3Ei6_Sv#XFSQkoQGA*$ zj}?t2+8g5ZcwKR2K0psto8u1|s7i1Av@WWhFN@MmUyLJcjjTUHc2@%&wO|Ho4&&(m zk>qo=%r45%%^y!Fa0NKIEXn{=1@K}xDFmCNl~7w=jOF8I!YhJEkbTh9p`mJe_ELF!&))SKDYvyL>Ph z2gQoCZ&}1kf2WhI6B`uuPD_84il2aqL1gHazaqXx`ZRX835CFMW-u5$tebFCr|KQ0sFvc*-w(>n&khGvwjbpTb=37s24MDuE0 zfT8^58RBX^J8o#|AR#_F7FZA%Dq5~dU%?s^NkuD_roLK77Omp;T&*jMR^OoZ-~#Uh zgT&Rw07u1VYOv=J&RUgF$Ue|`fJ7GQ*F}9+f>&6n9eNjV(VloE)JWP(G_<#TxEI;UH@DG{w)WAz$VFxoZ?TJt zcCdcBT+`undyguwU5cMBN9SPcSh3#3TTH(5>5kSHL>+)!ij-=%Iv5hNjlNdhr7jCK zyz;QV<}c=cI_Lr@Y{epdhVY?U>qqCYa|uda*@KOUl!|Y`V~vD?bE5O zu&;W}3~9I33s)5S`l{E~*H^uj_4OORedrhH>rTyFt|(QIP~ryXXEVjsbbuZ^ruEgv zj`fzZ;v?g5^$LW^VSI4_3iI#Rxck!j(>ME|z1n3nJQ&ZIy z5tXhDB{ol0DY~4op?(QWy>`j^WR|!(tI7%&bUEwm+2ZPKL-}u##nn0XVvebs#rPJk z9lbhJ7RPJSu^*V0z$mGq(m}IiaU&(#v*n>;+T?q>tMy;8;_5PhV>rW9;AQEeIft@V zp_9hYf5k#XmdWBKy7EM?svJp4R8Kb?{!0^A*8=oZYh?4`T7it;&vDVt)wQx%U-4Yr zjF5G=-tH)_ZUH#Eg@$V77LvB6yw%;pw-GwKx&Ymo;jl{KBfTJ#%WOOU5>dzZ_kWm(Uv~DeKMJRez zjM16``U2G#n~G}^z?0!zSEfP7KE#VSzj#f`;x@W_S`)V3v{yb=m#X2KCsUcStWiwi z(@WCD?bwO#gIih#XndGWGPIOC7&8VP8#5&2OgbNNJcN-?+WML_n!{yslc0SyK-H|{ zF5cn}EYZ|BTE#xy7hYrEe>sO=3?2@@ARF{`u@0LQcc#MqtS^RXQk*UVGUd6GzZixd zLajPzQrwjtzy4OZy~5yV{um6({DF0?x{U5Eh}?}sc3MaE1#25#wQUW`x(bgfnAa{_ ziP1z9#;Q0`rVp#Sio3JArlcOEt?5TkFRN?%*^62}fVK5CX`6zEQt+I#^)*S_`j@rH zyuKzYt82G!A8YG@&0HQ=lqyI#2jL|s)RqX)y3!!6sw-8x)|D&{RLE>-rOjAM7FuP- zRBGw35ihuwD2w}RW2;!*|4c~(ZxRW(O!ve7;u^Z7z1ojvD5&N-nhze^yjG>EIX-Ou zT|>`xsHRYua`aqBn{ue@YpF(#V@w?+#~yld@cv3U-=cnB*@N*bEYYUo-ASv*V$ zcSl*wA(0^nr*06}dIOv_pQ*tPh;iO(gyQ)@_=eYdL-nO;jW9x0-&+=s26j z*Y^P)DN$-}@Ev(l<<-8iYB1Uh1^*lPnAi8oV!fhXybzwFI_4e8*-@Z<;yECIzO>T;t=k)jBt1Q;hi{d3-y8MAsXvO^W zIA2O|m5F>rWf~Gm66kY=_3f+%S%OVF3FW!I_8bH7|Ci#d`p(fVSw_!9MPa#W9o zu52|k{UlE1)%ww%57{OE0xPj!Zj!|tRQ;~vjqabsfg~htqArDi5Vj{gn%Nx8z-GuR4Ky~m?6?8dI2u)r4u!3P z(ZQ74Q#VY|8$<0;ZC8y^aixK(c)OY7cCeZtT6S^}Y^wF+Wao#JY&2x2{WzHnP#twB z-oxTL4L3+FRpeJcm@zyvrV;{VMF*kUy_y62N~n{wthf3r6pk?=gTlkpEo@NLW7P~D z*r5ESQiNCW$Z2KIh$+tlZ$WVoVb}@4XXHxM`%FkGi6RpeKe9`r*#xCDL1a&76ZA&p z1`F&d!VPJ^_X+i5}Kib`6A_8{2jU8V~QEckpF|Y5#h#8S<=9Cu2gMCQan@})bNd6vLu10$s4<6 zNkbxi9-fNpBfg3OIGe79Vr9BQ%W+<1x+*27X8RPsSA12=4uP~cgt6Zk+<|Xq(7Mbw z54n^GUVLR=IAHIL%BD{+Ilqdv7Z!}Z;5V#5v zm0j9i2=WrMy?P3aFVQ*7=PpD<&UouXNwS0Q;(|ENN-_AlZE$ zSGiGA3Y)JBt^7ps)n0(3u`$iCja6xWB8u*nB_2ImTd^)$1XU{krDjc)-$5r=Ms!7D zD?&+YLsT1T=3?t-{lwR9faCOLOE6RNvwj|AlqGGwnv`~g0@v$aXe7Sw3DCpXl&Ut2 z%?C4#xscN^w!4{B@r6c7z>Q(7!wMxTs(_@Dj_(l#ORaYj#WxKAjyl!U%ER~_aPyl6 zvP6d#;!8NTu#Al*C7V&1(MC3@1XZvc7nWy5x44-MP;#kg zRGOiJA7D^69$7M&__&5T=w>H-+?x)fi{eVCgKl=F4noeg z)*)~s4e&^@@=&MKGsT=$pX;@|2V@>{f%-IAqPMx1cwPKGC5vo_ROGc7IKq;fBn)NI|S{FuT+7w2) zu5)uYz#9eQ*8<|&dML6L*-fc7o*GhUSm4r)6d+$KOSH#dGB%rP*%*6cqPV%~V4ULN zCM#!>xFrB_&To)b!kL4`o?Akej5E3}gHUe9^{b=Btp)%csxpPDT8%1QjYB%v%4Ony zRoaXCybgT}gWqg-FPVUYtSV_D)wIR>TRU;9Il$QhH}yuj7V*JZ&KhUJfOwk$ ze&MYawrH8`E(pQj+IfH$N=$EfD9Kb+Hzg9o2#jq#}*ZmO6I#*%>YBm0@gCOrpS_oJjHLfUg;`sEdn@B@wUmv6YDEo z(a=S*WRb36G3(PE%9+Einv6`9pCp^R|0DF~3V>Q^n>*DQ4D8B%ggyPYR>+d2W)v+U z&hPZ4o@uH}Dc{R1{Z<`CmFjYz!RiFCWtS||#4RUmyL_n^>uGH2%#fL~t962DYNQj0 za*|D)AE3nq{9z_Slb6lAi}h4HR_KaXD!#9fhe}ov4ZE!q3IB3j8MD!lqB@ZPPp)K* zVa8f2y2rw?a^_&XgUYQ`{6Ks34Y-NGfby2s6N0_ilQ2kOa1>;PLTMuGkm{haR@svP zD-?bllaWA|z+g{0ZQj9Zf+9_`2>y*`P^*ez9MZv0BQQh6>5xLnMqW%nimPN3xpMSv zGkbFMYs(=b9XGmSv(Bx^onxlC*=jZ6)(YE`yS0Kmxh&PS?mE7GzJ1Zq>pMG2+$+fC}Z?I3q)HR*WmZwiaZ)3|g~6 zmgtT8B|AJy8j!S;D2TEOEpcl*;4%7xv?pcN{b95x^#|$B9RNEnNxE|0(7C63L zmh9Hc?InAZ;@l3F?^T);meoF`IN@|XMaun5v~}An{t^ptRPKgb+|t`#5Aw;7mbdIs z6k!n7ga5Zo{G~CVW|2o$;AkvE(OT+5f^fw6QW5d{OM8HxNR8%vxC&4v8kZse6YdRJ zXn*MdkaSRkkIi6B3~KW)9RaZBS-HBuT#|%(WXQZ|9je70MoAWHO(1ZEVp(nerK1eh zCJJj=e@hg9SpaaJfDOGI5&s)Z`M)fXA$`YKh>i=K!9}*OFA(1j1n6xDrcAYM2<8LD zbV(AqkXg%zAlkbSjB10-W6-_6APHGzsGiaXp*Xc8g_0;Da3+zkqn?%DLEP>SaCC_b0`AoO4xpmH4CyzaLoI0%KNDx=j25?t0UmP9tP+kK zSeM8dO4dZA@X)Vs`8SH&+W?M3zaj=QSvhAM9b&{8E7FK?EN(wxxyK6?AuBpU?;8lUBP5dS z`8##BJ%0vUu|KOP?sNb=?(oVcK}XBDP@K8g#r+v(_dBEl^f~uXN2VT0A%2?@JPma2t7tG=j3^06YOK0wYxJ6kVwywRJy5+lst}K-Wd+4FzfOu|2tlB2fOK> z&(4^s5BY=D;PkPDKsOY$>Zn0T-71Ep5KguK&V!bq-_@;RcZSPQw|Kq;;Eq>@x)T!{ zT1PgBJ6V87ss!c~HHC7LtzJSWEU78e0f`wc)cHOP26{k&TQNi2nNh73GsT^m4y`zX zW#v0KjuYyk1ymh;M^dPlZpCyN>dmK)@63>)KDre%WvDN;qLKA&XK`l*;PF~PIjI$} z^XPc)ot1$4)c>sJzU_=87%CxszCF~R=LaN(254%a@CH)FZtE~4VLPB^g-7h8oMx$j z*|$U7*-_=fEgC?Fsavj!uNB)l6WV zQ%zu8Ym&H|M0#Iy{N=vDohU;(KHv(C0_A0^+(wlX^vXgU-Xy0yW?L9>GGU z^#Pp6zB^Wi%*#@tNra`DRXSeWod&2u6xmIqIg~SNL(}-9F4DtfN8QZ=NIH*7mjZGs z@oPH3c&YBv@o3I88OqcKVQ8{iQKqyh$^iwA&{V}SjNoZRXmjhCIB|CY;L#FWLJlR{ zA)FaeHOBOm z&=yrclD4YGH<2Me%y6cSbrN}703L6Ya#lS`;T}@`EdewsFgKv)%8~(UTHvlYiHV`C zg$(Hf5TPeEH;}YlaigUS?O-)mmMo8jc2cjjwcgzz%K8Foo*3SR0aVskhISc+wwo}t zv;K37C>sK(VP3G8YdJG@<8YT&+9O5TP=MXnh$55?14R1zKXFBM878GYdIav(jYHBt zH&$iKU`t{_o)&1!hRLvtD8t!?!x%SX0FNAZtX{465>(bWeVrn8F}-kHHWpAx5Yk0i zIy>_$g{T8{j*($~w80gQcE5+0vnWaM^T%alWf*Qe*(PP_GF*#j!%fNuXN$5)fX5h> zL>pzLSiDC~y^6L>QI=`za+Z?GqHJ>FOUd4-XP(jv5YW_RQvg-3-^ylv7-}QRrUD|K z1qcJ7xMLw~DQ1UbRf8tUFrJyF2c63@Ww?%#@X0b(8`720pkmC%YEYxTY>>)rW^W7LlPa!ndQk)K=txvDTZZdv0SH_){8a1eR#CPX@Q_s*Lx39jKkKbB+Z|3eNZArVHQp~3WlJL?^tVu) z%K*k~OBC3RRJPn+mwWJFfGC3=UZG6{L@KUs1)+;&7>5}+G#YN`{#kFd0!fWr%19|& zBExRQ@})A|*!^2lZ_8wQxQY83!Z&5hWjGNlp4c}I#Nnj(i0PfIU(FC@7}bw92$a+l ze<`bWnZe#gwXE0rs6>fil=3!yH3OAxkm06=)Mkp!NNVnW4f{0l@ld!0Ro~hA0>z&I zI0o7byH)iEy((**j^CEBOdd=VW#IagadcZT8=AGO2mkIM%C-YoCt#Pr7udW|_Jj=U z%MrpjkZ=%74V0APKA47IPs*?--i?CDxLt-@5p`W)a;1ne4C|*JLnjb-C@bj%S_4zk z_e=^9J|)BYM!awvmtqEz+EN`|t-sC|_u>GKLpzIC8&rVF{a1{&dvP*5+)m3td%}Pd zC6PnMD!&f-`cglcF77n|a0qg4^z=$=7oSW|!Y3Kl_b!Axd`;-O^4$qoySUfDgl3{m zX7X|V+Duj4Ia_n33s0=nvaUozPwS7<9&*UoMnf@~KTgBiMYy|0(u0}N%Q`7EAr5!; zR4RT_pmR`XPmQ2g302-3w;`t~432ZTK|zrfUb|4;<+KNlzf{_TR+&Q;!ugdpRp6%j zG(}o(Ga&o$(gji5msT$DBRkxWmo6x+KM~%CS1#@~u$PMrrDhY_y$1Hu#k~g3o6yem zZH7%~svzO)ha;a)iF?Tay?ezVJw`)W$(D*Znhy{LSTrOJR7&YfUb)ZYfV zr_dT)fg0A&T8D=!SxA=QVeb(M{c$R0m$=sv@EH9;`keD>bJqBjC5Q;Yqr8_2sI+(D z9!5?lqjXRc_})>5y;>yFw4$c85geCC@$g8s3-(?o86HI>4zTXd5%&fI9F>mYjElk_ z6msUQ6=K?V=b+ZXGCW$>irelurcG&dO~RRh)?1Ury$nFjD(9_9K!$s#!s!~@IKnW< zI<{Win+0%QIxsYvy+@oCore_-jw6bm;qj`+kwo|FK^!p8Bd+inRub;bk>N>fe%zZY!Be1yvGvvxR18C0yYQ~?BGp_ZEmqBiX}*LN{BY|Z6U6;2K#lr@)EMU^L-60qQM*4~ z+@EgD!2aU?3_t}p?H?0BNR|vQ)uV5j<^YnGD-KMT;T7zr-Jby^zLL7Y3mrE=++PK7 zw5m)Ocu9l6Y2ln)>2)kPlM8=;H6W2<^A*DRbeSTL#rtc-{WT6^Y{5OazZPJYRLJfA zp8-I(N`_bI7OZ9i6)CRp8pX=hGQ5`M`TiOiUgu$_;Qm?}UQcaFvo7}+xv*4U&d7}@j~3<84{1cX5arlIrPhVeh|B#wz%0Xh2Wi=+SUd-Ui^fLZ`Yzn|QwAsHzPR>!ShTCkVqx z>%(MG-VG3!U%NKl-%rM+<9w;7OU|t2YNROd4&WZ9Jr8i0%XTGB>jyo-m#DC@sK?NGoYhXhYxa8@~| z;WkDEb>lDqs255pl!JZ4*@=cJ|6kZmRX$XPwR7(Z?`4ORlJ=>7flCkXo;>LC;qttT z7&toiuZu-FUw=t|jrGlFr8|$A^B_Co;!bd0^QZz{6HdD-H|`zBXsp zVu0&Do)qP40fvWKa@L9Rbz1J>WtXo9L*V=H>}Hp*m*?H=WtVS|rRN(fHyfDGH=(v>;ALsZ zaOBP&V@Rr}l(FnwzQy=9%IAeT$mU(0XU1FK&J^E810Jc&c{HJ`IH&C)W3qSU68_WXL^uZp4YbwoL8PZQcBJ%*PTAH=RU!g@_dr`F2?o`Y((mi-3`Q; zp4UtI=W#ndMVBav{q#)5v6l9h)dRXyI(5#e zQ&lp_L*kd6@GmGd zzZ`&9Hvj+zK|}Middu=R-McV2@yovW7sy}^LNXb@thX)Mj2a=it4rdS{hTCdkWfA0 z%XOiw6l>{R7>w5XnkctutBvJC1HTe$&{;>D6 zo{8V|!@pY&jo9*_os{$+kPQC}>-;zUuz2X1{j8}%7Gz~jlVMxn{VXx@o1ysEC|Hwn z$O|L=EU`ICnk+S$)3as}#f9Ee;}gFbhkuQN&uEl`@f6Nzd;=BF-4B>0CiWulqsfWi z%)q}u2T?f)A5BK5W|%DZoLJTzV)2Mqw)&re29>R>#z@&}tP^Qjb9E@^vFc*nYSt_9 zn+5n+)!h;f5r2g^rVG&$o7unb;cGeuyazcIVE0uzLm1x5nwnEH$UI9uv8`!h5|wFd4}dIDKcA zldP}TCpIHZmR_=-wN@72mEN!F{4;RXh*d{lepQDW&^p_X^ei#c_1=H$e|DwqTkR(w zMO%}YY{b3$8e%uYCm(IjPbO<)suE@c$-CM+wi)^JnF0-e3x#tHP$DVU*&!)i;pl>G z<=AHVZnCgdZ&#+x;p^~kqK?Vh!UorPzuJL67cm8DKMrk~kT}=W-Icb7i)XpvmR|j8 z2iwE*JXu?{y=~!rSSFa4wOs__V_tqI{JoSZ=uEe3Pb^FApcJmKR%=AO;A6kHZICu& zLUoG}xLFX&??i-_nk=>av#_mi34lD9{(>8R?p{n{JZ`-s5^U!1NV9~F3M&YiqSb^S*LqhQ zpv)SkTe79nNN6CXl}3&RiqId%IA}KbXpg;bjZ>bic(nm(gkY+u9+`;3c_4&YW1=-+ zkc=V5`0bHK>%70!#h+`LZihn!IVr6i>X5So^fw4wKr+#q%AwI(+BouRv%&S=_wPoL zElgE#s6Qj5uw_tRywOc5$k>neCP9ZxD&^(=wrFFkZ)!OX5JYhxcqqU6=Z0fnA=AGP z{}RwMQFUQN>$*WjUOnv)eu@)g1MbxS_S@ZjvnQ_xd$^Gq|5e>R;`2Qmp!@z7Jvwfi z(V?>JX)P+hee!4c`&%F!qm3O6n-J_~@1r4!k+d~TflHR_ya@h};Fv=}6Ae2cO$lU+ z_jbeJRo~X8BtrXdH{_}xZDwbE^bS_Vfwtol0dotJuKTXZWOAPEj+OQ9gTL-;J-6~# zuA?5G*qjZRsGd)V-YH8zvra`@5Rq-(zfDbyL=u>qp*gyFW49i;mQ&FWZ-1gI=)(6x z7~X%I3fe>xOthu;uT{73eyD1acQ>2Z?!Da>e}fd$d_V#@9IvWFpm9#fDl7Xt#e$sR@+^GsCF7(_cz0G2&S4>xC!~2nF6Pn1D!@h4?W__;)SPLgpF;Z!cD|=vx#=m z!RU(jUmjs=$m2r}=M%jH)$!*Jra+a;HezR@sGeF_1+M>CEI9cfTG;6C-;-)FR+DkCL@DVFZFYVm@aoNr^>kc}kG-KIe7ie*-ulr*oEAb6j z{`hR7?tzEsKs!3j8}t!dtmVDX6lLl$1!}ymLA}@%ZelI1SE2b1M7gBu1%%?`yNRkZ zC7P-OgovT&Lu|0N_kJ}Lsm~PXOm+>XT15%h!Dwl{=+=F=>;3!H*kFAV9jqKNBvr=< zc|+M?9V{DdP^JNshI}{}H-&t-4`>_WyBplAFs?#sYyq-& zC%6wGSx?{H!1Z*5;y6;IQa$gB9sqwAQy{A@C?_Ak=)s=eWul`jl=LVMN}R`|BV;iv zd0dTz(0_nA&XO(LG2PKNwc@EL;>#07TB6a|UhX`yY)wY?w8y9REk>TNcsA;6O%kOY zSa+;;XN<%IFfWQA2W|3Km_C-d1$UWR%iYbR|1m2D0QYaGU}Rs5VupHch8ue-oW9{Ls)V-HtL3 zGTo9NxJs#@l)@^y{F#X6O7X%9z?i5Wy@W8crxV;tj~MK zF>={;d8#FSAg|=Zxg0qm1VIGznx44T(I{xF;VsCM7I$NUS9bcGGuQn zChfWNJ>JxF2QY-5hri}>w6~Rs>eY?W9WpEK_P)ltgG)b<0)?pqB7%hRMq0RnTZkj~ zH5ZV*Skd%m$LKD5U`g_J6a6IbD95ZLQ=l+)t)=-h#1;uRzSwYlu-c7`yo#z$=3Xqi zdwT||h^}+o)37UrEvy=%<6yaEfGfq`UQS}$nqV)I`EpC5($i$?DUR%#s>(617BOjq z*P@`U?uC5V+rKJR&S8}hqJx?%#ohsd?f#`HIjFzMR%c6gT{;%e;~|#c_EoP*49^O( z!8KyFyx;c4{K&5FDA|Bu+IsmMtT9Z1tg+NdN10;zG9F`0wn??KEW070w6g-qs+O*X zs^r%>|0Xc$YXgruh@!+^ug308Fxh&0Lv|wqY#&Lvils;ERq@_{YtZ)c>O2M5L@^8t zz3#=MeT#gE&_^qHD&s|}11LJa7~B?-*ap6g*913SAy`^EwZoG}iFoiVsA$PGl$+XMQo-0K6H7o%6D0S{l+R}}TwNhten3A_gclbyuK zd-;W@E&j4ZOSUE?;KLqkQGOOTygQS`MUU6E|F>sze|f@LK%n|BgQ;@%BRfhw45L{L2`Be}t3cTHAQ#qrbK zPC@G+brsZf=?%!cnP5rotHa%hP-IvrVQ;rkf|cK4-2r(OmyMyA$?jo6r)761f<3&~ z;B(oB-t7xE>s@=Pqyk-RwybdQNA#t03ATAbLBC?E?t=}#uK}MkXZWcGI3kC+SZu1D zoDtG%JaCH#V)5u8+h>>>9dFM8MipF!6WQ0$XX^Oda1Pd}dGJnrZ6p_V{`)5UZ0t)Q zXA)2Xy;fatHQ5@q6Uy#oCD6Y5Ci{MCT=HjcH7@rxFxh>qamk;38(NRtK4Y@Qjnvco zIs1^pbjvj&%_p9eWsEv+5Ejze9NZy{4C(lN0C!)bSMwSt$Mw9U>rjPzfTZJ{>`g$@ z^UkiIuL82?KgZqZV!gyn9j%~2n zT5f|4Vs?LLKUySC%tDNanBCt6voHKTYNz`s3!F88bhw%>AoI4}3KHD$#Z;r$qX<^9;$+8=x*-nC+v2ufmGSeFRL zQX*}Cz^-j_TvE-iddqd~#}BgBMia`>qbNCvRuCgEr@DyDk+>up9*`&C4|t-f6`7sl zr&YYU=^7Pcr{g_nR53X&LL{daX*tSE$0o%SSR0>c6{w&%AZa3I_P20_)rDglx1Nl2 zZq+=|%H-5m9XY2?ct1{vOI}^JHae1W4#V>VOra;*s-T^xvgj6b8x!K(B*JNHa`ah> zocbRT;27`RF64J+y5%}#P0sx!n-td7(>2cXR_AsR{mv$*fki*Zg!j`&f~@o$aYx`C zpN|J4qZgAte$m8<(h9e1Il2k)KORgty-bd~LL#Rzu^;DsJRJF0GoBcD8!~=BM@b5+ zjQ87y?D=>&u^(u1a3zJrsO5yi`&+SD2N1W!)(_R43piCP#e>X*qX?_bt@CB(^cxW0=entC*^m)R6?%U1f6AY|Rl*#1xOJ z^b=UQpV&~fr1q}CM_>!vBUWM2k)POLa@H%3ZI}Y8|Ml*Tn~sHs9|z6f+eRz zIEr{VW~Jw(kfhVS>s|0S8>$x+dt46D>s<)0p2@k-4ZVb`% zb7kG3=uAq81CXKWu*m708oo47^Tc5>)4Op8ia;4V(6Uh_w~<;*tqByqaR+Qxl2YOd2fxUfTXd?7C!Hd&ONP4F9H4gP^CNcVW zoceXFNKxc~BkGd%p+ zZ1xj*BdmZw03kgx6n>4n6$e(EoKfM|@!&O@H#wt2^7s*&HaTO$-xa2K+~kZ6A05TQ zYfW0tI1+u4_iOawAeM)N301P8bwt?06&w*{$6v1o=z|F+XS@yrLOmqhfq4_z+9O^h zf}S6Qp?)y{w+3_+Ru znQmj1r3cp*wyUi5-`boz=JJY^xLX?%{*kVsI|m^i5BB!C&qnUpOwhT_g2u7Xom5nz zACrs!!9MZA2bi)u_nVyAN><#_DPBnC&9(kl{+wrHdk#{n&bR(o{LXpUI_nPhF*ys) zu=7j3V{C-|A9A~Wm%`TBA^ule+s!mV91hHbIHvWy%AsSF<_CuW7Y!xJS)^DGF*&$_ zla~eXd(L9TZ>Y&x@(1GgsQ1&m@o6GcmEuH9bz9cm5p$h@rM5D&v(S7RLk~PSDW1kZ zy<6&-oTWwzSja ztW4IXBn$*cdY)|M!V_GTiaSI$pBe;}^Cw#|JRwIf*UVW%(yj2`!2Ei0BvY{duw2mr z2*7xQGv&#VCPy77Igb;{O7EG6E4jYQRTtIZnTO%5$Wf<9&N^8OR(T({3qB8BuIk6_ zSarRUV1q39tFcK7`SRp4CTru`IstA$2TT@i&Q4n^*e&S7w*~2|8z8x#TxN3A$8tSq zljTe1ZD#k^L{h$)i891xgRYOx-o0skbchJ-Z|H}55_-0JHgdKtCcww6av%p|T=_IH zjegV-<*^a<dbFXr;-;H6fyc=GM1r4f7hvWXAE8 zW+;P+aA-rIBzBF^%_5qcEvriY+s)X*1{2EFyFGFnhQ(k;UT&l7ZaY(*xeE$pn!cRI6)h9*}X zA-TBB6U8)BJRv*0KTSg!%)`U2s$?=*8EskWx@menQ{*rf*TZ+a3!17WxPaq-LiuKd z^x-5XKmNihEr*FlGPJN-GvOs#ri%#m9HCchd~F?D`~NhJ#am(EHBuzErIoSB%Wb9M zWQXrIxp!NY<#3Y8O|rMtq7{>?`-_KLn_TgU?DVp*WFIDdD|xTf5m&gK>wzsCr?DJ{ z8{u%5c#Y*S9IA)A#<0$!f9G&#VBJP1#6602XOr7jHI~C&Ol~`?1sv{ba@&i>vJ24_ zFsTni&>rqz^+XZ;q-?y(a(Do052!?CISj@>JTRUk(IV09Z*tXllG{Ob7Urc`o#pTV zlY5_HJJ6iU6?e#Pcw@129EO%~c%+IxM?Y9#fr}Ls4$DtcNvRk~I>3P+rS9%fWN0Y# z$F_T>CH%2%gCF2~PszggBSKTL}+|Ei@#oHw%TDF206U73bloo zZGHRyk=Rl?=J$A|F3GXgu80-i{#1Rj! zP%`-nr?<&M{678AM=;mb3zFND;_KyV-p6y1k4b-|Vb#)$rmZb2(hEJIJUh~eNsV1v zwnrK>VXW;D1-36vsUuC0-$YrO#_f?XQ!GG4tlJ}4hK@9~jOBg7DiGmEnl-bpTZKQK z3o;#nl)2whDU{pW%4FvCv7+-xBa@3qO2o!J(%9tov)-;FO-$|s$E}TfBy4i~TO0RC zQzs2=w$L_d3c8WjY|IgI=TY8C{V_+L&C#c-ZCeK_v|G zQk5{cCNB3OB}`kBJ6H+R&g2ehtAuH9a)&Bm?lrl?8Y^WwnB3tcOm(lAT-J-J>SaO* zBW0c761v=v+V^XJg)Y63(cASmMi-cQNBX!P3t{>qr>`&DEyMIfUO%7kLYN1T^?=?1 zQtXj1y-e;1N0^aH803vougH=6P3~wVOmCArMhVl$jLaRYgz0N?$0=d@ncVShl`s#O zTwYW1GOo?qh<1iERlT+eVWg~v$Jwbg0<}lD4hv-kAeusrF&>fGA zjyaQrU&bJBjHA1dWh`>WHj|*E@ac^t%WyD+ULl%0Ny#$YNZp#=TkICxjYn2hIU6G>+-$LhbsN;7pG@Z%Re&R_-QI6?XEMTu zs+oc>YZ#LherpczMf3dSI^K^B z^6z4*>t5o!)kwUjWeq`!5??^X_%Y7R;oiah~#dg^Xl z>|ek-PbK-z$C#=7k2GAM`|UsPz-OE}7NsMSbRNw4RBKmyLTogkk^ISYtqJfv)ATjbZ?1QJL1+s!a z#^OE7Zh%34dKzq`T{_CU3B`D?LrHlWeE#(Gz#UA-fYyKX`a(jPZt@hByaWQlD|%01 z(sSqI=~;Tb#nnrtI%8E#8+GhH_%FL?Jynev4OmH((o+oZdU}@0)2NBOMEg{wl3;J74yl#uC(gPvRQU@JJDNi@|^LXS3mqDqZOFffbE36A45HQ8k-hRuddAJ<5~&{ zOZ}M9^-r%hd1~$FHKY^a<)+@XrO02)6lfbcD0;wy+e5Z=#qA;S%h*8@Xz?AohKG(& z&E&aIi@e5m?OXQvi=@v5=^HwwWEVK3WewTW|(b^_Y zV=U70+K1m_s3i05WrHno5Fe)E(fUm4mUb|1a!Z#ZZus3s1XSPTb+EENFGXf%D{m9B zVIm%FQpLoi$Y$W1EgTerTpGgHuv;!|^AKfN>dPHmDIYgY5AV??CeMXyDvu^7>so?~u5(L*;O5Ed@XybiF z3PAlh+C9)pb!1c_@B`v2rFB2jX*6~WzmooRH+gzcBCl5{`~%zcVII9 zgn4!Jf!kqNX;4b5U&u8m%cw^^@o0Z0(aS9s2PPBC2TYzuR^;_@SRk)&_=5@j+~4H& zBSLMx_o2ER9mW(G3*soC7nlh`QraH+xA;(T#wQ!@8edS4ENDY7> z&`BmwpCHY9F#LBs9L%hPd8urtJ>I%ofea`pM`u+r@aUE_BW2?qZ~(z}pnp81vubcd zZ3uZo*v`G)FR^|eh1z#?{q4wzCwsfnYG3%>m-M#H9nCHtv@gNr(7IuWzp%aM06YI+?w8zB9nbQ42)2Ljfh9t3^84O3#MmjVF+fk(3+{`G~+tAFsNot0$8vUKe#AWIKaiT3anh z6?G&+EHT>7@koa8CU1gvU}87x;$+?=;?c=_9@@jP)=Z6pA82*03&iKSO?j-f$(xd@ zAg2;cXRo9^@-dLd`cQqEC%q{uwWg)H6mU9xIF=405#4bZ;q@| z-MoM6gnSI(vGIY+R5>93)`>tsb-hA0Z=M*h-Mx33A%6x_(7lP}V7$Y9t79`vo?eHV zp7$`j)5H4|EB-O;4;_1?5~b0BfewQelRBdd4Pa zNq}D7-=Jzfr+D_81sbZ{o;XW))`S9Ar`Mha9hSJM+n- zGHi>xCGI!8%I z_hq_`xdzSBmc6AV0mi$qoIUAl@-%`YZ)dXD5zN}fh6hBF-dXk!%e4vdTuYY5_krH; z2Lb{c98?wapa$)z#F`!q65{XeJzq%!QJ{}RIPKK;dz8B6C_QL0y zL?{&x!*0Vj__B^qtE$i3u%uRMOWP|yoR&6kS?tBp^+_DvH1YR$dqU+k~{EcfjfSohpF1R#|{e7Qg2 zsC{xX{=8rPwDtc8Rpyx1^`DqSFuIS=DDA^liJLHGdBu$1|zwq#ah_^KknJQ{{uoV+b=ErbpPBEEk?%eh zDluB>nTLHUIgU!U<2M2tGmNrl9(4t(JHeD@3yicX^)qYyVTiE@coLj6Dw4!&Y@Tte znLfFQm6HZF3gCI0e+LrJx;v2K4%KKQV`{z*f;`(?eCMcv*JC0Gfq1r)-)K|6znlnU z*k}D?@D?Gor_jdXiHR=%3^bKu(v_Ye6hmZRekUhvIuqORUJ*2}6Fry$?H~su&DWbw zK(L?aY0V&~IN*|Vur&4Z6TO%yT;Ps^laov4iTj!2g2qq4nmWxHfk}I!FC4z|$`_>L>b{{N8p8 z^27rszmJ_xCvat7e%~;90@-|GfXVL{{t;gG2J$BV0a2tUcpt;0Jps{tVp>C7dqa1i zU23iA=tfdBGSPrfOh;LS*!2x{isMoWCmBgH#thx#mtmZVjG1l&k=xxTW-;kl$lyS} zoS5ylFu8ww0*d*GIqqN)*;xoX&k;7}rT}3-rm=hiLVJJ;@`0-Jo|ta(2U)xA#0-;< zqZy)4otSCzH2~nmER+9`4fsDX8>AYn6r5x7#o(Xl{S=&d@?NIkh0Dnb_%AS9eoB4q z?e4DyR$U+y7dC8V@nanY}sH=euc4D;_6^7ht?jK4kJoYVYI$ zl$Y_?&>tK6HRZk{d%7BPJZAovZ!#oq{Xg<*K>{3=tPn?YPEWxz!!REe6??Cm3$(5n zxNQ4?mc(nrVYk(E2D%n8*U)zUE~u;pUE`G*GNXmtg0#T~8oYeP$^5k5;jiWp8&mw70Esy$oH z%xQAAn!l#&{YU&ggMFA`&sLv&$X^W|(ij6dTYd5&o~_pVk4`=mc>mGMGv)pxTa{pE z!TZt|7$FMdzphPXZj zZ^WtgfwqZ5%GxH>Cv9Q1O`Jk0m%2eDox0cYYMjc5#R*q&VE&)#;J#M(bvsaz((P0V z^^qi6#;5Lc+C^-AlQ4+W6Qp^Qzd+|390TG;KGojjFCtmzc+bK1IklK6a6NV15vF)< zG~q2a`H!Y5z-0uY=j-e)tg9fNufNVk`Q1$F=J>~TDi31h!HC0xkT!OgWey8PQ1OO|VlP%@)SSUUfc}y<1mg z2m}d_er^Xq?PzrJl-r{CDbHa%x7`#dIt2-e&UOoN(kn8776|olbi<%p>thW zNEE@3Y7oJOroh?D1sVduye5ikBU2F8(B6#3rl2V~Y^irS6*ZHX0$o0qTGZdv<73OB zZFlPUaw^+SG6njENvPlsYjZHKIU9M@d$ui#+{08g7u#uNOIHYFOannUx$Q^VZQB25 z+Y*O+Oo3i;SMU|y2qfS<|2rpvv&YAD{3sY*6gl1SmoR+$VqjWRl^H6<8IMjVmysu97C zrl7Ue1qyIYgv6;Z?;d56PNo3o9mGMGf#2;oek;89pmSuvhR+DL;oX$7Qt|B-u5f@2 zkHe04;4@784ES!#8-|ok?@?pP=wS-lE0Xty!f&sD3};@4@RPheA)}`$NFib?z0ct) z$$({_(dTv~lVB#LE0au+{uzCp-f2;VJ0PQ9MN~z@`N<4?a#!ufEsTGh%XLv~vd@RH zJAF)nUZq*k(RBxTos{N%O+n|7cCDW&=)$h8@;=;!nxy;a+gynX$LW6!f-sea1vHvY?N(>oX>q zg1*)Rk}=s7^ef_^tj3XF5_ug{&|adO2zK{e96+|P@Xv&5jtX#r=$uA?K*svYxDngN z85`U>Nqfs_Y%m26DJeIag28T8*<=caC@D9af}u)DgrXG;Bl*_g z9dT^MK92?e`9}g*Mn@m(en4s9_535IU_`Qx@kqkKq1t_2@d4hb=U1y~>1tLqEp5K8 zUo%D=Aky(eSoX$>l+4^T3m{cyu9DgnCP7FD|%EYA1 ztXm0R*^eXv_xIkoAIN0ZF$L-#2^CDV8V&O%*}>1OYYHZ}wG)ZIr?C6$yf-llnN64i zb%%J`TXwgFD>FPY!vGcb`IZcy*_26FHR&%HD6^T1(N&jiCVS94&SjekGcohdIF~I? zb!4_+a+8OrIx_EK!YLr=%GPg~+0qv_&hY1|^d_AvnN1*U^ih+7X-b$TrT|w>h_f&= zYzk)BS&`Y)6wFLlGBz^>v+S(MY;Fo>+gXu$CuGeWdp;qvg(;Y84}WCdWeVm+N!|6{ z|9b#!-p3TEAUIY?CM!^$+p?~ILcNa2?Whu7s0W=OvyUBd#H+Q=1|2;qw-De8)&dpH40woLb7AjewXe>%rvOv3dM9I?G z6fD-$Wtm+}!4f4)S5vT5$Y>6RiyW{8kQ%9iIUtm&Ek;<<{X zeju*HLKe9AGyBJL6;`9n0TsE5TsqKEUFd>+*vvtCw(P`gk_9XLGABlsD_M}YLdnw4 zq!z4HvOHi4Rw-Hfn}XGPnk939DOjUq8E6U~)6*=OgG|BWB+EwcnFrC%aHc?Y!EsBP z&n;5cE#ks0Ff}trXn%!U;DyT^8P{K-3TM(N*I(flC@GnvV@r@Q3zo^uF+S0SEKoo) z$Lh(lXC5S3hMNLJE?DWhPRWA2^}0-FjxYrqlq@4n!A2#^D9oHqI*Ue|g3U@6Ec;vZ zWLYMbeF+uVlZ!bpwG-mqgN?u=c?7|Q*yUA`#m)~Tk zXxuv-D4(ut3f;RJh1IRgl6f^gVRKt>iytiF)2)~q1>ZpL8nj+m+QhbaWey+v)jE8_ z0FM>mbSqQnrCGWa*0l1Od3YCN)C(Gr6)J3G*^8eW6N4RIac`8yL_a+` z*n)TCXBkGuPf7<^@D$3YAsyVB%g-vzIJw3)0 zHd9>j^A2IRo!+n5VY1Nd+vheZUMaW9SLL=}@dB{ZvrS=h#}0QYX2@%y%r@Jk70RKD zUEcn+D9%1Fta8D!T9Pds+zH{UilN$JYCX$wGYaZ*((q>bLw==AS*kTIrRb272 z1G(u!f_D%EKU0(GwzariK=D_cqQ-hei72I}~kaMgm1^Vybl zBS80)&54b`8(i_vgfRkYuNP|Y0`dxBuUic8yC*TI?!lvQrUg@#Oll{MEgWQ82sQ#L zo(hwQP7710w>lIeIzpUb%xU!~y? zNuf{77Ov>y5+?<{b!VXWo*8g+SHk&JZqFcaq);6Pg@dfK4ta%$p%8D~nE|FSm59~! zzWwjVQ68$tnc#E&mWtL`SInev(5MR6L1R5L!YN(WwRnajH8KEMG)`=BY`;)XZ4?ex zY=@h|Au0pTj4*{m74ea#aM(x0yq0%*Fse>x3iKDd;kVk$b8TEA7nYU6Rd`p8(or17l|0-TCe$aMc~l%8$26bqlOPdE$E zL47&3kl`8^>UinxkMw%FBY!+X0kvuN^HcuCRxxj+@w3dXAB3VjOq1KWof5Oc)d;akp zw~5YdH-+j+DIBjeV+W`(!RpIrc9=qSY4GPH4TIwE$yQ(H&nY79>v^AcPmG+!Uf0>` z&Dw@iV=+#4v)uL49$98D&qA|3TZ2}U-%HK%ac3`WUhM29BG=eWEea2W-%Bm29v$mO z{D6_W&yKj*>3XZZ0>SjsPA3D0(ll( zcD8b%6t|p(>(1ae$H2iBeNgYZsO+x?Pdeaq=oEe1Mjbi00@`S*=Bsd zJ~o;ay=lZ#x(RW2_6{pi1bK4pS)1vQmwrl?a~Swst0f&XNH=Xs-K&#s{xy-^Y-S1< z*=~jkAJJ~!VG0*(H}P|c>n46L)o$YFqsiJ&{9eXx8t=Q%3(wxm)C}QRGD5zPXzO2q zkR~x$(#;Mi+d-CV;XCQ2&2zX*FD1)40AjtgC4F9yZrY;uE^6uJcOPLd?=^)8>kt#a zaE0~~mefk^Wd|_oDrH(^uhw4T=bDiA62Ezr;zC2OV&lZ&b?4E+6< zp%@2ywvXFvsP5um)7)mm*}i^^Y%DMrLa~G=p3e3*g?i$maIIZ-k#(?e9nov#{kM^6enrQ{%SI0?o0>{y3iC@zL5{P%i90angNOU~57O^O5ZHWLM0HE;;~ z31=5DRUzrm%43m2j)kr{OARb0vMcOK1>#NF$yG0MCXunnHwbSf<(){=6raJ?8Bu4&g}3 zFR;|Tkib;c4HQn!HRQ~&)PJFx-{tt0f5AP-7ZOYnj!;O$Mf9XxXzKk4p;#|8V!CCA z#gXu%#lZfBMy9BGssdp|_l0J-UZx#Bv}DrPJ{@N1ltOkcBS!J$gpm6nFTPxBN32?! zBE5>Ds3zgv;k}P__8dT-YZt7pu62Q|uY%O(~MN-aBbXnGc)J)r#d57peopj|M{Q_pc8I10o>tu@b z8jPa4b_)hsBa7;V&rj6P_1Wt?y%J3Mb0e98ncrcV5L!^f`(l+VY_NbOJSb1*K{}it z7E%VVcLe++VP`@@LQd-5=9n0Cc$F+9xkj2Ilcs~%F#N?TVuHLz!~}N}Jd8hK!Qc%O zytJGg_tG*}p)M1uIZW(6*V_*h;v`d~o{plhXq|WAsz{C@X4AQi^@81I4v@HYzx}qa zD{dghrBAp=l00$_Ps|kQ{S-yb!YFAoi|&XLb;UeuZxBza|1@o79$;9_Ml zpyJMcluc#j@c^!OP85lwBpHN;iSr^1j~A!ctK`NG*B228C{L88n%^-)0HG?9DH@VVk2f1T5w1d9j ziu&RbQ`AApnG!|?BEQ6>7u`p!@9{o@CHvw^rXcmrH7Bz5Bif=bt~5m*lNF#iOxk)s zfbsJpmc2kA!TVElll&x`9#5nlPQLY}c07uwl*U@ZVw!S=BLlk-LR^k3X1hT5Fa z-kwghN|b-WM)qizDN?6Lk@#2I<8&*8{Y!|@e5v-W$HO`lC_im!F>EJT`3dfUJOU_S z{Zef(Pj|(-hZT*;E9xowKAtR&9A1V}Sg2lVWG~qEVZ>G#s};K*3RzJNJ$MOgRxdZj z?k7z;c;AJK=q0GuFEumJIWcRX^~KqyZ6}n2^IgXBqi9iY2Sz+ADc+Ti$cNx~sfC$a zonZb^aSPwV;ZyttLzerI(qH~}3%o^mkW-@p|jwuS5Ymn#DT$D^|;8sNG*5Q;CGL*5{n zNuAIR3q8P8g=n|Ku#cCCy!3!6dQitWmG0aNoxPuPSlRbAx5G$0T4qaIMzW7w3M27? zl=Dp{f*XcH6^aYFw}%u^MlkvLG9tdl6sZ%XXmF~1VS#x=h*K9iX?VW5+ex1h+3e;7 zR9qD3iiHg#?Iqa1FI94y+)>%G{|)wCN?WXbjHoh4;if!a%>~rQxK8xxxNd~pVGB#p zgVWiM$4t=(OVCi!NP7bf^F|TPZr)c|8D2uL&r9o^@a0pybiP+7jO58b-vOHfeHFEhmcDhP3Yxvz5C@vw@^C>>;!k7UFNE;u1a1Ux79gKF;D+x7f#$1>BSR8ym;b5FB}_!SMmZ@p$qd>Gk0}TV?xM^ zS@(frRy0am&F)S|QvMTsd;v<em0 ze=E`7`-9{cu<*@rB%f(DPUg)D|K~7%e%Ry{&4vxco0TpsFhz6Nx%(qYJV5)(GT(lT z9iYvtQ8U^X-^kUVc>@v<}r-uv$Y%%w~qP;z{~tRn~o*y>VKv>;iBM2?S-{WEk>uMjh?aRemBgddH9EXLHq(9t0_`%M3J~o`g+;0AueoT zDj$p=V}I9<40!eq!r5Yq^g4;6#l)*$B;^2Bxr?yIE;?(>Rg;k-v`R+YR?>Kc4pig>o4DqnbpN}3m z40_p4oEW(-A!*SP4j{C5|Ifbuep|>+q}y1BA^iTDd^cs$+^)5v%^*t3;|X zQ!^}o|5I`8^KpzrMoL?k_ymUx4U<>LvB!-~(Q3EutZ{84Q+y}`ymz2cUBq&Gu|=R4 z*TJ)_V>>UUt>E?1L38g=;kw9`SbZWzYh80KOwl^gI|h1ru*WV!oxj*7$j|7SOOETF z6xMi2_nqC#ZX-1T~nxajL(&kY3H@w@0d0QNI_`Q|b;o;ohb;mbI{EO3XSN_Rj z^}Ftb38h}6LW;Hvb@6cS1t=gFp^#r(Qh}#q^7Lk|hVlWO*j8)SX_}N9Hbe4iD~LScJc^)?Hk$SJZ7&k(}%%Sb6bu zkb|BiH2xPq{+Y~UYx+T24h<8gUWLeyAc<+>kf&JZv`#X&qr%Onrh$0 z?WWj8s}xr!#35b=+&Guuz`Inh%B3;V_>)PAk=I6JXt0*Q1O4l@v2xVD_YL?;F4fCA z_v*@o$gZK0U7-n4+k6u!>ht|$5ZctTL>M0Pa} zX)lC0A4AN(woHjLK1Q5+AkN41HePCGijDF?al`QM$CEh7YciBL;?{)0e*Y{waoxw2 z39+v?^?nS9)28z7PD=8!41ErM3)9Ix%f3+D0B&X z8kYtle$B-o9h@aVOtE`6wYa5yMB-9^Q`{=Nr!_whFe8iaws#9%8fc2e{FvbF--J(u zIV{-c=2*kV1qrfJ+WG+qCruZI3b%ZHy%V_Nj6TQ!2v0fq*j;|z;!y#*JdqGx;tNX%XOZUa+v}Z4kH^uD~ zjrNMhcyqA$UbZ*MdljbbrL9bX8v?F1nT09Pifvg{Se@{MnxR_ArEMs(O>uFR<<*Yw zuptP;OWQ43-ABl$@T(n3u&t)JgJZLlFmF$0)_v)^MqS!wiaUnCL)-cigrj&)CfEN_ zyTr&FJtKb@26teHLhJb!6PjDtkzEjIe_f65z2vQJn;Whj*%jG!$ZEM$B1zvLg95P~ zl^9x9W=)MG{eFDqvvyh}>DfmCV1#cSIRL3>A*r-3zd0i_CK37V1XS@W4Sk!?)e9Z0 z#U%^)$vU=|YnkE)?ATteZHoIpK<1ob2X{%_;I^31!(xrG#aVwD+@%~`o6E5cbJ$sZ zrjG5302130v&xOFPvc}=ac1k-Zgyi^-BFCawqv{5A0qcHB!}?r;JS+T8-;zq*!r?Q z54icM(E$WafBW;#TxBVjF&X5 z(#p({q~%=iGwj}8h8=Ku+%4t3tQwzjSM~BZQ|ykV6ibZ9JntA-{xXcD%fVN``(%=x zF2!1kSF|KVvpq(K^yO)$*j>F*Jl5fj%yGmU@80Gi7X0!&rvH;Vn>Pw_=JGsKtdE!$ zPY`t$N7?^?z>CXpxLjWMe^6&tJZimQBh7xaMp`80i~4>uujW--(AXCqB3Ryq)cQf} zHVil1Aq?jqsuS~dm~azy!cB5yS!aqTCtLFl1&XJHzhE>De@-1vaxI7?-RM-guv-ZK z0kHakdr@hE&$`43^%q4_j>3|^3{~v%dfg;+sNJ+l=swt0*Gd>$@wj)rt>4`=HDN8# zX59VYQ(;0LTYPlO5cV7$IGKJz9{*Vi`-!>^$a9pwgv-#^XDW4O`P`1o*-9P!oYOTQmm46+2Vkjt}|c$0)6bDTW#$BhJek-J-$H<;oDZt-1ceH6@Fr1Zco@x_lQJ&-Ma zlcmBf+S@p-)2aK9DnS;wX8m!Xz5s$Qi=_OPVr(PRZJJ1FK0TzCQ^SNFl2dN}Sjd&k zpC2{|TA0JXey>z|;O8otKFiB7h~Hah;H_*d2k}hwr!orhn1G)xmX_b%8HK3kKJE!0xyS$@&x zw-7r#<@x~}0au`%U8#HfatajrfY$z%x~5p4P%Yj@czBZa4J^Dd%wjPU*Wgr zw^Z;6#hS&hd!dAnFhP5#cVVr)S;t~hYo|}a0`YL42hDg${N8G$} zQCe_-HsanE?0{Z@sd=UKE$NWT8Yv%Ce08l|K*3_VT& z=G9??o4jvA_!EA@D{ZQn%6@zIpzu9ztH3(I8`e(6NTlqAv3&&#>y@?@<}u~>Hz6X^ z#+1}mTGw;P++#}Whxg7RUTsZD1CddigJqN>)E4g|oCH@S-I7k^m+-|-Y`T*vX{bv_ ziNuy{_5Ncr^5K)ba%&f-0Qrx}1k%-%GL1U-m*i)`u(Is-$4}m%Rw2n@KAP zD;1g&$ad*%&=O@=6W7I zELp2}S#>}wb2tQz2ncdXoHVXPeHbJFxwDh zH3X$0cvd0a`jS5j5lSHYAR3jerUxACC4W~|y0y8wj{yed8t>3%kM40S+SaNB%xm`t zqLS!+-8J}rm&Gw37ohNN-EhTg}3}E*#d9*v)_D|mfZ5E7&2s>N73UpDE(Xh5^aFb zf45O2J1PfsvbX#J?@&o+x#o{u=o0?R5-r&EAba82YyM)R5OP?j!BP8bc(kvq9xv8}9g4%Lfw zBPrQ)@u2}z6*jKxgAgE_m*u_Ez?8V4k`g|)da<5&8cW+NSWaJQ9q2sR;lu|?9zJ~! zc;Xc-q=Qlwtp^FEekA4R81z@*?|Y@4>TIr}Q)m642loL0aSnjNp0=L7WRXBBHE0T<4N zFbb87RGvEDH*L$#t=#)?>3-IU`y<&MSb!qo`idInJF2ot&9tQu@zlL zR(c8VCArubbUp|l=9`$TZ?3Dtm~@TXX?u{a<~K1#C%7@6NQg}$DL(|?z6m?#&E3jy zuHsD$=RSz-oAk4X6C-cAYbCWK7)`OnKSSiiTe0|UU&D~0{^31DWw$B80U*0}P9`d0 z9Efd-YMq$^r;uwujZ+AR4cUm4*4MoJW~jdIZ3=3>)!CG2=u2A3^zdg((K7R9u)(Hy zaT?C!w}voP+$oJoOuJX=+7@cQ&lvQ#hL{p{uawMUFxkasxS+ccKEM(8*1SM(w1Z-$ zq1b!2bj8gC%HYVJ1OS@v7Hiu(Q1~oesG?!LH9uyUaBY|hMT>nu(1?AXXG-)Hmy$Wo z{liT9c`n`|TY_&bcDBjI=3X}3UT>{p3Y=CJceF2StHoVPSMXb66{}BHe`__7>uam+ z!KMXS-UE8s^@-goQ{sFvB@1U%IIs4SodlSaa+u)VA=LO3k9|7w;q$9)@zd3Z@x{=?8Xn1?R z?mjwvlA$dm-B^S#zKg1Q+=~Mkk2l+rTJs@m5|g zJWlUm;e4k-AfrV5<0~XwICmq!ztaF#i#k|J))LI!k(AeAmb`;0|4vh#eOeQD%vYR# z{ug_yk&B(JY447a#ZE1t*1lI2a~18s&exaTX=+N=Y46tCkw8{j$p)g5~kc3HU`kIwlF2?he` zCMIogT`(rZRS5N~l|=oz_HA4gN5Yo2I)xnwOlCS1L_}SMf9GnK3L+|%pXvVKgFIKe zVie0Oj+CKk^GK}DrZmB_O=&d^DPmrtPKv8tOlftjVswjK?P^LzTHWLA!QSOnSSeRm z2CieS4{1I(*}@fLpJJVWoV~iLf?h>_+4CroTxm-6*imUsha~c9IV4w^Qbw*^Y|H=J zS!r8E$XWmyC+n6CG&=ipvYD(+tcr{Q`FwZC{_`4x->)`$C z_Hl>+w8v7t%(b+!SXwEOluxRmt#M4&&~kNd+Tm~?1lT9lz$WjGGo^aG zD7`dHT<(jc{AoKrz@7B&WSxF~y$aLM<;SL0OFdOA&3Iy~vZ;ihmcH?z`&(Wn09-Nw(@BWq~bT(Yx-?BmLaA0lYH4I>pKUWGy0r z?Zi^`50&0+luWVuPODsNCLZh;UWFP0xDHVTC*GS5bJ+uZz zwu>rR|l4cAHbB_mVQ*y{}=6U&H*kR;?ja=a`?zhCli} z75aQ#9dy4|&6K)3S4zdv)5FWb#=y0^m;wbyB%vG+i(S_W$>77VG2_0b9-TfE|4lg~o>x%}=U1<<*r#G$1r)yrH;ahZ#Rpfazkau9!a zlWEe+dul|mD=n^Xp!icG$U%CZsI-UZvG?N~=Obtg`s1~>s>b>~i4C_BJVq*jJO2m< z@LF3_+A~=>s23@PK=@0f_I*r_Ctbyxc+zjnSBz~%-^&>9HH+t<8sSTfn!k3RDa9=> zV*8c$wj9K~KA#eoKHf*z#kd9?;2N$%y!qyb^7Q$LyA;>3B&)xu^Z^kceZB8MlwBLm z6f8ZemE)lAP?%jCZA#TklvX-`xb#B|;0}C%mUS)oFnPqmNsVJ6DPE~=XrWcq4XGg= zq?h{I7*ndZxRef378(P|^q}J*d`~5E4|uN*sN@8QkCn{YR|k-X^q5fTVAk!AU`Z$` z*P!!Wo1&X}ekI*mjXClBFEVNW%v;#5O);fIbO?tER}P4zd_EQ*W-=*PTH;`S-NcpU z$R>KEtr%=vm1m;Q#}a{=rgWG^;CktBWg_H_VD|=k5!gc4up(TW6ZmYc-y@02tud zC=Qg~pi(+s$cotVUtlg=N0+ZRSF+klL1Zll?iZbij&VlkG4uZ;H(I!CnRy`RgQFa^PHdXbHd! zuF}_&O{uzcN~g#o+#bKQLrlAoim?)q?3s+Y-@E)b?15lQ(T+_~2w z=&p}ZrnL2fn9@NMy2)I(6>n#*e~(Vz>kxSh-CSR!OoY5g*u9b7Id}uEk7o*=>#lvF z(7DG+`SGSyeM6;731pP_EC(N}>h(t|nd&vlG10R!fRC6`b?}rflbJr+`(OcJEM^MU zejOOOxX7v;wzw_`&X*7iN!8Eg0>-Fue6WB$S!_z(y)LCIl!=hH()n#zfJdAzj`7Z~ z{b#T?<#3WAKfjh7v^rH;NPIqHp&yJuW3WT7uTnR0#MQdVPwamYf?wi6`0KDiA5(~r z6B|V9e;+QS>#!59uXRl6Al}TB?u)(diS8d;Q;#8Xze?@d))Qijh4kn94cI;mjJ4YS zI(@j0dFzSGc<&3WgV#4OH4M16$M288`+}PR*Eg8bjZTHxL?9Eq*WgTk4|el=b%K>m zPZ&s*&G2gs8Ga83)JpZOo>E5bT%73rxg+xHGF9Rhv~WacU93v0Enk^mP`}RnB=mz? zG3OXK=+7OALtRt4)siMuy3KMB^R}xL;_n?IXeW8+>i;uX!aAI+(5O!i+G$~?moi4@ zBHq5c1R?ZZ6DBosBYv$4R&MMA9Y>cagWhXmN_Q(ymW2orkKetr2p{;dx#LL(@n)WM zU$BhnZkeqav|ASAAh!Ps!=c`5ZbD`13M#8+MG*4J5{rn-RPWhtC<7Jxy}N>5WGvsI zXBmt9-d(1wh9yy2nMWYgyzfG$zK5mxy`I4fP|b28=(`IErl%=`(?@javRVW)-TMx< zf!{-1$$Pzmr@I4_7E@Vm@k-q;`uS$J%XcOahhCPuo*{YSSW7Z9>`{ozt5rv0Lnfj8h zmo-)jaI50 z*h)dlK{;?a_kv0{TA8xDlC@VY*(*f27htit(T2%stghls8mr%yud&*SRcovTTqO`wL5J@kS_2}L1Vpv zp}sLRSf17{H%ObgP0sM0PTKi#C?f* zp=`|{FI1daf%djmsb%rW5MM zG*i~ujd~XXS>k;MF1j15nS$kLopOWpooxiO+LU#5V7d{^Qt#6`!Ep)^TVJXAX&nqw zTA6x!%6hQwqqwL6-m4op+IM4B$YOqvYyI%y+n#49Q|u3Iybg6 zsT6hfZkD3%OLA-~NyVTzAv;K%;$)JqH?aBbrtE%gzPE-6F|Q9hx7_;>o8>p)>$$P3 zVbGEL^7Q$z5y`s?tFU@{%6NY2;tKEeP+}wtjb$~jL|>|_!-PHnvDZU@lZBOAJw0XO z=vnEVt870Y;_6Gk&T&JaO#L%ugM_B5A}KFpRmy73q%?JvZlY_evxXL2i(U$LULWE%#GS~8OD=10uvt{E+*~?wC&3Ih zWg`@=ks>!A_lhT1qJYNgV~lYC2!o`~ob)pB=B$O2d;x&MWX&4mNb1+BNK*d;dHHQV z=bSahl#NMMbjFf2>kya;H+9xTCZ~Y9iZ?5u?hDvZ6;N9%OuRaqBPoR(a)|P*ZO+bg^-^Q2lD+yQ;=aV}Oj|R^&h!UK zh*~&?&2KYh>d`5it!5_k=CE^Hyno$-B0HG^%}m$0!24I~cUe14**r&Gapr9G(nlml zqA;VP!EZf98pt4VRpFk0`UrrD;=!ac_285(ATHayH(<)~ey+GH5fPS)GiC~aYdz|} zL0hg&oiAmJSa&YGdGsFNgS3N-2%k;h)<~_hh4KV6$dqXyPMN&8d~vsT zvPC7zcnkn~cCrP>YPBM5&GC9rBRlG@a^xN_^2wSmgW&F=g*!);iw$@F6byn;#Z#|XqOVzv& z5>W=`ZgfJRGVah4*83ol)SY0;Hfnb^aZ$OH=$%3EOLPfSurlsnASE;E%rJskV#?HS zlU7E@&86zz2X|GXjK}I@kUqGJgS6F=lt-&B!Or{y9-rtMCPz|N>n4)g52`kPQkPU( z1IbX?Y`(+44pu!SF&UP19$PlQDUW|B;<30|xhsK~=UqC$MX-|Fh$ zOu|5T|LA-s{p+r-uCA`CuCDHuK`Nzr_U1YhcGvrc>k>$P?-i{5_cdZlZj3jrHkDp! z0WkX-nXtQFCY(wz4ZH{XT)-H&^_8j*^vMbYOkP+WG+~dG5rn=6%Zh!?nH)`Bv***) zeUWbMNd=kqH8bOK3Y~#;`w+pkuZ>!cu3lbzlx)y`$bE@hd z*n$bGnq?*xGf3a|CJAnF> z7tk&lI!>5Nm<_+7|7hO;6IRbmn2woUO}tYpF61Y2^@XgbR$wxP)uj@a@SI%+cQs50 z&>NVPrmoWYG<9DD+^?pSOgEUY3(yG%iB5Vb=eN)W`-U?))6uV3({ywn;?}sWSi3d; z+iW&J+=N|(PFN#!n8yg6T}{0Qu^!zAVZQIi?$CtFFFv z!YvnCOc{aqv$c7|P5P}!>GW2oQu(6m>kOBAYmEtCscrPj)i#jVpKY}FjzLcChg#X6 z+H_`WDvm&(ckQmp4fE(}+10^&tS*Yc!q|V= zg|3iv^b&*iF$OB`zs!X7YTq!g_T81~JqVBCeyEB48BJGZq-Rw-uWc;}2ZrIfLUvmm zb_D71AU%isGfemzHR-~GEj^fbt!Tt7?-*79`+G4pMf4Baa96$BC7NqN*#1~Y0_W~RvpGQnPru9t;9bMthHtg!;y|M`9;K^>+c+pQ-3^zt=(+G8g3IF z{ytmC_M(`I`=ROfPfseu9ibA=n%L4cB9G7|^eM{8xPQ6{>-{+48+G#rd3oVcY_W^? zPoTLUJ0|;QU6hIe(Hj3;NrX_b*6l$vM0^r`%Xb=^6(c z0x}k_ZDcD8Ojsjs0%3PQ4l~EG#ctkb#Cb7OEka93@!2ebTx`O6D^7R

    of5Uj9K9=9N^S9w`LL~z~tGZW$jYfn?onoX!Jp)5PT%!Kj?S$6zQ6Y5H+xt(~&gu0h_ z1A0dazlsfyLvW z?dz8g_Oh0aw8wtUE%&VpMn>$33nM*hqlLf4nnrul2dn*a9Br=BRujUZxs50^5kD1M zqlOjiMX07>1$!Ean2=t<%6Y%2YPQC=C#z&+zfbM>Z(2{jGTKx#QBZ8hi?TpXpBa+V zj<osQ-Kt>C9F9*jCS&Y!f$i$<;PAuyocd^i$4Kf zHPl;Fp?xrurP|){jjCG+q*msy5X$|l zh%kCQ*LsBfscuFJSK5`YV|~#u9==bINoe}>PlA)5Bu6lg>gAyfntoq1j?qTFa`AJF z)xneW7KDeQ&;V9?!iQO0zvIy2z0OF~ev&ms>sK@-gR=~O7C4=zE~Gw=KkUTW1pU)f zcuoQAKf)wnTo6m%Yh|Rd!eBj3JP26+Xk9{R;5pLO-2=4PZ^yfV!*nHiWHNk)Tf#%Y zc4=Z+fK@yGtej4|*3(aE243Ed%abB$sdb!1lU++)4>KnxkztS*&aT&zsJ#20ngp>@ znlIAe;W|H6zT=I;)J=IXL$aiOqV^*miQ`1}%_4E^LE;Wz338|8Ag^G+KrM;AWM`-Q zg1_7z)gl+E*0<4pbG5#o;AfP{Jb}!hz9*>nFNX{Q{`!x5kVaHcj~S50b7(dd%t4S$ zO|f=@=7%v3K<#cPo?y`FhuN$8y9l{C{q3|o-XLzv+sdyo>ghpviU9u4&oSd2pTLBZ z)hF)oq0S{5WQ6+5c?J_@0T|t;&nv`^=t0g(3?1FBr)fXzb%S~m(ntTC6uqk!#3zNm zGJLsToo>CE7-;eO7b9ynx9H;UDb}j9sgFtLFjpasiF>J#vO7a^)*Ybco*mHV=gh>$ zb9g(ixXB#;mPOyyWuajzLR9+8bY4J7Go2IqE|}}BC5L}A`%mbf`gzIJK>QlzRg@S| zapLKEVrj9;S>SJh-qi6V1zCme8-#mxP(w-^Mv|5LP42-kF{epyIGPe%;)X0o&reX= zMo@aROwD7#UBEozyRc}2mA~9F8^aX7-P|j4P>(Y1^MNphOx9*uG8)F(UjnLW#ityt z?BRzdW?q?ULM)PlO>x6Uw_%s-Pi1iMuxCJB7S@%_GL?)@R}!ZZl*``%E{kxsf0OxW z>tAa=TKQL)kFNfO<|EHP+kABQqkQ}w>;nXBGkE@kkaAjP|Ke1TCp#lC@$$dY*=7wB z_}r>A38}&T4gFk5Q4%CwKhUVYyk0a=3;gMjyt-wGjHkbXOya*U&?o!-%lAMIC8~C;3y|W0op=W~P$Ege8Y$9npi(+{s+?B3( zrW9vdU!{Mo8zsRD-HPn}UIvx0-%~llUpOC|EfC}$)mc;8u$Oh$#C-?ZYODc-@xLu+ zs5uYdLP|KS-?xaPhsxO4YqKhv{MM{2RcIqi2gY#H5P`jmfy9ICjo-YPHZs-+0)Rmic!(wj! z2TjWk`W42?wVvNk&O+?ONXmuXF1M38#Zf2awhYDNEG3;`~>2AEoC+>(iNY zZ(q*>bPBNnTs78Nw3*?{t6S!rsoNt)`>QS}ljfRJy+4N3Ku%$V`#l*Dy7l0*GB3}k zr>f7XkboPC6XRHqwml|8<=+KoMn7cTO-L^9ZSscg zR`I9}GxHE*$~Kf_u8lYpS*(lLiO;D<=H!OyLL%FP`{n+XG^p_kmE{7FSnX7hHA9_o zMzG$FCyRjn=naNOe(Pqw$MER*;WDUR=r?V(P{UYWc`1F$6#IRn* zr*1P1b}r)kdi`x>H#d@E?Nzk@x~52J|Dk3q5J*RJ4-=rrUP7OjK|eLtCT3rTCP{xb z5ipFD>Bf0Lmp0w#D9|`7{RM(3oFY*jweGb*!{Jin8(6IPRXYX;+SK{7zf&91!NVl@1wmG1X%{-JNj!<| zH}551tGPhpAyE`es*-v}F=yFK5fNlU9FS6V2M6#qeOQ-4jW{?bPE z&-owq=Z=3-I9kDvnk;2`=~S(a)p)&NKWXWo`g3Wzz2{TyiGDuSA8wZY*Z$;Y{k6Tf z<_GQF^gUZeK%|3`bFB~97XfDGYjaxW`JX8by~fG#v0Ydo?)mvNes zZf8KModU@&wtIF(m6XXS|HRM-L-Xn$c~9lJYN#fe=qlqS&3Fav7&7(8$-;dSFOsNk z=-te$I!@w!!Z4~+x$i{klY}B(q9qkW_C&0d>~BnCDL0WQk-T1FOqh zvGZCAVMpYgMjq~+RF!H92l|A09*w4j8?UD3or%xMj3?W>nA=E1x7UhFaK=m`?fAiD zr$af?p(i+o&>cEi!0<*4VRU6~*7PzH{Z?j7q)ZPA&q{b%6_EvNo66>r!)4lQjKsvU zsIv)6P&+Q<(kAs83#!pH z|2B=O+MsDZMW{8cY5qnMMaK-ZqGo=aVjL6*cI9$VqBXNC>{_=VK8Q9yp%1V%x?jZm zVm&=_bElBlW8uz)Xhd@v%ZS&0YKE92h5Bcpf$<7X>9 zY#z%NC05tOEzQk&=FLbPD+?KL?lnpe4?2bK+xc!7e18Dj1l1>1&w)~C-+IEPo^4XL zzp3XVsq^H0>)8u}Q~X=i6&d*|e&XNj3*7d$!hwO>afOC!ywtae??!OF*0{dMQuUqv z_xj>Q)xD~8OyYJC4oH%f!<(($HvAeOR33HSCNbOf%RS{PTa-xX4g%ohCd?OQf%pCs zU^`yuT<8NXmQwg0caf%=0VhK>Z(QD(Y683mlb(_%g97#OK=KOeyJf{l|6rs49~D zg@0fySzmsvNtc7Wzdb3D+IM{xGJNoJAUL|ru))oBcxw(VFJLe?T&l+)2mcCcCEtcZ zj)0BVJsozs&i!MQxn!Y&Jv49h6;f6Ly?3Kj2?Yj^Kbf}a8 zlXhBPoze#-Nf-J5-&E*^e%KAV9qVk-O~4#H$?fJe6>A}mzROt+x^9;t%RTgAjUj(V zP!B^B8m_DUQlbPKYRf&rU1guiS!2CVLSxqU8L@_6E$VCdWa4uA2u|AQk*tqx^v@!q z#=1jF`tk|@OZrTRi32zOD)(B6DcF*Y62}dVVAHQfOSNbgR66xyc;9K1XH7kkZ7;q4 zo>l+7qXA8CaUyODwG8^t2LBJtMrP`SK58kQ`bb}q963!Lo`SOy^=NQgJPa#I z^5zGMDjv8j+!5o&A#Gi^c(Rw%H-(xRS{3@$#RJ}j6Vqi zh%?jpp;ITIG@RcA`AaQ92%^3zcOfv??}pCa1rJ&Gv&gHDlhw`fUJ>;~e;>sHLfhH%)$uIFw9WW=YehXCa@&4tH|~NWm%*n8Sq&oT`WU zuoDAe7p^CAvol2sx(SJQPS4^II;QKFVP2t2vkxUR>tlzAPVLYtFZwNjFS>k(i_ z!%d6w56Tb(!hcrsqpI)Z#GV=>te+UU38G6fcc&l@WKuhx#f&y`Kbfa)0%FdEq@EtD z!$#CEa=sA{pHklWFxZE<=ir~9Cq#34Z(*AHb%05WrsPR4m#gWVLb_G5!6+*HWBiH) zrWV22>T2w%6@r*l{n#Bz2-LNgF z?kYxGcitwW*{6FsuT~Gqj=FWG_q4>(25vPHeu_ty=b-!CT`8m zq&ak1U6XvIJ`BRhhAZxI?CU!j=PxU zNVEorXxe&+p^j$OFh$3GO!L4I%-O=AsrVV>U?o>=DFV(i zyXqBrGb}plARqij(-=0w1uXKM(U- zJ28O(PgV5U!49OykEPDWfCw<;DsREcp$gxXmY1lalZ6I6X_c3aOC2BLE*Jkk(k(IF zswhcy>&||u`)*P9e4Ijr{zL#F#}jg@sL9@+2mz#-;w-6DKU_rKLe@9(j%mnyHhI;; zlSEnYbCQn1=;fw9EUS2->_ihUGTqsiZd!mgKXEyHFSR>00oR&N3i)P}&rX~v8Cp09K!oL7!?b1{nYJ~KFOedgUFaz6-Z+#K6BRdS z_Z`SE?CmB?hNSf^LHXCCntRrKLt_jBjI{<63ja&~nDg{W+Fm16tD0xLCp;7!>^(zH z558g3UN~LYCg%e5JHA3e5#NY$Umzd~A@u9Y@}K+3bXhF)5<5q_ z60EcLBO4eYL=+bO%ldfNYG2(5?_cWbtU1K_)8NPzRp(wMO9PL^&Jx3B03N|>hsFqh z2eU`wjdo#4X3EIf>U|)mQR;J(Y>b>kM>Qn>$!CU|MRJXGqkOvs=T6c)*mx~`-nEWj zpD#;g{HiQz(MW-2dy2oRW9pi-qqeT}wE(mAXVkMIwXraPr3$dbMUPfmEwn~WIy!jg zs0)h>gs^opg}6k3F!bG4aOCB_LU}4Zl-hJPLpY|_`(RyN52{mJda^*#_l%6;7r-dZ zEMF|@_qdJ?-o>>OTqdK+g2$T6gj=JYJxVW!u{F$ADFh$TJmZxv#Jkhw+u@ZHgdPqr zo#`^a)U+F^0^cc;rgLNCRS%%fSCyE*=~Yr)Lmqd!Fz_BbVP)-UrckF~ge&N)(yg3C zwEr3#lVH2;59S0*<(7QHbagefk|LVfUf>cQ)&PqCEJArJGXay?G9YAKE+cf;0v&>rf! zRj^;sm7f>kK?P57g?b{ zjNQ8&_*zA<4j$;x!xMUpfVt8+cA%btvuK@lf(~9zgqdDf^$|{%QcEenM~Vde3x1SB z1+O2VkyEpwffM{fY5!*<4>hAs)w5H8k8LuuD?@iZ4VSUw>j|lMnW!K;)=s@DUvB6+ z5&=t{+EI&%@%J@1C>Th;m~@MO(){DBVH6#2in2z~A$6UEyn^#hzQ@UD2J0xYa^dh0 zsn#o)Vv;AAYW9~j?iNU9ui$ag==`teOM%cvZPNoAd!C-CYG}e6c2KYtzFa!w<}8vd zUT!hPp&PA!73^g(7_xmXITFgdiqgS8DQS(F5S-gR1&SPN@ON+*c~wr`+g*rH-I}MD z;b)GPi4*w2Ik;4aFtm#kD)lI%X=tvK(9>mjMOOnT;~yt`3Bw?YT)b`OzPP^8Y0X%v zg43GrtWEALnJ`p%Jl+Rjs=ym7PL1}hg}SY0CL)qw*HIWNXqg6`h`#J&Vu8e};WuJ5 z!!I4HG1fC(L7}doV9zmnunzfA57x8i0V~p&tWyQ3YVDKI-`&49-lrXZRf2#W5wA^Be-#|YO zsN^3<-&AHEq*UO`3Oj(b#ESB-q3IoS@&PXGsx=|ZTYtm6b&d&P-ufGQo4ZXYkB}7` zPZ>;Ge`{WT4PV`HbBS5&r?GP)`Ja|>M>M)zMY(DoE&On>cTh&GFtBI+U|)OIlEQ^! zv^^_^+F$<7p0xxs*`|@6&Dgw`;^EN0RgO16oyNkcsb#b=xJY2_w z(1)V>6?+oe`fSTU;FwnaC49hl)8~y~^+d4xw;N^p*zwXla8hPfDyY1&+D=^$E3-xr zgPxz`nitnlaYLFq=LpyVcYKKEPA=Jum6%&_q*UU3&;CP7xYmg}oOn`aY)`;R+$|}o zcev#Irh*9dlrVy_+`XI7rt>sJeNEz1h%JMYPf6|BMW=qGQzIx$rq7n>c0{`c+ldwH zoSPXjwzec-&vP9ULR!VQjJEQA?q&k=qN5kC$&NZZA<>c3a05jwyG!X`N!g;PdlD+d z?EJ6K^g3shl>NqnBpPfB*!tIm`_^-XXX0-4JTI^FR*rX3)6DWJ_Iy$^`%*zhM(lc5 zcMu5v#WRMT0L?(aGi6a}n*K_gX1~SUk$yzWKBnaouWt)!y*Pgh{TJ&1XT7?M$xYKo z2TdPC(-@pf4aMFy7VUG0s&Bz~1s!Nh4@1~3XoHGD?hTzmaj`cgzdK7X!!}XSEzh4| zOt5yP*te_`gqdN8wRiP!{G;-V`$k@9&c>h>eN0>M(oPuX4@aO6Wh+->JwlL8V3)~M z96J)Od>7eaIExH>T!i#o>}(4=;>zy!vj8fmfDA&->sZmu;9>XUQV3Ou!0T9t5bA-c z7#T|3JT>fjxSYr;FKSt3`ciUfJ2F{~u#zJP9b~8>FUS!otC^U3Q=25nF1zBCjPk4! z=TcaJ88R%{$XVGBK&V<$&dpuFLG#PgU9069 zQEqPjGCu?9khQJ+(4_SZAw*(U)e4I6k>O{vQfccH^;k9A=!Wxn#(Td<#jVE+=ptF2zft5=H*B1R<#p2~7RLt5}(B3~d6aAie^FhKG| z++Phw*MV^-r4DQ;8d&Gc+j&4^pzSa;K)) z!N-%HTHw31tfr)?nbeuzbEmxo6HLL+mO};IH>c3OwK!A12~n4sMl1_!`o7+?tx>mN-vem}v}m>IG* z7c3Wv+6(=H!y6;3ZSD((#z>AKCdYh}V?{d0Qpqud9Ncx0+PRzL|A+)7`X$-Wfb3kh zoeXYk1kH7mnSkX7ur2`iBK8QEF%4+(W?gN8_yM9oIFwp`U&1`R;rt}MUN}GhcZ6Xgr{K%(k=3FQe<9f(p-3}HGXek^giv|KMYXpcLNpNP}`2!8N& z!(aJlPZc-J;^hkkM~N3(l-&Rig1vi2S%D*52PT%hbwJS*mI|Hs}7FlY0w!?8FxUq2}q7ZO#Jk z+_rMK&o%f9b?RK%#3rpl1mxeYl^tsx>lb`ErR{3Uy2v!sb~X8APWflrq`EPFdOpTh z!xN`iB;qk!mQFg9vUl0YmUJB%jWxpSOk~YKcfuI)&9v8+LAa4XMU@o9p2oOm!k|W$ z7AmYVOH61m^EJ0{HIJG|x>}{m|%hK)R!`-w$ zwQ>80{`dA-Mp`65u~#s@y^ybP$TuGk3}868Yd8;Y)ZWk0RDTDj+7tWEqDgyAf5pzP zQGd4^10vmD+Pgpgm-bFK?Zpo9#cx=uf9k_dTK`zyk{))TMTR1VJF4~om(BAs^{{5sOJGw&!_lFQAe73 zUY2?ePSxYHdDMJIeRibLyTe(grtSZ*% z?D%pHogUBMJEr5mBVN@tgbxO0Tqm8|rheB-|3Vos{K;J>!;JeSB>FsgH^5m_G@E>Q zTwsLXV=`o@*+TeztmqwNm1Xl`BK7pk5~$oRT}kkN05XQ{fkQ#l3t^42TKRsA@7YIC zp@v> zhYqHJQ=|dCwH6Cf^?RN!wSoJ`@HcM~FuMg)Uw; zgS~!)bAZ1(B;?6Fwj0h4?bGAHHLMwxTl%TOsEFmEQ=|>2{W1I`tsA!l(#GS5$TQ*f znG?@0^3KPDO@HxVv-vyG9OeG1e@Z@U#d;rcTg^ETT(Hz*B#OH|TXQKf7x~LiC%c$z^tJ4{?n&i9IrR<8*Y&MgQ$*05k4zZximPQ;r^O^K z6BbXy+P7Ny14L;PNg_Bggm$=?!=(Dmq?JP-M3??lOBp%6^epEN&@%OEFOTM-ypIBRL=ToP1 zY$NIG8r37|hnZq)CAwLt?mcJ{=>2f5)+*-7h{4~^7|n9wBR z6wj=CbL6yepKE8^i65DGbj8jSjiVfm{Hte592$LefXC2oNFaqUD5yY?F!ewhiIy!$ z8Qr>l7lobDXVr5kvJthW&JpcM>9fV&s7$|ztg>IKk$M_1leky9<$TN-(ya{tFtRns zJ7>CAbdm#^Q>75N0=|u6w$KtzLi7m|-Mk@smWl2l(ZXwj+_EJ0z^lcQCh9S`5s15} z15+29Z}ID|6;>&4#N&JS-zc#Dd?k?j!@BGc_}~Kq%W;6^4s3 zJ|P{|c3%_%gOu<%hZDPr^~!xH)q~F|iD#6YZFD#N3x83dqj}a@D?#NUe+e z{ll7X=%2pztOcK(uQG7jl-ZGfLFe|=+xZG;z4P*X0-zVl1K?5`@vB*)Dsy~vXIXv* zRA%l`{Pd;itbS`UY1!lyZ2pC%?8}21=rd`pV!JK{`~<@%^xbk5@HNnPe3Y5_zkf|M zW)cC|@oNmJZjF9)+@V3Ga0mgFl9I2pU z%tH91;otK%@aLxBcdb?A7J|YKjnlBVO(^~pYcu*qy>E_`?N*DWe~#smEUOI$30i)z z?p@iOq13BFF&nt|Wu&xKZt?88-ptQ4-0?a3lGI@cFIP%wjNQ)kdU5_ijiLZ^nu+0o z{4XxtWKZo%z0tD85qH9DGi+W<&3U74cnJ`QQ{I^nVrg%i+P**6YevkZy^^xxSL*Ic*=0v&c(9QgcSAsL5 zFPG>xR2)QOo+i;3OEh!cM8_gT4Uwqy1dSCCH9(@$R4#h3(5a1b0X3ZQp@p*iF0>Pe z0D001eP+mnMBgHYO-NVDM4go-j<4?#3Q0ZIi>}_qpoDpomlE14xj*Cs^Ad}iL45iL zgE)g38GrxX-3WG1Yl>m>jGfA2_4ez6;@CN^_2@XkO!+87D-mM{rO8vBz+53yaa{@U zuMlZ8=M;^E<3*Uy)Zf;lpK*kYoOyWxEw!h09x)z3oiK482w7Zgzr(S|kFn#ZN)8Q(TJjpi!Q;}S_PUAO9} z^48(P@f6&@s4|&pP%B^I!ryOyUzWF{lUDni%yS58^F2|%qvm_Pe22{U!7`pb z%=gpMTo=Cm3s^Idk^hw`68YG211q=D3~t{4r;%q-2_uOT^;C`8qH@S2Ib(W#&d_E5qh9M93fi ztNyw8Bcbz$Hmd(xQ~y&YrCzK|8tNaiPyMHJP)+^l)AUV8fUD*5;(WwGEc1S42T#mA zVw@Vs+Ds6h_9;JZ&T{?+W_j4-m1DZ9ZSw+?yz=%vcH+m5JjU|Q;ZZkH%mwu4<~&Fe zlfx#>;znJa_YOglHTZ>#!pyK8J#B#)uT_%d=8WJ|Vwt1A?5Sdh7V#3<)XE(<(I?Q_ZfVU+pmvndT0(UP z>3QV!$H~)TP`@a%aOs50N=E&z{ieIt?`*z{oNrHeALyM2Oq?=)E)zR^x4vEze}Gn; zq!d-lGetxQJ-S>(1krGJKU$721_36uo0`WzpBg9E^tU7njQ%e>;+GMRBW2qfp>0&P z@z9RnYY@-~hdzd0DLRnzfTp>p0z9W&((9zggv`r`$KfV0!y5OxrWar8UMSo2AWs~9 z=^k8%_daZ$DK2B8iNl?)N6eii!zGw_6jLBcJzWoZmBDcCF9njijEsR$&@WMWxvF=qv_QvQg)z8 z>`tPa`yTc(K-pAR&t~l>DD_TqsiG5Pn9GN&-uiA;hd$twi%#xWmUp5131w28Np;vQ^ zrRSrU`x0$%gpP|<+FdFEFLZ}#H%7a@HXTo@tM+O(lLKiY{}1tEsQQMU2%%fG=8wlN;DaOeH^!Pd{B=`2z=i3Ao_f&P|dbeQHwQ%l`&tG-ysg!gJJYE`y)9=L=M{CH{71&m*6Ceb2*S|z0 zi*q52UFp=t!k4*2Kt}Y^yerUU4?dyl4o=BG^1|<=C8_rXxFUE0OP?@KjdXialWaUF-)ilynYMtd^Z0R-BWXE?xP9(?D$fu1ltbuNA#eMV37JycGTAgmF_d&=lm|rZj+gh?a1vn zBWJJ-VpmzZME}N9+k`@Klc?zn-b?r z36ywMLd&xFyd`@Usc0$T+88kibzeqgqMdL-mYZ8lB@IPr^jWH7lxy@T zcOq5(G0J`a)T2C!Wcf$V6Gzj&JQ@Qgj?mwXZ@tX1q;()+oh@nAbK6D!scu(_tlk7K zt1>G1RK9T6N-fqi)cu6N=I?1V9(Q*DBNu@tkoOA4^2$AzVfgZQpfHDpl}kjroy1@H zXU9)s2Ajje=6Zej>v`c4eO@?}{BorlznON{Z+4r+p) zb0obs#}utkq(0GgEFtUT6u0BUr7qW+OaN|rqUAbzRCx6F;P}kGv~LGyM^>1)_^TRjgc(ISZPxSwHxWK7i+=``%00@z?Bf>nx*OWGZX$APSCLm;Q z*q&in#uE5}+Xnbg^Q9p>0;i>c-E}Av*sb{FJSRIr+W!35(W@9L7Ib<{n7pr2D5q53 z6IZ2su5A{K8Z!1dg;-a~8A~{UvHPX$NwLtk%jjMz-QCENd3S?2&&&eu{-jGws z{TBEXTx2xZPMQfbU2}+Ki@eSB{N!&eLvId-0`7M?&O~n+N6uGDo~q=?Z=aQvBS(fL zCMZZg`KeU)Bhm}_QCCmf#UlAM{Y#h>Ywq}2K$&4_C%OQQk` z>dH7gmL5HAWmkv1?n3e&>lLZlz-s}VG^4J`Y~%8ax@J+i!W)r2ppmvd>KZ|$1O@sU zF>J(>Kz_0hD@+n*>y#29N&=G{)OjztWc$0#W zuKJ;l;7Q585{6U8`vBZ;m@J6E`!(EGd|GskKC}7I{dTQp{d}0kfPLdtqBgi*C4_F# z)>y4Zu7UQe>Yz8FGt41>Ar&j#UrA;my3|&(HNN5bBwau)+gkwc(SL)#RGXK2g)ACt zWnlqFweal>7;T&=rE$lD^a|;b##ReR4}O)z{lG}@zKmo*cov_iD?kDPNFn_*Fn}zi z4-1veIz3^M&>q+Gmx6~2Afp)d_)cPDzhv5gLw6!zB=Y7SNgR6TBvP!h*Eiwk`CwTx zEQ-WYM0#P=mG`Vg48{@<3G9J_JUwz(L7&*G*U>Lk1$`oQ+31w%&3m(BkI{5+Lqfsp zd!WbIv<6?;tZp9^@hRDn)>qLR)kjSLvR4}06w57yrEd;ad0(VH^28Z2n+|2(ps2_!A2JvVz?3@As`Gw$C2F$Y_o{_3 zz*t?rVYf#FT; zOw;4hwcCGSe*GBvH~+x=<>Uv~JJoMYD>$SZ*A}#L%CD8^5VwuK4Ri~)&vS*^%jXr- z4(S0sx|YA~lcNq1FV$N%C_Q;gN!+lEnDC`mU_U!#&Q(oZQ$v z7BkfP6v7$@pkW>ieRQeb9P0vA{l*?tMD&4u)-V12tw3?udGc1h+uzqMQ$>By@DX3P zaeo09#VGotn9?V(U_uV2YghCsh))5)PUEx09>>)AC)y-}Jk4c?V=uDRN9_&!HcCap zyL?Ihf1z$(%>>2(wlRhf6oz%rtB??k>+`A+3Ko$quIr%pG#b>@Oa0#~%E zp!C_{6^>qba)cRJRZuLQdu_oYZ1SSY4!CIAtd!}<1(i3dA>di}xT>;3vS=>dBbK1G zg;9LuFgC!zz{yIu=rPH|dbh|I@u3jU^@uSAOZ7-)p3iD;F7W)n-fjVCiPvaQt;hYK z&uCa8fHtg?5i?rHw}3|$j;cf^Ks;Fl%o9f5@nrHKg(rCN{I zmP+qb}IhaZJ@9*M!;}d_kNB2qgSP9SbN||8_@rNJXCwYRr z+murfFOlZh)sz?8^{gTu;C99~wsxpTUWoVr4#lKhRN`yiM4nkkqQFcSI`Fz>zQZtyFY?nk?WA*raQuRKicXC*7-<=X1mUq?1E~N0gA~0F-ifp z>CnEy*w8pYnSDin0oG5jKe%e1TA-WL3UdAChBtqNQY1xIxl4|%3P$uUrCtd~(RJ(KV$dB_MUhUXULPK|-5 zd6x@bO;{$yqYuIllAj+&L}2A-eB|`M~S+riVHrc zn_VduM%RCy;GR-L?B81?yJsIhN3i~%%Nj?5&;NOr&rGfT1flh9?~KnG(OD;RXTq9a zRMxSY$0;Jtv654Bm9&IzFz<95pLI;tD5?5)=nE@7Crd>xl{AGs=hScv2)J9d=Z1W; zRW1?y8Qe_j$i?O z=}z>t>Vp;v#qz~6(4C4S%FD3muNyBzk>v-kl;v(N_Z!`MbVRPq59o`4=8HPiTnV}E zy5ekyGvo>LaRjv1_FlsjV5bV4J==SoqkO&b`Hd#u?je|1YrJTFs=g~i_-LM)g;ZOPBV6B4xMDr4M6vpHwkJECat8REMz!evk z1^MHb@wM^QJEo*b)Dh70P~9plh>&O7<*AM}w@AnS5A9JMdzbPuf23n~KBhW0Fe#%` z!IPtQ>Oj@0fRgM_yZ?pDEPV>KtNJu>@=?1WPqiE7lF&Ne)8RdUoDT1!8Xz713LFtF z%p##We3kO;z{fzT9V~Dfh6~&CF-DOElx^i|FdK^-ez^Aj6rsC z49Z_{##TR<907!4DvgZ7ie<@Bcp8_Q;heV40~E;Ydg_Q>b~>!(hIQhOkCM|{#B)MM z^tg=ZH>~J?|0|t4HzRrqhemR5%ZNTXBU&Uc>D5Knk7(0$IhFZM-Z!9n+h4Ltg&;1> zxcel0IN>)`8yny^@YLcMi`#&yOLYji3nl~WGIln<1*1#$gNtZ3X^TXY(;NC0+cXVyo73V9<=?n1$o+XH^%4IdfTc-IP-ZtSi*!JX~6*93F(;N{zj(mS}LeN zJeY^|D5w7LAdUeA!0K3nwRwpeTC6pGK$O%U-YvEMqdOx$Wr-FRwhAS}DILrA%UA4rT4$NPnoPd3ceF;XBsq+?O1LewHFDWz)MKhAy+5qmtZS_G zO|GppzCg`9jLxN_i=Bbv!EVZ>o8}o&FZmKx=?O?OPN}uEJd>Z4gwWq3!8TmLwzOem&@w($nEW+ zVM}T;eMD(iZKg%kak`YW zf$B|+T zj7b}S%q>Es;<%{f`OS(NueMySV-B0EEo_B+)6JXo`ne!VcrU*A`zpv^kQYB7={dJ@ zoIDVlwhI8mEXkNcA}COxEuSmsTC%CU^zA^8M1Mx7=;EA{gXrrugH#^|KTBj2?=#+s zp9@4Fv++S-jIkx+1(#->Ub40P;!6U@CkpNLp6uY|c|khc!b$bol(xJ}V^iQXqdBor zTfT)UI1Xgcq&rN73*NHz^D)B${ezyv&e#jcWmKKb@qf|`YbIEKea6O;P4Ty&=q&%( z)!pdn6_@Zw(J^L!ebRq8e?->{@=jSI_w*>SZ%;V-P0nD~$)UN9oIpIa#gEccJJDRV zcAw(1ccNRBwoiSNXQ$lO5R-j#MS>L3zg}$?h@inb2kp`|6lq!jjA7=Chh>5blep zMt&%f#2(shbJT=nnWHLIB+FB*(OTG$T7_i!o$}s^*L2;3o9-}NVR6J*Ib{tCc|0kQ zr>oRAOJ+d+net7*=Z`#Duv99J%*7BQgB8!VltU|g0;(w` zRJnXNQMKcdf~oSQ4MMKFudbjCHg5~VAZ=@o;}O^urPPpSoyZ|4bjV>es;pY$6#REp z=+PD#VjY0w5`v9?=1VG(%#_b!{kya6P1-C2-(_-WXinfmeUeoOuRR8O0E6VD5N0|6 z3acxH80TGh1VqXiljTjf>3>J34g9F&WDcNeYWZ=qs1a;dU zJfUPOf(Hv*y5&f}bpR*i`P=X$^6Ys{YVR+#GZNdC?>MR4f}U+%5X4rDkVBQ~-^fd< ze^*-_{BA6869&Y%bszM8>#WPDky1-J@#{oUPsZP!9yfX$kSGp1inat+rWSc@TVx>Onf%fibAqMPxbr? zm0O|kr!cEo|0KJh@DAm@3NP;i3iZ^P+7dXE9I_fp|Ggy%U6=JrrowHww=Xm&3q|#9NWAKK@%4&NZ)45wf#is$+4vcK>=K)-hR2%*6qV z?E)dd&7?ARazo>8NTI|wXuq+*l_V_pb3HprSZ=eFsV>ZjK9UiwQ4qOL%7}g@Bl<=w z`q0bi!kaRp8?ESfGNNzKh?a*)D12o`^xYZJo2}@FGomMDMBgfS9`W3j5#1*vdNI){ zezx&@>37|@tc(ui$PdW!tQ(6T*Msu3o7MSHK98szCOu?yOGqoY1J@5d-?%YgZbB`6sen5draD6(jJrcn6$^FJ*I;8 zO5LPQrqw3XYLiKuOli~nsRBhxL^EZHB`6C5 zMBEd;B=#Cs@~u z&~k4q_!lwlA8AE(pKC?aKh%n*ZqbS+Hfu$-&uK+9f6$8bUus3=4U%#fDd7-8Rk?9- zlF1QXl|n%g?Bt8f9lLk{OLfCKfr9zQ!q*X=00N*SJiCBLL6`v)w-o;`!DV`ye=o;+ z0WbG^z}_hrRisa^TkmsQxx;#4FDyReYZwAKXUIVK%PMjMg(-62v0*|?FrDT$6! zM$~q>g=t4APF6bC{&ZC0aXCMamOi9I>qZ{6rNt>U_~XUWTRjC0v@rK59Eonq*+zeL zYH`UX#exu9#yewT*#+Bt#s-Wh2e8kBMtl#`Y$8{9)4Xv@ri8cFo$}r82%Kc&uM*67 z#_MWCs;DZ3JI5)2tl|3w#aY95x42%`&B|JRP$f&!hQx1eM#^NhryWAJKf`Q+|L+{IT=xtI*!xCbd(H6Jzz1VkWs;~RU#|D_6%%O z1Xz~PihZ1U%EpcqgJ)(GYwA_LQ|ZIHK1Uh8Vg9l`ye?t1mTdJM1YT5XGiY29+#s`I z?~|>(rKC+xh<{5w%=%ffKk;UIj0Y>ARp(DuGvT_#Uw+`YeQ{B0+`KC5P?<#gpX@Mh zPeacqXUf5jPdybuxo?`=X+F6m~6j5k$aWNO#L)AU1TryOlH4@eys9h~S zHAMeqCEReK^p!Q0wvyMHN*i&dWNk*PlD5eqhS%#C%7P0naL5M{{AIfs;UH_hC-NYB zCe*1ubVTvY6Cy$921j>fUn03GjEkDx>h9!>EjX9ezX`U7T(Klo{AwH0AFw)}tbpx2 zA^SYhVPLlj=fPeA75gtefS+bW^`qL1vHe#%D)G3z--zf~%|RB)3;{B8k>}wtdIy^v zYaI)JNwbh46`Kz<;R+pg+;z3+5ieYd52;f*`kQdbrz{B|nW~#03u6TfqwJ4rUR4=! z;l453cUp%#>S~^T}6iv#qIgxgnhOuII-&`pBC}`VLQ3%y# zdT!vn6xuGlK+vaGt5+0hwZ8#o`19j;k{+(|3ylZ)XQ&k(|A+a5jmbuMpHLefu9=H)9oSkvjJVv1Bnbk0B8p3IDQ zdrdyOGbbG^>E5@LAw$A!A}DV{y)SFLv5~CM3y=vaPHxUtqAGnXZ6t| zUM%`$nciy$~4Nq}mcak2N#33hV0pmDxV5sh!?s`Q324R2M>ofc4p?FTHtb6ba zIfFJI%7Wl+iR4^tE5ao6^WK2sx(Qa~w^cZu=zE&?+TE=WlB=t0QKZQ-N4SeNLk(xf zfdDEl@e!TT$Oyr7M~-j&r)`}xfJU9-Xw-jl1c|UInrq$~G}!p>#Vwk9UQhrwzn5}Q z%rl&^Bgix9I8}SJyj%*@qvbXBSC_90jm0T3?_^8NrfAc66=9ed_@>C`9cQ7!oMPBA=<69_A5(k zDw7Lgm&0=wkBgmW=ib;Y`zh88FO|~G>WPU*^vJ1C;en5D)u|uk#lTN>=LeGd3R3&5 z)TrxAy(UXYHccr4gu_{S(azW@9ZQUlV3kU@cpEpHcCfJ6?TyPdUw`C|$s;4fR!Oz7 zSAR_v#yW+9ihwMYRgSK>#;%zAQ3^mG9PA~kpWoH9r@Lc+qTB42sLn+(qGxRNGpRsn z-l#~rk8wC8t+_2tft`Y&dWo+zptsFH{g zFeyOc5lSg@bO%Qx^Um_vE$p6pv{KDHw#f1EX$6uHTif4(;qL!PjI~flD@s*~(F)<9 zI1=}z)(0V3c8NAst5=@7ytZJobl!HK@r|4*I9S}JDArPY!uiZ%pIPo^d7Cx7ZG;mF zjatA-(N+1T%9!zu&*1Ju>(RPSbgQ2Z?if?7S^ zompY=zgVxe)fHG{X$_pNnh_|Jt29;c!$sd9cuumI_~|l}A{FdxBXZ+!gZFD3S~H|?hXv?=#01>P3guY8T!*@27g%HeIXOhBj6oZLt`mV0mNb zyiAmc*kJ1}R7CUFsG?Z)nO(#TJuKNA^tnULIli*Z*jIo-hy8&v zI!1hp-e>I#jPaVwn<(3hW+8UV2KdYcYWL#Fvx7p6G&ut$Vgf@C?RTnIfYe4O<_W5M zFxxg$5@1wE3Lc3)vR4{4JU{WMFrO9MD)D?8Rg_i&_8B4Xj_0kfUBwUQ-MeRl0%+MSdmGKKhDL zBjsAfB~~Ztk&N@JI^U@CYjPlnuP4tt-=UsfgS3$TEz=I0C(8)nsu6 zmZ_zQS9P;q=(+Zqsq~)Mh_{FgmgJ84WPx5U3v_S|GxaFT+{0}Gw91`$WXckh4CYUy z&Hq7NB|2gvO{UGVeoip6WlCJHo90piWlCiKKq~0avQ!nU&E@1i3Kf*>FROT6h@lOv zPnME^@udu0+htBBK`=LPxo-Y?sgz<<$l5}oFN8`CpnrQ5N?4+j)Nrt$j%Mc|=x7KQ zW4JqUii)*X`oPClBx;~4Qf(^6a607XiK(&gM20^^$;@NO@WoS@?`b-l)zQZ8-`X3A zGH+{5q~v~PaTBD+@9G;o*P`&ov41i7g#HTj9&k+ex=Gw%{wJZXGU{B|CL+81FZ0^W})0 z9Al>r5HlByH&N;~8&cKmgTcSEhsyM$ZN?G5q%mWj1La0&;(tirqBtQeWAt zKCR+aY?$jGc|6x63$!pd7%G2>N$bi-n-OzpxPWQbCmt2wM1E1YHPurcUWj zY34C7^(%#n7N-7~Qtk0d;cF-deEsaG_$sP+DSQLUN-P*M z%5?MSj?d^KPR{aUslpv7n|HoEzEj4#J61CS=cxM?LV)MX!5WHtazU{$2qEDET-OV& z(_846cS@QP@3KEBsvxnM%<1+*^(&>7Z`EN+F*U7r{&k7!kp~{+Q!PAu>)>y^ApLvH zmOk_?RM}RViAlrAKO}EPLz!Z#q4`!r*JA2QQiKlE)Zvc61@q3qU`?PTbU4|*AE(+^ zDD9(Zlcj0Xl1<5jf-A*;6N}(b>s;a5Fv2ZCx9<#-N zmE&LL*miEU0QCLC`zz@M8R3iOmBBerqaRaCw7&*$jNV%|Zqt`2Ef%3&-cmVmzTUiZA_- zbi>%qKCYjC7rCo9io%0&0K{m2LpO(S=Gx76zX?d@Eiu12_fS<)tKST^Zn7t+J-h#%5|zRG*P7ubI+Ej6m&UDu6NSF%Ffo`8 zr7e>3p3}fYkZ&oed7VUkuvsK}?V*;b@}7!B80^w=y_Ku2p{80rN?1BqsA-}d65F#A z?T5|8t#uxupZg9n^XJ^O_q*+P1!K1i4%MEP%-fX2iV^j8^uhJ&MWUZ=INw|ukU>A|g zJi4O6C#OhNN`5d!$qy!qjQ8Qk_ zjPQvW;ZG&^CyOg17ZoFaCEj7L)gpyL=HxHCu~epl@f8nNi<~X;d(nL?LH!BY(YqVq zH{97pGTp#}djq@64bZz2*tu?iYjHzy)%QqYlk@MW_wjtjtJak~`_jBTQ?$(=nFU?2 zn^$)tj}!>3c)Y7G#i2F>wN0;f)JynY(ax0dkzefXzJw7VC|E9NYP_R3e;<%=L$)K< zwNRQM29XGem3@oRQMm4wea5n?f>ODvP*qS!YvoR+bb#E+l+&oOS#D*PiN0sUN<_{^=*3WSU&&9}042S$eo`+5rRFbPl zn+emx;e7BG<=VB%HyfXD?U!^$#${=#_Bd67C2pM}xs~F{wc@%PUdu#%&}=kGSR*p`j;4YQdo2a=~Nmky>W$G(&>h9 zct+$|>EUONE9CH}4;`03dzhR=gMIX&qgf{_LBz_uS-o{*v^&9oR6?*+<|TzYqp=S| zOfmn-K005-2ufSP~SCWT%Ntm2(mT0r#b%*1r|3pUwB#ProbVRAR_?Fw> zJoODX$wfdr$Zdb~?JroXvJ~6jsrFa5zcu!^*8WbE@094mdif$Cj;e38JV(AsF7cNg z>DTYjvz9zJMbFY-GUSZch`d?dwBkxb$2QDF_b2A8 zFGW69)NE|Tt%PH$y3pE*!q#9H@0B=7XRa&Gc%Y|V7KM5d30GFjmli&PzJo4vOP?gi zJ42q83{Cub#@fVZvVRHwrTVKgzIBf8+~1wk3t06sUMYNX{bVK= z+LQ2g&d=~nwOV5LmvN{A{e$_GK1#-}m-Vgt?O9OB>Vzg&OY-~5!lU%^uO>zdi;)+l zmp?*zFT{)8whBBU*G2qwqA!WPCGlH#X9nU_tJ#lm$S>r1rB8A-%OXq*yQNH4vwuG# zzASu61hn9dy51s2eo@zE+r{#@$#!yrKc>C}+R7{$N#P89KUZ6}GjP6=%iLV*Hzt(_ z280H}-AQW9cM{7e{0jAOCf?W0ndM1|jL1bSq0H^D3=+?!hQq>-w0v|dsOA>7Ai~iR zwXAfq`sv_4_#!Lh+bmOjAj?2NV-Azx?bru!oKxbMXr(=IE7e3E&oGv=-i*@|Z>BdD z@6~cacWaudGIq4q_*c5i;&d;8$6e?%hciKZ*2r{ubhL=)9lw+tfklUW zWgE1GF9EA9jlHH?$cpvBi>kAy73iwyrfTS+nn0f_<8T!k;{6@pCx1omTBJJ>AHEyw zyGG3iDH?A}& zP1!5wgBo9921+EX0)M=UuJlFbO^(gFL#7@UDuo-MmI$r!dLl)zdX?WiyTC__sNxG> zX#HfgUxWuXgKAW-2n*a5n|Uuqa9T)1E$?3Hqasy9c=Twp!XOv}ak0-VB}!{3l#WGf zoknGpyy8V^oAq9%NK#{6sVZ@wnm^ug_SLXwkuW@v_$vX+ZlR#m` z?Gr38czok6%iINOfO$53)~_2`ybPS!9$rT}i)!z86M5?{waI>e*^J!4IaS!okA*x3 zHG#-hqnj(xSgNWs1QP3*;5TL{&1EzDjNB}T!z0o+tr3D!m0nkNl+(l+X#(!ossf9E zE0yvU0e22A*4vjc5zmSk6{zVR%f}1H{|Q@-J|zWjSQ$33)D1 zH3*S;GhsZ~bRxB|EQ|elExZ#SV-@HQdCUc{W#{vn4~mq@xy$Pg_I|I3Ee=d90&%%q zAnCWRmXVEpOs4o5AX93=tXJS#_+cZ5Wt^f^hUiyK+)m~KCa*zg;e5JFaKoKM5=9*$ zNvqC8loh}3fG87trx4}W#AxA*q)~FOY@zZN;ssIe#uIYQ<}ZA0SI=ohoF?Q=jTwX} zT*(&%HCil0K_~43wO^+3<(-?;`0{VsBy#_L!^j;Nu8xWwai*jRtOFK5F1K*&mCVy6v)XM03D5ol|>JZ)IRR9b<3U-~D#;#HY ziiKU53nU7=s&KLXnXa3DrSR)UKJrueCD;B__|+2+b@eq}=aa_9u|k}Iq0j@2A7`DO za-r1??o2CDxKmnX9O{5RmHB#EWudlEgc|V*DL(4@1ncwi3weIkQ+i9#^HsvM@Y+5W zdahQ!zl-nJRt+|`1*s)$_JSo)8M7F06S||a7#yGu2AH8eTMex_D6~6E z3pW!i^A)l?bO@%su+Ed3|$mwb0V6yXl=RqtfpSA zH}siv-ejA83N?|L{!EXTQbI*;+i?g&8$tikr6T)rEf$mZ#(mF{6K>8j`IzvQjDE8u zqG+?t;z@*$f>`&Y5o>36=>Q9{&OITCSZCmhV*|b2t0+ym&k@I}XS`?Xrb8^0|<-c(V(97CHsx8YTIFW%e7yv7o!a$ ziTUm}<4@f|aou@uht=bB1-znuYl+dqi%>IDtA|f{@4)LfTvd2Nt}^~|tm$_dUp3{t zsnO+bOIj)ZwQd=+{%R@E+9^iiOy)p%Dt!`iwf>Sq)#hWmf;WPzM!#Ftr*djt-gkRm zYpkckOxM$7WAePfM}GEvd=Rf%^A{(>J>?1*nN+h0!+!j6z{8sVbLD#jAF~>*3t962 zG5CnBpQ6@%$nMm_-krxGY!gdW(#6TUHXr7uNB_@Kv|3gk#-*$_*xNvA{KP1*F^@q~ z11GY+)X?4b--d3@k`6<6qpDdA-EWD}!d|If7XEvb_dL7|oq;Fhn#W%mI+O4B4c+mo zPj z+AwfFGeA|3edW%KMNPemV&S{#dVR4P-?#b5&mP|uc-8nmlMMGv>?#8w&VF@t(?jMIj#e7dbGdnk{<0rQjr?%0l4Bm zX$_->Ua8ey=MTIyfLiifTW zvC1SuM`ufy1O%tcOsrSuGtQ%%mHwNoOB^P)D~&jm;e@e@B+S--Q+x(Pp6$U-;~PRMM_UUsdZ4v8FOZBLl5EBQyOOpIcARL zKl+X{VY^jw@|>BQ_~jDayv>ci8&>5-$L&Du)LY1*?1fk+zPR^FQKbHq!`kJMVfms6 zcP0po8SuQ=ISa6V8_78v>Ywv82aY+p{1?WYTN#r=zW`1Ss%+<4*9eBJsi%>#7pAF7 zc)3lEzoA&Yg3*AZXO7N|djzpWs&9_WS75G1w zpBCO~JkUg=>uyMjH>2KS{H&DTQv1s+5kG^af^+S!B63NVZ~F`FZ@K-o`F!3Q@%s&> zFrr5n%6=_Bn_uvMc>kpD5oLm&S}%^Ne^sbt|G|Oap&t87NIK3|WBm@_L@7v&b_;34 zR4_&}9TewkT@9PAj)gVs*p z4xK7GCtMDkQ|gcW2@qNIpAa^YI)Mu_svqnnCQd2pRON|3Ac3(GJtK({A0M6VbogJy zMU(64G2l%yv4#63w^ZfsQ;Vv3f53%WOmMbIZ_MFp^%GKMXd~Rvb|X8GyNratlaL-x zJu;j*cr(C3`$a2lq^-2Euri-i(&;wxR_5A%3u}$-B29-)e`FyI`uvYQjZ>Hfk*D2(5cxj&CiU9sS>626rsDLp|&cPW5{5uh46 z8kIUZ?g(raBjfwqAkgbWE8W`N{{x;_dOPP^6SG!LOt%0^*}4MX+OwFHqjUK$lT+93 zepV1pDc6NRwVdc-7Fz4TjcOhE-F#9UV;x9OOwqd&wIuf~coFQw{78J`? z1YB{fsasg}gV&Py&C;#1pF6eXFiu2lB;{MnL*{86ZQtY7Ks+l%mdw=qaJ)!%@++I$ zAQ|1WGq&?nrKQy<=2R0OC@k+dUSh!F*yGhVHC`}b!QAh|x&J4|YiWACUhX_zR&kBA}UmC_E87rmE@p2jlqE?^c!uyh3_))@zKizm&6dO;( zcABNq=v;)JEsr0FP9vOTDWmB9YG`&;8u!MsEx3Yz6gEnA(PwFuMifEbjTJl!x7R7O zqp>~_P0I^X=Pe~2VVKBP4&Caf$gN4+q@7Asp;uh=DbrN;})x0*2nl=|+0Z4#m1 zd)Dq9>TqCNes~Zk6?I_Scefl>a&lNd<-qp7@_v=A4s6@;gj}!jR}O6N^8LO8+fP-Y z_JM6HCD;eHD9-O5*!t932eva(2e#gEwcf~iP2?%ZIJKW)^DXZnCOfb>BYJS6L(acsL^WYq3~*X9a*oT0o{F`zj5>#9MEyoZd5nyl)fv&f zKkJb5yo{)kD#~+3M$V64Nq50B9dmBXi2BgV**7ESI~mb;9_Uc#>Wrv$D$28CUb=^F z%ZT0w3oxUdV=|&nc`{U zX4f+uJw<%OAKvp4)dk`dc{vlSneZpji;>I5<_M@aQEV7dh*g>ldX$(#y$l(!R>_}1 zO6^6aOj4e&*qI`@PLiM}Bqt{XKuO#9_|U^lz58P1kUPSTwywE>9%4+si-MvhK8J40 ztk2>{D5LZl{0NMCC?-XcAECnsC@vQhju}K4>(@ z3$(7Hu{ZueJmO>3v{ajA(;OA)Gfce@sHDg{{cN8GPap3>s$~fmu7g;CFEJ?(uzB|f zS}UIr1k|>#5MOc1SFA{zWE>|H;cQpBtBby>cJ6_bFLn_Q#xA&5l#JuY%Q|OP!r7RV zpDKZ-L(FED01L&g3lg?d%~E=?+$pc82WX<=4_V`3^NC;*i3q{EIcf8U%##>Y)b706 z{TtfW=3+6M%qjF^dkoy5o1^IXNu|C?aEWfFnwRO$e1Fky-O1>!oq6+c?v@qnLq{p( z9pyfTGF~TcQq`g&CGa;!6(+>~mE6ccuciL=Tmw~^D>#sh$W1g3#~O3EE%>B&6&=)` zcoZTc_$5ZP22WNB1~~<@cQdFNqaF>sDYr5D!$?xY7>#}Od)d_Yb{_CP1-{6za}i|} zeHwda2_1%v+8+HQOaWh{PuE!250axYhxYFBI}g#vYE)7_8CQ48r$z>=M3ROr8JHTbFTZ6$t}s7D}DuHJ;dwu9LPy(qSWRmuN(a zc@iPhr@qhCKam37oX>v_FE{4~M)-}JQHh*X%$FOvp>gvR3O3@YxK}8|(6Bnr znIHlopmL!FI47s!3^nZ7zSm53#2Og%#(#&=y)|jr})D-b*Cxda1 z$azsm;BbQ8Zpc&q*&vqD?(*8hS@jlHCp&*LAGK!tL#?Z(Rf$ZpLvVppHdH!$PYxW`{sVB%RY=;AvrARQ58;1ZcK%F zrZ*gxY{A#D6Z`rVMRIaz;p5OM9cU>$Dg>0-cgQS0QP){~%KNH_`-qtAGu@MUUjS%1 z3HMz@P{<`@o)adO-Hf;xv_u}?_kSoHu|3 z!F4(zs$o3hagM-ex=xZrhHGh%GCZH}lO|fHg++nnX<;6&P7QoB9X!d&da^T&h-P0g|SrF-FuSZGA9E!STtF1&ygArrn? zmajcesUHV=0KkL;9c+>#AM;D$!M&5z6%jO+O+m)ey*{F&U zI!!d=59yI{t;@24FgkY~YO8YRI-w6kF&^N+k3*@DR)G+m?uUs~=#Vn8megZR?Ki0H z)tW;_&s7Z-vjm~t)KYcMPEq4K*v7MmSebw319%7X`joW$jD78W@2M`rs>ltszOr?J zQ&0>%itxx<&=2ll?P>3O9qH+&y))@FBy#7$Sn4}c!kD{FvYr&J4XhA2d_}*ilfEkA zX8dcMzNh9YK4{{%?VA%Xcc5?Ck9Mg>silB@G}WH+wx~u+gTuJsMni6E^|r0c3SMry z9-(@_@u432@KC5VYfH@75Zc3Lav2i{Oq_8Sz?FTfE#SRE#}Wj?-*=~AN$iyu)Ja>P zv{{D-Jzz7JHrzZpK!Zl&%nwxYw>)r(?x|<|uxE7v54KTo;B3B}Y@tg%jvT(H@}`tyGUG*AVQTH~!!xB?+Mv%flZ#K#jPQKM5)hqQ&4iKkObwgk7c z^tYX;HJ(MR&j>oy3zclpt;;kmd;)>twk5%QC3<9vRxkmWN|79_>cq6LJW?IMT)I|7 zlWX;%eSNfh=G*aiiAeLilm&>$sh9AYP&>?FZDE38|EGh&$9mjt(o;;}x$;2w)})xA zoNHDj%85;1PAZhCSY^DVlwaVg$EY$Jrdn`lY~nu@swtTQR2pW4u}pe!vpxXM%m=X< zCqbWs1mZ_Q>gvP=w z%06tb1uxShtMGC*_56v~w^gzJqCK&<$g1psV!!r3l0aLaF4Z=)i)Z#g;s54XdgwP0J);x;e4t{R}p_5Ji{nr$0*+#-=Yn%rGJ+Z4W26%6geL~{P{_j;wJ#SRjdMy!km9c>{J@hY6FKuEo z7@5nX2M|;TJ`oB)+2t$I!mFpzhhmox_w%^xJS;;ZAu2CbX+bcX1R1PFH}ycpgvzgw#1l)v3s_8;F7&lWK(=yIOfi zi#I}#@1XQGs=woKjuIzSE1Aw@)W5Og*NG?I>-2B3E3u<098>c5mFG5~{Y~LwXr2GOHS&R$x4-5fxP5q$v#Z7%K$bg?_kOA zeNnJn;L}5%MU)H1KS9SNyVGv|t?9CARpnZ;ET8f^@cMbv5f5V$=_tTKBJ)^8LttIYLNK3WL= zlEd`Oy@~N_=kXoWb(KYgS;pcG*Cb-}Nv><7%IwpsPosma2N5I({x*~@K=5TWiTS#kbLosYPj==zX=+hbq z0nWqf0Vy^Bmi>itq06Qkjh6TW6rj%YW8FUIdfgn(CUH}q*7!4syejjIMHNMI0XekG z6*}b78kZAl5p_G5ppZl8|K9;a&K{(TGOc29klA8Q$t`iYPyi!`a?sC0u{|FLs$}bZq1}gTG}UXTcL=>xdVc!?55g4-?l1OE)?Ep z%sf4GL++7y0Yl=8RI#TT*VLxrwZROFom^=;Rl|YR8o3h7)`gSJi$0J4f`a^9!!O46 zw%Rm|og1*r&xSI~9b5D_Cr>?WU_+bP6C;&QU)k#$oW(Q#&1k!J4sxtKz$JMH zdZgpUt$1{G|4ttCk~WBj{NC84`YcEMd;w!Fci>qNyt{Mkyc#3&aZNUi&%u#eL zRvML3dg#u4)`rK`Xu;VLYd)rY_5AoAU|!M@=mTk>-+2C*K-YZmLxFyJK0t4hc{Fq? zK;JK}hPj=9eoR8mY76K`#A5-Cb_+c*+y+{{0DTwn(kUyYpH{D#HWIAe%Y*AxQd1vV zbhOAbC9* zLVvryopzQ|ti-uhlJbfqOB}pdYYaGGtkpvwF~JXWuoJxp(c2QfVwh3?^_nhj=HnD3Z@#6r+9dLWyKjyX{HMBy2MsD=CQ0wtdTUkO+#Nfa(4(rSv`AyM}e#nvP*d|@%a zCq1m_^mr}-v91rLoN@Un)Z8_s z%TIwBa+J3y7dROc9F6RB4J4^D`<^nC3svwN7cqwE*Vfi; z7urNoeZTpsP<`+G6q-S(K2v#L#=AyZ5PJo2s3WQmfW^4D4o{>9t*I7u8H76lEItZ+t1^4tK}VrZt~J8!rnjcxm0u!S zU+45{VPWsr*Jb&&u%=wuxLnGWbA=WbkwJZ(t5ORKE2h4#%k}V6!n<+%j3$5NXStY$ z?5Z_>NPxDyT<)hd{*l6md9+4hzQVrber*LWC}#1BQO+<=5Y3&<-uht<58^&Dp*wb% zGix_(;a&LJ3)U}tPIoK=$^QvqC9PnjmzJfFye|#4k^EjlZ6sfeQ;=M1Y^9KnXdXLK z$JE+8?ag3{N-P?@BxxmN2Yd-@4+Eg zYvkoLIaxW@T)-sCNckXPzDQj+xCL!1yW@$yZ_q5G#dPHW7H@RBVh^*LTP%6lgkhOqOL(x?Y=Nvhi()i{^zO;L635k=RNWWdYq?x zuj7jkP66!c2(a`*M}W^x0zA6Z2KZB`;}pQ(Y)b*W^*RAKBDDz~w^yu3yA+iw@BaK&38pN>D$XXf_lHzx82iy+px&)+hrHopkA5@ z>Xm6w&s6o~Cqd0q-s4iB*8W>SeSM0sz+dIBpjyatTngye1T{1^(C;eW7uNywHtMs0 zt?3BtOGMDG8Nj}|kUGGFZ!WZ9y$?B9s$2j3W~y7~sN$cec-5`bl=n|~t>xj#FX^Wp z^SXlz?3KNNHsrZc<(BTfM5Vr8GJ^rd%C|ru9Rxtqy#obe!OS(+WI`Og9cX)`yY|O6 zw3jEL-Tie2vT5z$$_8+ zx2oFJu2Q8cJTcjVA#XP|giU=*6tr-9>@IaIKvr`2HS$)dukomIBU?9nPzH zW-mXL^U%tAl7%-x8@RPaS?a{qx4QysC%2UQP%L-oW&83flr}2@9QS&nWp7jj=$-N#)A3a;+y!<)V~kxQa&< zS8*%!KgD}W&o6SUUcw;x%-;&*5L#`*y&$gXIgJ?O-PI2hSJLD)-YZIYojYUYR;1I4 z{JV;b4I;40IT>q%o_}qYCc`mkucpQS7*C74TkgkjSDQ}>H-fXaI)$9XX|s$dYcTFLUQOZ2t61S! zE$1U8trn#4N5;SuFs}w(z6hLRyki%VXBXmwHC*;ZFmAj;8G&aE&sd3V_uYO{!3cpvrz4);4?lo@78;<=jVDK6fa)ujo zndQR^xTQSY7@J>JwApI}`*@A9-0d5F>TqK?tOd)|HH??!7+lWIrJew=)~UrPJjUMy zX)@$Nnf#|xVLTO@p4r8WNV~S^6lOB>_X0J46}z&eNsUm*bAoDu;&$;K*=T8iF#B+i z!0k8Q_QQ+HjrF5Ov)At-HRN5zT)p9IVOVGj3;F9cHhP<5*}h0ct)HjJy=w>);g8J< z8dH$(n-s-dIu^sHWwq+&1cu=Kz^&EBSJjaz#lC~v52qGNnPT;ND0(s%bN~sZ;L*Q&}foTPLSIh%aN5F*ir03wC2!8;%(V_mjHUfTqub~h>^`5i& z>*Ux_L`m{nBXb}B0NaoAw6yb-v@G9y1uYoY{3secEAV9kGeigMej&PLyL~K@{n>B&ctxx?-~nY(gb5ct9;D9 zecuAu4wUSB<}SdT1F8L(u_jVY@vtK`I1 zGG9mL7gd6?w*y7i!IuTqBa>S=!4>(^7QTh*E4wlq>)Q-YJ_Cbgp$)F*r5!;2C%*cm zP$A*?`ijpC69=pMX=gK~{>Zwg)> z`iKMQ#rOo@8QT6-Y;#%ezcO_IJ&sWO0NNX;96$pnbUJ)C+?2(ZHYYcGUI|^WgvvL# zegXW#|MKu9@Ied0i!9$#%h!M}gQ)oS6sW2`-8l=5}OHy&LZ0KA0cHNYA_ z!cmG1>TdkPK58WHXJ@7OGH=(|$Q5;sNrzr(JEN`uoJX*T>KfiRC3* z8@^pit7bnL-mlh>KptsWKUhUNts!>CUSE(=8TCpQ7IpoVAcYQwYnsGf%W9X76?(>w zy;@=~Ay(?8+N@c(zz4JA<7W_|YB2_1Nf^AcWK55A%p55~I4^d}HHAfvcmlsgcEv_< zJtDq9mi%;E4?t(cqgL4Sf?jVCkO&LEL+p*E4aBH-6{fOJ=0gGH^5m1!xk9jWa68(I z+QP@d!1A^Yns-&-E+ZMkZ)61yecRu=YOweh>=Xac!T%DvX2Cc-p^xArcfr9vmk()C zK%<2*Wf_i_z>sIfdch1uT3n=sAHR~36w(6s?{G^d={=u0uGYJ%>GF|qV&ByopJDCt zwvEW)S&V`~!Bb>O@~+w?v2q+hNrp!fwFL&LL_tDb>%B#$586=Lf@uks>^Py)f)eSdPQYh)dfhR!v7|evrGYI8H6+``xyeR8_7+X`+wY_OowWz zl=)_=%qPh0cYfuIjG#{(SI}w^&6QQ;Y2jZ}h;Ga4m zwShhC+c-*AyM2*sd$n3sf$l66yAH9y`y&(G!EAr_z!d@)x^1GTJrr=YyJtu#+z0R# zEl}GBPQA!=g#FzYsaL6oy7K50Ev%#oQCC+y@>5D1y4i(SQT3uIVg?uJHj8|SclB;x zh{PnkSqtYfe4)YBggf=3A=mMZbwdS$6>MrIi5TgFz=-p&Lg)VAg+lwG3l%PhdJ|x} zt|YVI@~`k^(ox>fjX6h*7&2w#$-YQVl!st)^@yIEI0O1xna60&Os{Ltoh-AzakeaL z*yG0*;&$(<2bpZ<;PQgZ@wgpivBsmy9*;(`Wfi-u-f7z_^@S!EAbwTCIn&iPQn09; zb}(s&y3U2nn8Cwbo^x<0f;9HtHbo=nOGD(v*pSC)mgPbyY(!BHRI^$@VdMM-&Q9GV z^buCp%R2~ZYv^(K9n3l*gJL^=C9)myA+FVyh`birj$Y<3$eqD^aIVo*_k9vukPZRQ zf!N;=Jjb~~Z_8`164!U+wUZ>&9F?!+wO5PB5`Df%zgYsn40-K^#4{d(v6yk^bj$RC zXFjNksTKO<5GDiicj^b#d+bURmNyafq5RQ)p>!U3)a~F0u}9v4YewIR5|*#%cM4~V z`dxk%_*(Ry%n>nJZQY#Qogrj34#4c#^;4Q8x5Z?sF|Mx_Ek0YaAKwDwP)wnmy_4TG-5@4(86|6XoMW(2O2z^hdzfik|A`DzaS z=(P0e8n{cXtc`ymk+p%US#bf$=@**eeZk|edQfG< zI@Km4mO&ptuvvV!hywfT=6H6bs@oCc7X@&?pj7p<+S?XtUIf9MG5#k6j7 zqKn@ASvRuwvr%qjlutqj=XuPjwm7YizUnDpcvKSKc{(_~_pyv4TqEk{GxqkOxsAi2z5SKuYV%>2@wHc^ z43oCLu?u1;&)co>SGAlLkfylL6i#R*>c>30Yg~ug*lB9PL|fWd_MX=0RUwuQvifUVUp`wvzLJaLWWDnr%Zoaa8T4S3GTG>G#$85NmH&F02Q>!?S7p-BT`rTzu0^8_$aC@@V7fjLr}1yf(Df#O3*}PBr2MS(KIAbjU7Z0qT<4g zh>Qy=bQ4@j>;zJ@EpZ!hnHh9Yaa_lRiKvhT5fCeBJ@?#m?Iw(lT%1J{hG%dtqvfAdB8I11V*jv_>C^v! ze>mu~apn=q-lH^Jlx4kXo&JMtpr|@Y1nO`Rn>WQMX+#dK%dxuWCB(crGx~*0ELHJJ zJy|{|GHaq;eDn^gwtr$Da<*|vR`lsaI;FwX<~~6)BeSN((-ukEf-5Gm3NF9oqauaQ zQHvnPccUY{hxv2&*cxUZpC7z4Qu~bY(7_+kV*XK0e1>O{YeF?8dRw)=q8mLA!N;yT zowJ9Uh^*4t@0o82ID7HFDb>@g!Ffv_JIB0pZ70Cx{du`>QWHGyb^SceftjIKr@si9PBI-$>2JgTAP;&l-j*K?{9AKUck5tIl9D zB0c=a4-;)Kzq#1>wP9zf@tVRwbQ)q93};w^;RvHSKRqq%nLP?76KaBS3j3>aGmJ;? z=Z1c+?r51buZmB^pQ3+w*_a!g<_&#`!P_G8RP=4WIfH>QbVs(HHS;`qj_r+k3GD%? zV?&J-jR&nth>^U-s}xadb7jj&sD7f&tD+YGXZ%7>6lY)2CA`uj_hc^=dIL8~W)zKj zW^+~c%e;hbzb8Cs2=b+fl9LQ;j2^<&L$vIfGn@mpHU0STwfzU`;b>Zt0<1Jo#L4%~ z(~HS{r{TdD9F-JFterX5gzp#0Lfi&F1XYV~dzsQ14bnwr_tjkSOsj|dsfn+uRi8-A zsNCc`Tyn_F-^+7Snl`swO1eCIQmCoiu)0O`QmPcHpBArSD@_7pmxLOo*@Ig2EQ#S1 zLe(7Rxba}+Mq!Znyo#l<@*~U(t7BXHv%7q|)w;WSP(M*P3>(hY@W~RQ)zW&c>JUQV z!Q=ZlTfUKQWwrbm21wQN$C$ABjqegozLI1R^6AMYSLLfYAH*E}J-?Wr%J*9;pVYQ( zeIEgK>c!Ogd+VcdYL5)r`z_sQBP=qFQ)`0=Sdb$<;|oN4)If*AFN8(f{6)ASEDg9g z1BF+Xa~|CINjCR9P=){7e3DWaJ{iPhpNg1y!zcf!CQh#@%6H7Q6I73#v?BPM<|GNe z3#|`PQ#JFS`_pA@bidZQkh0im0t%;wQrTT@d@V*60qhl!})I3@a33l z`+-~IzmVY66bII|xnCfZGBivLHQy0uQDT(mckfGJiIK#gyVqyH{N%W28BoHW4~E07 zAY;aqHEzUe<5Fb0OZf!C9zWNrh9jRw*KrGbrV$kl5Ypy3k_f?MmUed~JtpiK!HZ!Y zFLy0+lk_1N)vVEx%g;tX-D+`r3&!TB5v80AXn(T4>X zE$%)C9+tP(t~YE-d#Dk=6))u1fhjE8Gb%}#K@gjCu*mEf* zigYX$2GP+CEQ)*IT7^Ix9(>>7EE7ep%t)#b>%g1Uj|)T^6)y}6WEK5A`XBvM(CLak zFH9;t^x+~=^UW?YpKc~BpR`e{Y9yK8KtQ;J&wE`K>MS-~*odLSxjkQ!N{!~>2eT^# zi9#l!Z?mwH&O#LLouOKWC9`gnaLBSZ9mF%^$R}URTD?_{*roMyKt;N8HQiObuJI#Y z|A--&&Y9nD=`_^#+v1SHL4-L;;=L>J!k%}}lS&1$XEB0h>AOG1hln~c<+bcuN|#y6 zAgi|Rn5bb;t!u^XiGwbE$FI=t{emir?~*?KGQg)G0xw~!g<$YEKy+S-X?H1SsnlER zCZWP-DbW>Vn_<6&s_fUYE2N}|e<)dftfvm`A+HPG(T$;<=R)mOmq>o0w^THj_E1&! zeB!rzZj|b1)u#ZhcuT!=1qSE^pK5c3B_v)!l9&Ok(($`scwQt!yu#66jW0r5v_OxS z(b~59BWK`^^Bz@z9_gJvI+Avz?rxRcw9-<2K$SuUD-0+YXzUOz*;uIyjeTKgqOp{R zbufpmD5oC%jukZIuD5t3?9$yQmrBH|#|a$5GsAWdgc2ApsVS@0Yp`JIfEM5qE$mu3 zTngexru<;ppJet*)J|);>};0HqJQNzMdx9yKkqPAORY*wD6x#ei;v96IIb}&;Oha$ zHdU?Fs+6%noF*e_$f&~3OVXnklCa(5p=l{Wj#m&=q*@gn6Uol<4_Kto;;ST@=JGCe zxiVa(+Ncjp3-)Sr`lv#22J>-pM=upudq~BwAj3T7Hu`YJn;CKF(E~b0=Fla~pJn zy&N)L@fe;S_Ut?dep~Mz=Gnmy!?TI|UEQpwkWH%RYu=!c{ze{#=Mx??pszf*% z6{QVKKE+~hxKf$k@!Q|1Xy5_!j#XbzBf{_l%8BfE$=vtgUww-yR-T>_3&6`z!@ z8U7Dd{E2}?kNkJJsO--FNENM%_oIsa^t*AnXvk>3(CuOv9#@V{a|W)ZHnpPufKQ2Q zBsmsJ?dxDm2fNJ^yE;&hu}1o;;=aH3FE4yEOWGOQyL@I@*BvVR?=|0V+lRG(Br=kb zvN^DvT(f4rwoK*aZr2NbDDRLwsYUs-dSv*~-nRt=pH)oIGTlJ~hiO+}P?kAVocAQdM8NZ@X)2c*DMv>l^XdC3rspDJq$W%D$P91q6L_2!u?$7OD z*vr(!+CY^1{o)jk>r1_b>1+uj@f!NG$Y*$C=r3A_%3rLN&sCDW(dr|=&?=9xNhULW z(vh^h9kP1k3T^JL_h_(KbC2&BiRD~JnqxkSA(ePXK&6L&lnW?WmM7Kh7_G~BB15V& z&W{9!GRz@J;v)kgQU-z^jk5e*`q#Mev0_C_2r zhZD!sT|Y{6`?pdXyB&(%C)<&?ngXI{((I&tmrW`V4J4AJmjtnd`<$JQ@gVzK{sh5< zJ&Vpd8AHEjgjpXu#v*BH*zNg_XYt%~2=Ro&S3e;mcj1#nWMH#B?1Y)JED?$m^P3vb zsoE=sp^rW#YXjZzQgmc2l^ezb*SC*Ia|do$^c5a@8W|y{qUk!3mO&DDJ!w??IoNO( zD!fdk$1TsHTJ=YHsP@z4*E{?YFMK7krWHroLGabYR|#Z^7<^=dAg)P@4$hMor{Vq# z|HQY0E}Stao81`+YnQJUNv)M7_R_(!8XT0OWnaW|DmaCp8orggy>{~&hD}x7=Tt&5 zY`I%Syj;>@*b-2oF@%%>jyZV^j9RwtV-&lbk(%9dQcx=ybM6JDFrAEmMEDx5Efs63HcRlT+Z;@4vrTWN}Mg3i%eoiq*tP=+*=ppLjAsLgj;mgc?qzU?HKYD)WNVSo(UPxt z)=^l6gzkJ>>d~Zh$<}xS>9i`pl5bv#R>kt~$uI}|ihGz8--e;>Q^k%+ZM^pxpWwI% zeTmpSz4>QRuZ8w90*+R%m~wISGF#L;^l*(R>iq>#ZO-q3wKp7QK#LEW4W7@0W#iu%={o_D@Qj~D8879 zq_QxNWcNo1$}^Fy*`F%j{)TxbNI8aQ6c24KD||%0E+clKk3(Y4kDPI?j6v~j%vzN) zj}5I#Q^S+L2lG1-XJkQ ztD+Kgl_Oy^C0R2E@+*hr1ZeljAv}lR=_EW7#a#*CbYv0FBD-d|-&Eh;Z?v1cK7kjw zs2htd>G){ZLbBH}*@Tz2B%AOl5Nh0J)wsnx@_hNikX9nMCnUF5i1y~K^eH}MZ&#NO zi89dskhRc2-VXVcV1fiuj$E?&6PlkKYw4#!yIa^4T_^rQbSM>pDYT`#s9cem{5|+` zt3_;LDu1peIx*E@|3267OQHj%H7q_{I~q13j+p2bd8_3cMz_iU4CM+bMt2jB6MM{dO%-^Je%DF?{4zuc9Wc7zA zRF&gUnzANo6*K&|i&_5oe#PuJAxIQt_LE|AXpX#c+GmIl1(yI1wSkDuwh9^$72H|~ z@JEprSdYg@{69={Rw?1-BKi{E3Em{2dZMjQHNID}VjaT=oA26nJ`pT>{eD}@WjDEB zdug|9(w{p(^-a&}eL0rE_#yqgqVN_ZpswTk$r4Wl#AM=S(lqZoJL3-)5<|_*d!Z!COjKaS=n%to7kdzWt_1p7wZXCgit+ z2ybi}-6TDK=n`pYlM+s}>JR7|a-3JOjCNbJ>JfZ9e}U=KMy-sV#qV(8PskEDj(dV5 zb}jd#X%rp1e@d+tYCnrmmExxsqP6PhsZm0TXAo9pJ zCo6fRJuZ*Te_howR9LOji->c%ihr>rN5mPULL()$&_$Fpki%6Bxht8BHLRTNs-SXDT zdK(@w*{4+1B%RT6B#~SJY^RfV71Bqj`q>gzM5<#+SaH{`2+uPKa2w~~QH z56I^bF@EZ7`Mgc+{`Iiu*u8dd{TqFuqOd7<|K7St`Us-$9}_)w`NRA7)L@B7pLD#E z&!obFlt5{y@Xsou_04{vw_4xqdwv}-eFHn07Eym=PI^^UUJ-Th>DW3aJyzWfJ%$R; zape4VBIj56mHhrHikiyp>M!5_Wr?T0zY0D<(Ek44n30WABn)HgpA+9-#d=f{$@f>G zdk95~=t&T{J4X?@2;FeSP3AvdQMC>gE=*)mt`g0(v-pz=O(mp=e2=0Tg*7c+`ZX%4 zEtN|$ODdOA)n+nCiEnmXHj;SK>9wHl07?8uly_~ZHrWN&*4XY3QY*=)`5;P((s`|cdHkw5XJwd!YtK0=*Hk+NBkU;V9BDs7}$ zQ5ZOBe{#bAjv}Xi339smq5a7zkcq%Om`=0Fyx6KB`f#Da)0hy8E(kyj%@Uq%LWOxM zxrMVy*>Rjr;8!V*+mDlhA~A#6Z;oe=CYA$`1mSFcQ0k)yXGuRHoPm<75Y96ycof07 z4uHy-e~8!Q8I@3Y$6YF7Pe}*u+^j;s4gmAhpdE|9C+dV@dDm)1#|kTejs_|M+v(Ga zF)iC<`d;R>J*zuWIJ=FD7IPD)ZYW#~R5h@0@dD*p^z(Nq`A7NYGOJVAun7n)lXKF0 z02O`ofS|&rqH@X5qM{ol$D1X`NZ|Kb(9sJo@tgVF#k{k}ukYAm_;Gs!>i3^<4Th6{ zaUd(FL{>Kj^F$qZ3WW5+Pm22lPtvi3s1a_5ey@6M9Tsyg%twqPhtyA0^OcEpNAU`( zS90O|uwJ7v_EA#bB_!G=Bnp%JL0FrxxfYL){N>Nwjvyn8?C2>4^J++yjuSmnaY)y= z<%=1@&5zV%yh-$xj6i`<=+=sCwFp(<*H46yu5^P-V4SzMB(J`@epYWi zGQ({VN?*1}K*jjn2^HR;@{yK~Q0YRF4Xk6R3Iz#?^=Y{tnaNrcx<-?x(V_;$ov*W^9~Z8I_;G;>iAaQ4^pMHq9=e)MzHEF36DUU6Q%F9*WTH8E{cN3e8)|~akNQteo$Bno?kk}?X zN>Dag#}R$t$^_0T<|lA=osz0v?{DL5q6$7u5dE);B^W7DZ(ou~VB{#nzc60^~qrSf?97kVY$1awIjLdoM;~)|^EpPtf!e;YVYLUvZT11U#fTO&RY7b=P1^6GH-I8RVNKdmu`ON3U+={-rmADu zgzDxVC&MO3t7uiH#+j06+0VE)fy+aV7W#YUcQ!76K1zZM2$~DwNDlf7+VuCx+9dsL zkHmXglS(&RvH{z#tI#ck)M8DOkk9`_gCET(P0(O8PJ`Le0F}4Khl9|fHfOxF(m{_O zP5&ezkL*vD#U3c2Rg9+@Af$gL6g7XQQmoomw(Rh^7G=E`rnX!`(N_4%uB5-3={HGhOMLDK)RQtqpP$w>{5%M7T#CfrDdXrZ5 zPd;)2GwZ?$%sks$Fw=OPjhRPP@Ku5`cidzyT}UnE1ZIo@mXSq!QF=RBQa=g81LgrPmZNlBPk#amx#4eLIk!qh}UXzWxNtIN5>G-EXXnTY{c(GKXB#jC-2uPf|h5L<@ zYT6DX1G8}!8SEpDBCBL;vE9Ecm-JFu%Xg77eMr=H+Lejg+N!feO=HIXHQjGVitR}8 ze5uX^3KU0*$sH-;NO6dOhN-#~bGCskKRWiOt5d}Wvq=LEUEYTNK3EjmlBPau@I-s0 zVO)}N2ICWRb0d@pJs|3Gyq6+{S{c@u`k*fg=f~bf-y0sq448q__)hFhB4?z8Jqr;l zL{N?I>I`Ov2H(y*+0l8Ag1v8^A-*o24EJ-z94MV3DD6eV0yXB5EOH4ya(s7%M)5~^ z658(H@JG3*=6^$D+R5OmzkKim&=}1HB%79mo@Din`5UKl$vlnDj=-!|QMlB(BKW3)(495 zH2*xFx?>2$cb_B_z@o?G?5VN_a1UQ)rk3Cd^HrIqhKCR48FP~j^ol{Cpvv2K?{H0gE~x{*++=zE$e2`#i+ z79&9Ta@om}B?hCJ+FV)iVV_H;w=Rq7$lC2@7-1V@SAU6-!Fj9o@*_uTIXqd*OjSf~ zyp}yw@EC%=@R;=W20Hk7upaiTLNf4$vC{ssL#eLBVl|ST%aWHzmb~!(%Dnn}j(=cD zBXFr&=Canfa&gPE0nLs%hFxw*n@~q@>M98pnpxhm4XKc@ucH(cvATOb3tra{U^!(1 z4`qNgUcwk)F|G0$pK4WJWxUv>0yHKJCC+-cb*E}L`Y4;l{O^XOP2zvIi^tIWs}fW-wU;#VUrb~bRb8rriwWj!G=F(U4Cd4f zLCC}!vUan^%dA?>5JszfgwBpv>E=r|(AkkHR7ptTN}PrKued4(SD1QaIB<1B)Pw|u ze;ov0+MIU)cE#BziTOfP9A{}c(OJ|YRQPZx{&}bMl$QV8$Exxq71}{4+^_CyCobO= zej&JQr66z_yfANLbdWU3o_uVK?~OQ$m*Rs;_HwjmL|^6`u~Ky%xjB{`my?~f+ZjX27^5*;)|ShpN(d00Nh_0Q~p*l&rbNwVor1vW4Sk%MR$rj zi(gEdDYfJ&^%<(`{d|aYt>y;0s_-o=z+hbHq7@-CnKX~x32@AzQCfQs$#gRz)j7Tt>xci1 zk!Ya-^2rY9Ajd~rczP6v^WAI*Z5|->MX#bz5mJO|AyjbzuLyPc@z78i zS``5bnOP#M2!baGs1X&MfF#FQvs(X5h>MmFd6z_cI$3S!TCZL0x5xcvwa<1`+oJWZ zbx$tBF36&sb14UY$ob=z>ihccU|sayuL&^7v-nOq+tyF-dEm6L@ez=Je~2m$6m2h zscsGWLW92|zI@}Kc$y2@A7JOqp%Zy`qJaXuc4_*DmW*aa@Mz7s40d zEV3L)VwLJn!pSf`4|uG?9@I1#p+cX^!qV;Ql7m_sI+tHcqaan+jgMl-+Vdw7Wr%mjJ(#0;+78gt1yD%O~QR3pJKv_~;^xNVhd%x4N&11(30%f~E zT6W;3Qt_LEWG)s_h>XglDeO5*ir`Q{B{H5y03sd0qx^X6W2a?A{c18(K`WTgY%}x4 z5(j_(LwQY1H!)+M#3h5ouF0bXDx(qmbTEdg$DoNflD@+-gTcU6tC~-q_F{q<#<8y_ z!%Ro_tBW;2SlDk@W?O~K;+%j4F9{94ig(fpZ{~@$l$q&+*DioqMSfzTVydiCthByI zw1W5e0RAl5-L$H2sDw-%&xY`WL-Ka0L+HZ&7IlgAg%ydDYEan+ZF>&M&*DjoO+x{K%pu;`ZBoEw!r1B50%h29&|k^x&a#DkEMcd?4Ra+ ze-&~($kLK7a$%&f8j*ylb_L1IYf4F5xk7$N@0};$SUVsgHAjzqG*p9N@tYuTcf z10X)$Yn@oX+aGVS1AMf6^hn`D4)7Ze@M#u!rUQJP1KjpEmAcFUzTE*nQdFst!h8q# z6bJZf3*6TME_8rrS>V0DCTqTPbh6E@7I>or-01-CvcPXSz%M(%=RTpT_7?}Z!2zCV zf$wsFr#rweTHvc3;BgM{geO(zMGo*}2l!SCe7pnP#{u2|*cZ7o@wxWEj^ngHj%9&pE*FJHUGZ`;xu5$^rW8(_KK1I6%)b-6zutj!Z{8 zz;7>B;Ikayi4O3F=M*^80q*Gl-}t-&@A)O!pneYUqkw&p^Ws#!R!^d7)Qep}+Z>=v zSwwTBde;FuoV8L1sL}x{?+W4|n8YW!PgYnXZ2a0T+|az;0XpVA)qs3Q15R;(Z@0jG z9pFL-_yGk@WPE}H^l4YnC!>FWD-N~Ti_=h;ARK-tF9T}?f_kldAj3M*E&EybOi++pwmS1jTD~dDDOlExX1z@ z=>VVQ0FSr8KkiAQA=3fARe=*|*jJKl=Y3s4uQ))BT|r|08RszbH>%oJIm(;m0Ka2_ zuW^8}3s0ops=$frOml#Sf7S(g100}R6{s-7k!hL(e8cCeO5b%PQP9T$uKz-T*E+z> zNdEB#m26VrR~_I_9N-%)@M3pz$gXjKhiz7=4F~uU2e`-rPjF;D+5z^7q!}qZ%K@I~ z0N-qZGacZb4)8@H=SK?n>`u13p96fm1>WEQzc(V;?%&HSBU1RL1H8!rzQ6)M?f}<2 zz{@P~oeuC54)AIVe5C_?kpsMxJ>isno&$Wf1H8!sXF0&V9pJ0Bsnl)P!S@H_|jM+-d40lwY= zUhu8TJjVe(&H>(l`5RU1;Q$YIfZf{#oakFyyva6KwsisRc7UD%lr%4^Mf;O({;L3c zbp|UnvDL-1LWmQ8?e)sN>}TKudee~>n~ZL9%lYppDua;wA}7BT4;lmo43Z_qfzf{WLF+RKw_sO zP^FDZLZ6bz2W0VZ*DPwBS-Y>#oe#t5yfb=YJ50f~%?>fzkON1-{@X+iEg^389 zQ9%BYgmee;7{S`6ByMGWbYAzfy9Imcoh^E2Gso7qQ4i%P?hWeHsSR)F5?z=_!d^lq zx0o4X^njJ2@w54)AQitEN9tjx^*5w}L34QMkv9xtwzv{%V(#2Nxj1|cZZ9Sm8`q!| z6+KM4Bva;yOI>N?BsPf`kmYg-nI&SQBbs5HnR!-SKggw023I7?TI!LH$SP;XvRBZh zF2AA2Xe*CHx3ZL;aQ9xDT=n%CA4+55>%pwq##f4I&?VKKvw99I+>qDZrQIWjApWa& zsUnuu$8$ITxkb>?f->K1mbRm9=#8F3XmQulLwJJ{qPNRD`&^lwZ)al`lVg@JKSZU1 z>ct#>1i2P`%{H9rd19g4ZA5eNiJi>Nv22U4*-L}+W!V-jl`OcTf*K2EF2Q!|;_N=G zkIHsNR7uABvg^8_k+ULNO`oiYy+DOWhtAnC=^seET2&EfA00kJ1ywr~g3O&?%Cbyz zY#wv|DrzhZ+7tRx_1`|bKMggcSLBoWT>;Q*R|nf1ebnmjiOxm$oAHMPRR<>|JAyz) zB_Zc@g*f|1SICixGy#kg?fO}rqtGJqGkNT8aJf2NsGqH$u1>ZQtFDqoSLtKLJ1iM5 zRe-r2^tKACy&c*TVKHt_)BcHlbE$s(W6m7qW5uH(no_GR=~h+mttoN`uGf}~bgSPf zfvfnH=Wv;qW;Mff2VbKZvAbE5l{yCIb3SBoK4&W~@efgrkW&%Mp|1Q@TJ=plW9yT> zP4s^XqoX=!jsc?(mmpQ)w8k9>3P$}AFZHVNx&wa_q!OfBHQtoKWd!*cUTRh2O%0qc z72=dD3%74ksX(4;f44X)rGDNTSKt`<7$zU}3Lgra3fCa`d%-Iw7XyR@#72}P!4G3* zvdZK0c8p^@`a;IJqN;XI_GW0yYoB;U^!sCBB5#tz=jduTo+g$mb$}=abQGonCE8 zBT4(p5t-BYI`INxuW~}%`#Y!4nsbZW)3|^d1#wG5O zyp7cFdCBt_-jCFlTzpyDa46zR9JYLJr;Dg`dw=chRFH0v&2=7MsL4%rRw{!}n_k(= zw%vtT@J1Gh(dBUDUw+V^)5B>4HxH3BFqbM?MZ6mye3Rrp~N=t#Te@Idmom5ig|vuCnK z)3sh~qNJ!OwTttwD7oxZIcZDWHQnehxj*Z<`|w%TJvchPcVm;P+o_CPUF(*+l9SZc zhZi2B`oj+LirM*=xR!GvKa{=Cg#k&~Ca!HZStt|{xZ^1Io}Z>DoDIm+6n7-hez{DM|~cUt*X1B-Ay#Pt`hr?@zhsqA&G zx4AIyDEl|pM_l;&C_?}$+rjk{`R$W7+XHiAe114!<$s5je-&}>;d+qkajr#N&vU)T z^%fTkJ!S85eaQ70*H>H!Uu8cUWxrVXoMGj6yOm!ESeWYpuE)6k&h;GEt6Xn#u^F!n zpKfLAxHfWa<%)6rV3hsbrMhwX>EY>C{DaBbm2QY`!4DBG)0d;mUfvGTvw%Dq{=WXW4f~*`6fIi{tjDzLv%esN2U#jVrR!+%-P@&H3G*l#08#eutGSLSL8cK{bMi zAIYyH7LfTwP1$Z0xYn*VD2h!~oZjLGHR?mRt2iU*&oyQHq?NI=67hfLL&b99@?K5Z zcfdi0RYpdQs`XxplP2Y{|M1E|F1I!x`wY%`>2PJaTr+M<*3WM=Fl*`_xF}9fogm|A zicjat;L7C6;>zI~!j;ce#HDkM<+_Ax64z9&o4ID`k>wR&DEPWJw0SlM_jLy^Q*N;s zz?52C`MT?K@Eg&9OSx4uGo(rk09r_>j`sSE)gYGb57AVP^1fe2y8BCWSCt=4Zr$zN zGVR<+$PCws@!gt zTcSNrX)ks-n)JZUc9V2%TH_S$2HZS#yiB{b$m%qk@AaB(@x6vA+U&VwX`ehrsF0^{ zEuNz)rjYZuN3&mD^^ywIS=yo_m3v@GAQ$!lb;PZ9PZLm#BY}+e>m=F1PpP zwn=Wg6uTt)7(3aO_EV%DBFq(bClT+J+h67OqTH6r?LE1DA-A2X%kgWjEbGei3i~OR z>=G6>Cov;(`-|LOklWjG`?uUam)nkb*CH@imha_xx&6GsehNpE$oI(Yak)J&x3}c> zuG~J8+jhmcNPfPZOh!8ZbFa6b!pJ0ISZ$8(yYCr#EKZQFN za{E+nQ3n$uJXg0C99Ek(h2r+iv5&PR3guj+n?q3wA_}+tyyj# z%S}d0YosJJx5Q36-hN(VKV<}!*wu1-NN!Kb?RB|fH!*wEM{<*qGBHZ>Vs5dWT*P+? zPqv>jU`m22xjiViMRI#hZY$*Wq1(3VKDj+1w|~k_c+#j3Uvm^b8-SUNDbtNo#LR4{%o1VY+&S3Z#Wfx+=G{(e%K8czub$ z2@>f<^5dl#>mXe`{6uDF1R+P{N#i@zMI5Oax%lvoP&8fiB{khU*+?>z`R8nRaF>mT zM1GZfMWI(-ZhX)X^#mp{9EZC}3I6aHc41FoIPNYb`8n#-FQap0W)>M`1SIyUVWtix;#oBmII_?T9svF8W<#I{CnuyfM7wEK&mn;*UooOd#Bge|Y%IfJK&bHB@q-|^ zs(RAvsu_Ct%0z?x;VZNJ;VC)(@U$WR@XUNI^WKV7qG#S)&jWPm##IS)_>HTw{KmC8 z_)r|;H_G!tPZ8)T(yIDW`hU;-zhtNNnqMSk_nI$NNMv3uOfdQ{s$cuxi}*jPf8dJ$ zC1a~{nO5cgN5oXiU?&>Y&7w>-MVX2zUm&}~kNRhKW4(OoV?tZbV?)9(7L{0p6PLG? zGpp`e)q45(Y*y(=eqG@gTIL*=`dV`YaaJRo#O>Ot z+-|y=TRFnrk_w?ZOJ>!oMXC`#(iIh@WQkOy>v3A{#p`WWJi@H;%6>^zi>(e&av|{Kjtn(=Z)p^J|scf$kVgcQ5bF z(aDqE)?q>4;->hpfFDtYRl;r}u&}XDotmKh2wspu7{OswCTtUKbwL*49Ktz-nbTh| zgfR2^Q8*H5DI&Y{pa?V;e+e}g#r`2{D=fQlX8o>o*%`n&HoG!VTOt)Tx?_)6kW60i zvN0uAYsJXo1uq*@V{@$-IlSOyW18hvS7n1@ekbo6JyDs^TJfmIPEpu*i&}EFh%1Tl zrVTCdPvWEzH*dv}<5t4H;exH>LYq_HEaG=@?ncnVhLu$WX7pFeQCW=F!$G)3DOKLZ zwK6?6T=CaX2m2qF2%Hm>f=3a9Sa@Jm4Ww~HiJOI&KZ8-R)TOW6T#QV#?puG4R%8X^ zQ$MRE5?#I5*X`7Mv(3~H8h7ezImwZKtbIg#~U#xGsD@ST5#l;@r4WV5$yD?P?jv2fm zBrR#=D4&s;>U=R?@?w{emPhJi5sIQU`I3#@q;gT2LlILarqoReMd}lkLR6DMJ-$9_ z@O|Pg4WOd8i`1w8rXxv7YS|uY@<*;r&;8t@rH_a;<5)#YBIW_wjQG(ZbuGmgevDO3 zp(EwrROsk9V!+m>NDQgCyOe{5VrNdN^@Ur`_|I%p}uur*{@gljgu%5970GB8c|h%Z(X#s z#~L+RK+H46m;hSFG(pMxkt^5RDIl;h9TbxjXpV}yLd_Cr2azX8I|SD$QoY!mBz<|y zirQPcdnb6udoLb8F4zH1QA{XrSM@H@81U@A94&QRy=;ba**|*GP*~C=h4m3mg zXI<%Q_&N)(={A3mC5_WLO{Im}HsA`fo=cvMTur=_YbC#BNwU@N-Yff>^ICm)uwPk} ze+&NgL;~d3p1|4M_tpl6@%TAV$o&`L7b?6@g?~+iJA*ZRA%(AK2I={X(RB4(rJOGC znW7ztEPi8sv`x*I*4xL(nbFOJljy7scgtH_&^_SkyB7cOELxANgWM{#Co4Nw@WW`H z-8-+ovQzwKXn(68%;+$?plxPao_N0^&YKeFz1f~nORDj4WWFds*!RM{GqfkYe50`n z7O)u>FtK4L{kUzQiv!-9jPD!1&8XPR+Gk_1Zek?uAu@4Upo#Linx^b-cC`f_BN1bZ zB&!#X4I2=&NAJl;!8@cO87V4f0)bml*8Ms$fAN&kr%7TjjlF| z#>=OQJ7u?ot4@{x{&S8P=yG+;Zknk0m?lrj27f}8TRK%WUB8@Xe2}-+UBCOgiAH_X z#JY4|^AIgPk1Xpk#CjB2k4e^}LLNpNHT{^Q6(twdHY+2Wp1fr~<9*1RlXrx|jh|$H zUw-IUh8(uEX!nH41M@BJ-pIY8R$Dq@{P^+8>8r7-VNd_v-{!6tFcE!UYq?J`g1d?2wCIyyWytr+i|ReuIrxpz5t1 z4Lj2DNq!Wy{wefpQSe1?pUFIQ4z!iA3?#W5v88h=)KIUYG< zYSA@gYBHo=j=+hEPTX#1q*}Cdp$<=Z21l@*go&5xj&cSs7-Gy-o{4PcsOEF=!AZ6^ z!K6V-CSCjIQP)fh;w`g(<djLO(T_Gx@cx-kGpSus6I8cFV*n8Jz|hc zyZ21u^)E4y@z^47xQ{bZRMV;y`{xCVFhcId#{;>)k}3Beydi1 zA2YU>fMg-f_(B5GCQMGu4+vmyW;0BT0E}jz5xr|zVRzx6bE=3Y%mHR9+^AVKmNmR} zo9S%Vu^`V%n1QNGRY*3fMS7lE`74PkW@boS(p7xz4*s!v?W`-YN4x6~-v^wjGH}3C zXh)@aH-S=-28~`>ywX4Dz!L8;eko@*4Y3SuNt1G}7)SCJvd%D?0}lf;)~nta2uDRX z-QxLp_#hWQI%9w4n@M9$@dN8!%4eb74a!BnHOn8DS0AU2z>yYh1O`o1){+%OcG>i> z!=i^NwZW|ujppbaa&@TZa>C} zVHJl6g|?$r7600*el_@C2_ z(_=f8ye}F@*(7X|v2-vsf0SO9uQm`0>!FaJmrqH@K+^b$1DfCr=1_{Hz&t4sd5!|l zSI*GQ&$8@pgO9~*8G0xmqK8QxuH*qbq&~W6*+9V!oUw)Yl_OK%A;Kmx|0FGk4RgXB zjZF=Fpz0wL}dZvV7s$ zH@eIPf7pjJhr4ocp&;((mQ$$9eDxW{m(?a(=|v^syUy^1|N1gN>o$pvXfSg$+uA$f zgdOJ7A4}KWfRBc})iLdyQ>mf|&6t7d?gq@euvq(6&)wjQ6lWZdSx%dtyFy!nfIc}} z^M$@@tKv&;An}S*32=FHi|Ras?~) zcdlyg?o!zP#{S~W&-R)lPgd9tFx`Sp|4I@WzxD8)tIXK%_|a0bim=Nk8#}D0LOX{Y zGIVzadia>8nGM900X@2$ZBH#DbFx}=S6%JfMQU`nQ5U&lA2#RLte+SckXJYDpK0vb z=I*DeHo#@7Wr@rVmkKi-N*FBG2{xArUUjV)l5R9pw<&HI?M(zWE3fhyOzLG*ZVA+I zzNC_lC9PF7Ci`~B<|+nVJy<2NS}q-nR{zwmORH6DqrH+@3ptQA=e>!XTWUhU^M)tfYQ?5&it~?2gaTN%Cy_Udt%XmIe3td{IozTIyYClRDX@O&Jbdl<Fy1ajf~jq2YV_0$`X@XV_iai2z!Q7Nv|C6^b^%Q zmC|K)b@c%iEH>76wKb>3nN4O3)v#);UyYs5PT$qP?B6t1-BYEyZyl^=&m8RKySBWu zS@B~BGp^je9zG(T2`2K?Badfi@if@t{e1R9P%4fD-^yOdyQLKb`N}#cx-KRxe{Tzx z%yFN>@GPshFZ9ZLR1RWkVqUjL_3eW8s_Z9;?G9Y7N36{FdZrvZX{*qXmc?;$*{uIEoEQwt z$NG&)KsN^05;K-cI&=8|kWmbd9;9u>M*Z&flMO2{*&psbP`IERWZPIPKic`MS?;=g z8x7LswYf5Hsjm0pNupXUK!!bUo+%Zs53t7UN)!A`;&`@N9`aR|QLp!CoUH6&`3U%B zDJPBj{RzU*n_{O5Q|m*`^l(KDX$gvC&Ge{91am%ok&;8qFRcXTYIzo{54M=|NrmW& zQ-Tj3&5^i7_AE*Md^P#AF8T9y`)7;iF@Dh?S0LLL?wPH)F)iuV*($+OWSaCAZ;nKu zkl<%6o@3WAqVUHEXBJ}tE`!|arUWbSs-CL&8RTst)_jcG%65-;-dsb-6OyAYc=ILfCr>?~hd;xh99t7^ssZd}|Kp1{>=&kML(zE2++su9b-McVA0`^f>+M zPrP;8NWJVFZZSriPmH!W=PvJyaos0;|M-iUo1p}+;f}4hpPLfnMcpvc$Kx4|<*7-J z$f}`BrBB7aO~#+5;zQmtKS4IiRN*-3--%7GW5hv!(CJ18Q+|xvSo5(QZtN_rZ?ZI1 z5MIzQ^mQfQI_mJDl27Z5F6H&wzr4C}UEn)#k=3<6g`W`R=a%ZS4sR%{;l$l{f+YNcwn5_;0h<7>kK;_v;wgoJl^qIdh*Hxav`h+Du***d5 zqzfjwkm?e8OQY(TD@7FP5Fx5f4PK$b(c}S--51CSdv-sRBa^V-aVAg@H?#dt1!lVu zMK-_31`u?X`^f$T-A|?|*Ilh_$Vfj|C68g5ICcgEESXwqNmjBdgszFGN;@ixgnEoiC&>oLPWr7PN4)`|Klp2kt zvK+=VJxQo& zd5m8;jH}$9nMEZKVbggE!I)n&q%q_%-doD$Wx^hnQtEjX$^7vHBG@Sa0o$<5MQSz( znF~I$G{~bw!-1j{Bg<{(124N>c;^a!Y#L06BDJELV*;r+>KqX+IISB!F?M|Z;QOcJed z(qEfxrGX`Y&-k}}uA^)}4QQK~d z|HW$id1wUnNRbD3Z8NX(N3L;~8YlS*u5bm$*d2C=bXasSzqNsM7~MJ0!$0hd?7(!t zvDFv85lzYtw&NwT3ck+w&f6G!QFT0D?oMV#_{TMU?=at2%d!YWjC8$a2Py~7bIxRm zORB*_w4Qqg@>B0@^C`4#pq&#RUiZ0K$~C^WJZ!5G(qN;*7v`QdR(`%g-BmV{PO_Ek zRIm0K-K{*oHz&li(`x<*&VAu4TnbfeV)7YRAPt=aq4;yR$aKHqXN4-Q`UUxZ1;=G; z)kl(son_hjAgasiOOYNK%O1^oUFf8tBgHBoNga9FipBU^oShXrRZ5bx%H_KVy-X(a z=F~&@3apIzQmJY64ykbP_k5Ay8B%ZZxHvm2(GsKCTmWX+w|wfSPZU9$HrTH*?TrjdYE`dO71o-!4(EQ&HmM1(VXWfm0EK@hY5xnFk~--Y*L~ zJ29f|3$(Wl{Whh}P%;TlN)vA2`BjYn!b7v$uL#&}=jZzcxMyec6-aLIcP( z=w#yc@V+56_C@L>d9krd^Gv4c5{R+uB5CgnJ58S)wr2Fk8jeG6Z%7aJHC8!_X)qdt zBUqXVq3mjJI6U~{0nmZ}RYUO6$bFs02eH2}-f;lB@oyRLJ`}?p<>^w?Wm1t);p4dw zpLK@T_iyH+K;9xXmNT@rs^B_;%d+y|y+&K!+L%@=id?p;4q*%$61X)yYe;oxFvf@# z>0P9UJx`y+EneYRY0~+t8(EFl`ATU6+O%Xf3-9TR_9&W zV72QfVSzfOmi3nIbZ)KcDH7u>Ha}+&-m*uIX9X%!mVtHUt0xXZKh-CV_j#+a=HEyd zJ`>m9&|6ZmUHM)4Q7qmUtU&@*;5Ixjl1k2DO7Y9#L{`39 z^(=~uVO2I9QPOiLc_a(fswWDt+6JCTE1bY{0Y>E;@bdKO9db5oJX2I1ol(oS*#gDe zp;e1%M)XuW)or9g7o(b}RqtS&u~p_Nrwa)7WrNlVNDkw(>oUEOFONENbH%I@hD`G_jtxOKN%O5}c@H1b%O%asZjL4S1#p;Hx zl;O}EaU|S6kO<-RIX9bS+p1>~9+!I=GTxL`0`t>#zsh*9yho*4Eoc%K77x|4)?#@6 zOnmbVfg3I6KZmEdmiuHhdd5yMo&elarFhUvaSA1wb8Yw^9B@0wMFW9fYr}6*@RId; z^%bm1JxGDKNOIi*^YP~pTdVp9%~XCh@c$(*)P`qm|GRskg1^e-9dL(+nw-^rXytNtfB(l6%>fR4zshbrcBUM_z^ zqAlYi^InPFVoM_{OzC;6MNi)%=NnA>iR6X9%6Bnv1H3?fAjGg4eS=mtj}T4=HtPbT)N+?~9GIp@CgigS$6ogea&bZ6%<~N?ptI;@^uX}Q zG{ciI2W}P5&iodAoJ>SK1rn(k*0}ru`8F4ACwURsDaM5TSLz$gU|qRPSlTeA@h7G@l;I#-8y@)5mtbM&kSQsV2?GRjv-OC9rLJJ#XEV*J8C)X`ri;0Pr` zOmU-+#F7o)(OR!z@jEzP`&Z#0BgfU^xurTs65y|WvkdbC`%xF&7<{jMMWf%tujRVT zLqMzf_0RIb*)P2lSLg?tiA0CuH8<>;9eRsi5qzS>)0cdqS6A#gXLF^0Svqf}BehId znrf3#rZ7HudQdp6u9A95=X#bpCBsUUVkuRApz+KX_Q~KGKF@q&iS$W)yC}^`nB%x1 z0`Y|;Yu9&ji9#j*Iy!^cd_OB~^codX{lBZe<~;DQFHjU7m4O&oU61K%@N_*qst9}r z`qHl_>!%+#aEMftK6t7Sr?IPZ9p$;q!(}#LujqCy+#%D|EqtqpwEKUreDar;89!)M zBGE1z^3Vv^@=IiOeCfHe#Cvh}amw?aJ4Cpv1CQI6=CZY&6avIf;J4d*d zRs3}t#jw-zxNNgSOHtg4Z6dTE(<+&em8{<{;wjnY`v;9^+6Cva+{$F_xL9?#*XKwd zvYdRi5Q`iw66&>@S1D0zOJY)9^iB}@jb(q12U4<|3^<{}ulgtCwpaM6RsW@zEw}w$ z1@9$zex&$D=DXWjwXa4py`gzQ%n$wFiNIIH(yjGRTW9b&W?49mG8ay#9fdwsH96xUQ&r^zsTl{L9HK(K3B}Fhu#%}oCT01@ zF?+1suDE(N3v~~PO&rXuMGkvnjY%uE-WYF-#G*&;d|5YMznP!Q#>(()L|7wc#j*Ml z^@xm>NJdE=b*_gbh%o-;rJL^_8WPe!!pMgxx8foj^Wk#7m9(19Uy`wIW+`@M`Ym7$KZ~(XEPQz&`W3F z4D*k7P=-(`yg5~?x>S6 zPZ#5m$Nwo4eOKV*J2_c$VwS8w@`ED67v;!YmD2DpMy3=!tYqQrt+53@V^hov8in#) zfur@XqCv^*0y2}&aW{1hWYQ8 zEjjB7;B@r`uE5oL_=*LwLuefB*;pH3!lD}fcNH_Zt$5hgy|sHfsIj7Ft!k@Xd27}> zySJ)-wU{y&4+}2RB4^7lL#iUw#;$wtE1|~y%ZY(=$^kJ# z7fIrZmnUUeWrEZuDY7pjhb0QOadm;hQtUdT;u;dW8^k zQ5K&rHSsFA!zk~~wgXfZe6r3U4yO2!T2%w3V-U3__i?Syp4HO2Zlwv`Y|kKiC-oH!5>guis^WW)s~ff zK9_k?B{dh;SFMv!t2!f13bvSRE9z0jr$oFGb~K46Ag~{vm05A#sez#~ zv#4UVQE*}L^6l_+DiroXrlkwCs%#RMvglMPN6KCLmD?4&+q{1!DWp-D&c6bK5T2=O zH9AX*QB2+9nd(cg_F=!AFE516V+~Q9T@=6((K}rvqJBR~zuwllPQ=e%lXK)q>Lw{*xa?8}%SRb}4u0V;G+^n(R zO9mN1i~^~Ne8dC9v)Ui+%J`6=&FMkGpJm(0{tYm+(p_5c)AVP&N-l}sC#vtT`oeq} zHI5qCh*`t1%`F*Vg>xZ2JtS-`PFW;V@xwhB^abD#Gw&*0OlqMtqN|}@4%@K?dGn_i zq%g&T{gFv-^J~KX$W-_FkvmSwGXHfKQ28{h-t6t*cVt!;Ow$q%aNm$`Ub9@%E5@y+ zmfxDMvQo&Hn@*=+tfU0`ZiX#bYyyyJx(~Iah3aP{OT^dOhv5m25(p2^80LkWyr<-) z@e86WRS`@h1UI6x|l6=Ig#iRMKC|0CiNNbmB`UEeQ zYBIj4(wh!YK|evnn-Xzk_nj^OUw8xJaYu^L7#JNYlxWtG>E9nI>Ccw*NXcKR&?$su zZUU>oMwyLLzqL$;JYSeDS!q>`G~XY&BRj)6FS%R}ck49I-+2feNF*oR#hkea(S?&yre~6=n#s)cCGcT)h4&>X6f67IOU2 zXc}NOtgmWH1z41Z9jZdp39-sv-Jfrc>6Wl0PJ&gNwydufD(sQSVEqw-nJeuK)~L`} zLP}>4{fy2N9{S*mOr$sj^^b(it5}){^{U`iO7ueMTjJ|)ms$6Wn&@62a+k(C!N?-( z-7uZ4BCGVli)rM@V_na8V9)fn`G*Tq+@XI zg#-MF1N^!LzQ+NsbAV;n1gURyfFE&y@3X*T9N^m=;C^5&sg02J70lF)-Lf6gXY6CD z`gSYxXi<=+$8wc?S&Cm}CE{%}kAGT;-t>o)^~m*EaJeC}IOH=C1EJQ9K@4bR(KoL5 zSNj)BvFsKNh0HfMKPBH)Jl0w{O8MqH>SbUfS<-uy&>P$wd!1RCx1c2BjuQjNVy+!{ zR>a6IIY%4sgVBUbdJh}X`_9jpSuympu3eHPlPsP?crt32mN?LyQaGsqO0gkQ@Yx%6LXXsYkGg=Cb!>+S*0)d z?4SRU;;{8yda24ls}|)hJTTVB>fdDFXhTYXNb(xCi#E_GQU*8sBe%LagSVyRd7v(@ za>c7v9S)tHb7~!LP;Qnm12RNp$3;q;>>4MuK$}091T7_tWlT^$I=9Iox2ysgB@4|d z8(Ei(jO{Oa+|U-bFMKG|aF`|d+1A#a)}8$lqM}8m;N*lQFPp#YBcm<;J8M0nOXTrp9`ftq>bHZ%jD!WOw293 zmJ|D66HDW~LN>+YCACzTm_@M~W#sw&1Wvm+44W?-}) zxt$RVnQf;pcZYcx{8Tqm{cclzCc&nysoP}!(XGa~fJZOL3>+by8!T9=rsy{j(j~e( zD$a>n%Ej-L+VKjbEJr81vGn20P+?_HVeT0iG7mj#u`G=q)!iQAb5yW9K}O$`50z-A zhyE|(-UU9Y>fHaH2@?p4?r1?{)f#J5;+0snL_jkzfjv6WRH0(MlosS*y-}S3yr6-Z zLH5~>O7XuD|Z&tFHLA z?M#iXiJYN8_p__kjI55Zl#h7_BzBtDf^Oa;K%VRMOV41csVu%kgyy~Wb1ldL4nI`O zlR#k2RCb+>7){Uy>9TH$nvaNO4*XT|=xXmizB6Avm-$N^C9GxQhxWv^Ty@*aUyj)m z*F!VwmA>4z{%bw?BO6lDZaexHZy=f5_>S#KGS9W)EV6R4fa9y!PY_iP$Q?|4(W}GH z;K)Vz1k7jn8R-wnQEk!&H$0zQ{zeRL+<~-$+_p`rkJZ6tNvggoO38QQ?4s>v_HV>WxTf0d?N3hZ z#Dfw8kxTM!5H$`jSPS7dgOHW$tB`+k_hPbpvTL@0I` zhF11zhd!O;Mx5q5y(O0#WBXz5%sUD3+y;l3CDt_Rht zOyr-6^<%Sb3HgFmrL%dVx^3l-2MLSOe%}7LLgU+;Bh^-3zF!#aLe_}IDaSXA)_d{? zVMVK~xi9lMlFQJL57hkX8F)J~v?RnjmRGVl*9H=fXpus)q8X7mI zZx5E~yw>92xs}fVyQK4c*MOdfnE}dI4!UVk_DF7E*KcM$th92Y)LHh8Tlq8jZ1KbR z?;e5y(XAJWU+N@kDStFC2uB!yFJ5%QrBa3F4uA@HQ_f3qa^5?YBY5QH-D~Hgm=Ad1xD{S&$s(j9^Ds4mSvj4dCRv_m{`39k90(@LNQ{LLvadEh+P#) zpJuy#dRAFjkXfRCxZQvkN;Mt@j*zW`U+8*pz!6Uc9U)LM%+@I4n!UB9lw6fd=rm!q(}To4VPzpZD^)65fF zAg$bed|x()m;_Hd@6*Z%+a<}A5uK~N_NH7eEGGsP)RcZ6{zL6?MOo0occV$?z3^4F zKIUDq(QQFBY0~T#aVT>FaVW3ts?_!h9_oXzhhIR-n{8SSss^22up8fpBhv@k1SQm> zcLXK{|7|1>Z#7p&p7&ESbrePn`~`RvhSLmwPV=^^4Y>KwQIOXkF6epPSoYfIb-4l& zQH)YCJPF8rdTM3F+wl{vO){(>F1&V6>vvkEp|F>DL6jj6A>3&2Zr||T%HSRAD(_zB zFW*_=yI%+IBr00Db-}wYgzwzookSul_q*WT!Qs1WgLgs*EBF22-J#*T3xao|vh)qK zbyWC#SnwQSAd>zT&yNqE9~C^8I-XAQ{43$}eS_y{vXL}Vx2FvYpMNmlj8*a-mOA&e zQQ`CFc~0P=eaHr;XaK=im9XNWloB^@GQaNZ-#lsSR(JX}Hh|9N@#Yql#&%~fR0*^k zYw7I8TsqC5$n1yARf?;J%~dN`kC>~mfi$(UoirHFat_5}xJkE_T(L5Kp(4_=9aFSh zdAp>+O^RrcMEGYDYQ2_5w~uDOsB<%?#$bLJn!+$T#J#J|EdM;PwNa&2whGfh!u_+i zPGS0*2FqG8KD~d*1ZwW{&s+IpnG$L8MAGEbfSOa-Rml`R8^VYabyLk)3+z(l!o9z) zO4wp0c+ukCQf0O;ss#V!dE{|={}vKo#<%w&$L1EWC`tCGxO-W3{9&Pn>@rh0D*O!JGWgI*oEn_WaHnh+22-!g_ep`@__Z2~ z4##5?^lPy9=NF+r_okYp9@MZ?hczX{zJR3!+Vk&av|@w+pg9X$|+z|>gzE3^;~ zV~EqPPLg$u7~%1zI@`&aUU(U*Wy%Ca?PD$kqYtga+JS%s>#LnseJp={RrlDs@!1_Q zXN74teTDKSrwjQ@sCxNZ{Ydu8egQ%TxYegqx&F49 zYy(XU`+|NUm{V4<09ID+hkDHZ{%c+kbio$ey`ZMWdD(VOs7rxl8dp-8%s5_|@i%Ea zRo!1;MUEqOE;eg4e3d$as%`gC^Nn9y))55N2zvvBM><*LF>YlOoEm>PSjyS;5#mI) zPt<=y%SBk7dwcK|QBb#=ug22DY|yP(_<7i(ovn!a|G~F$Qq>t8e~9)>LsRJV%|h%2 zJTN=mY|vUmOP^vhNC`|DSj{NTPB|nta&B&b9wjGo+R*YSnMhKNYp1_zK1|iGwWI4f z<=UG4Rnwt#-IQP^l>Rr__>ndHM2#Fg3Tovv05+zjqGaC<+E1;DtHT@a8aF_XDEW+CHAUc=o6rN{&~*A{iB>71;vJ$bU#dK_T3OS@2KO z>IoSR5jBW4C7qM(XoX*`*{o*=MdHLrvc5Su_*!KaP^N`L$r$jo`g02DeTlVgMHWca z0iKcFb0M7oU|O&NE?%+6GOq^&;jg2$Bq<0PS*Uh|^uEw^11k6KVsQ=$&5*IEyF|tf z>j;Nw*kF(uY6h46Y39p4u=tw{6vBmbULJx5jBKOl_VFn|92}1J=Ar))!5AMw(7VERH%DcHPGTd($*#rC_1)r<+ zF8ZtHG-L|!-BdXE&oBgaK;&dQvAQC2NV!yD<$r3ZIpEB{GQH;jG4RBY@dCanlCjA5 zY6`<4i7ELQ`Rp}Tm0(D+hx)Cm$=R$aFT-HJk;lOl-A{RKm%$tlP90Ce6}vDsif^}m zImbWo>g%<06K4RMPQ*=i&KR6}D)XwdQ>c`!-O<0=pGqBqDMqhD#q6i}vk~k9d$3&? zGbQP~AEv0YohP*6YA!Rb70u3OwwbUWAx0pnE*G*vex0oG~tFe1SC7WX@d06f{|B#lV$takU0!Rzlr1SOE$i1bL7}Tp%6T$X zIG1(*32U~Dq1XXpDL%h#0ylAM(RX(j=0paw;IsH!b1vecwP=llWU-S^GRyf~Cjpuv z2?JQiPC?+InWXy-v*eE|F8K{9z*U<7{x#k+&jv`yjNHV^v;_;E95rsWS@$WCqORKF z;=d%G9jV2itR7aLoo3{lf8+XVk_4Z!dbRdcE5J9wszmPrH3b30{w)|ryf7z^j ze4v%TRV)7*(`EuZsZqKzs){=wq#CybY@!(`Cs=A&`=4j+f7DDD z{+RrI44t)K7(}{^Vk{Y{4T%y1Qt?N$_WR0iTzmi;MEr;KfHud+A2G{kmK6__C&)>#n~ITP4`S08|!m)hh&%8*U+by!suB1 z5w+E#M;9Rwn>vz(fel#f4c$OPa}@_qWxJ!(u~dFK{WWV5WUZAbK!IsDTZ>jC3ty{< zJAG+2RsUG_$Q>=tnqX1U2!`5Ga&Q{5ey7Fxdw^&Db9%s>BG7@zEzXy@M6^9Go?03pH7bIzeqGzAH#M4~8>ST@MFsn;PFs zUlsh8YCgi${55KR%ew8T&(=Kcgs|3;yV3`l8lUc30qR(}W)=_saj`o?kp6#ik1`UB zvE9Fi+fpkJ35bQTl_mP7cmJmy+0)9xVk>k$th$xDiby9U^VK$}%tCl}I6cr~1+2Jn z^)xJy{vMq6{D9LMDmO+)c$Zf2r!p^z+l~ym?QS(=3N;e(dfc>HsILLx#K&|}|1s9b zGxb@BXpK*1wuUsZ#(XC}>kjeXFl>J$vcU5tS-_D00#gdI_u4l==XV;ylPoZ}MC=l2 zjVu7ascP6D`i?s*{mB}w^uHuKl8p-Ze@p+nIpq4%|0Wu)|J9IV?y34ELaoIx{;5g# zo4s^D5XLND;&Vm={AQ>D{u~02yWcbtGFx5)B`}5jy?|*pdSICnSXBN-%RUS>Bpd&1 z&6eZZs8m*V3YTt0cE_#OZMrmP*@eP6$x#W|C<={%Se0yiCbL+>8H#i`ju9TpNA%n< zf6ns_0!)t%^u52W7j77R50?KAwY_!m>=-fpF=F^1F}PIYhtl=%Rena-bEpz_|G9O& zfa90zdPhofm84VD^%l~i(e+x~ulm0fHKXR0_&vH_0-4D)s32*xzW&eJ9-zs+E;eC! zq_jQYU#{tV_<~PB7OUW}LTvliTZGT2zL(nTChX0nB2{F-_2Lx5a`{RV% z_vmjzO}zq-d#i9#-2yF1i2l#1ZdV9ThLuz|EBh}RMWLt(E*I7O^Ez9gtbrhb&bG0r zz@(y&Ln6}P+8Bs+TRVT_=r`!G3%HEo2H>PmB6LF`8Yt>{sFNM6SuNAaQrLV1kRIL4 zKfy4%OUiYxlkI39zs<1fV4^}b?G_c4Iu?^fFwn?`Q*3z&rINH4pp=z6u?(X=s~(*3 zL>Vr9Mm;FQBSBVOww=$Y2Np|jQFaT|11q~OR2QXm;Xy;_c5bw7d6w6?jE4C zf!poS|pcIOe`>^e3aGrT3ABH9@TzBklw>r1G_3 zi}|jl@f}nsb}fLh(x1K(R^AE&pTIR%vy}5OeM}istC7cEv2K%@Gl&P7`(<<4Au)#- zQ;x!J{4j$d7LK?n=%s1TXi5s*)rx>zJl?FBCl^=D!@d7n7m#9YX~q25SnQ-E70K&q z(`Bhgfp+vFqe1(-{$lMtE%N;F-XyAmhy8}Pp_nwZOdYB=vK}TAeK7>cNGEDCI#K#q z^=>>fm$Dl@D?g7K(2!DSLu^l?-)Nl1TnVI8mFc&Gcj?!7#eoy6ru0&Po)FNCy7OVU z5Vi_NdRkI?*7L?d_|qbU{k37?8revHWd^LKnZx6BQ;jOo^*zJ?#*vMJ(@;H=kV126 zvQnTtD5*~P;mF!hpcKH=1|tG(l=IR6%p1D41S_!v{PrEPF(DcQ9BtEd4y!A|h~Jb6 z4^)S0ObY^tagG6z)(~0JH<*0^lH9?R4;YWZlo{u1_)25ixZ6Q9I2~N++8p3l`3EaX z9~{~HgG%#32~c+#;xte;^8NR8U&POurAq!5R+4IbN3C|4N`m+&Q z2Fns+L$bA>i+FoGMgqDmZUKV8MYn$pO%tNqpK#sc67V3BEg4k|u_oMK8q_sXack1$ z>`ul!K@-0eN=X%eyPIFY2u9%N16BZ5am`YB&J8jFAW3Y7AR*Pf|l7c0$Eu zrduAf8$ZgtiZRJYHhs+HURFHvK9NaF4~&X!xz<7up-smtRh9 zAJdOtTm!3P*<*M3t3^3#Yi*okzT-<}w2Aj$n{fJtnE}Lh#DkI!LRp2suex8q$@JT< zKh7N65YN6+Q5>H3>va7JUcNzrP8~+sdEb8S=>>}}B4C`ktz-)6fkn9bo^=2+U zLtXyebmjjaf9}a;`uykc=LX9Bo{n6R<5Vb7dL-Lh%KW*nz+#N9v=@Kwv*LEFe1X8Y zr$6^1yKoEo{4Q(ul_I;MKlc`H+=Gh#T%t{SyOt_w50hKy&7Hh_ZPjGuj)HrUMmG@g zcjF|^+#@C>Ek6UhuBDculVMMwToBU+OS0SwBx*FW#o zwP;hC{vjo=ZWcV2x^KL??ddlY*meU|ALDMLCjNg`^?^@ri=l_2V>i_EAK_t{U-vGR z0j8hv>)r=(mUR6dzizPGaG3X_WdTM0V}1Yg`gQL&^Xq@9tRu#+n+rU&9c6x9QYn`3 zK^|SB>~GW6|A$jYs$Qko5m8kM0Q5p^{ku{uX$2Pt};gp+K+y|K6i} z1XR|`qq~8QL3Y5Z4D2~Ld967I(#SuhLBA|WhxF`Gd*Ij2 zy})HJmu^veF8AlkgHXmA7VDd^s=L+EFo5Q>1{>#*GG_1@gH0JD5K0By{4)ldAc*&K z`g1cNiLnzHf9_V9EKJCU@#l^g27T6_8yCTwsaay|G5#Xo&}7*_L%MQ|12%qGNbt zE7R`O04{k0k0m1?%Xo<1xK#HV_dn~=oj9D3=#j&T37gNyb))$J;gwz`G4ud z{T7{RDU6A!UA1GpxR*&-mM8dgd2uH%f2^v^lY2|aliRP%liS(j$sHn3?)8SC@#O9* zB1E|I%eulai@w}ISCK&oU+x-4y*FR(5S@F3IcA2S0^o(js~Jq(xN#rh%0Mn~;}WNd zKA_X;0~{|Q_AUCl=*FGJO~P6& z+E7X679ROKQ%xsae}e_b$r_Xf7w2DbI5FE zpPI<^Kd?_>sQ-;{R{^`d%~LvhqGX-I0XDtXJyWM&TKV6@iVV;+f3Pr&Q@8G6oDyH# z!#=eJJc2FySeAq`R^)gO1Y;dF2TEbB09;+sZ=8!UHH2c+P_0N*@ zhcoMHP1{AIR24?4LbEYS*@01NH%2LfLIRWe4hB~=N|o8CEp1)WynkMk)OmDhFD9wZ zKN=n{5E{Mi|I8%SkIH3|`hrYS_dv@sNu}SHJ!)%cj?mWbkp?Jxw?}Cz1_ve^+u3Ii zqts);P@RvPu_79yRCQornq&+`C!`7l;}8QXW0asCpBqVPW0{Ol;inuFXpB*RZM!Ab zHvI~$S28+bj2bN?6vn90GD=~LB2=enj5=nAze$v7j8WM)Lt9k;r<^nW(_oG2axY7i z_M({&2aeTL7(bzN>uw@6aw48!XE6AEWS7F@lD`~u}^6Orh(c2 zOZKTrroPb9^h4-9>F&kSbfzgKOVb?-4G$6Gd@f5;+)2`M2{;=ua#1ufK%* z4|?ODhwxVO%F=C(`w!Qw=ah`$;%(elVW&Kcx%#mJDBKtkNv@);Y#diE@wK?wnFRyV1no}sgeNU7*T6*5%QEXI^$GJA4I~B zI>V1zIc0Lo?rcXt;DJXe7|3_%U{NE&2Nc-IYrI>2FMKqTCmyY&doUZB%Y0?emhT}r zl`2w=uU~&rxPzc6kT-`>MF^gd7nR2i;;?+V#}^dJn{t#%(8MmMJ`xXO<>iKBAxn`T z>0lu4i&E%29#|WDDn@Lc1h@?v&b**635OuNj^cK4Y+B*lT5$b4tU z;?{#rU?%yOI%WNCAE*7?HjT$ z@6aSjvhDw|vwxU9*PvppKb|>jagOnIW@)ninU?xv{eyL>W8*vd0zpo`B2}Op;y`&D zIMrUguLM_-YE8J@|6`}x+jL3(51O{IJKOL;0TAp|rd{BoFg37d8avb=mpu&PtA^=#JOg*~5h0s4BC)0r1%wSjJOSYHN_kgAs+RFVS9s4fw( zOe=q*p}HWjYe=YXmT0fyKLgs61wyn(l;az{X|E@u>r7RIYYN&k5nXUR>3Yllql6=S zp*`cIMnXilL?Pt^IjM(=_Q-1$&|boB++^iFMxsqT31irmH1I5Q4e%0BU-5+uyMff! ztQfAN0}5oQd$_Ejz`h{`)=vBO|3ra(%PFvS8iIC6fuYmMtnXUqL#LBj|3!uJJNbvu zP1C}Y0Tm`nR464#lO^w%KUO5?oE#kMR=+7(f08+BQEO$13W;#hqzW@(FCi5U^)CHw z3Bu(IW&qOOu5D@4iQpJ=H7wuH*nkET(4f5ZKqjEU+JFXY_n^V@NS)Jr(O}UZ^{>>@ zd;fMok3legaZBD@1|cb$@DCG0!lKs`LQ+~HJ4~t18gWbr31XMoqZfpb1eTnh5E5g_ z@ln-^%gaRoUO0sT#)O2>qDW7^=qWM}ji;>$rth_CQ$qiEJ)NSbq|4*{ZFRR*NIf2s z0(?}|S{rxwF{|q*;s88EY&J~{CfcN>@pUWL3Jp3dTO6MdW)$MMIZ3&tFg}*zw2@Xq z%=i$d-_TO{S~R=+R%_1B!P>%{GnwA(j>E0n?&dc8#t{!uEMh{y&n*nBYGFB={a?I6 zf{dEfAk&(ti@zu+%ET&o-x+($>_)NWzvQvH?CnZk^xnc+@EBqcla0AtzfS7}*FWLCc^_PSJF(y)A zf_DK`vCeA-_rhzSGqXLg$!Mb?uaRBJ9A+)BDW&!VEB_L(Zgx7!*<2ZiP{-Fl7 zi6|Y?;ym5rZ1&~gcD9)_(?@Kfq?Lb)zviru>>JiY^fFSMuB?(nVFQUul$di)FzfMX zak{L$)VyRNSz)G=lY~(^3TKzzX9k$fFpYo4Dlz?4BaSHP3$Tta6GsU*E_TabZ{?H& zU)|4XQD-3%M6^zQ8)Pi)W7tt<`!e+yyGFcNtL#z!SGZ$<(iKN++Q%~?M>p$c)RiGX#=2yEoWud zw#`ipoVxrS!Yg-1r@vxSd^c64DaXz|W2%_L)>*sB1oB&c1R) z+)$SJlPnDHlWKg*y6p#)OtQ<_Bx{-0Xg!#!`>wOLIA7^6bj6{o0blBQlp$#v_EzK) z(bX$w^c zd$Ov*hE+{~5_(uwBejexXH{S58Y&)jav6_0_8;=7X+wJW6Q}PQ5T?hmVdrZB%%YzP zS}l!lTX|uB*BR|`r=i8!#JTWYes5x}p<6{dHAHI;j|fsN5deR0Lq|*Flbl(gipf^W zOdMzBUNN3GpbU%1P8k^Wp9vq3hy|1swqY$bn3r%KPt-pjcfMGLaSG-wVO-EuVN{fD ztJfdS!9ou7Vp3CqLU#7us6nG-p0b zDDWZhE|Puo2=Pg?Ccx-0WlTHT*&>(Jcbc~>64K3sLerlIJU}T4aQgR+ zuG{#gb4$$MKNvxYfn`o-Z(ZClu4T-A#FyQtbDvbmrct%i1Pa}g>2ZS0iO8}y#f1EhZE7AC3FJmvtF`j`i0t@MH5&$- zeY20hO~tnLv>vQU**E%_Bq64(?~ySjSr`|rEea9`$jzm-gB^-IN)k9?@U3SdvE~fo z#U3k7`X#>`gz3%ozfaG4bNyP%kS4zIlelvbd`WnxY#Aq0FgdXzRsT}bc_-cp_vS-< z*Y)9yMTh#Ewwu~_^Jau#YUPiiSoV#mNf%=PuZ2m9 z4YQN=!cvM7s%IG>NRr;pFwthW*UjZqljrIFx;8Gm>M%$|o942wn|(BTDXT>`XI{p% z;i!#g5^-}z`Ywz_{veCPt59C5ajTV;{^6d2vNY{M3~k7}b2swjqcstx&@k=v(cDD3 zyZ_K9eWTbi+h+3pVm|2JxI;VRqCuav-r$$s zFVckt*^fHgkX1v$6&`~ui}E%7^`8ujQ-^SCV34uLTZj$w)so>ZYPVU01H>X6<|!|9{#VfUHOth}7pdN@@k z88WCGEDW9C``~;j)=srKeeWD9VJO{GtaWjdNX1{prC>Kj`{n+? zO$fBifcCB#;rP@4!bZ8t?cT-n1BgvgN))>g%$|f~FuRSmv|n>78uiZr5N6&DeS|4aqQEF! z!h7nQpc|DpY&m^gst|YvSiuwZu~Bnlhmi0SMWmG7=b>Kg`OfRU&28bVE#N zn|=wine!7a0v7W}Sj^n6|3m%~v)FZg-FZdU(odYlv|%{QKiHSv-5~z^EZgvopHLUyKwU@boaY-NYS$!9!E*+Gh#`N0!v< z>(Ayi)V<|*F@QE%1Y~#b$?tL^tp*;wp8PImE8aj}h~mb3kKPI2xzlg~X&uEq-2tQN z9Ti~myF6!*!053dTAYor5=5FU4H0Cha142O)wGl4zUiGkq!>9b!=cOp#hnA;p7x@p z@;X!39^{@fB8&JADVKWKjQjHBaB;u^J-dBwkyLPGK%a2u#$5AVz6GBB=NXV@5z zcXmZ3hK#=HeViT6(;K(s*JQAz1q2hYo3o9@h9neG<~`voH#ncVNi zfqLCJ%2u7`(~F(;Bmsw0-DEF~_SgA%K?UR_u1){hJl;=_hv_kecs|55=GFH+8NBpM zDswPTI!7r(09_dcIjQo1U+6$OPtnGk$u6!V47TW;?rlcd(fI{CHmakT zaKl!uC>&qOb#}ycrhcV2ZZ!4HR`sMeKHl7=xl@L@!&um_B2_-;6%cE4mE0O>M5OGh z!QpsF$%~y}b+tLTMjJqre3Nu%HhHJaCWW;e5G2Wd(OY$~465&X!y!k43D-7kE${rh zUi#Oj^O#Ygj3t7cah|q&`vxE2P3L5titI>|V>vN;HL|LB7)!M-nBI{j#l!I;6OArv zxxhtk+y*0u=z{fa-QW!zm~?v(b^P&!_$YXs6(GR&Fb8C(&CTQ75e1?&q)V zR;K=V93<3nF)5FyddGf|k4&;-d=T`vVf(}5RSdK{f%~L;Y8?*!@r+(M>%6t!;F;6h zL^V$=q#BoftJ~A;2t_uxa>{I@RCFacrcWus@SV1D7`9OlFzk#dXSer0yZ}h9@g=|+ zh$TClo9ITw|AiUdsdYg%a3q8XK?U!Sop`&>w^larhUdu#K5wP}WqR+e<+v@sKUW6- zPv@-e{|B#BCppV5K})ijcM4xstOa9D}y=Ww95T0JOPH;d9$| z+st`jOkpeO0#IF6UvIPf*raf@@;@@w1VU9&l+jrmzcdP03gKn}Jyqs_-2-}L6o?!R6q)zi_gj&g7Pj|Vo`L@G4p$!AeU#_4pX-cN!N?OK6lN-wp ztH>N>o++xS_&mW2f=AN*72lC;+%$cinDHH(=?cs+ZN8=Of$s3EoFnT%KecKn+~HNp zi5wTdg&b1gcY+8^R{B=*yc7n0-#vxENwA5-ex6o5xf^FC;)npk*FcW8kHDsg&yCKx1AZt6@p$lwZsSFm!(gpB;th1WG|qhtoUGZGh2xxJgjCG53bq?> z%{IGl;m4gTLzH2Af0g?O?I&kJ&5JDES*^N5XVCI$yFVGvZHO1eJQE4`7b;}UHZfsn zXdNml=Rcwg8i#iiS-2CDastKD0Ahgq88}c>3S2J;q`!oNi>&&laZ{jJebi4QUzi|& z|2w)eZlnpJ%w21{-=9LKQf^h)+h!sVXMcs)4DAkOa&{|(Y*^_UJFY*}|AL{-Hrj#C zItQ>_x3R;T{V7zQ7&az0y*lBns9)i%Z`knB^eQrI-W&(-5(F-82+vQJ^dk{pGK$b) zy#Hgq)%KUQVc%xx zuE%v(n=Hg*>OXT9l+tT|g1#+`SZDX-4t`=5k7liEqZ|H7l1K_8{-f;mi)F8`=C$Hm zD!)&G(s>>5?l$ft>AwEcClc?v=XtTpZ_e>aaIMQ>T2~Wlk=e%in<-}|fjn=+tupJA z&R@LDD8XYU*Q>lMxK`R}6Y#d3LzRwZWA>J9cKxbexyj!rtN^aPo}|=b{G%@7mMr1t z>?8bIL2OoVt}gR2S!YI0?>tdXgH>2HsVq>p_VL@-z)3x^o$e0~FlxUjWLUR&4p-0az`7f{~;rE+uhc5QtrQLEUs3iX{zgo zP+voL+}Uh=6-F$xozs3hn!M!$ZxDDZ=W!iKF-q6m^F1do-^i<3XVvwI)@DW%haR)t z{jODMyg#Q~i!SUd@92rVsG1BDu2ZCYAvW7?Tz*{*5BK3>n#IKc&=zxMwvwT{gHERc zEl}U1G4H#_b6`g05j@hr063kM-Oa(hztND?h)=lp`W{Gc4J2RV*2;;18@6`s5B^41 z+rv(LmZ#UB%b_q&aKTv^cAwK8;fl1}^>2B9P4h7p+ssBr^-CUmAK~HPAcZ@**1y1h zTcYRw5!(-l&|6*Pu4wBJf`9~iXGP|9%&PKQn=CjH^RLSOK zW~@8bYLwS6`Pzu1|hHNW_580Px_|tEPHK} zF@0H!CYt`mKl*#Tkgih~H-%lCKuvL+`p&b~q6=d2`b}L2w8slqofLJvME#aHUd_>- z<0T7+-DWNN0v$}#Q#!YL+H+y&ATgb1GBoJiDr%_^uuckG8dXk(g!ffL%Y6Srb{1O= zse-Sh_E1cP8am2~^`uPayd@4>QdHd|tPs#xaD1*?`Lj{Mlws)<{V-*NB`cBZxg!A- z4ihMvLVFO4D5n@MYKqx?^l;Jn`y_SL-bX6aYAuTQoy_OwCZnBR%jKeMq!y-hjkV~? zs*gUzIlQqjZnyI^PP{!Rhh(HUIqtKcS_!_XM{J8biFl z4+|!^IJ4{{1KNKxGs~Ij95@N18ebH8nDvqPIEf{+3yG=$iesE=&Ayh}L6BJ^)j>8v z2sJ{am{CHCD<}0J#Tt-;;cbc|>hugSsqYbn<{E4q#qa{tS8;^%BYHIp&n>QrltRiyZ)bV-1fs#cZigS~ok_EFO!3gje};1h)#DSU6) zdV1nrykE$wP(_Y60KKGcaIt3AZz)op6l96Lj2Y^yp|q+8_)D5=C!=k5HY<>c#+xUo znC&#zP;fLZfZ^3`XVKV;yynTYDm%(<{I|R*J2dyGsdVN={_)9oWSG?bOs92|7?1yNPB;)|g(9oW`_1Qar1L-aW}K7 zcwW7gSJv~cPFkNyO2=pIK19=dZ~UBRc>r{N0N}##hjGQ*%irwdJx*o}!B{a7I;jW5 zG|R6;@QTX-sfalMDx3gbhm?q@asns>Ncd=id6ROktl-!i^{~ULQ&sd8#K$-(MV&t(iA-m}M6i0!=s=8T~$xBKx zYteeh<9xnNBzCcSTe)ZSF^@R-G{JEii(;%E9htxQ0ypVw(zN`pH%g)+zmF5V>7Sx6 zhM`A1qQ%e3cOZEN_7{@|TGllI)%&>$YkNd6J1++Px9{d@g+4q2UE>1VJtAF|-Q6eM z=i-&^_OQbn=y>`h_kyazNRmEQO!o^Tk(YOKcGb)mu?%4f=}vF*{#0)aOw}|Kxp-yv z%Btwa#=hi?cyEOG)reR4S=zd56ow8`0F>l2*XZAyPFL&b-{T(cpvcm8Y&=@L8R~Ex zNN;+l5;XvZ=mY%IA9eU#ESoKjgWoJf=Lbe{IbczgyFv{ z6mdsfGCZ6G+c|tZ*WTl>-4MTn{A`dIOR@$LF$;6UR&$^j($heg=|B>+r|YWHH{u)| znEtjs@r9II_rey=#gR$wOJ5CC3G2b^vjpm$Qy6$MCb;}o#FdI$4%bdA`i@9IS=&9u zd+Ah}lv&WN=J!b)n*DUZt+!@B?VGuGiS$Rckomb7MwLDBlDdH;X6pKB(*t-TsUc|i zIW_uQa7X&ff7>XPm_~4_1bluDRsgLOF6kmGHq0AT9#*ClNH;`aW{895T zyQ+o^G-dM4((jtNeaYL;6ioj`roZ?48WbZ2kHG|;#x|&Y-unElp3nV{&M}IPx2*WZ zO1@}#-hdko4WvnrZ3F^AQXYFE_|7i7W5EtHDikTG$BqlXo|_ z*-r+ni44=jTQA6d(l_&f$uQmZ`#%*Sxl-S|C#(o-D^~Tb-c_C7yQ(TxwY0tKp*3T= zBfd6~1vBLiwkIa)2AXZT%?+>la>E+R4)~GuQ=^+se6y&YN?nbID(bAahk$sfQv*1L z&${h>IIgj@u4Um|JfWjWgp=N9*#g*u_pN2Sy3ckGdp$tDcV%_G;}kPbXDn`C5)?f! zC_4Gko}x+Tg_KOads7}Kw=Wy3nd~f`Bi6x8M7%f7HkxAkTWv!l=}YXwr5&ll%(cO3 zabTZw;trJD<=B(DCQ}rTU7hj@oaSpeYyAjS|y4KvV)m!_> zu5N!yz<=43*w@PS2MFi7!(Wd)Z9`XAFQk+Xe?1ZXyF+KGk+^4J!g=3&GA8(Ra0;E3 zTg5lo8AH0${W_au(qKMjF0^NL3uDsoa@X)& z4IO;88+*Nt#?N(5cwL8H3G5Z!*cPu|csBhK$diqqrVlFCU+IlHyt`Ya^$I?dt{^I; z@g<_$!yZ(6M^XZ=^9|l)EB|!Yagk_gn!*`f#ztrj&)a?&1VLN=`Cw1V`JFtLMiglm z)>Rq=h77=4kUQnvw^{{md3EV9ATQE;_N0LH@@?QvqA(HuutY_=rX?qGHfjJwHt)TZ za9;5ogJeJ^_kvb=E2lW6UXa9;`hq@B&id3q^Qe@2YRL26C78lH7zbVT? z9WQWh-Yvu9&Z>BJSKmy|#5#3tJJ$`Mg>xIdjghKdE zp2#W}Titdyx?1DZYVxVNO&o?YwK}uCv#E;S!C#w%@T%g^Z=cZ{GDIUs`qPIG%GN#Hhe z$F-+8K^2_G#Zape*p z5;th+OMRJ|OD;EycE8S10z@Qx(~wW6$Fie_;5XRp?+zKXH~Apej`<0t19{W)S#-PZ z6q5NXp$pDYY){gIYI|a=&<4!W`&Kjwq}3wgY{!uyEIH>gg@x{`$?O~3bdaKQ^210f zZm+~Ma^k-H7wZl)IO#IrF^pg#Ou#z>L zvj~^7mag9(yRa(#=eV1g7a_|fAz587K)CqgTBElf2p3)xC2i{Rs1!FZddoW;wv7A( zL|^i*65;piqg}YU&P=)bD2S!p%FA5SIh))D4ePp2q;sdzxgo<(YEK_e?~bK+M-4w& z{2(~1u&jSI>R)_&thFk=w!DLXixcq}M`im59h4K&T8ilqm!g}e z{1Co!Wk3O=!#q#zdgB zVROtjqn(QnuCA<|_5Pp(`qZ+s)@okS$QiQR_|E-0hdIA%4;#3(0}{1n|BYwZSmtRx zGU4Bkn+L-;HSz16{_$?bqf07YlfD)fXFKE3A173^at)?{Rgy&GnlyU){iC6S7&@PPn6PWvK#Uh{`@~*xRQYyRe^q112N-cb^etJfpC`8@&P_RgK(W= zf;HVe%Nz0yaA)EUgjbz`JeSjoi8V1oeCQw3!M0z%E_9J`xD06T8V~x>5hiOyqzM+6 z+bRAS9J*|~4@{*g<1TA0kXoXma-3h8J+w5l>3Lh3U})W^zyk(cfTv6hK%oIPyd z>j4sdn`cENA~wUC^ELAzM56b$hwjBSR_-m@DxuMo&x=MQg+|*mR~B)=nyqs-3?dyU z4Xubs1U2jVUXW?EJ5KCWkRlc$7 z7ecorr#Xb^79v}AiGh0N?r`3Wd~pe-dbcS2TUw5Ph#1{^_}j9FU3U}@-tMfBF3@$4 zYTL9w+#%2UDznV~y-VOS4yV~a#0=V;ncT3U2Nxz~haO|)x5CYAcZufTYbM{kA@`L* zDS^r06>OhkA(|j6-qHk1u$?@%L;@P+-!zvDR8PR`1QJ5^c($LI-r4i1)w+YAtL|j| zYQDeqN!K842(X^kCOOr@*yq7h{{l7XbWdg&R;BIEGjr#j;Vr{_o1FM$0@+>)05bkx zh+?U(BXa}p`e&>Mzi$LXltjzUzPc?{xW|ZE4sOL@{&BdvL%hMKX)0z^c1BLV;GzrG zG{wG2O(Zsd198E-vXSLryQuypZwj(%86E2oDn?lJaewQYA_3>dv4;3LR*ZJZ??s=U z7=?I)v*a~i+0Fx-`C0ZQK$~(;_wFG_3*M}*!B~emEa332q~r&quYBaKX2`4~M06i2 zYwt-ZcOo0r|4wQuH?LaQ6=_e*n`+&}$~{qe=}Bq>)O_+wv`alCuNogE8%lI|Q@RSd zCNCk1P>Q>c#lvjn{w7Z24qCt+oWXYHNvE`Or%_0?*cf*Wa;)L-(?JdEMp{c_4a*-p zSRz$)h28jGW@~SY4`d2g<5z@+%OYQA61B3)3G< z)pvERmb2vn^+0{j9brgg6S5PsaR<5V+`Qg#Wcuo3@Vs|4bSI-7_Qb!$-PHP6+)b~q zD#Z3raN_Amhur|Ctiqj_zY=q{XS)Y*sOWLpp>8|5<0AZ8-;>Ie?e3d-#OxXGx*y8` z@`E>QU-ka-&G>ucm&7mCskZA@E^VT)FzUo_L?gzcnctyNIxi*b|HhPi<4)(j&`;BTFerzQD9Y~_xdj!mYYV|o4dG~QE<1fA(WWZGY`-)$IDJQD; z7Q~XoMtB@HLem5ozuP;EJBT;v4NucSCe?5Iz6bfsRkTHduy)h9H~VQ*l{etDg@A718(`1o)u{Ypyy>1WRUEv{B>P@WSh*V?)d^PYqT{tMV}w89d>o3NZNCtO%pqN zhvv;a{0%;0@nz|42zEso-pDL@SHU8*>?WO#^dxqEyG?A_w`)=F&Nt3Ww+Yuutcm|S z_*H?Iz<3{7101uRoCi7{#d5JA%e~iXpVd zcvmivihe4STaSgdJDP}}vu7<=goy3zcb6WzQ)}#5Ya*g{=d>S~C#`Hy>zGQArafzg zUQDuQ4K+3+XV484NLPHYk^<`i_$1p=+0DTvOL)hY;BtukTt#^)?mUhDrc5b*e7{Jf zy(j(%AvuUX(iZ%;YurAF$mt>09sdD{B_a>c?&veQJv|MzVb4fpc??SN$K>!?)@{@pg^R5MIpRP@0i$GZ({JdvIQNv zy6g601t~qIXNPorv_9KW!TRN1va-s@D;C)QWRpO;LtIDHwQ^tM5|z@*%X(qES62CF znG4g9*DYFb)~Gig!`;Wa?FimF9o==S?H?tvyu6m)Cy0GkG;Y{EhSsU?G_-lE1HYB? zVbc1qxBXXnj^jl_rulx^qzNjuJ*6!xuZ`Pa~G;5n%`}TFN2wXVl%acTiJZ6 zG=6W_c-_b!@Lo%vVCeHI*&&!o4A9`>FmH=_EuoxPXy(RyDtNHo9aVLFb5)n@ZF{b# za@Z$yzKOGBhG6Cx!I%X^{sX5Vn^Vd$qO^&&og~|~n>R(>CS0|w>&tJWxT~_V^J#d$(7H>R8;qcmNzRx>kq+YeODP$($es_HQqwSoa>1Nv8X;Yky@xs@4 zJDJ;y;WN!`So>96`CZm+iX6k}fBd++(4pJ3yxDzPxxCMAteAF> z?Ow+`1yC5-(`?#to9}AoFcq99sbQIg7CTMU(6~1DIt*9)C_wi+4DIYfOujxO@sUH_ z)|e|EitF+ zFxqP=OlF(?tJ7{fw{YrF;e;ojerJ@M{*Xw(-QMVf6cQYnN&3bQx}Hse?#E+^WWLR6 z-DhNL$}Nr2|1uSNV-Lh&{sWdHcTna_3Zj&=Zp1ZQhBKN!iDE1oVRSdxL&p{@1Q+~) zyjeC!hT>Z&#Dv4aLmTpLY>mWVQVsJF>ADZ2lHW}6&tRJMn6p8sW~~M11bW{EI3<^9 z&kP^Wfw3A%${jhQMf7nr({>I^bc*1c5(bSd$DlrxU~>(8#bzSQ5b20PLL?+hJtQ5zE4vQ^tl1s?tlRz-sGu|5aVFp~UhEO)@X-|?Y_q6gB8$Xn8!77@ zQ$c^k`&L-F^9)O@Jc@D#ODhl2m1yal;7xzsXHWbIAJQP1lScA0=mWLsRNk(i!bsLp z)02#D)ss2X4CU$JxCPkBk)g+}XI~IOZW*?cuzk2`hj;52D&z?MqN2?QX)7jjL;g0V zSYz}9MV&9*LB~*Sk-BV-7p{NSE{tY{sX)-HKHC{6=eAI{W33l$%SwRT#$Jkz^$o+xA&yomccuZ6DXBzu5M1|MZ}?kM{xo@K)?@ zBxI_n%B^E5E{w!5+fmdV$Uj%FWNZDxa26C6|>=YjOo=^Ziu=7CJ zj@hB`uJ<#9zyR6O`=hRj8&Tqc!76$9?iv(^Kkq~a4r}-oHI&%SwWeXS#qbFVTKUfY zA=_DMZWnVKE_O5Nb#}y=rJmkx3OuOtkk9wb-EX+_-`W92RN!4<(j*3q6_;N;_Wby{ z7o?J7^~MoKt?2K|vpTuGR2FGShYN)FzA_y)Y%t+#{!NB^B3#V8YpLwheQs>>o_R4` z8JgLHw8f0Dx!M3}9Df6m;8Nm5UXys$QaBZlgArqjtD5yB+A%BJRT%47*=`|a<@KrmDzgPLm?r#5MI#lEV{tp1cUgY1ozymLYluUP*eCx1Y$686bmSfU~(xO7Dvqh>$bCkDo&bY-T zj**1khkAz}gG4Y-Qn@N(f0MQz$Xn~(YgI&yMR=v>$$%OL2gvfVXK=t~xDLHUpdL>} zSOn^DsxPY@PCr}d=fiD>BqB@4b1U!UzKZifPAx9R#gY?$Y(}&&BJ+`inQAua468ih zhU0+HzlbphQ5Pw9MC-KUB9mMI(~bC_IoQ7sSXsB<%ik9FELrq(Kjj)|%uN=i*La8Q zXHY8hH*@ZyGj4v{87Eu0Ie?xGU5`}2E4;ppP*DXq!h%+?zkvGp=cZ#3p+j8(MT z;hmvtcU*^Ns*tESsx)2Y{WGeMcf{yuB-go#JR&Fey%5|^+aEuHLI=&`WH!8j+!RfHpgO!(mP#KAz5v$7_$jwFO zhBsEu;iFy46q{($VZkfe51YGQP!Gg#fsUhUdEp&Z$y1{qwx|D<@v+P|uQv;T$#~M~ zUH2XxH3b$}xhLrZ^sxY3s8e-Zf=1^F&$BZZa(ReZ>c@d+74D!;5XxyqQ({4MT5I`T z!0%vw*Yi6h;odFsb;sQoVh1^nF^5|@PdMZ@qcl7q!e+DAdXj!t+wLRsKY0t@(M%94 zFf7}_J*tf!Gw+|ItWjPn`fAreaN?)(a0~X0FIm|isa$p|Nw7cd&R}Moxxmlz=;44p zZ74E=)9)-Yf)lvv=@`9AxDTkabgK>D3>dK>!$$39WWHYB6cjvl8BrVySF>SK=p+le zo=Lb%^u0+4O6V=)Q_k6O3rb!1W5A34M0)#x7yXv2uDgoyA9(%e23~P+xC|6}d~IM1j>U4eM@ zz*&j5(M5XNUjAw;XBlqa8$rCW@0wNwkMt9o=;XxJ1ca`rjm*UF*WDkEobEXGm1h=b&fdToJXb+%Fh0$ai=>#RKHK?iGTW78qrZwOO3pdsji|hBe$=afaPz=3;Nj zb0I^*%KWP z_s#3Cmc8Cs_WHsP%j4NQJtpS%ae+~$xXJcvk06AyAT@k8LRA!og8Ssvu0z^;0#&fc-88rur1GeHUR8eGt)zLS9OO~r3|m+0n4a_#&8?`*I>%uk;nnf!oooMv@%GxJLeWf))NMGglLvO4T7s_Z52 zy~A-GHviK2AsRvKGQ2bc{ubya1p95@0G{CR+F~?}+w#kDu+m9{BY{=I69pIQ0_+dN z%8ogBuOK~{852kk2qVmHxg-Q>&GzPBvcBGHn9t0G-e`Pw{ygqV;3V+-Rq2~uklLu) zAoS<4a)>T5VLt&xX}t%c=s%IJ9~b=z<0jeez@vo;I97#d3WGEox{Ld}+1Nen?GCLZ zje{N>00}q`jHf5*Q%ic!^lK#48@;DM0Z!FMzc=QVTg>gfGM3=);Km(vEq{@koXW{u zG`pASz=8C6_%{cQ<3Z+Rw7L`*`j;JXxT#t8g;RNEuxo|E3;x{UeEv^Hds(r}~g|Mpvhtp~X-krxqEc3Wy-+k=gf`%Y7Q%z$RMxrPi( z{lv4efLi$<1~01P?#$wgp)!I_HP0^`i0N%7DSpR|pEfY=W@@CaDls(;nBvXur8SfD zvcybib}pR~$eifI6A-Rd3w$`=+=1qO^y$e&`KaX^*^Ar{)Fd2!ajh)fs; z4p{?-sB3VeafyJ&rU?GJrkLijpWq$l?LpVK1txx5^}D!wag%PQh7V`xmn9{=f3mQo zjcWv|!^pHCK}WqSG_N(LLWHk|b>4>8G?aNAluH&KkW{8c=#pbV5JTGC#C-N5a^qhR z8xnQ9mDBoRF*Tv=l~-~}#&04b;dYmCqkq{ECtp!Cj*K=1ehaG>w;O8ie#V`@AKzg0 zl@!hHij&{k4T*>)h(wgZfv$@+D8Ar)Se%xxeJE69g1-EzZ8tt{aM4z^ulj7E$%iDHd!Ox0j ztf^mW&T(*d+su(jDpEq}z#S3L3$UR~1W-Oleagw`b=8itYVR@gI_<-V_2EEiCfXt0pl^3{e08&8!8!JKYFdPXJZ=e zCw!o>cWShGoye=PKU|^FzGUt)i+^&6?w&SxGZz2H7j(DM+%fhhmb}H|D;fJj9?`#A z5|JLJKH_+qC)Vm8#EW2bu#MFV{dWbo8!At?@;9lL8~W1nceyerM)BelLw{EOQl52f z;lZgUemvh2mJaaK%8$@Dr_ln#6zv2nvMAE~-VLrWr;+w}{%T%=#1Jjj&a=Ts}duesWn zn3N`9{^<_7@*w~+@TNUl@p;CKTtI#K7tD1(+EEs6+Nlyz-fCJ=d*2M(856eiJ5#iz($U(fS(gcDhLffE#{^mQwE# z^1~T5B5!kR<$llfOG6Eo5Qs*PJ5BhQaG*2)DEGROJV8iXivJw5BMm^;7y@IhcIW95 z@nbem7xIN01faR|=5s@26S5B#uv)Jut=99V@QUKbL5=K_Bj2D|p>?(g*rw<1URP+A z=i{_6AMW1?ucByiF&@4WqIz4M&910oNf$TXB4-cjpDQvyMPAitkRZ13qd{>1L5$(7 z=T_oM?g*N8&08&|mza*sGaZ3F3&RE)M(uPY+<#XcMyw(K8pG&N%>nq>Pl!<}08*b~ zD!!+DT5f`vux}mo7{nT~^RH$N1gsIT!mvY8b*;&&khOtDgVqGzjM`kq9 ztO0e@EzIRrV_}DBXL#qFOsCyUisH$`EdxiuE3hvaR;yr5AL{N!W z0z@*9$P6ZyRkJA8c+f8 z7SQV6#0%aaB9ia-zI*Svge2|xKj-_N=i_~&x3U2DDTy{&gK4O4ZTzo!oot9F_g zQgxhQFRp2D>BJ zYl)NTcK*x(GfS4T)u27LbTx;Ei;lXV3c&p35&afz2mq`ccUd?# zgam!lxPgw)7AdOo{$?kitc+)xb~50&wI?-m(D|(vO-iB_?08&=0qie!6I)>=W&Yqy zqPL^0cNdsz);P(U9mun{!^VfK>Zve4X7k=pc)GyclKl1ob1VLK_F^Yg{AI^s=BLTr zi;ABueGykh<8kDr8`s=Tzw0V+4F}-ggb^qLPY1U_j*ihKWA>|E-I$7r6r$LzcOEbTm$;yiiqRTiKzLWVEb>j%{^! z9&(P6cpCXr-JOC^!F7)jaDLY*#2flN`;vBur(aPeSuYTLnk2nr6Cn@(&XN4Lkzetz zeHGxSyK@k=UQfaz=O2394Z6UiCyYe3=Z5W{bREog9x$2g_~| z;LhjVgIl`I*#!C1p&+ClgHh_1#=^8H#OKTM zF#FdNiE=(fabbAA{1h0ol@T8T>XCHKe$R`-huxvl7ML@qK~l&tN`Kn$pkse2-PmB< zjrf^uurB~VyHftp@Nv?HF<~`*0TaGupXc}CYgseako{Maj-$H-es>8U@u<{g_W!3u zo5%kj4T=A`xP0Z5y&&=2)R4AY-hntdeho2*6zxkOwLzkql2bN?>}rCLgO&7DDQh*j z9WEO!AuU@rPio6KzK$RJP$?hlKb%yQLlflal-18Hxg!ns4@$vUqpU&D&DnyBx-H|q zvM)sZp--}BEMxo450!d~zMy*`z8~Z!9NvH(6o@tIHmkjmrsS)e#wvozo>g8R?k}ch zVRL|UqT>7`?&>TGIKsq-t@H2T8z4P-)fs`OCYM(Po)*!az4%1<;6G)R=M7Qkddg=- zN~Na~$)Z|6F?fjc-+Y&Bbk6B1->pP4P5q@oVV);T2h3I;VJYUy+K}_{lJldxjW1KJH?ZNsvm7^a${vTe^0o0m4@ECw+IM3j6tQLbsF5R3+4!m zK-k0|G?c{|41LX&XW-FX2K|%_T6_{UALC9#;&()N_?zAG)T+}FL*>UeN3XBjH=0h@ ze#clbQMVlTWIqp^WxvoIN0FmVb96>GV9G>}<{)wXiL3oMT#A~z|E=Vl@n>^=@d5jG zjszw>y2SYpIpoY%#m^n@rs8*^)0TDAK;>G<0au z!ka`^D)I*$k=-Ify5sn+n_W1A<b{$<{0t*RAbyDXB5tAc%MWH)xK)Q3)|_h?E7~WiQ#)p z^f6{pP~a#|eOuad=I{K|pSrr{@0cejWu9h$R1vi<` zw(QFdK0AM>fq>rJ)beFsaFa^LU)~w6*&H?=2aC=svP+s2dYr2`jG-^$=5I2O{wcuL z*oV_>7=f9VME6e)j8sDA6?wiii;K}R1QAo47Z0m8YteUF~h(D9d3&DnV zP!@!W`;3ZRXt3*lq65U~UTE(tk~XSIRVUu9PL4PdZLE|UbA92+884OS9sY#gQo<-;49*#6JdMdU2fO$Ljs8Wn zT337^tBM^7%w?I$QxoM?%wSkXFx7Ox`ShxQM!^IX;=LeV*yaV$FU4I)!=xa6jTbg+ z3PwLoLTttuDtnzlNrUjVokrqX_MTeS$dyL%K0n#{p=?Kv%Uz;ln7`KDWtpz}WDiK2 z_9Lttx!~r!r?l)d+PHlmv0^mmXrttnUf8oW_Qye|LG#XLkKQcBK|L~%Y0eKc9@p5B z)`~3!6bW>2Wu{z~v<{FZZBINUh}E)S^1xY=uz_5%*6p(-;bUs>5}N&lBP8KDO}JKe zSGi{IVqZ9aBo-L8leOe^;c8EzVs%FcCIGp$b8{p|Zbkk^j|99qV^bmvs>(da`Lo2C zAG6ojyaFB0e<;~Hs`Bx1=yI&ZLeI!Vah2i=IR^Bdz34ojzH41C^d*;eATiHV60`it zV97=ZzPye@FyMqaFLk2uoI)w>lbTlyJsO%%T^h891aPt?52XpA)^bJi9N_k!SF(0} z$lM=Fmgfr7UDH`RknbQL_U5MnyAZ%F$Z{wm>1?%xPBb=Yv(WsxByYs^3C@i@IDB(; zb+S%+T%EkHou7_lj1?_0dt|J0(98k#hv}gx7x!>Yb3r7ASCVU?E>Gkf_GrZ1=u45< z=sj%@@*M_p8MV z+SP?^u6ur*`i5I6%BpLXnWc`ckyiOYeV`H57)_fO@tmtjFy1D9?6tf(EWhOln&tN_ zBQ7Pxp9Dq}YDF789LE-iaYgiyv1ipfUVlora(BS>;0M;0;=8@-;3WceW6m-XGJE0V zWx@41bYy6uv0_4yW*b_B7sw}*6cQza+|hu0#SaJqqTI3NwV#AyFZ2tSZjV+&i$}zu z%-o8tw>?o-wJePRMqH>dabqELw%85+`JV^W=03L&N0Bs46~T@nC41zYd0bd8b4}ft z@+i)hrwHW~9a3T1vG%X(Mh7a43Y+^GDGxQZxuMuUza}|-JxMSR*C%c%ED*=57{AB^ z2RQF#Axv;{C4uE-bdd%+u z*AF|`GmILb3V-Q{&6r!j4UZ!X4Pk%Qc7UxMaL(3r{&cBw#JfU=Q*Us#ESBAJ(@M1< z{{&LjK)FiCGh;c?Q#n?+Pd8iG41Beu-}MlZ$hlvuFK5|at4H#wavu+uqk<^}-^BkU zAKYw<|D6wESg)gm>q`dt&1qitHyNmAe@;H^Ao9|e`I7wSXny?ChQ%aHt_N6^deN^^ zdUrUPxm7RvAM!chB^gzDR#(KCfYv?E?@HL~%2u4}k(*P{!y-_cv73;!Ygjs8ITdbebuFLU{Io@3syR2XJ2azFCz1^V$rcO(Vrkz6O$ zTrMN|KBaa3>@iZse!q%pzlsX?BhQxWHyxJNKhjS;-A_D$4=1d!m@~}I*XTL<_CWU| z&lZO~9rqtRom{D-zwLTJaF1@Q`;lkA$cHn|t$mo??598Jr~gIL|3o^QT__8-6aGcM zaQ%yzUteZ^B@RZQF=h=9*Klof?5Ba~t;q=oxE7flyxQE=F<9PJh&e!}89?m9-5EY= zRuxc@{pk~m-+AF7dM<^D|(FMM@0@@ zd8GjOh*#K-9rEnuT6kpN!ASOb>E1UCClhTbIPjV$bKsKu)#iRq&ovPI;XGO4jZ4YV zns|c02Ujjlz^4=HRdo!PiY&P&CRD$tjgJhc?0#AwvQ&NMQG5j5UK%btIRUDq* zDDlSWWMA<1K*-AC{azLM#6f;W#G%)~iSrItZGe8n2OkC=CZ#Q~likx=9p4ln;X^Hj*5v&&37Y@FDX>!E5BC+@`>4;KJ z&_2eNu?sIrUR~CSV?xPWjid3JqznsZ?D0W?Uq^6|Jijwg7yVwWQNiOrcW*710G!0a zqdB|gYf^veYU1ix0M9Tr3QMDDSvfU?j8)Ca+ySx9^Jk*AZZlTJ51;lxBv87WXK^Vl z4NY4@LqdAoPkCci8-DdFj8#trmJ$!-7t@&DC#WdijTb(-$vb$Bad(B_5No-l;;@T5 z+Upcr!RAQuT3WfFSxOhbjN4(H;+osT$*Wi^lk#GAzOiac7$0z};)Ax6Cz*>g;PZKm zTpPb<&|Acr3I#+|{hV*ICSr}U5OQjdcdn&T_dKn}+1~9eT})Uz@*>8n!Msf1!K@63 zwJ(OAl0k0`FUIL~K*k)beU?0dc0L(pV)Lwv8Fh5U^jPPy$l4G>A1<|UpgQDEC**$hPex-t@PP}pVyL8CiFmkB7%YnX2X|W_8 zW!(Ed_^4!$mxtWW7lNsL`lR+@FL!1ZWU9_f-{C3v8TGrU zT361Mq`7e1%aLs*Wr40FuFHj^)gTkd00q3Ty%pMqz*)RxoNKxZHhw52VvkAIpwmTH zj1_XjJMR|)n{Qe(I`wI9%;2zH6W?)SI*%RweIm~=Tbv)s5aqF}3A-z-A9g~sNU~(* zb}MtJ)U_DLxM=m)k1JY*ijy>Rb*s>ju!c9~hSh@{SMw|>E((!NjtI!yd6s7OZg;jS zUc78~*ve^w`wh5#GsQe#`?bN|hxSzZ<>uu1j>~YQa_dt1*A9=!nag9)QyvMM)z}bL zE;Zsm(mo=hn(lY@8o#s9FLuXYy#7w~`^ztWqjK~4p{vv)kEK*nqjr6%bY0(Sq>DQC zas^O>E;32GNQZDqr*l3ZE>P~K5neQs*)Nb(v!#{VwHa0#&E$m5P@cL=a0^V4UYVq0LbOw!tmA7Jfc7;#U zE$?O&LOdKx$|B8k9`*_(tMHVkiw2Ucd4!Hm8$+_D}$VIek&LJi&lnY zw4$DNd#*euJ%j$8Tv3?ZXyInG{?fT+_0&b5LL z@Xcr+^SORB+uz^=HyX0aXH5Jd z=~d&*q*W^2DSN&<#50NJB58wgaflW%KZk63)e|uni9Bys(*_KM>`UJ~(ACt& z7k5*HZo7!E+&BB4(u;Mo20^Aw9u%FFEsKCRO35M+0*vZ7L|7;>3yT(r7y^IO(H|Qt zK$!zPtrILI^E!6W9mk3T(70<{mVHC|&<1LQB1_*72lV?o6@xBFXN-;m)$_O;oC2Mk{NI52(w`{Ru1r#9~%)H>ZH z^0iwE53J$6^QR#;p_02+3Q95hhsy%HIi6O}%;AYiz(xSH&TWyW1ub;!5_{=2J@kzsk(RsnKuO_RG%HXw08JV+1=W~mK1G0cX!slJ{|JABf?nUD z##mWGf=0ZVfYtv_$pP1SkuqyyE)grco;aRL8%|BJvhNb;?@D#KkD<9??6*oy+#HX; z#>eL!Ut9evNNVkW8h_;<`=3ovt*%q?Wq&A_{)^T1VI(4frUJ1;3}|Q*!x1#Yl(}S4ZEh!HonN{XYoA`Y+>eu(khv{K&C|`o(BW4t z_Mc0foECO5sy6X$^`5rsUsI5G-|I-0X;JB}{~@v-jk(GHnC5>>^*<*2AHoZ2=5qgI zl>af(|0wc5gfrF5!~BnY|07R6=utgAl7IH~7(+OhL%5XikksGbEYi(lFN7s^&LB3N ze4ubC`FQEFj-S^4i^u|CbmNR-7l&i_XodnA`CFoK4cL=GP0ri=Y3=`gvctIqK3_FY zi+`K2EM)t6v@8mz*8XM0LA@fsWhpp2wOlcA{UxrV9h}%g92%J-B7KF;J>Mj1{@Ob7 zI8)u^K^e(QGLrYY$-CZ2m%cP3c^JcFf1%HLDRf1(xn3|C`W%&}FeW_dx-UJ1L2U?^ z4y;5@0s$ySJNUtggt3tAbq?^9{QF34Kwa80r;TIh`A?XzN)>D zXSL``@teZH7>^I+?I8O>7J%LtSNVSfA(4ee5OeX|ym;?L`dx&}EWvj?9Cf(}zA(j) ze+8sx+$sF^XfQYOoqK2wS7S2f0-m%V307FRpSX}Uz`g#pJ~w0zjKo@VDTJ?WX`!n4 zL&*=FcQvnl8js&1vbF0`k|Aotmq?U1H%Xqx10*7*DhDt(IXkh+1s*DudWuf)g;+{_ zR7z(a5yA}lf$Ocldw(95T#O1`4Z}rL@L|wC2f<+#FOC&q8rgxj6zPdH)?-KL0A8(_ zZ`v~AWk5>_!A10=dt1lR7A6SZ*nysmU4%`@E-5E8A&{+tnbkNnD|!TmtL5G)w{fb_ z+R@J{d7vW`s-kYm7sX4Su~3xwI0SaP&tR(YQ+In+4)u2YNo$p>^uU#;oJ6}&z?j8$ z>bJIt?0~=e>(z|6d(CsZ>GHyYh*-Of_Gj^NTIppZ7f`GNx8`#5k|A<`v;}{Jyqh;u zhKPWX%EY~nX2_N0Q@|o{_Q)3W)4bA}2$UT3I+~d7G8Nbs{tcKR1zI?Wj>#F~5VEa@VkAE8B zLM52qxLa*EVr6T#aT*zMQSyarj`#~9@rL92E&MDzrvF347z-dxpg7j-rcs0{*Ykjt z{TYw)LgidvVPXjLJxNzj-<2Dk5pTQ>qQpv14ziFiWd&pKNe>vR`ny*W@iV!LFy#0BA$1`!>?IX zvboyKeNolhxSZIg9vpWe5VK1uhDh(NYOdLd$MexurCqg5U@i5YjPzNY3LYU<$0{FT zp0246mtOOT5&w+ZtAih_m;Er~5=+nIdHMbXESSgiMv@nEA-+-$T=u{BCTHrtOyvgk zZl>2k0h?ar-eo_#iBmuOGV&^P!2!GEC=!%RVw&cGPF!D{Sc38Z)p&SKRKMZ2aLYbO zxFeq5QWUVejtmCO{!j6#e0^xox}4gx_Eav)sV!m_b7g%+zspx%G=wT)poD7=BYj{k zp7JV{uGi_YYH^6dHMtWZoq_ja`^cvNM|K~z*d{5)N(ivm_>y6FG^UAd_-T>HrY^Sq z=E4*qS4by~#9ug1m6SO`gTGbbP+9XvPQWo`-ykk}0XI4xB`p79W!Gp0LP@>*s+3Pk zc_^tZ8k$E44jF0b8lmae+1DZ)q5N7>X_jo#C_5qE=UdgOzr3w zkPJHP6Eyf9K_0!_xS`9&+F+Cx&ARh>d zTBIFQmYUTM*dIJE8)MERY_#=E`7(j4xP67M+oVaNm#$ovn({xNpBj6K_UJ-?>?dom z$Q}C&twrKq5ZA8MCjxRP81R4e3^_$GXN3Lf_oBjK;P|?+=axmkpxoaK0FvQTBp5jZHsOJ%JuvpF_DsZ#_7FydDW_7Wcm(b*uEr8xM&|H}DcIuRao z{x8WLJyczezHJZQd7x`jYsD#osz8ay7fK%U_*(MB%3eIrC+w#XHWEJpHwx@0YUmb1 zEXV9^gOx**NRsqc1#K@wPCXz9mqa9hTWKsXT+F(%wQ{ZOERbi~yU{Ho2>&NbR)8W* z_7F(h^?@>W?K08OgIXRDXEOGb9S6FeUV1JsvyCbfKO-O852DeB_DwWDCADG)XyuCp zYBN`W5RpYptS)e(-epy<_3g2l)Rp!dzxJTU^$eIG0V|EI6wA6#P#AJCG~JB-E%-M_ z-pGrU{ry}YG@~~QXy$_B1vDcx^kYK0tsMG~zlI0IWb9EsLAOiw+;60zh{=-;N-3aU z%z-6HG-F>YYD(!E@F7pY9_{v!y@vZ6ZTrGh+fAFK?UTH=mucvmgm5MNHv(=Suw;@d zSz>3S0&=@`F)>O{#mby>{E_@v%YQ1&CnLE|L+=uDi--grKv$b*d9Q1ApW6+_fp-&*3%EG@|7yHgV;hKwZT3LcMQ7Y zS^9O_g((}DK{2ZukyW7p;lD7Ua@0)&$w@TS5X^~*iUQ|I$0;AM*Zop!RG?2HEmpR= z#0OKPO@JYA^sy@LO?;YO2LxNg6|<=)(&&T zjT7wM%`c@Hw8wad*=O&@i%=?AHYp>yDI@thH+gtQ@{cl-zjBiYXC&8UBwy&Z{o#x0 zwo5XSpK_C5%t#)ekz6Z;753&C$){u_-zE~cWZ9yOiJu%(tBaS*mVW?Rx@_$duBVg?S43r98`3(k$*)>c7MC3dO#LSri35UxmiK7x5+Z`mkzi z?oD#o55puBmC)+Yo{EVeV`_9CV4)xUS8;-GAA${dO zK>TZo88Z?`cYH|uiAFv`%MZbuquf8ZjH1*i?wU(@f~@jDe6#5O#;$9AER4;@ik0nu z2}2N%q)?>vH6xB0UclTUV=393ytoY#wz}p`M2X*1e5HrzleBECs081S4n_}m9?@X# z8KZL#F%rKfAR4Nw(w()tCMBy*;y7<%NMZsLUqWJ}6f>A#@?oy0dZf;e8Y|i=N_QDa z5sg%pw%&ee1gGW|RpOnc8TrA>@QV2zPkEb8471!w;QwtiwUf;U-rgCG&7B$U^<`bNS;nSj+eW7Lump&(tmB_O}JgW+)mLE0` zkqdo^PpOmnD+KA?9of6hnJXB}e2wn7*^Rj96%l5JhcnA!!#G!F@!K3>7tabOZ|mZP zkEzig>Kf3Br6i_K7f?!O?S9OIYL8^GRnP3ygGZ}V|(-(4^^)f4m}TD z`ieQS;7T@@kJ=hNwBuypTRb}V=-Q)YAyk#_sQsuThxCZ~n%MLFfc99C=3CKknVXU! z7Q`LLmuxN{eNl8s$0@Ae6|%0*!Bo^+Qy+<4n(ON51hb{%1g)N}-oJDBT%u`8ZcH$J!0p3rP-=_Fl(hZd#6;HZUvg zXQ3++8?~PsoE;Hh4i?lD_h|3yIjz1u*WjMKbny3Y=I{B&l zX&&=0qAhC2fPf8zeObs{g8;4Nqk?L4Q$z?Rm3YGOa%YRq7Ra>>^GkN<8vZ|r4~GIo zE_f!*4f1<{7Y?{!F^MN%jiyTmVVJQ`azt>IEG`gU=6%jivaO30H`C?>Mw`nJLiNGg z-&B`wGU7w%gTJ-K^w2w>t4)*>0;-(q|C z&j};1Feak-unQCr!PN?b;;rFLn5AzOcqlL^(gQH=6<{QAnS@!%v!V6lyKv?>sVjS! zb<#f%J}8|&gQqGG0)yxqhxagbP|zgD|9m)?rw%qeV}$n$6>5voQgHG zl+~bAN>-IN&xFR>y+-Q^KjrTfId7>?Y76hhc)f=W!}W=+Qt`HqlR6#ptf~}{uep6#$7O!*^JMllOKHapSUj)trf(Xt zi>bd}gTQ+ozyvy zMwo~AtgK>RYO&!56+1zSQNo=medaRV)_Yp$%!3Lcmv;;4oazO(bfFR*%E*HX!3p{; zG4pCNlP>gtwiG$2kca?fX-F4E`M87a?aen9G_Ff6&aYa+JvS^Tft#|G*yZ`f&8Z_KHu18mKy+J1Z=fdz{TwE9bXH zpYKKsJvxVYXE}d#y*Hi8^x8+d$>3LLY1XpSKzh^ZI`bdfoyTdNMuJ>j&QB!iEH`QT zBKvheaj%@=i=3+@`4l%f^h7`VAAb6ONWEo}I^0dw_U&K$>7pK^ygZfLbf%vpVE@!l zA57z<3jxs-NvB`sr(fZwzfQV4Xt(|`etN*I{~uDmAYJ}gKYgZ~{%c7enNI)eSa0y6 z{G?_gY*7Gg@%y?n6fNF@^#_!TUMgsPkh}%N= z-CVY&T%-meqhT%g7>tA%i%7=6NrAw~>&DaeqlI~VPshhbz%a!PCk9nTy!KaMt||U3 z@%r3jv2EKX(KRo#uDT|VDqW*Ywpf{KsXI@l=BN=)KBKkb3#c5w}&btbULY{mbxRS5mDU`k9@Qb->MNm~b zJ}){lC4L;ClaJolcfUv)+#4qv} ztesG!z`CN;HWC-|;hpEs1d=l5+mkQzv(_maauyHDei)tw{2_!I07PBI~89 zrWxneScr85q0=x}sn4mshWDXo)PpcLSk>e`4_;@05OJb(gL+SFtk0SE0c6(LdC{R` z1GDp^V~wT_LUZXXc0BG^NNvnlDD znzT8zJ*1VHwQg99AkO2LRNMN|Oq@D#)m{0unLmO{Oh03hZ#1>U?19FU%}oAk=I(9# zC~RmW#R6biN1|zpK8eBnFqngal0C=<+*?YHda~UGMS7rim*|hHOFz2f7b4&mtAO0Gc-hJwJ5h2R*u_hvi-~Pg4r^t8S`z`}g90Bbe>%dFdco)Z8GsWju6j^TX*TfV{Qlcg-h2Mi66;cnGX@&HP2XZ9RVvT zrH3coQd*Une1)hwc~*K7Ipm^lYoeJb0gGH$;8Xs!CO-Ef@gnGbMUs`lr%Uds5U_3l zlHj;ogB)QM^^aXbFlHBwy>jNzh|#o;H>DFx{}5q-L)R6^FV74n8&q(Nlb}?^dERui zCI)+7JZEOZzO*LtQ%OVpB;GfqWNTtrD(Se?S3&BlF!fcG`g$ex)y9`Qyb)g9`ou`S z3cA`k2i>KX31oW$hNNafU}ovxZhEX%jg%U_xtty=4a^!Ec4su>G>;yG-!vLRF1I3_ zY;0qzK?%+hzVaf1`Y{@ZBZh7IshN8z}EJY<UbmiFLxawG@GeTf#Y)8bXsxR{3@C~APZFS>}0Y&|TiT#yc0R`pH&>S8+KZCYNAH`i4azoULM zwjbPK;d*-N@J8a@wNz2uUJJRbYI^(S3o&oBrEDB86a{t>%4q6s8l3M(!{!kvM&TNZw` zE-MHZe=5rmYBFqHf-(imp3ZRb21I5?VxQ=gy06Ri#saa1H#@z#EZz`wv4=Y);nKuZ zhGE>@Dur>$MnGo=VgsL)gUvScFPcOuAApZJ@bGQ?a%18p79SXKzv z>TmNRUhJP#!Rwsw2Sest*7tI`Cqrf{G$IsxFK8~21z;V`sxX%C$k>H|5EhM||xxU5s@cU;R_krcR6 zLZHTJ#-~D<&prIdfk)&0)KvjZqd$*5#E+Sr#b3$_kj+F~0|^1Jxn#BmmI#o|WWAeB zfQauz0-S>8l6&~5PyUI&a^xs=BNrgSx?uzf2tji$bFprfb+T%)ZY~xCC&!ww%eNGu zMr)Mg7K!<_1Y(VPrr~k06yRi1xPjP8!TIR-)UCjtXwMATUF-6H_=<@tEE&I5XV`ro+X7@c!~$v@iqt|%_uUT@19Lg z@blf*jN${ozS5A%y*z!QAY8n<+N9!-?ay#4=$fFP?#d=9z3xtsn-AhhFcQr3>+Osc}wJ9|72VvKQA>$0czRX9g&L5?> zJvX$x=-+ARQ!caLiTw9PtOv@oihQ1sQ-Y?W%UTM@-i?k?jd_MM$EU- zuMgKS9+B&aep*_>%5gPLCgtVhL>rG5;E9_WIFhAP#jjb4pv~kP5_+z9jTk;RP+&6v zDp-x0C_2RLK|UA3jf!nnLXcI(pxuWm3d3kT_HtKUqub&5r~*;a<2~qga5$%~U^(^T z{@_Ux*0T6FG!i#6tCjH&QW*|2{nR`m2IYk+86If-1_7M-r>}JiXaaU-xzkGm- z%k?w}Fl0+vF_#Q{TggC$iIEu2dOPUIb<-AnHkP!Ohh?q@SP20JmCBaz4&WSJ22QY3 z5|sPe6N=_WkHZ%_MP%mRIY;oV7pnio7->rhDX$`3Lb7u%0-^9M&SC`(x{rHLk*q>i zC3WA(7P5xsQCf%B)OK}mk)N$I6lF23MuCLoW>UA?k=W(Bg4 z<|?D28Ge^HdOc6{zE$nz^19ScFvCyrnNnn3GO`4|V)`O;gWQe72TbNZ&ixdKj+rs) z_8qdrT`DKk)y8SP+Ni&wvfFkuVnq<3y=*zBUWn70q`SK|ggNbGjG4RZDFi&$5`m7` z)JIO1p~_x!Nd*@;!y@PCsBZ?_wiveMSpnGG*;SgO7a@s22 zn?X-VToyg?pmMpbdQc0B;B@yYb6I99>DD;N+)$sC(jL1Eanh1i(SLgt9Y-D)*T~uL zR>=eM0_NoMcA}mZPT3xh!Fp>#z$~MHVt0uKcbmFvlWkfBEb1EnOfJZVaRgk1B}#Nb zf<2QB7qUF(yNJyv8;(aQ!PZ+!MWC!@((1uv!}4iz++g2XgQOFc*sM; zz;=>$dLkZHyi2mOqrDRkZv(LDi|{M#!U7@xBfQ!}_yH<)(Q6199d}+}CEiV;tVqo( zO$Bt=zU~)2psc^8PQ29iO%w5g8-9XnrW-E6l~A6Ca>7;!4QU9PZz#AAJ(kB(BCW#{ z2X~%}jQD%y0(`+7{F}#B2EyKP5L1K_RFA`>xI8MVi-l+N;qB>E-+zyQt2?@leFxOn z+phi_X)6Eqhn2aZk3crjZ3|af7~sf@y^zBrfhXdCe12AnVn~<0m<&}QR`$1*(m(Z@ z*I03^xvhRl_EuPvwG~{+kgmiKS0^=Y9?5G8ReYkd-|shiUxXZ&0x-D#8JZ(CrT$MU1)0 zMEjf<&iQt}8H99K!oinnwI+sAoQgbZ9Xeq*C%BO~^)R6mSd+)`5o^@;jQCZB(u7AK z2v`f0Kxp>rfItxXK!Pd4K=?RM`eG#BGJNX5SkaJ2HlYq);nz%VlhAV&#U0YBOBlQ& zXScMRA`GP4N*GA5eZoNd!RuZORtbY&@?q(?gbX<67zs&13H_x&h=O-h72^OLCI+H_ zUZ-|giYN%+2&DTez3XAnlFzLBM1hRB2ckftv8?WqDA2S87n6pXj(jc^;Cz!O_*xS> zXeLG9l|UpzI!H|}U&>VRIn4V6j0Mujili(9aEIV#GclB8SW8*K){-{<1~<3tK<+KY zU@DK}$6O-S#oG5Dl8`ck+PoQwwSP7U=b1@?Sj)~FjhCI%vLiRv{*opM@LP8F*Q8;Z zG$7VKZqSC7odY#)1abA+2yGotE7TKf-#e%cbWpDm#LKMV7g#zJpC;#_FXT+Rvd-~& za2ik$+|fx+#{BNvd15kZ1RVTPtmxoI$Yc=Fu> z0GEX)LC{JXcm-&cA))xcEA|qW=q$Fq4uKxMmTD zzUs%P1TKfz600qb1=1sJLe`P?J|Y|M5OcocC?0a5&3&QcVKB2#rL8b--Joq9?vvZE zq{wYq2WfJ<-MNbSbupvFI{KP9E~dzZvj(-pb7dI7GC3J+w#M_}h;5U5#uJqsdLI;x zlu2GLd5%tHyW_R_`&yw|k?ly0_g-==Wa(FhxJdya`_w=NsXY|xIGZH+y;8?%;yN`g z$JBjw2FA@)NNXtUs9#`CCu92t84DWu_PgkHF0*4ZPp8uq^s`9E5x%(NMkebG^N!a{ z6`5Ju|5E)n7A~bxSG=ZT%7qiyXRcVT-B>W>0^~e34@-X{h;a%~(20=ESnwxk7;Zfk zUgUL0kuV}3q7)(HU96x03@lteUH!M9^Kdulc&x|2yZRsUSPwbu+|}O$!?6i9F>Hpr zm<7bnlnyY@a#@QaG5EEY!60|4_+ zem$kEppsd5YgeT9Z55BgqAB+di+#l zn6xrAMe+ZS8EL;x|6EG%b#FEQ`(yU25>lxbY~IgB5Hlx%cxAUER&_W1C2H-Fc2aws zYtY}Kc;YY@v0*?W4s7pu7o*Zabzg$Vt^+S;G%RS* zxc7BEH5GU2XpI&BQeKe8h`yw|kV<_-_CLe`KE#9+$cEVe82J8z6J)~D;Pfj>Rhpbo zP*u|&nZMsfg};U25mb1BSka{o1iE8HP-DK25uKs$rNyTkUD3u=t077gOrhXRvmDr*i=B)~alQ<=^lFek4BSiE2CGEqudu1M?I?8yGQy!Oi?@&N%siFzu zWhu#3Sc4Vgnq5Vf;W4fl^4_t~GU|HbTs~kJW|33a^!O=+eMxZQNCFWIw?gX&A{f(J zv#N8xU^yv2?rp3RMk?&aRBwz{gPh-J=4GVz3xbAa<`{2cPwyiY11A?ocHwL8NRzTf zP^WsVAR|31You~~rMlKkfRW0Naj(3u?uwlFu$0{tTzVBDpP@}$N}#uKN-fTrWKgd1 zaoBCEF;1Mk0Qv?rh_!sSgg99K4Cf6@qet;hsYGdSWx_G~4-^Pnnk~Ko#Ru#M69?n% zyG9_X^^X`es!*;nTkEUynJIPtB`j=jQs?~;!B{pC5H}9 zY|c3THoM2)z@GZ{pXt7NVr($eH0ngPBr;a#;vF8WUKl8i4i!+qb6+E{N^#)x6jtv> zk4AGZh!o)Za|^U?I8mULZK9#js*U#mt?Llo{!3`dG`i5zWs!K#6%-12ue^+!Yq(2h z!Cy%8p(Us|D z&lc39Sr6s5ChBNYkehQYpoGxQP$nggd;<_)%dUWz5qzb*%ys>B*DHtR%6zy%(G9C} zMX@)bk23)3_WIU-gA@yTBE2r>&#yB+mzoCYO{Fo?MHz|FCWf0z-b6}UtBmoTSRe`k zi}E{V8ft~2s(Eb`Uh8ym~tHp?$dUv%;h)HUi2s4 z+QO!~16RS9;Y&|!>fYw5lKb`)gOe|B2y_i?5%U*l|MIFa4@>dr1Z%BTxEVzvZrG*1Bf1B zENtNzRF6UbMV-dItVfp0ZrER?0ly$9j4i#Anagtx0kJjoOfy^Zt4a?T@dm05SryBv zseiO$?n7mABP=>*UTv&%fNLLW#9OFJ{Ft3s#|L`lzw&p_+PvB$Jm2iCA(8wAI)1PC zH`Rw3#~<9j@YiP0xl<$}RzoM9WuAP~#EunFd%^LbdL6E$BTxC9*2F-DjF6w`HDplc7l$*(wiv%#>ocjL)%b<~w94WSDb%89?2`98Bs)&}H z-Z7psF++Hym6=@U!ru9P``TwElb9RvWXFs%*aPMlE(d|2(x~ruxU2yoF~$Ff-0i;~ z%m<`JFd^1gS@t?8#KA*VrE=%dNL+O)IlEiuv=|GPg3NuZvBzXba>{Gfw^B7qJ?VR; z+%a@O1=7c3XP{DkgMhn!Xp$V50=Qs#Y%~s2djJcCPlhd>4;b}A-2-6Wz!cXv zvT^~l(FKe+RIwVQ+u-KJ$wFfKn#)Yphx3Q+vR8rJ4G<74l6V<FQp)4`XqN21IrXL50w-CdY zU&X1wrtd2L$cgGna$=9kR0f?>R5jeKGgto0noiHGNzT%s)4x;tPT4 zC`@*olIoLF4rF@b*RPm;@acQkyR2!KJSchH+3|kQC6DW=WKBUv$%StV`M8K7$oh(M zR%Ym$d{kwP7}g`Enghb;z+SA&2TXT7#1Qk6abPFD*?sv`S!bvuRLDz~V5TbgEUHU! z5ncA#FDXQYsgMf`HEFv4OBCNLDevT{_g9ba=(4|t>0l1hC0ry5rywPorNlq9M7Ie) zA6Tvr4ELw+;MJE{hqA3VTIX|U7wi~6YfSJZq^mCcB~`tIy?eN;J7 ztL(N++x%YkwoDV>>!ZrgA*fR0zbw7c|F(^#wM3{nu|ybh(At=G5Nbi}c)0Tt+!^$d zE}{v!ddM2Fb1ChM*7MB?Sa@*ut6s!8PVq^%{6l-s|1caZj;GW8yqpR^f-cz!<}8v; zkhO~cTMpc85jt<0u24(4`g~{X%hcEn%Pss^TJfl8PwuvGRuB7lEb1Y5A3MTRbT4yd zPi2l^zPvIfyQ6!V(|Rg%1FAx=%qT@w*?s$TW)FQ^p6=VVltB+6G>z&ZK9T8EE046* zjnOnT&-vY(l5x445p$5mnlWYdjPgx&4{C^#n_r*jd)>0H*t@+d>E{KN`5cDl{; zZ1n$@VkYPiQO*fYvl`@dA!3*p!Km*c#(inpGmAAFRFSztk#B4VhPaa3Q((q+27^3i zwO>_|f?rklIExQdm}Eu`M;1|GiaJwpsb&y@1VyH1$khz}HAB6hL0Ej$nJqg8YU%(j zCE}Jr8&X=gV3>qo|VoI#PbT zO_6j%-L#q(grm9IIRv`l|JP7Wfzt(;3oa`mkQCzVx3cd37r{1kvVv{w7J+ZK!>u0K z=K4TEABUR_71-P1c2rMQ-iq3*?<&93N0n<|%{VW*okaKgHTQZFz0^m|KcMD=#=jDi zkG?y5P9IesMU}`9r~^tRB}2IJIs7t*C*!mIC;tWH+hWaGg4Lgq-4m>;#;Q+=>Wp{k z^g;G=;Gtd&=JI5aTo^_6xq;=xUDE$uS^ANi6^I!`+-V14NmrIG)Rkf0DE)VJb}pyE zCZ|`!oEA;+I(dxqH-a3q?!mwwIQpPtc3V%UrKlhz6{saK1g}=$Qps-$h1A+yw>))+DQry+vwmDLeEDQr+CXRU#lnj;d1L1p ziAilzL}TSZb4E+J+tGIl$D!3&;0`Kr1m~`Y(mc&!Eu5&(Y$?rQKlHaW!xJ=zJgd}% zloe_sF;ELE^l%Y^Y5oHMrV*-~QW5X5lLOb8vINASu<{eZ4h@rJLKF z-}ye9n;^{%QW|!NEAMed8X0!sh%~Ty1JiXA^x%OREj#fQL6u}U$8Qk0D?;yGY-A*g zhxT067s5hj$vnJ@eUrjRH&VZ69GlE-koC&iUdGyT-WD8D<6gH(Cry^5BzOV4$wj&Z zaf??VqL1|LJS&S#HS?T{X$8X@H$SZM;hI0&vbtvIFz0umPkA9KpNd7s;%#YH^XM#) zh5B!A#UXeJ7{ptQM&cnYm)?)}OE}B;=+_8}d=81rqu4?^H}}Ao9LJis)NWZ&iAAAo zR%fj2#4H>N?3H47t%b(PWOhRYyU@A2#CYyJo=aKE$f+07{XMppv;Uy;Bq*(Bw_){j z_M=CGad3qRFb?Nv-!kp1?nOwLF~qQSxO46%X}XOTwQ2dtXnGQ8CVT0G)Uw}SIVTBL z>n^kTn5DZuAc{d*_^v1_+sO5=vgqAnMw6PUr)?o_BrRnNVXVLtT!wM+IV8H~5QvZG z8Sw#xU4c|TXG%|m*3V%)wJ$E2bg*C|K1Ep5RA0o>Jl&T=N$a&QTfje=eYu^m-*auTeI?it-VE@*c@H;e4|<(NtM^)*if!p^CwI%Xb9*Y= z9-&wo7g4z=W48(zv!oHDbz>;cm0|o`(Ysu2i)@2#d$ty{PzIfr%!cwHmVUHGdgxu5 zINIsjE~2;fY@un)adq)~c+`s&uXm1v`_}l%rRm7`p`yFD@`|pCOmm_H!^tKJc;r#= z%XG+s&swDU%tdtk!HdXPb-43q7n1FivpcUuMbIiGyA5$Fr)BrJUxBJ*8Xx?z5^}ma zQq$OlWJnV4&PYrxoi0egjPt>GGV6et&IwT{!0Rp&S%pYsArqQKiRkN9-Fo{a^X*c{ zf|xhTn#_WPZYP)Q$(VKIU}-tjgRAvOb17_Ik<~}gvJQzd5BlC+SCzNzX76-c3C@1h z2HoR~9^%fBxb6(+8<1{&Bdy;Ean}pdx}3BWLgwM&ag%?r+`@Drko!s9#PUEtAmeo?0Un>if`8G&LlN> zusUnFf^=Gyj!nn}dGiDtm4@Nt3i-^jO*v?68MtmwlT_1dZKqIMZ>L>sZSQF}k|sdf zIR&h^2`VvNiL$J|2tzJ-kLjbH6SLC7mU?bTOF*Rfe(+to_UyEFJxF>T-4RSgZ$%&O zyXb8?hrQN}Pl>+3T7ez|C!y-X&u)7DYtfl<4Kwj-7NpX5;D_}w#{HmMxdi_HAKdl% zEBIjvpFW25DM>HJsr(w{-(Uo}kRUU#7{NcSLn^50?mdA)wg z{$9G)-$QPv%cOh#ck;XXeHrHB-@D*EOAtBXZKWPKe~oxY!6^r}g0q+`=?b$u@gGzw z#UoyIUAx_|=~p+9vm<+U_K`)MGrnX$_-qPxqTNY1lJ51_&6)Apjqm6qZ5?T{1cw85 zdrZ2W(eGx~mu{!Q%U>Y*4^8J!Ld*8luN(SkJCfPb4@@D_xbdlZbGP{tTl`haX{sR1YoRKcEjy<04bh^X~l#u*7j}y}Q zZ-;s4DgTnp{NGIHACGZrPx)^pe>y%J_TMH=PKB`#y0E{NIwU+B|M8$I?=&^y4?RI2 zYuwvn2cN5+lviZCO>l_V_x0A^j>g{mvW_%a2g~r)E64gQ){=yGpP${AVVQkt@Xq*t zsWZO14|edntme~GFexiO8$@UIk#=|=X?vdOeW=^}NNegN?Z5j-yStCHA7rJa$Ne4R zy`}vumJHse|2tG*dIHnA3$tp;hEg7BvWQ0tGMbyN>x5qF+V+pkHnQt_rjN9xeWbvO{aN@Wo%`ghTC#gRfV30@W~b}Av6s5GJl#74p6(;1hoVWYv-lfn3s35co;DuE##@xvp29%8bvhYh@p4 z50EB^VZm=)L~$`hkAz!GvJu6{j}z}&*?XNk`$$`#m4=Tip~!`tek>~?J#h_L@!6ffvyZfE`bZ09rKQ^{&Wg`&Ye*ky zpFG(+Fka0{%NX!8y(K)Dm5|+w1%0IbFe@#irSD`VWLJ1WA8E(*k#=B3??c{6nzz@k zY)geXe_{AadH-zw+B(hy=lzGWl8lU`!ye8``utbvQvdm(t((~+fH@8D|DdU3i#BKnf!;?1*$6>p6<*X}TyuINfm#7kev z=DnWgO{O7V;OO2#F)2FRs}7t!WK#StR!+{}Kd zGf+D}oE-W>XBUsjat*WMsLpU~7jNi7Ox-|SSo}@Pi!(2V%}erY@lHG;U)ZH?-Jmk- zvV32`qc8RSPydME|IGh%@|OP%|I@!ffSm0L`u_v}(L-c>5YqJrhD-}{iv7tf9rqxCfOF|@@AQLvk}MWUEZ|>9yA%EzL$}VNos55^UQOg z+3u(AFSig?e)4TYc&kQg=%xUjTF!kOd05dZpK2yP;KQrMSaIjz@;EjoCUup^xr{bO zbRXS{tNp@MS@?LaT#@5qhb!wErO|+q*o4+l%MKGq9B$dCWItT*y66pC(?U)Wje-A8y!IVeZaw$`0ITE%(h&Fy9D?&52Ao5H{jx0xWC(V*ZLFa9IFG;>)k8 zCu^ottHXIy(y##L%}M+VlASjKmVIjEC*<4SdWC>E`rUAAi-7d{aL!w!E{D z1>3y}+wyGKK0$SvV0U1jX-k_-HCLp~8F9I(G2QrXb4fEvN$r)}f$7FsEygdJQ~fZR z9G%~v(k&L6%r9`$4ouSyh%cC+H@_n_^(#%COsaFD^dSo{tYCJKg0njm|Gpn_IR5qF z_Wc!TBbJt)D~PEM&0C+9Tl_DyFC&66`gog9n0JUO+6<(lg)*MuxmtGb?B zNp&oPfcb38z7x&1k`2Xe$;oqL&Es&I*wQ&5)||^@g4w?FBz<_a+o;2l3K@VMNi`KY3_ZQeUo)|9$XBkCXZZ2HWo z9TBlx<|Tq*T#75q2QO6wcaN#8pI1{YS9Pxu>994puv*^5t1>rp^I@6{#%k0-CkMZf zw>iS&Sa#4p;(DPErqo4pE-yska3uiRrH?2Z72CsOmIw@tdw#=S#RD3Dr6Y35yB%M< zci+G?hj=Z)nIV;DZbPapp9anZzJj_O(SktPSaY7|`Ra>O_)|1AXsTq}r!U(qI9U{G za$iT|J;Q{K@6cQ4w_iz4MO(&-3G&WW{9DxFR~WxVO%@Vvg^QK~FX}De9#D}Ht2_m4 z77)=WXIO679A6->8KsI&V~>dxioS%b3nR&EF+u27!}0>AXQaFW$kW1J9r2Au;yUe> zcGo(WTa}djS$5m^^-{8kk`%#FfixRi3*Py8cFE}{_1xx1K-9yfmAtqHw%$pMx8?Vg zgKp!SG>eB}PmmiC2V>YR5u(AciQmW!1wV4cx}0ajpoinUv`iD;Q8!mHbhoLRu2WUe zZK@oku+ozrXJI3dXbq@dz=NPuD`Rg;V@anTwlxDIw4@F&#SJckdzyMO53n>AOC4af zgELXzYahY2FQTam^RdPJlr+Eom;_(j__p)rHjpT|(>a2c-2+_~QWhqZ1)V;fRcD_v9fk<6!<3TU`_w2B zCRvxaL6x`hVgUDf>8^wJoA6&p5;Iczzs-v%BBDqlTD^z@A_^p8g%>f5h+z`(uosa} zM7~7a??#N=NJO4Q%qPNFabG#;t4bON_g{WUbA{CtN=9Fli*liJxdEBAlF=7g9>B<7n^x&?T|48;HLn zFOm!eYmbIE3R{O&nlD_M9Q%U6D#G)HI3ULfR&awM-?x{w-7j0dTe<@BYZxS8jvUN#bkVoV@( z)xtjjs_GhELfR~^=-`atMBaSVk>C~8%EjSO3yfgyUVY)F^wW7A90GcxnN#Yjir?cF z_uSJwxN#TVEx2)#l`F3_=J9Sk#}LkDOJAg*sRf<$X^{(o(^%9Id0i=Doma?YxJQb& z;F9Nk5#VUC|5C%E^Nx>X3%km>QVAtK9R7TpJDT6-j%J99XZ4tyGz+#* zj#qE3?(PCl7YU9%;F|jgPBEHXw^gaq4l~|&>E?84!Lm|As`N*G+a4PBx*#~-oKWQ4 z%id$1)qkZ&i$1839DHb)CnR`_(_Vj-9G@Pkpj%h^E<4nBVQ%I=O9mloKx6GBcsN?n zm{pET{-MMf@h60Xuo^sq+`1iq!CQEmbkW!w>J#HMM-3!OU88&>M0i~6=G}wPIyUxI zz+5s?GTn1Az0^%)RO%%d_hO7yxrmF_7g!o4WI_qnkWwId@d2E;@FDxoth^`0J5rIF z^&D=j9leLsF+Mh@K6l_&vdf61^5tBFMb;95w(s~=G9p-e7V#}9sRvJ7{83G zHE|yCQn1q83&W6CnFZ=g$(gvZbDY`NpQER4dJUY9Be4iIvd@e zCHm9yehzNm`-SIsi6@(?WKEv2V3H7o$qA?7TF>ia%B25lC{6LTewWDk}WL)xl> zJtePqd?THQ*EuCisPyf5Mn&mob4r;bK^?)lyda>xv`?Ui_-~NfYS+gaWny{Jb4#ED zA(TgFj|$XosxFMdzyikhl^Tg}@KMs-(Vsx=QLVv|l!gq?W!qZca0}GFTfyRpp8>Q> zwFV>JO4Z;MGw8yj6ng1baI+JWg`+A;-(OInGa2_c zyZiV?VlAMwoNzTbTx;fTthE784F(m zFe+A?z9`AG4-S;RIA>32<&D#)Pw(hwZZS8se0gGMuWjyb+1Ic5S?r)cg?ZZhWvqFm zInaU^i?!yOZEn<;hnQ39=RJpGlB(p7fF3z2tZSa<&P44H4-xGdKX`I%;pR5BfDBXoBgAJ5 z&y2qW!ja_cf&F-)*&cNir4r4QkhYDuxCY^c37G_*GLpDEgn5wgA}eQ%E8d9bvd>Fh z>NI{L-=X^4LeJkzZlv_hnXmd&XDyK`_LTDuY~peVjuiZ!r4*TDVrfUF6pY59+c^L_ z8A2|8j%HA9z=w}fsr~S$)qTp07lCk0kM|CJ2k3MvstmrzZ6%r&1LTu*%z8L*9uyey z6VPDM;O^>S>3p+{1Yu#YW9X{L(FNqZ3Vwp%kr~1m zp~~DRxYS;G1>)G!H?agU7CcXq^QjEYay{c(%Vnm-bm3=`&0Om|r>WXKd3r~<&GRyr zSz?TIsXP0+52Wkfq1WZ-v9HcA=v--gBJJ;wQnM%2Ds-$Y)|JN54v@z=Cv zkAu=p7j~IZ9`1^@z`S~KK5zR9N7cccY4p#|6YvI+;x{6({X>o4Haq3i`&W+k)lTi_ zjJ#%xcLtivWo0^_bP70hz-H||6;_FO@T&iT-0#UE3OK*hWJ9YS{uG~=K0%z*w5Edu zdheH_%Q*x5&#HBI=LFCH_aUr5<@#L~Q^7XA$eBj}L924URn=%Gi7s1I`zazp&Kt#c(pIB`{p*CLajhc>b-xqBT$;NXF-pr&5+{>at{xa^xl7MV!!_ zxa)bU@}EZhkIDin!aImMeu?Spi#m=jcNQvtnRIbah0#yk^7*fF%1bpqi6iL3`Kffp z{J=Sz-FeXJ|0(om53Bz^&8u=7kBY3Y&Sh~$Aad*9My{n~k`bRMhg#B>bBY%;l9w`E&a#{szoQi+yGmP( zZ*6dXXyo3(4%O?X_t9-vv<>rOwG`5 zxOx%i9qvfDX30{zIhlKCsiH6=`5|ngxq5hma5LeW z)x|F(qUaYXUgzj#6Zi1RIC+jfAMjkfm%Of|-8-JV98f+&*?fK;nXU!}&<_N=WgGqg*(Y;_Om+Qoiec2(ADeUK^0+EDj-5vjF0--}2s)gOBisg-)I7m-@1m%0(@ z4K;=cf1R?SMCOrrkptI#*DF-~Rz>M2#y!R4QYpw=73L?^#XCdEYoJs&+f7x%m%E}e zOPO}k!_b<=*VOTJ@;GEB_OCRLD{sRAB`{)#hKsj}JS8@JQz!2;RkVSLg!OSoL5AXi z&#gV%3VP?@~I#vm7J{n*%k9C)6-|8|K+zfrr(`NVA} z03fWSuw<xAlBHQhW=h8Ew<@VEQpO%|R;m|UrS{z6RpLa@>&@0{diPN=zs_la7;6bh<-3U4B z^$0E9U?lpvgOIq`=#fU^Q~DSZrGmr9^hLrAH$x+ZikqGF#JFS)T@5&m?nx)>KxW>2 z4arYvl_+8C^^uhPXYV!eSMJ<-um;V58j)-arX(MH<@3c!!HURz*13Sxh-E;h%HoTd z&4A-3ZNyd3*t2-hSJtGZ>~|H9drGR=8OfQ5u4XD@v(eRTep*izUI42~o~Lr(ORVTQ zhGC@lq23 z&A<=z~-gL|X9?VMZbf1ZPG#Jr1T-tM<`H`t%~TT5YR{ToY~qYc--MLMwPb z$3ekbLj+-d-?h&*Th!%1+VuhSTG(V9Qxwxswe=xORt@ ze1(r7jp;$%5U1{$p(S@yZDNH03WWY2K!h0bM(0vL3L z68o>vlFJ+xEKS%Ynpp zGjbr=;%4MP(&lF5K(g4$$b;lJWVmcbbTgqPJo}hj47uUbY`C^XOYaddI^$Pp4FtlK zt=8Y_8IEkuSI1bwj?&BMy@idYKamz}A&iO|rTK(B8RO>LU*Y(sCCg#vNI3Q#2v%LV zS^7f61UOiBSo-2~g`Z9M7?u^OB&6mhYo|1KFh`44-rRI$I(7wj*KW05Q6(IvlH+I5 z${oD>E#H{+DqY6vFCB*2B!W6R zQu{_6vxy@)R8hGT1Z1h=Cda_qLLK#;@NW&++5J0nfdT( z*069`ZS=z3TH<3KZP^M&jD73|X&D8&eI)^riR4mBWzOFIBmnHBmUVck@w5sW;fZIw zYn2T?7?+H9#oMrRkc-l-Y{f(t@u;fD)?6&_)oxet+Dun5N3}|dMo}kwh=f9q;)Ie9 z#1taz){({Sw5S}?vli+rnN|6>P>{liFI>u(%y|7G$&`Lfr62aE0G*kW>9wA`6-U$- zvAf%SQ2cRZNL#$y`q9I=+)EUWINcK~VDfT~e%N0!e0TCg=?2y#E9q_PSQ(`!4GkmL zG2a;BS2}HcIoM~n09m_U!Cqlb7-J!1?c_}kbb)}jh3{2jC0{?!{JRbS ziTe34SaDyQB@p&DUCO0Nd#QMMAL%7gr%!6>_Jq{uP$_|AVn-m+QjdYlE|ocR(C1{d z3ocSU{hs7*w=VrjF6$Ap-1Cd?Si64V<}2;z+J7=Dx2cgT^mLAV?wjnD$!z^T5Z%0$ zw!R0%=P(6s!`rPpyEH6!1ljLfqA>KihtRfLiF~`;+{|~Z%X8n^R|1LLLCov&h5rOr z$mY2{S>zpO1NKD*4GF{M>_v zJ%~o!wou+Vl(llTY!yUX2i+{Uu9yOqokiU%1I(7p#Iv{LzBi;^C;uJm=6t9l9=Jbc9BQM&g>ie*|9p;$>+bVO~{iB!f-VZbX9>+TtG{#U1o= zW&QQRA9M^k3buEn2vl91Yjjhu(UTgp!rXF^s2Rpk)jo${RQYvgb z6Ks?*{2fP65ynl*=RFNat6aS;8JthP{FC&fP7?Z>RXs@t#ratNNp+GAo6fH^GoI&A z>*rc1@v+}-gz&iMiCrhr>w|cTKCU{0Ur#t#ZvCa7fQY z^-Z~lx_uK@D91%J^*7qXiLU}~F95;E_E>Vl>BjwHyL~boyg;%8C>Y7cTBXz^caRR@ zHmxiczvrRpp5PCln#YLnOGpg{ns%w^R3+l> zru^$3)(&NZ&{B`6=2WYmcbsRoFc0}je6rgJw(uhHj`NDII&|?`%1Ku*@Wn1x(1@My zc6=@aj!f9@F2-HL!#_TY!HY}rEZ&8d%Ua-!iC`|qfU)C3*N4W1ejK`CjarZ8?u;%x zPiEAcD#p_VjbdXCJ3#1m@uRvlsHxg|BWtq-KwRDVUCMxvh|$ zqTYWLpt=o}P@#!N`5x6PzbA=~t=yFg%TGFd`AW*mx={^CRDAwzz7#|IH#LwaEo={|JThn6QG*Aex2 z2S0~UVs>drH}>M$WrTxMmef&L*XlPQzbO01XWVqMvpBH>SH4YV@!XJ69E^Wbz0vtB z{X#H6OMfYg@@+t1l2{+G-kK=eB{Dea6G_)aqqRGxpGtjFk%X$`In-7htfD`13DdMs zwU?5?JE><)rr@?CK`q~4CmC8M-ISg&I3FE3m0$Fldqk7Y>EVscCleA54j0|-l;Chg=+BA~gkrnA&TGkMnO~i;4c8|;6l_wR-X@XlYhRglOsHwV zi8bu$kp#5lW+}+TG*Nl=#-+|lwe3tyiPe7sV@cm19LXzbZ;UYX@%qbuf7SUX#EkaG z7wdc8*IPg9h9>$Ky1zxX<1+bADBNI-<2fB>ycutoF-SA?zXVbvRn{%x*%$9w-QqCA zhg-~%!Me(k!3z3b0cJ|qsbWkzT+}TcJ?TJYEAgc74<^ah7F-6<6qQo7Fxw?~h$Yoa zhl!>-0Vud9-XC&2#ayml!e|$~$D>OYAE6q3!sF^yA!jj}Skq|>21BdO(rW5a*->J= zNd7Tj#V=_2JJbFVLP1x@ltfb1;j!VTso*yNv zmiWY^a}+MI9i%)A`8=6Ml}0Y98iP7y;xD?0A}Y%V=z%`L>6H%V43Ads!*m}p{w~;C z71gfFs38mQtl{h?9Tmro&tpT}k%w@CjU?6<=cSYbjNnkfeL!q@q8xO)f+I?NskB+8 z_oZ^3b^XA#eCy1g=FVU2P^j{w!^~elnn>IOK{%-+@yUV2dQT3zQAonUWJUtiAF7`c zY%gs7R>3REMxrj-cS>-K@D#U8V$5@Zz!i&OmbgQ$X$cFp#-~L;y^2=qjM=1?)F>t znH}IECl5`|9TNi@oNFraDN^OPr=ppHY|Da`e|8j-pA(>;rjXn0%St49cp+u-^L;dZ z=_)|mgS+t6pMstev@YQaLSxli__^Z!b9q~*EnZ(Y|3WE%lcLN0?VnQ4eB5EWNyT)9 z@(NjBS|&Yc{V<^SSOb@J8754Yoik)|ipMJ#jT2!27VL3r43R5^VLOc2*y=KFSn}>e z@ZZN?Zx~F zEtAco$9_YVYwYc;KJx_!UGZL1$8VesRHgL7V;PE8J2*Rr{kX!}>B`*sjDxchrgrXL zfsVF7PB(?qzdEq006a6Kosq%eh#%p~y@tc}>`4MNuZ@78g{9nK4S=d0o6 z1-*xO%Q|b~BLOK#&RXZ3=L!e(;b?k~%YOJobl}_4&h5*OtgaTv)#Psa63$U|+po>{ zQ#vx?n@GCX720B4vTLeUXhXVpcf`far6KSML>k;yoD>L! z(8S^u&+u7@$40SVbKJfmeQj3N_#XMxAaZ6~%MOv^(--5PcsUZvGJ!QFC2i%V#DM0T z=RDQq_YY1KC-H0FW{2o*XjxHR;l#aZp97I_r*{spx9?EU@)qccP~bx^P=msX5MpE( z4AEA07p4>uJD^(IM}Q%RVN7#HVSNxcSZRMQEqjw#fGE%5_iJxLw9ilCb8z3n4bkfi zO~fyu5BLZo@*&RICowb-!TRw?SKZWVPevDn_E>VuXXkR8!9dBnBwuh^zTmD|xq^>S zP)kX;Lr@|(^}8tXPn>92eN%DP*(4LGExxXF{>b1|`)rkw%7ZrQ#*X{sdu3mJPb+lKp zQt@TeJsmgpuvp z;t^7^?5oLfXh4_b9=IFbFIL@`p8gKR^5ki2wpXylzLn|E<^R&Fw#6RdqEo`lYPD-X zz1;Bn=c-5otxtf1-Af!f3Lr2BrGotp^{~D>1U0*R-?Z90`5;%<8D1MLnZI~tx6M>z z5$4S}A^2tcXD(?N>~uqoV+5TLI9%X$t=0amz_c$FOa7;Kd0n=3 zmVgI}b}zftju#fUuFe%diQ>6gTA%0p%4Ejzz`4*iiXp6q?nYS&U#p*B1W zc;@chqUs(QtkM>@3;C^Ki~BHnzNKT%s7EW!1~y8zE=FWFx3BXi`+-*3_@!1k^?j{! z@*7&^_*SiQ%%8Q&;lI`@^`C2%b#tZU4od3A2dFj5NU^+?xme@17XrfMU1a85{%Fm z4?2pSebY_7y0;CgVt%r1gUSMYp4joP`Gbzq77z71x3A9_tSz2VWUP*+hZe~?a&tM# z--58Wcs&=k!&R!%BZs%=U*GvY`?=J;EIn6$tu3ayz<|mbeGrVZ!F5f4iujs_p({V5 zi8j#}wfaL%wZ8b`t5}$SzGmF*^fsqqI|^7j;Mn$WAWX~I$aBk61W^p?Iv2mD}0Pp2>K&!Gpy<6JqI5A@wr z$;_pH>gUXtmii5h#N_Z-+u$0i@g=NKqVv)_N!(q9VDvXq6v8M~uBYpnPvQv~ zgUh3N9rl_?+d5~eZ!Omr*ZY|4GnwquLTyNYOm@Am3HvfF=xoNF0`cv-aciKk4nqK^ z|2aay;>?kNT|pnPE8uC1s|&#oD2x%s_UA%Qn+^oE)J#%@^)WUz;BaaT#$14J)@ofF zjHStMU(Z{nquB10<(IfyjP~aN!DzP$HDTk{D*H46C+99W5OtS}7}`A9uN&A7TZ=N9 z+aKwRpaNyP^al&pEz*(rjj9vI;C}fI$TxH%GsGQ;n%=pE(>o)|kbBaEwzySlcMJC5 z(V71{KQxZBIiG_s#y95lejJoAb_strZtX`7wnTquxy+ya4|3a>Q<4@*#$0F5aS~1T zolc^3QnQVV122y%wdIaQ@KD4*`#R@+8SjUYWbp|h;iSW^{NlUzYG;3ZkpPkfxX$k7 z!nWzMDJY=$PLw7z?6*y+@3P67Iv7MwkqybDH96PfvllvPLHcIpd(R8$xvXb9!Ali% zp_rJ)WG*U#tMW@3A79vF_9QK1R^^eHRC%KG48SB`)l$-(cgEn0?OLa#*cR>coisAG z&pwm%K{GDX0OemFMOwrBEewP+U{Mi$JX@z z=MUd{jxTm5iK``1PU0#_XfDGNrabDK{n@eOu-!@gv$jE?6eM!s(W>?%cV~Oz=Sxne zR8m5)?NcBt&Uvd@x-a%CspCsfw>k5Gb<*wovnm>ede4jU3n2c+TA7(9_TN4eSX1fG0qiKd~Ct1{as(}8J2JJCjcfd(=>Msjrn6$ z9o{&Wxg_g@sPP4_tYu6d700ga7_3IpO-5q2 z@Vy#>Gn|diNSwQ;%)~<8=0>8VpEA+VDqo6nQHBO^sHni{V!O3-is}Js>P;Q{vl+)@ zSY6@n${+Ygi0Jco5q-p$Lr>A;dvM7xV!=`r*etm90x^lKCF^(+o=uHtRDUi*JlyKP zBwn!khXF))_1BS%I7`}F{rDLA0UI;V@;V5HSAbhE~Kim6ic`4d?ObeQSoTiqzq zjY{c&^MSPcMYLN@)W}*N1vm`qA6LrJJDehcr1~ss5M-lh(0T-M``1#J<6`3i+x4`u z9hX%aSk)-qZ1c;Hb<;LFq1qO-FT$Ef1@_28)iR9oc8E6ut)+1nT9ssY&;nGpIJ-OZ zEA*)95L0|=eu}@ECR5xU(ijgbT6DS4qAxz}LW`aonx_lDgDV$MleGn}KJEJI5BXP_ zr^PWSw7iUk>$nH80ul!Tv2kejL(2nX$F8lL9}8;o=je#>k^CMde|pKEBjry|`O`!G zl**s(^5+QoQzCzgWs~K<3>6y7Wkts{;(`F;}DUPOe=5k4# z@U@zVe;vn}%VKy&uSIIM9JF48Ga`7R%Ig!}EG(UQJ5L)O{kPCJA#9dJI1s(u1J;*2~llOgd^m<5`yacv+lD_M?%C|PS8v$ zu)erRd{!S1cJe-oamGg*Q7m<`(xT|UBnl_YLz;H!uLJ+O0{H6!{{{vBCV~GT`a6LC zH9()Ai}_#0|9a}~?jtyC2W);kV?Y7IP%t2!$;#@#C295Xn zV-i=@9A2fT8`+sp#RLwyA5Ip5SE2oom&O|17{LB{SJW)cjzSDm6;Qi7AhS&GKfH9> zwJt${l8mGqi6a%`87qO8vss2%=QG*3t*sb4j({68>) z?z9j|bq9_i<28xjVtlQeSC;CvS7LdQfNq>Bh#y=1dB4 zVXhlvL1{8h$b}IwomX_@ZM|~69D?#&jXhuoTDm(8cXWgAPGVCdJ60eD$;5PVMe zkeO|6a%+Zv#B|+oS~3UMB+karI2b0#Y#D^!H8{KO?7ORSv&YoSe5+}v)NqhvIA08> zq$TTji2~mUVi?2fwUmfwm`4_3x?8t8hSvGoXU3^$uGp zZ;lCWoLwR4k$F(?BWnIe5E}pTPIRd~KQwWqh(_;Zp6sejLn-Bo>8UNnD5= zRJG(k1daUbps03=WV1}Qc;eL#Di3K7wb^pdjt4u;l4a6ifnGDU(^%?p-edHsAis}q zx6e0F$e)&yy7X?(1nbC`x`mfH^wgYL=AiMtpBJKWZ=BO};2l<@R+ljhcn$-$!vHsv zZwv$064AI2-nnV8{|uV~H?ZD4PRec)uH|nfoN`Un{Hp*-Du||WmyM>E2N%*aZ*C)V z*ZQ2tf+-$PJ7YYAH9-hdntxlzscw-JHV4s@q71g6zb=%@6YDAcka{OeiGYm3U^E?- z)|@Y*#-332x^nSNnzu&2kP7?XufB-CoNMW?&AbyNlm=2Th9YggV$Kc*!$CakwHL?` zJ&|OcAcZHA#N>@bYd{U4IX-IoqJ8TGcy!b0v7%xl$|Hgr^j;8%@dIeI3#|&;E*6=` z=*BhBE>>J$Vo#&jtlVtBND~k&`&Y6D2FSdwV|hi4otU5X$~UCgnXg4E{~f7)YxWVm zVQ=bUQo$Gy({TLI=BF97ut(&t*dVtUzONYYr^ap}sx?B|2Qu(>LX1fL#_HLp2wjL8 zAG_oyd5m;~Q`|k=4gtf6f35CELR8BcWK!z0sw>mQl~H2?v&n#*h40$(r#qvl{8#2d zy-fksmJ8|>=603$H>-{P*B>wf~Bh z+B+nN`=3qF+nI?g)6r*H!Z2I_S?6*aqyiK=AeB)Z3}r|2YI*<|Gm7nOR*8dQwX+ox z(l}m6j1EXB@k&TryDW81vlE{vRwnT(;u`J-Dy++$CHS<&6E3Rl=~kpD#h@x!o8U4( zz=Xwb3@VSE%-@RY7Wse}5yFCUPP1TUP3~>%19_|1OJNbZSM7GMHJYAYVIKu{Dt|y& zkK!^SPQiH;Ly8z&QLK0oJP2-%o6IJ5jk>Yf*))XABckSW3jtgoUr*UXV16}c1qRB4 zmQ)PBa<7msIcbn$g=Dnkg97H-&vb1F(%-(`+PwG4Ft(zOZR~9x!4JSKhD{PIDX}*j z-x)DxF>;HO;kvOVKE{TH~j~R zyT0wIr$gVyjt1qYpTW7B;zUi)_`f*n7g`0E#506-n;!1BdjeVpZx`{a1kJ71@qO6N zq%VFvV$=uha}?;4d?JX42rDqR@aC6!5O9}!yZihA@4UdA*Ft$=D6(ynxGNHLMecPZ zk0_WeO5Ba(Yn)mr1qh|wcTB0?x{n#y7C)DxeL~1+TH+>|r&4YT0%d#N#GqCcfrq;C zui3Zj6L!O?zVZZmHB_Ye9K()Xl4}yIm19Bmply9w=6=C1a|UxXx9{znYZ5=x1XXAFWwz9^a4+<;93+us~{B;!%`Uy7`Z zqU}-8MDi-%wuez!vw#-(Iy#VY^F*X99Faqqk)AlhTm@|k~CHQ_Il`fg2&3To1l zI}sHWgzOJUh0TO~DW>oRqA_uqn)E}KnF~7Bq@XU)b9-lxq|O40Dd_O)K9Eb*VRcyQ zptp(q+dCCj46V@(HVuYs8bVb9VFO8uNwDXLaFeIT1s!oZU|&jI*8f~45{(1V#N7dz zKWcI2ikf0)na8Qx2y>S0AXsJcD`BYnQDA;X!hS^>L3rIaUaArHs;c6nLg7@p!*)QN z-<t0bc>82Vv2%|<{?iy_} z@=9>YlZV}%OrlXl`Db|R@RQga-8}!kwdbJdnk8TCYP`nPoOV@qU7Axb>owjQ?zpef=z;}g*l@}b0bN2Hw8tfUxkuOPUd9X=H~!>27!T*l8r0u__adU#MM z93eTfv7WlWJ-3HY`o#uW*T`DN@bS92Y@tG3wud5frH7(vyqcZk3|`AcA7Nn{LlJR4 zb(sA~cH$iO3I}<|s`d7adX-+Qm&VUb>;+pKSD5MSBd6DC$=M7X`v&^!{%VBIzA@l& zfbbcp}z8*>AF7@rMKCRw zK)wzI%fSOhh3;&mbz^$z^zK{%-<@s~_no*XGCY^w=Qh`B`1Xft`8K+{>3us2w;`YZ z5Zk~-EGVu@(VczD)-DC6jB}Txvo)lpZBSz;4h538x%mA6iVPya{DHl&Dkfa4q=}t&P5$RK^R$50PtllE8zs0|KWkHG9r`C(@PW+eZaLErzfl)HM|YNwlT@ zL7$;n;xl(favSj;Nk@&&28p8D!EU*!rVlH@AMe@J=$|gBn5Hg6wQe|H)f0cOXdm3PoN!eDcg&o!kqcxo`YE&ktfQegq) z85hpY9D-Myh8=PS7?sM}FqDf}^L+owZmfolRr;goJ~{AHtfvPYp>vN2TvSJL7R`b% ziNvn5XtzjdeJvC(QWpQGK4FCnF|?#NErg90twbN_gYs(g{zsauZB=1cVkhY7hXsIg zfe)nKXAO^t(FeUO9|N}3V`R!jAO*L8RJW`w>cN555B6aSsy*jG)=9G{};-|b-0tgNHk5H72Fv~16cj5V`& zm=}(t3vxm=6oE2nHG~O;68S%lN9W_-7Qio}*lK$Epo`BE-bC zLJ0S40W+r{?>a@*rs4~0KE;quYq!M9mx{3-6Iqy!d`Wp+?-0x9v_~dt58SPS+BD=3EQ?xV^Xy591O8YnY@QWb0xCKYYcbI# zc5F9_($@jb1F(O6!X|4^6KZX-f~=08U5%^yM}csUo59_EhxR=Zix$yojqU4;kMm{P ziCX-QN6Hg5N5)rVK3d%bfb6AsNd zvkK)BJHAS6s1wXpWH~!r7jONzco&OcFp-Txd`V`BGjiuI^vK)fm!>vi@imbL`-1f5 zbBg&B^(e6xtiZIO^tHt2iru6bwBWj)`n(ZeXr+1-rH5E7-HnWZW4$(C4wxYn)Is=LI(uU$9$YDX?`^j%6JskB1U)L7aQZ7^NvJG30t9c|q8xH|$@Y2j*t@;#FUE|P}d za+yb2<`3@tnnUZTs7Cxrt^x+0+QZp1*7-)bumZ%njyLvnMV+y0n#a=Vt!D+F|6*C>iM?k+Q&@j9HY zthyzq@7K+%YclV8Om-~W>D+#6?oPE)b>>zf*C(EyGF~RaR9-x)>1!a%$CBiC(+@p2x%H;Hgm8>Z}Ti zs4&oaM%E%q8y%%ra)tn0DlqRBm<0L?Or*Zr-MB$u3;b^>-KOdlAlpOagLLl-A=%3M zogM_)N+^$uEcX>bxx1;-t_8g51u>}KTCR7S60DL4bRtcLX~4J@wxCKbX#oU>HILiM z%;5BZG5a!)yLu6CeieNXJ;H7v?%Dp!aRnrlfpvorQwRHV27B56(+Tl4RB95g?qb)3 zNyouQp+}ZP5O%*~!HjinK5D%?_8x)|$V7NkefW8vri3YTdYxW*z#1Ne+NzDHns7Dw z_}kUVz&yV@e#_nGQu&3_;iRQ<4u>C#_<K28$IA>WwH28wITJmX`913;g;GE9M4BVZh$96=0pc|@x zw(Q~^{~Ax=4XpS6V+&_(jdz!HOHoko>TyM)4-7eRJAPk=lRE_<^XC zE{qzxqr{fWX-W>OUrRLj*rIKVy+(v(6m77;h&J>eFvv*y`qO;Y#WRHD+vNkw>Ic6eRXzuUf?YM_TuZlQ-m%chrTi+y9)SQSo_a`Phwfx%_d zEOuZ^D4d19<@TcaWzeK%+OdC1bdI<4(K!-xYFO}JX~_|AWObXHL}7{;|7=m{PbGWSf>|LkSj z@bJLgU>`@d-uoRe<~;tTmj|nR~NO z(ML*;#B1C(LHhWyf`OEG>Q|FaP9U>f!C!oZHdk*7jl^}pkuA776eauY+NhDq^lDb; zq_@q!7JeM+2nS6%@^5g}oC9faXlSo9_~Ia%3Aq_- z_fsE%e7nsVQyu*@zQL6F07h^E3|ok z-~(kROg$+$==da;vmwJ2-K-^dkf}`3$FPLdk!~UESZW-Q9>{{?iMz{vv7Tax){ux8Y1h!Si4T>kuo0z% zY$LA`1Z-Q)-)yF*3r|^k$S10A$S10+qGbPVa3Q@G-w}~j#CPO*8O7YS0xqbE!(P;~ zbEF5{)TCgy-$+&3{CY-3=s5|;D`z({k9hOv(IaPAQdqWXvS02hVrlA%%V@WW!K&)d zGmDjctJKh6$~voTjnux1ysO>c%9@GP{##R5N<@wK6>P-F2pbY(*NA|K#loCq(=nn- zAf?SOp*Hzld z^|k~`fi0Fhx}euyD^wPZ$5$Ij))Y<}>D*+xu~5|B!kstIKC7$F@hL`AP^7`&7)GJd z^y^6N=GjN^26e!9$Eo&G7)`|!-7%Ve9t*j}aGp>`)1RS%5$O9@i`&#eHdE+ICEzbp^!HY9RiUqAWTzn+2Rf_F&z1bP z=Sl#BPv%)JbY#+)N@ab`K}YS@n%!L+i`~)xD0x!&qu8l(_9=Wj*`@C>+QW#=iaQwV zjaS&Jo_~Vc3S;YRyvsb!u;zYTT!xXb7k|RWgsF2s_=`jP@PB#ca0>Vj=!Vim-gS$B zcVo3I3l}HEPUGyUFd(9(n$|KKpoYnE2?gsPS)eE}YC)eFmNQuj4|CL1?vR86|D}UvYmeg;+{VjKYE96&5 zqf^HuRQd<+>}V;swQC!!KHxdvR4}+oeyuMqhx2~k)~#3Y*?nz;rwRlLw&Ni!yi=?^ zp7l1rCjq-E94RJjKF~r<1QVKlwl{U+LLlZ!*QEZ3f1l!$=6m7lcf*##brN z;z@=och(n2uR)Q%VO_&J$Go}epyq&mm z@~M1VG28-+{VI=N)Kl0YQ}L%VzZUwYyz6`?XBi=KJ!^uQ$QoGVWV1kxh zi-u5pK)ekH+@vMLluQDHH=FTdBwgQQYslEh-r?oNln4`-S%p>*U!^v}vdM_kcl%eC z9*1sr>vpx?*bZt*aRJcNV@h=6=0z*}(XgQNAB2pGSIK)=#yNTU!EU{h-7n`JCkC}h z;v?9@r&jS7`?CmOXy}WBa!$CCUO;q54Jbxf&o8h zj-5B4U+kO#m&MK+aDnW%wPZUWAu6D_xA-{?7~r&edq?r;(%8n3S=>C|@4Vw+oO&N; zM!)fgIK4RM1o@s5Wb6GG$YpyRutNJk`wLDlh(f){Cq9=)z16=M9sQLA1-P(;P+o)P z7oR1k&Ts+9=;>L{(?cuLO~t)Uo&xB^q5Wlves%Et=;qCfY<3?wu57BW-WFOSE7|5s z`|N<*v}6xvXFwyqKa7Q00V>Yik3;C(qGXTH zfju`5+mSEZ$xB{?UU&4~Dk*fUv?)p; zWu!^oAc53xV6o1ZEVI6)O(_VZKwIK@{z?UHiA8S8UDf&8Ht@ptJmXQdC1kJR_C3@# zuZ1Vo0mqX&VkkX{LWJY6ILN#U$}sOK5syPnuQV}<_5ewPkKk!H4(9?n7eVb4NQV*) zu_Akm%mLqjOcV0`AoqKw(*B|PUP@u&p;T+IY1fjUqtdg9=OT;9?G=>%)^s=Jx4#BN&L@4nI%K`tqj`cfD2fX`wbhYC7ME_cS=kpwc4z`9{3PXv+4r<= zIsZG)7aY%TrJED_tNiceV1t%S@s-&fGl+7%b6xJ%Nmb_1Dnus^dcCE~EuC{Eud-9z z@KP;oF=7LvwN_LcvO`@zP$>nG^7Z%-f6G&76)-IZB!zk6-^r4k3JsTTJ;rR{Yb@S>G?oo}#@rp96E ze&?vIQHOOI?trYM3Ke!z-16k=H)Z1KJFJGyYT}zYccQmaYNsz7j87t!Z)?d1=pX6? z`26n$ig}fPj?W`K=lFbES3aM0`TSm&&o`n_WYjr6|6q&MsrWoLsQms^dddI5?CCi^ zU-@lA?H=u3QDlk!EqN~qZXUPxBuQ4X^Rlq5=_Fr7gDDd_q{kJKq z5R%~+NCCh0q=0iN>_`F4@%fp19ZgSO-?KwDe->+B37U>^A+fVU;pEpC9<}6u3%Eoq zO1-UyhA1L_fB0px#jBxnIehMd^ovK$z-%GGTE!=6wXzq*%gkS&pcgVyi~gNL&B%}35kHwl2jCS06Um4nMN&%4}Q?4_~a zI0ODe*nCO=#ZG*7pTh{cErjM4J=S=pKeNFTaj)gc5pjjm6pT8D`VfXg&eI`8+*t(A z$)mpcN9hv%u93i!hnTwIGIcUe!*E2fHU0;X1L_E+u0UzjSMkX1UAf$r8yj#YW%t1S zz}f?1RZ@~-HBKf=^qJ;3HaBlaD&NzRqsZ!53(XU;p}=1_XE1=~ zy{F74>}}R*FQu9&CwrToD9jy;MKgD4%g1JYjktZ+qg!yCf%#RP*B0EPpoa~EzBkl< zttH=Mh85DQhXYJ%on984tXt5%+B>6msl5FH^$AciLVYS_N$}~ zeE#iX>SIQf0jux0QtR}f%*?2AH8bscU*@8Ai>?h_BmQ3oZrR4DQu}QANc^;AR^C)q zIg;o1&B`eSv+|_+CXcsw2;Qn$P##K$n1#b3?jdI3Z-K%Y=2lifqtF>E+jnPS_AR{2 zp>j&XUMn|g7s!*?zmB7~&M{+e%xb^-f5gPtvmO>+!uZ?@o8u2=(hldz^B+jrLHSCwDThxI7AybM%p{POSY422C(_OVi8O{%Q zY7rLc&e#g!7qOcU#Vf#nmse;nJ=jDKCJ`W}r$~{vDfvC@ct!|MKqqrZrZB-{3bwE& zSI-f9BF_iDD_d|My}}oV5!_B%dr71*W3?<|>-0jVWD8Sq`NU(*nO_t*S)S1o6WH9f z(rr8O8ExmoMqFI*>W5qbRPgGgf>*EbDsRjadTV@{cpvF~Z|syk?D7Ye$G`7|$*={PP3x_ypwZ$1~{NYw6m0J1;$PW@K` z5^>7ZjWyP6xBkHT;&Qrj=r)W)wc+i@Xp)1pJ-%WvGVS63a;dvZ(QCiawLu%|I@*}O z&e*w4S0EMqNy-@9;pmoc66L=lLr}^^-J9cJ|LTJ2T-oIQ%iQAFBRCb!3`Pl^kXj!b z-ZZn5CI@xKu4SzMG~Fewfmj}Jkj(m zAi(Vh^z;q;qv;#IjiyKMLjklmvdTsB*^!k#zxp>9-_`D-L%j%_ZX2Ggt)+w^;{R&? zui;t#uOq#dk+nztd-Xl-dUbmQ&|O|vb4RD14t2(UO6r@~?fl(~zr;*__r>nw@3(@V zu^6fSvFZFhpq{6a%EoSIj8xm%ea2jZw`2F_3_+l-hQmA?;zwsVb3q8SnkwKG>m>oi zHG^++n;-pkcWvTig3*QpTrn+TWkxHyP&X6p{YW}PovKM3d`xiK=>spiY9jjZ(W*1=CM zYB~gt(w!^Qy)RX$x`#Ms>q-@(wsEyGhWSptx|7=RLelqa(yLcTQt!l6{5~|RoeU=y zXP9^J@Qpl73B7IT*0rh@|J&)f! zg$|9BW5uZs&res*t!@VheyeC5q#gI%^!43XKcD;KpNt*HG9Z9d{%h`s$A9WIamvB+ zfFf%Y-oDm`wJrxq?DK2)-K!|>cIy`plWVj_jN;&uUXn*Ff;#lu8Ernqqty?22H8Lq zZSjfP%GI@2{L@JPUco#2G~Jc;#m+#D?v{#g75}u}5##jyf@VjS@n@Dt%mG2`Cx1f6 zLJ&yWz*KyM{A4y&w;Y_cR?+j&NCqFGxz`2lA~VEy77 zfg_l;MI10VjscU*&UjlD&qKuZCsVN;aZ%EZcSae1A7QVXVn(>)K<0%fTFc+z-Nwv zPj^N8DHPv^v%y82TooInOYj`{tbiXU_HwYW^Ui?BFS+Hys4}J&8&gY-sSwK+F&%fC z@hNOZ*6m)uQFSNTdy6O&>qaRdm-+Ehj9ZhJ=mT%V2{ybzT#=0fDD8@YxFZ8Y|4Nr}%EN!J$>*i+3dzp~So zK%W8mRaX(nktr?;YEdyAc~i#=8p2f;lE%hBj^kCp^2OvDHK$iZ(*62H%p)RgZOBVF z((J_BahF7E;wqquSdWSNMW^oEnY(xd8kd2k`Wo)>krma)Zpa_=>ECE9yQVkQmeL`&vbfxjT)@_6}`KIO1t zFBf7kcVE-LbZ!U_wCO-%uVf+X6>)6Rw!07q=#jE7I?G!Oi;XU5jrjd8JWXZI0B=r5 zuq;-mTq@Tt86)_>k&H9+#HYIjN4O(gln%)Oz^$!bv@QHBQb4R z;-t-Jx?zh-j-;pv7i+#DHpHJ&jgcp>Z1>{+O=6pV}$VZtW;xyJ0r8 zd#&i{Q5>)8(ux`ltw6ep6*~Mu(BZd&4o|u$;LSfxkt0~B?6uNdSPsAx$KSATB-hzL zvJ%?r#g^@}Uo4o*<<4Aw?9Sy6(V^RK@z9)KV@t-%oFI@A6%p4eL~&#~j(Y0}%)$lQ3dCGJlwOsU0uJUCw}$7fJfJhEUHs^1rag5Xi^$9bM# z@g3x64Hd^9lLq4ipn*YkmmVtim5^uij3{Iz(2z#PmM(6$jM1<@BBRu)=f~AWM%ca+ z#rS@i#Qa~bK%sNP!Bi=cc7J(xzQ{q&x&X_vB32KnN)8Jp4COdqcY&3%)zJ5n*q;at zniO5FKq&PpNTz&rU8=IgLB!)+M11yR5V42{Z@(r)bcfJmYsus;EFfaMo=46o&U~;0 zh66JcHVPJsC!a*M=!o~no+VIkl;H}+cu;^D4lR(4%FU--_==0KO@afX34>lhZ(F zeeO6UOV(?Jdd>~e`jgK=K%xn<#P=Qo0cBh&oExLk&HLR^v8}_ZsRFb3*9j6n%{uL& z5U?*jG$Y?~Kax6PYM;P!S6qxSIGMULKLi&i@Q{~YkcT8zmceY8-Nm#rN3BJUa0lS>*|e}FY`TIGKu!I z5|}}f1ShU;J?MGzLC;gVJ`4Zmum(HYn|CZb8GjpiD=y&i-BDwfcz?H3+@)|4BfIKo zN=!6;Mrr_HwC1ehCB98s{T2b>Zk%~Jz=6)d`k15~;D9|73P?2KdSVBDIco(ma!xt7 z;|{1Vy4Y57RQ6A0 z2}ORHoaj7SyKeyQF>|vfL!W)l+^q0^Eh!fHx%+lXdY%Y}#umkY3G7|5Btq$*$s5*3 z`UMh+EUpxmN}Ltnxawx0R^5R+?Wf34_y-da?FF1vrRx#n!bBph_Y-U`ji=qx+lXMsVi=Xnm)M7&H@sgTAbM|$l-2I95x19=P>U!j* zz9!z*NVzO4RedkpVd|qgr@oWrtt?}^Oj3A(`c$}C71)yV$Rh484M^^8p$qiH=WDV* zlH5|s-72X%Nr`2q*}9bl&y?9Bw=Wgx$6g{S$duFm(@uU7VZl|3Pwii-6ygi*{Y<4P zZZ}DaOrL$Hq{dJ&?|-V^2gn^ys@YnGBb&hKTArBC-18v!d7tvEG)H@U?e(-B>;2B%|HCWm!7t`5NYP z_iUYLl&M#lw>*0ZqJmn&uKiaD51lM!{26et`FFfpjuyziM3!6i=Pt_1QO?6T5byzF zG@Jd{br3EDoh4Tn^mE1t&H;_%0AhTZ_%R$uI3n_`5ni?IT+D=JN3viE5q_3>D&omm z*-n2`S+nG=dx;KygfZ|{eshX*cfE-|Bs*#ZA%u;ATb7=SJZxO@D!;K_dscVHg-T0i z=$tw5QNB&cCSE{ePVB*bpUNGhMTPC%Yw2&ht?viCB^tO^E{K!9&FXoef z$tR!7C!fhD|Bz4qKA-$eKDjWTd?KIxRX+KMn`|q2*iE53q0{Ci+ndfoN#HQq4;}Cr zZAQtfWOHdFMXFmAVG|b#pLNHBekS@kUaE1Q2>DR@fsT@;OJwj%3kJW292xxaD@ico zW&F5SSY3ua^A|GgCA?I_mh-I2^U+y9Z6%9elA%Hs|H+$XCv?Mzh_ToBs=6g? ztWOMFQZ5Tph5P?+%Hk5idRF27A5f1Acoeepj`aWwYAnjKOZjCpTAj7dSE=n*DqRG7 z3FC?~I%2%zDp`pXZTx%G2&9|2J2%h+kEFEumb> znvzc{@weD+{dNik{IbtSTCK2t_YbNt2VS=rY-0CM2({$7^amTHHM7p|>nORDH#pwB z&Jgs(!1HZdpCvG`z~{JId0~cDl?GFbSSd;2u%zd6eY*hRxHu^B@-@tE+hu1K+vl9AKABQCrwN z{<9b4<)(OJN67~yae;c57m0yi9`Es$Eo5t{&)gDk>|JS%xYD=kY}M_#AIg=G)*$}alUYL^6m`=%WcjkMPB_dD>7_MJ zqk1-G#uTVi*gR^W>g+~G`ZWh0D`jjB5SW*o%bT{6{db?^qa@3{t>h?j{whOm!B3u|hiU#LYO>xt+HGF0>oEte;yXdbP3q}kDKUk{+e+?pn}zC7 zME==-q73qpdC3BD&_0?24HD9c$2!@554@I7Kwxp|(Yb6-)}G(KjVJ3zX}@pf11t@M z2DP4+Y-TYe2I^9^mO4=g66f8h9r}Qlz&RstUAyOi#M_Y*i8R)W>RC2$@niW+ORa`P z*z5RHy=kQ=+EzV81FY44+$(|q*&1!W2#V2ezg078w5|NLdXL+x)dL?x-@dYJHP61TURVd?2xHKTRaEt4iq zilnFZ!or>S!oO#iv7xo|3_@a7uI7eoe~a8foeotQZ_Ga;{ts-ry%@WgrU>L!u^gl# zQ0IEzxb-Z4-FVzVyGjHt0tO4TZ)9XEFO$X)rzZEJJfy%bk=%frdo8)Z=Lqr;;)LeZ zJtXvr`%C6a6tCsMCRK8swWvdi?6Pp4puRUy0IU?8vZwAP-@Ctzd&{)N8=`8hr;F2k z>U;UP`{mjpb#+;*&gfq!Gs~4_|J$p}-p8O&&NmQet8QXw^I-i)yJ4sZ7zT zM)mj>k7mjBq*11v!TN7xc^&l+SzhOolo@C%sg;tK3$?7oic)ZccPU z6bNmT<{)T#aGBUuBD+PUNr;baxOox4NvxNuCU5_wLe(DRZ-)PBDH{8K8=GS{suO<3 zXQAv{oYO9aX2gz6k0J=#CsqsH1A@k9Y7U(O#0JS1c#;CVRjkX{-e7#FBh@RnH06>e zn-v1n&{&yoCDa~`cjg@zZ*%$@s_Vs&*$-_}aaBA=0=FFctK9`Etb?>yO0RCrS|Yp` z&nGNM#9V`4#LF?s9a2vu(gb!uk!R{#V(&(b-A>H7w)$5q=waskVaD}XoXk@YL#U#@ z#qnWjDZ?=vU~h@A1yRlciT-jelG!b4)Gw;X_F>Wsvno64`BV#s5u;}PqF7D1cGj!$ zKSk0h2_h2Zj=w}(Z?t7$W7ey#x=d_j7>WF&D{DbndL_>g27 z$$65Tu)ZPvlQR0&9!&?=k;C1lLwoCwM$;For%Ye^j6rG^uFv8Kw7zthQCy5YA3q$X zTuWXD?FWc_etT)SKizv>Dxh!b^hLu$qyE)MdI%A#l{PQmy<)l-H5(RUsLnhdF|R3& zqOTWaatRd@3Xt1-hZoq|NQjb*sj5du|(%@jvR`(MJ& z35;rgEeQ$mN8>fpcKT?Yf15k{l3AMxq~n4sWLVoKZQnDw|L$}uF^*$ zoMQGE8N`iyuHY0dm)x#F--}g6Mn)~^7Hkr7mWqW6KMjHsp#QJ=i}IKyfC*p6I$Rzx zFAfqPwM>@nx+vOJ?mt+oYHgrq2POlE!3wN4#V%4adA=Yp{)Eho*YjNKSTbWrMa&-x zP_>jOM~Isw*DhhNCagx7z6Tp1X^;}>87FV~8{uq(Y<&KyrEUOLs{(vx-NYeBs%57| zh+Z;uqnjvy%bTud5!7b%wxPm}*&hroRli2}80V0Vls>Ca7XI$s#x zo=yg-G-B3JJ5sh(9;=p$rRz?;*~a+6bvp5q@1o99bt6>7sA`&2en7sVw#FNr^*Nh< z3@uYmP7&jWQb6^Vo;Kn$J%nBjk=~WrvOJ_?xGWXyM4SPz(A9t>wkP2Tjk`)ShJ)0z zC8yMO!ix-n4hU_iD|M_&YVi4Qr5S)wYIlJ49zSzkpMMdLOUoNgN8~tDX|_?RHmT4`6|Qd zXxCuk_BiUz>w!feDezqq{kU1Ow4~CvbRuv8Rl}>hyk>vpbVYSa^`}ER1E&#@D^{`c z0=|>E+PqLLR`Jgg?~#)9ZEU~fh>=k;QzgB#Y^n>oaoQAeGyj~_oET^vfm|H#z2rQq z5?#3;J+_vJeY8J|(-vB8CnGVP~xP6UVJFyZ?bi>)bXlZcqlqxmRLY2BntD*NLH5nWuB= zbJq()Y0)ze9y)tNet?sjmjK)Xu%3nuxBvk7FB0ut#BH@$QK*UEn3(gUCO$^$V0aT$ zI!Xwp(-eLxY1!()3PNyU_7!9NEKPz2D?`Gk){4huI~{*FWTx5$HN<@hd0}0hpu2>)<{TRk0THO<=HR?j{L&eHO$dY`ocZJ^oEN!$Ws z!))#dtKY3Nn~8hcJAJX@jmCyG=}^|F?-WC3Ykb$nYRU5Go79gj8SBot-JoX~Bo}0% zXzWCN!WO;t!)|)F)2+oZ**SL$3I4GlLjA+RUV;%JK}|u6(v8H!z=t?p+*B|firoaoChs80$0*%mGiJdc6{@}}&9l2IY$LWuVw@mmkQBfed|sP!`z+o`w}6TKP3 zDvPUkfZ$r_#++pQiLgHxXy>&c%G+cydMj1b<=M`r#W z;CMlB`1L+%P6da*Rb3W&v#fCmt++-$SJzE`e+|ie4Ix!Se~Dc*CoZas+|{>ro0(Qu zZSg}vkNm4q`B#}lLH@vkeB}=s;=WZ}|3pmrIy90^Os|KuFf6ZGaGXM+~(ul%J?n&n``5Ba!Rf2SGE5`*1_j$5Yowh*Kj>5SD zQl!j9JL^cy?83#kh+(8GuQgh73l++h@9CM4RU9}6oUf(UN^5*q>V5Yn;eH&{>>m~0 zNc5P6>bqk&RKj-}J8PN>K&H!Y5b{x!51!Z})f zd47CUwDv3Qmo3rSPqYVDi}<*W3=X2Mk1A8XI4ckJLLBz*W8194pGr# z^Aep$#W&cq_@;2Zghn?QuNQ2XY6OB+B0m6IIZ{!eP=elnz#8WTcGc%*XlvM2Y zNbQ&ayA39fH~hO_{hs*tiF;@o)d5Y&)CUkJYK!8%Z8;dKGNQG`>_={r zN0Fys9~JRWM4G{)jz8SSw}Z_PUq+4MBKtDR!2}val_VIa)8%M-Dyo8kH=ie_t$UVL zM*VxNvYXwZ;63vbg^UC1c^^$rM#|z=sZS#5dsZ+*jpf#hzf<9a^!}%feH_K0G4B5( z?p?s6s?I&`nIr>)0y`?yXi$~3du6Mn+RX!h$_;DBV!g;iLLIu3@urP(u7YEzr%5annBR?B(R5$P-$b-JdJ?Jg)?$XQC%$gETrDSxDG`Nk-AeeD;zBetyJF=W@n0wLhCDT!9XG+BOpptv6cEEaQE1izAfmmW2hw{-|_$6{= z8nx^AF53v}gpI%_U}9q(F!=IE(9g#hC%cl?4F46G!Lhz@cO2URF^>thvMX^^?lk}W zpdbt2-*HCJcZQZ*)pEm=y(*fVXTb>Qt1qL!5A@RukBOzP33~7TTLIDG@6!vhPu!y; z+2N~6&P>*J$dnZ4gIPz3e>|YRwg=hS6iD-Je}q502HP6bAMs0~x#Bamg>CH89w@%v z4F!G#Y88hbKN%c#5?hg9B5lNu-j}_hocY#QwLpsdZ0Z=8)$)6F#Kb$o+T5Lizu=56 zE47x?C{74LhL*qH%$d=OJ&6LP;TNu@y!$^GN^;^f+C${f8UJd{uH{hh1&EYUp7F)gX20 z^#;$Si=dTVcidl2Iw`Q=N3>#Q_w^}VtA%=kGBsU0ox z){T1v(su8WuTa;)mssSnbRnf>uy0&l0cQi4hS$2a)&dsrmX zX!b#GD7Z~Hp_&~Su{J=9zI$~66Y}@~t_veDm*>WIA1&w!P0oZ*U)`{*>0WQ;CSyg& z5h*X=@)gxhh-}x@!z*zvBKir2PWtCfRiBbQpEE8*gRR@Y164h+v5OVkCU%XS@BP9` z9pDjl8rPeT2u9jgu^z3|4J|1qUJI(~3dy5TG= zHbr#~Y;4z{MOKh!Gw7Bx3LVaI1Iu3`i*F6z+8CP>X{#79HITR^(!8hyLiRl}bruH! zYGeZ#)$9Fx2LSa!4vYbW!M_IBxxx*Ok2B24$Jgwj%mB03qrIPPL)Jk+I(8E?N3VJR zt-9)V#;?j(7-<_$+t_53H!r$3kO1vxtcJ$cJl-I5c^&#$L3;sj2K3IH=*SD~*-(>wCLHJKn7f1Y_=JtyCw~Mcqp= ztDg_KhNF4QI}O9YjIqU5UBs8z%Sdu(CqJZh3%pGlE9v0mOvA6up|im?J;$8GN*zOW z%9y&JqR`!7tnSoH$H1{HxssBTlQ;hwqW`*arC6_O&2hFkG>$9!sY}T(Scx4QLJT%%Gu{ZluGIeZIck%G z`U;)@hnT^cZtCQlRTwO7RbRfsw44V#F%5`3qBin30%W|n1a52#Xgmk3%H*QbK>Q59 z{YmWNCGECbvL*1dZw9)Xv3?Ge#y?fl?hl>z{l>Gq)z5MFm{Ari(LXjOJ(D{dPVR+Y zdDJiv$GNGQbT5AkNxDOPw1+*yZ?7?CCPk}D;BxxIM3QuhA->w);Q)T9@a z-_8{A*qeRM%)!SSZ(dnj=S_#5!a#KTC7AKXB(oGj8wfKbcD$hIOZO zY9hGO@5lp5xdliZOd7NB2AI4Lvs%WI6Z;B<|Bt#?6AJ04S_AQ;KxwdHy;&E|i(bwc zSD0_v;igv8Vedq$&VELC=+=6_K=e#Bfpv^Hc21u!7DLa8=qq7r!|Z*gI=w z$IaGX&U*HEME&EWckJ|ReE~XU9T>AjgJVQ z*PE_9{%t+4RsV$QpLt*4SD@D215gdFYxlarRtOlYHqtt6hA8cM6p5zi!{4C+b=GR3 z>Dq(U5Dn|$DW!9ql+kKDJf(I{gmjt4kzUJoy#>636m9l5eB`(9+U|To?2PF6LDtg$ zLX1P)!)Wk*b|vh?C}=zP7`mA*xs~$bG<W2VG}&vzGlL% zrx6e!5Vw&qMblT+!e2_@moeTxOc%AMw~`|_!C(m|qr7K^4k_C}ioPak0@$b(F&g4U zJPhuvCDQh}legll;NLwx|B;CozZ#!SoHag7{JeRb%_Hu+#7A9YyOZ|<%lN&5S0$q* zp-0cv`DZ>7PmFO#Jq;&~XIcD!8lR8MlZy2(KnjJQ<1Sy>ubCc@e^r%XF_ zo2{g7-7w5B;^n%J=l_-wMT;j?xR=oV3;Mc$q`E&3m4$>UiFBlO>!mP7t7%I9242uU z(Z?y_YW5Ona@0kf?w+;!%}RtL6G{ovi8PXFGBkYOOHoVjK z(YJ0p)^u#GUhKNHDrYS*674GULUr^Ji0O;8L9P7uwsF}>z}wd5cQn#^ui@hi58xUK zl3hjy)4Afw2FMav=OYfH7QAapD>Jv|2zH!BJ|P9ekH7->lo7(uAc;s)-dOyfcJhqnSjrQhd@Y z#%ru7Xfd79M!+}BxrL)H?s&0^dSg?vUx> zkqvBt-^zWuaGpMMyR98Q*@>PSd!UC^MRNa`TVCbn%Brqpk7X@gN*WwFIQ_zA?|} zWm5C#8G?*k=FmY2KR2-(sIx@hawe5-EaH&adbj4ndBzF}xX1D}(z=Ff^G4dwa&Bm+ zE$=(CM2yWdJu)0Fzx#~%t31+LN>AC20RgkE>4_xw1tT4=V?YJn*8~+C*04ZR%!VO` zBf0z5h9NzXWj_0nCnwowgqh-Cd4+k5sgEk)MS(^>Dy z_RnfgvbA(I>W%<8c8J@FlrwCuHxEhz6hRN)|AP9d|D}513xc@5FN?Jp2EI#^Fz{XO zZv)=Hx7Xy~5g%{6lftWu#B}UtlyXG+UNhgsXDOk?q=@boQo<&sofO;sUbSgv2iizg z6I3-X6sj>|qA;Brw7}(f)85ZN{1N#PmsK1o2W%^pk8rF+c96}In zdlE;TS}EEyvEWozyW}H z9$Bx33%)^&xayi&2l5>Qo2xCZomK+zUq;;rE0$3xnr^9(PqjUMP<%y{C z>081Pn5ZtEfsI(Xr+a8Q=9GI+EtXx$oqd&<{7zB+{BfsmAufV6p8}}hE9Tnn^;n#| zZWmt{_SR-O99_wmrm*7_cM&~K?hWxkycx!S~4<{cGKF^*j7Z` zDKmhoZ}NWpy^rX}cTGQ<3wW1JLhrbB4j&GOlQH9Y)X)Y&(J&fSAA7(3tpIX!MJ=A| zn40ZMguE}J@PO7tnjbaQL7>Xj3nxY|RE6-|JC{uU2a%^5KJn{=r8v^sBl48miP3!a zQ+#T0xbNF4ilRuKHqSpM# zzXAigb(Uq2b>cFb@qWPha-(Flw0nLjrsYK!n8}Gc96mxbNI~Z%;^B_0=EZJ(;t&2m zkZ#3ADz|Ts-SI}qPLCqwx!!j}xfPWUrFIHvSi zEGYij&d4gdQ}g%+saK+q{C)8ABPyaOCsF}5PJyye`4k~mC*&TwpQ+A>qWDTQjTp@@S$uNf za7q;7VZ{d{N8_kk&$nYK4r*$?lDoC(hD!GELui_OOd8}0YAyF*Tlq|BJPInEd(Icx z_^bECLV%i=IfCGSV@AAQwzSS>C|_e>qXvXxl>h>N?enKjdgo3k(j5YJatDLTGa;%qw!4CcN^P*xRnWw z=UM-<67zX=|3;;m(D5W{?75eG&c^@S=YMA|iHtaZK%w(Aik$cC^nCiLkeLPhEbF1- z+)!Byoyrp?`oRem@!DmLw7LZg#=pql_~qvF&P}|aqEWn#<*$-^v9nRL_ZT9-zN;9e z{N)nLht8J#;<@_fk8iSCUI90)hk{52CtQ>`e%X101#4QTR*Dx$_?xbKm zTi=3w@NsINun6!_u%=g)P?yzmUw=K*sOP{xt0%RddT3*me?LlmgpoL`QRU}?e^%-q z9!+ScpYXtstMtaet?`53VaRhd_%|^Z)K1VG8UCrIPDEX=s~uVC$zOeBbv%u2falP zdNbQEA(4VP@9Y0fA+F@q4q+M;1FVPK&uEGI+jv*Ee#39`O|n^=7mSYvzl4S{=Y0U5 z{XB)e?NQUjAJ;T(ug%4tL6B7{h#93uESi_YLOe8 z$xzStkEy2lrjO~t|L~N(m~WvT2D?2jlZuA1URl>W#y!5+ZGb`I{JY%y5W%->?wq9QQM%p`<^`{KC-@yQ0a(1J^SKJ2AM=Xy zpD&=*0qZq#DZIh0&x>y(>?bfV=CcZOc}dLu8kz!~`^;|c)rcU7``yx353>+oNVFO) z>Nmj18$lOi0BRE@n`_1VWs}IlSv?`Pz?%*AF@-nB$2U1yxlG^-`}n#aVyC8EeZ(#* z2l6*xE)ERKQcgNyA*qo(7Hm&8A7Xj(@p%HqU%e?Rg|3ycaRTbg?T-8?#HNDs>|8!H zS`RfrDZ&l4k0++LJRbjJ6On+49ort{r9%uCTkbTdPPle^+-up97*6_q%^#eb2<85R zREUt`Y5i4TATu9$PrQbeL*hQ8m}zjfEze~R#{bA=$oU>`y+ZElZUb*& zy@VX`KVVB}YV^GZBUc%#GwrY|8Y<4{4%I%x;nPY*!PL%{ij7ns$lJUB)f@ZuJW}d* z2yQn!gMo_SfR!_5Fy5J*7=(5*enJaC;mD(ccHV$HUTX^B3}>~pQ%0SQh45tlqY`O|r3!10sKS`ta| z-eJq-%|u0F(zrGj-3SV!?CFg2pwXJx3^b#E=1LEYK@FME`Sc>;hdX(0%>B18t;UM4 z;gtHCHxCGly{YCi@1kh|aK70!^PH_G+3Yv;bLKbb2pHBKO8p6StOiqSrFsFDVV90! zilWK&#=OdEIb8UWoOnht+^*kAvtr_xn+XAimox1)0TVS7vJI?laP}*xshJ)FSP2&B zXzJ# zD0migDRF+;6-GV#X+}-8_2@&XRINL?&W4JMFmceRSZ)=$s|#>J{KAWK z5IupxX*0*iTc1aw&`@zDYsG5WB#0`nSN663b#Cs@P&0Vl$#hr)nwh;E##6L!1zwFnq{69ooR5&C_lxA!M_p@{ZEMiWC1f&rK^ ztoyb<(^0nMy`sg*iPzBpM0Ag#%t(5LzlGHXE}VG~F1N|1VBk`#2N>Rl7tAut-mN+i zAPExQ2b>AF@ut>Thn_NFV_5DxfM|^;(fbi;cXHTCxf%)g5}`$;v>9 z;ZIZRgr_FFB8gR@Q>R_hMRu_yseruHIQlE4Jy&^fcFd7^X#7yTrH1ur}9wj zs}GVZj&o`-n8=a}4SY_`(B`brL?YEG{fanuO&H9bg@p?Ox9B;J1ZIPi}VMeBge&3;~lc#4APE#H`3Q zTwb&a*KXKAwH2RZaE$K&o45cCp17e=`${;!h`GL;a4Qo_&@H z!7;tB(^p_=_lcdxU;Y2k>E(T^?g0ZrZO<-9WR_lGi(qk-dgmcyWs~?+ehhy1jrEnx zc7$l9d8(0^&s2QwLw_pzM{~v@qe;*I2cwz#e;ADqHp~w(7?yt(@#uZfKUP*?(~*wp zpyl895_Rtu%16^1{Jm=699-vDc6PQ3u>2kT=}P>A&NKf1^;AUNALf^{)iS~mz$phW zWfr8j?p=Ql@;x`Gp3+T8W>q!04~ZX;ErU@mi7K#0Zm4Dle~Bd?bH}z3slqt(KFK>r zkUsknZQ+lQ7One()$&_CxId}zq4TKu^_SI3zQAu_`P#R>&dI*Ov*9NPpz50VC&R~T zxmeZw6BzyGZ`RlY(ae%Q8l_Nr5MZqyo*-+`7U^^fG_lU;Z49?lAchJ^v=GI)Na2$Z z(ZUe@p{*w+D`|vGZ~B_KSH$*TS=w1$9E-ty9}!sR6M=<>2uy&6&*9!G4IF^si)&{H|I)e@AhDlw8FY{k(tm}w3H#mt4-o@sf2_2YwG9weUeJqTlX|tXS<$d1?qt)@<)4D^e zGBEbsgEClz_0-K2CZ1;AXoe#h_lvXiXyP|~!8hr*%7AvyYWX3v>0Uj8n?kH*lILN^ z62h_hF=uCoH#$=FKMmuJ2Pl%oBwKGO$&}mmuzH;RZ05h zNnL#(=D!}{s$ruOZE!YK?avEgbkM9~6{dD9oEJ%7(OdWDTTe`n80T~W7@$)6w@_JH z&NQ3w8Va${Gk`Ytw^a+;oaZwV{#Y1%s+e*SYeSK$&Dg`s=}*YotczcDHrH zq3pN#!x(@?RTl;rMqHG=m_(CtL*;qj2yCo_yYza*b#Yqa(zW4J&; zt)72O>j=QQaV}Y7&L43?gTaCQXI4$vnL$JcAro^6Q*XsrQ6@y{M$B7jt>K~kr)!P%|3sOp}Q9#uYYI#MI*I;|zwQ^+0m zyGr7F?gBB(nS?y%T?pn0>B5XRk92a)=$j>L>0rM66Qtu>Bq8NLLAp`MxBfBS=~B8w z@$OC^?`~;K&+2Wcd&Rm#9A+dvV$|QoJ~P~<$5Brf&L0kU*ftg*KacQ}{Rv>`8`=D6 z;GgMvVJ!*&WQlq({$&%?2G$32%{~f#llQ+tylEu*czF>|=+_&a7p>a|0Xwi4DHRtb z4WJos`yd{$`ZWjgdhzM>Eq%STmTn^TpTPgje+mBoWYOP9zYXwn<7oZd^!aQm^X>ZD z53E!Xlu=C-0F}Lvyg=#5ea{mavH}m)pE?yKU25;l_5vgdBTgn>h zc3J7cfTBAT=+OSuvWEj0zModhb372Ev0#J$qZ*E3TSzne0emjtncu3MMn8Di;+rwn zpzg$f!H$pOAU^~aaMbvwq1XSwFz9w_MrmSMA(zvRg=V#tiqmv1X^32#$Q_1hqH?Yn zqMP`$L6maiRQ@1RI9gq3=XlGKuD3R1sy1bH|7QQ2WJREO14@_*>vo-qwJX?#jnSt$ z*@I^ELQD{aT9yLCXyq|Hx^-5ERY60w3IuVb=gI4NSZHrtB=*E)?*y z`;oA+zot;XdprA!?Jivju|lLHdFiyUTjVU2*amAq_xch%po8sByK~21lr)ul%Qlrl zl5Vq|yY?#OE@iblUMq6$@bu&??a@zq#B$<{k}1HtBR=5y*QkCZWc6W;d6Ml2SeNRP zW6C>RB}D<}E|onre!BFQyX9Z%#hj<4zua56dZN-q;Io~N663IMDZvmPkAwJVagttt zh$89o&^KONQEv2&%jYB)4GO^foG^L9>R@6Ab~Ap-BI}Ni!6$qC*DI}*B-Y%D1*3g| z!aQLHSu5f+R|4aob5n1F_3$YKB=E-S)&xVM$Y9Q7p0X48VtnHWKLG68Rb+#in>I?>X=3ELS*V0?28nNJ@VG3A)*7vEIw zU4z6;9Xo=O*~iFiTsA)7A^Q-2O#Z@of#4SAyfC-X{St6N%_C^Rlp~!-aiD91RvD;0 z=$|%`S=CCmTuD}=nt_Yb`*hyh$tbZgreAOIh;8OEt+a;;{9fBBsmO7C;T9t^;`%si z$uKAgXGkR8)0DYCR)%|BCGjT|ilI9GL^t&n#fNk+ku0*98=03#Hd&u|ushVgu2NFl zb4@*Db~gxXSyL-<*167he<)NIZUT075jTM;bIm(1^;XMi6lAj9+lAsNU4%6d$0c+LV0xb8=323dLAf&riL9mj^MyQwu0e*JrBhC<~?q;}^3H%@pPMl!gpG z$N<zj_S~EpVHjKiZ`eyx#5xS!AzUX zgQCeyNyHsYTuXa=NrfLTqgqz=C_>BaajnX4x?p$o7J=_G9oV&ePa5+*`Azn#)TA(o zwKduXu>7)GeoTAB7Us1+=sc?w$E39TQISTJ>PwNn#OEmqzfL>2Nr}ZK@#;cidT5bS zO9<~=;yg+A2D{^BKYNF)08AQP&iKh)c5oY5DrFE0tphwRvun27HRL^iP~JB1X~K{i z5t&nGqW1am7Mi3#C*;EV&`4Mp0xv9n)5u7j^<@T^)|Q$d<1dN-n9h6+PWk{t=XP59 zbt=nbgUUkY-_>wB_Jrhbkv_AO>sVRq9kNS&MPl#ds|Ym~mEm?wC7dA}MLk${O6-2o zcXsP^hha5#hlFi$n@VkGM1}6qL}~mk-@x#-lGx+?r=iB26>@L2-Qn_Lc?qr)H)hwQ zv4ODG@1ujwan}qX(PAgp3o;O`RIPsbM}$*%g#nlQdG^5-N{_l0{syW)+4FIeduwT{ zZkUX0SfsPE)wp-#4|t%CdUBXYCtc1Xke zIvyWYz0TT&JbGWh5SbDBB;Oa>yOP(9rj(PCZ;f|>zPrYoJL+V-3Hq+8bZgb={x`97 zD2%*veN)|NhrFZAx3ua2gnRi>FpTu{njqI!$AWLff_v;B&T^xQJgZcF?ktYH~5{*c&uETZF@3hxV1~`~ zYqTc%0rdoUHtVX1&5(@(uernG!*zBeAVS&Hx=}k4gxuMY)rA|r1qGYKRjnpSC|(Yn z){OpR{7;4G=-Bux@7tLBt7}Y`f5-4zcWx0(@ydyc+u?qa9@q>0Pall3V zWo-A_<0sZUPN0j#@a!x>1~FobhyZj0Hz>Dc6In2Jat$4F@BV`dyBoUr;k+`M;30J< zCyvNg^3F99brq;QN2&CtVBPbHxBAv&!Nc7*I}sDMq8;LObhPeI$p1~9pT`c!PflbX zVN|`X*KIy%6ff-D4_{|3ll0Gkq`@ozgA0m_z)%hs$!_;bCKSanPJ`50Eq9wKG~Vd8 z%yF>UY0uV~l7?2SE|If^)iRPKoG67-@TTEN+hL!Y8fYECADrXyP_2$}v1362{_Wk` zqQlMS@i!0FOR5v-szR6XWy3%uXxxj}MH#hjtp9?RZ%GBG+Q@}0hgSWZ)`?GW^1iTUaSsg4EMl2C%;pF3dnT)pHed1SpvGZW{7H0FLiyiO?q zimf$%MASXH)leqTq~!@St%htd_GaMGylR%<+sHmRV`bz~4H#2hC$Wr>t}n{;%G+gQ zN*8<#{Yy#WjcB@|;`nN8d3WQfVeSG%{`Qx>sLMH7`1v1cR_8v%Oem9h!}mNu9}tSa zIF_J;{c|kSF6?O5b+h!@x*%u2ErVOr6c$W*em+{zXS@%4GsoKeuGt1FF)I1TpcOiFiJ$)Te(osqYtYc4 zaM>XMSG0i(T?+f+_m^q$IED&wA22LlkF*0}9ColwZ18vQyw^{G==n=Oze{^lu!zWh z!0s&OYC0_xhQoQQk=F=HG5M%rM2*7%1@lcWt*^Q4%ZVT7!if|={vSyE@ zoSEuu6&T;WScze&uYIDkWGnBAv6E(C`NX=rl{kN%yTqqRKNLlBIyj=moQiFZN$n1m z|GF|zZ?&v6%iTv=*CwiGeV-}Iy)T%R9EI7 RHHEp=?+s%jSjR96IQEzs6>Er3? zT;?y5{I2PCICppYx=?;8IBV1&>@+%FEB223EW0jC1_sIJN1WT#y{w3JJ}yG?KIIQ( zPbDdTJ}{A%#0xelRs`OlFo;hm*lVRRQ;?Ph*U|=<`LI`tSueH)n4h;gko~+PCyUw} z1UAvOvG4s1m>{Pi##I(g-+di9ReqLb(U;pm-M#awZ*eC ziv=$wjF=G0dWiO;IM>4#o0DL2PjPbhfgxSpp-1jViWpgDQmvM~WX7NUEtl?4#9nJ-M0H#yY8L%e{dcSvtistK{a=MIOj&1Z`YYPoRy@2Gm&>) z1G4}!Hh+j!H{{o9Y0+?zmc)ay$7}GiM&mUc8r2H@3)!>PgIOF)b{Cs#BT-^2oz&j! zv8H#KLa|?sFs;TPgZrdOL9JY;&QkA7Gx*|Q<^4vm`P|bJ`=aUdiOI7cmZpo)Ke->I zVDH|yQVJEB)wMt-)G$5sT0f^~!@UeT6MsPGp3cDOxnJb{Cw!Xad}Mr*q-!RMsMWhWat`l+_b&WOqg-iRytGp3xW%8^ zJ_wpo*M9xy@a&IqPR8dSW@BHsZ9UK#t?ED~2@VfCiSjY$j(SRUHxC5^OA_VHcW9NA z2=<$Sx4R(Rwov4C2YcplHoBO5+#N$Pi{?9*v0P%iM=O$U@mfNoTMY_?5r9>!K&j9GL=;Ra8|ooH*vZmwpBEZqLnf*yOPozbrzy8dHiXNj8(1e_ctXyOk$i$p=;6*aAy$L;M!|m9s#bRx5Xd^JT;C z+36XpSg6Zvw{cm_J%h|Wn8WOC;6y~YPdI6K51ozuON{NhXXe!+`U=CwCSQhNelXZd zecJ%p^?<@u6v7aCLd}pC3w{uak)tn`_O8RQy(QX~6NsvP4n>RvWVmK=v1 zOx?-XVfEas?a6>KB{={)1G~&g?v2dGR(svAe(C@7J#$O!x@T?ce57lH8IpWExR-mt z1!*YRG)l*hHda*^tIp&jwE#O{wM;fGaI-Xt+PQoSIt&aU_;qPPHQ&C36xI?EXZR2E z*-a}g<|d68ebP|t;RzAjJ&gA2$)f(og6|;nxW-gss>BRrQ_PvMHRgUbEXfg`k<5EN z%fndekEIuI0+UK$G-J+o&Rp<=sq;x~awk;8if_RC|(0s5Rm<*5|SH@p)3)8Ue4YFqiK&{E0|cxH3mRaI4*J1 zd1qOSNvJcQraC2`UGS<2#iziAY{xHoFJX)dD#nRf@Oh5x7nfQ~t3fI2wowML8n?n9 z3wpZYu5MbXZB3b0>fI=^kTf&OVc-1Pbt!}YOTVPOv)1(3pF%ff$`{|jsjN1)H8%bS zJpjRKxgV4RmV+_F@8q}Cy0fF@@x+k&7H$t}2i}I})wJ^oUbJZ|k`KcTHl&AwO+CII z#c@@araLlZdxPT!SWi)foMZ7@?!s_=bvL|JsHVOCp`cp_izV{J+S+OtFKTwqB&Y-n zmwP}>{1d4OL?!XZ&ykBZ5z|#uK&+;d3>&iOmhvMlyJjxSFtcx(vHJK}=M#Y?wVICt zjvnStBJ%zKY@Df1xIT2~y1__PB*Mx_@-JZI0Wi{IH!>!M3a6jP$UpaEKo;!f^A{XIiP#HO{OB@fX4UwB`ium3G}GNS?_bdiRh2N;74b z)67yev(OYPEHg7r{xZv6ZD@eMGF>C`g)W&6DA(V1;53sz^zDDW1B29oeFBeW<81?{ zfwN)S$ltHn=teZmGY|N~uluxrs^yvQOWJdG%XA55i@`Zl& z#J>VYGh6lCE}E^Om?8d^>KIE3N)ENgVm@;-|8dDbM0H&4+H z_!P}b{Rb#6s+Rh99{BFBiUWmJ(T)=UsSr6sog&adQ7A&vHU@FkEKsM&5IE4rt$8Bn z)+{2NM2Wo8~Qr|guPguXDzt^cZdN@Tkh0?KCr(8PVj|z!gg^|)tQo`RI*izjFdq1 z#74LQFPP}WTZLU~R82kbE) z+f|)WXMQ+(;-XT!czo13&$|F;JTWy#QG3K~Dmf_nU4C?&AtEM1yi3{d9# zO~Y8jfUY}1vQo+FbV4jhP-4FqdR1@yj4#`48YUD%mWak#csqc~?Ceo08@Je+`(az>UhD$4du3}feXTh+8jb^5TN%p%NF1 z3&_{SH2iI-VVxJd)*rsMONfxZ!a%`&n8$3p>FUI9-VKo9I;NFRf$f5EU5#S`=;r-7 z`;e~jN$twW%nUHh{uy)s z)5pf9t=Tmuxd6GD>MU#SZrBpT0OWpm$cj?CC3yLMt&cxA5IUr#rG_jTOb3bmmWXsb zh;=Oc`nJ^)Sobez|L`B&I^e%uvt79*?pZJV2sJs($}|3~ zo%Q&?LUm?RX`bKkHp5~SSPZzYY?_MX)-+yScni3+g|DCsvbS}IeN(MLY3CYvg)&?J zx2?@Mq&3ZlvDc7rpv%N#x1IMT8Q3j*8LvMWdz6DI@z|qIZ|}&iikc{`8Z}Zc((I& z@5rYEz`#}HTSJ*h-z^inTZB2=tBE0w12e%pye&M-A8Ts*=7|CCu3(LJ+=i@r5WM|cgv`t= zGP(^3@|wBl1{*mRi%MFJT@bz>u-*EEukA}38xbMaiMA*t`@@I|O6b2#StIlWQhrIHgLJLhCXcMhY8wEjTj|9AeNQ)y=;$H4FA?ken;sqS_4cYl-1 zl5DxK6W+HR8K7wLigvu;!h!fOEFFfxyZg5ax3rslR>Q>a-&GmN6oI3LlJ6Y^CC>|< zZRbWXlT#>#xXzc0Ia&;oi~b>g?-y0N^TVEdiZ+b+iNq`*e&DXe;kgPPIl=CVf0~G% z^7ET(vJk!i5tiW;kTL36ksA*67a;)HR@+>;p=-p56r8_!18?RxYnd)*{TcwCNj=D4 zb&7aRgvj9`4-YQ2xaAzzd`9)xuD$ab#>mCHk&Qx0b3vFySecf}t<<4NWijW!D%*KB zA&jgRaat3``tDU!N5mB^CFl7Ghk?IwOQ9~fo&`m1$%L^9=50Hb+f|B8T*890o$s28 znGokErdl;gfeB;dU!;nb6X}laJf)7OWTtJW*Q)X8tY_?5W^l&c!6H!Emj}j1&yP=S zfmQ=XKyMM`Q+?v+ohdP6<;^z+iJg8qN>W84%A_78QU999f5s1~+&uoY$akcO$toP=5XYbT)1~x3ls0 zL$ViY5!5|zwQQ#8h+bB6s6{wRKwC0S-kk7+h^4J3gv6(f^6bcRanwxwz- z*~K$~e~YJ;Vh$Q{!1Z&*CAO-E=Ki$4OIn)8FU*goDSa)roP_yP$iPDGx&Fnjl47MN z;R?^qtJgCb2aVHT6ovIivw`d>RPMvjSdI$Eh2Z)1Gcc>VAdqF(!KOtAwlj`84oZ;w zk>AmIUmMs-X1;0GYxyaTcKiil-J_>y1$tdoL{czu4n3igU9NN2Tq0lQx3#|Lq z&?(>+EJ2K98#RisEZtJ^Bp-YCSXB$(v8t|n*{Yhm-Kx5#!>XG38>?#C&#fx^dsbEb zV&&XTPE6oxx;fpUOOR=3NwrC9fUAMaDHlgn>ZQV5L9172Y{S6KOXZ;mA{xAEx z|J{Gu-;@7AfBWps30T!6H8Qys&SS~?KmDUK5-Antbc+92+* zMsJ{l+JBYGZ_YjF+h@;kL$#-$j8SH)ea0o0iFr#1`zDe&d|$|qYC${pzFqaCk?0d# zzv_ut-5b^tkxF;iXUT&Xbg_Q0m3_*Krcc4Zy)iI{%{p}od1CIcKc99oKATVRH{u5C zy5cvv$L-;z?y33TMj!S7k1==TR(&=MMXsdo`FWQ)UARYqoXtpalTyj-wK_&BFN^B{ z2ZsZ8N46-M9vTzPCA0#juCX9G%_8gJ;2ij!IZn;q-q6()a7WHm-D)~4h&$u`z59(FjHVAoQD zmR&sZg;P%s%*jL~&3BHlopWQ(*TVUbq4a5+z8d)N?VVKWn__QPoy%3JU*S6P*x3AG zW>nV`e|Apt2AIFGcr5;$57xto*F;nCYw^E~>dTwhlP~k1cKWN~>@83+zUAI9?fLe& z`HQZ=$<^BR-Q(7~TmblR(zhUV^P-+DW-R_iQD}~cXZntn+yV2%l#RNbkYkxmA_o|8 zMBDVB#bz5M)>D(SlUS;`AsFY@QgYr|n6Jgga6MX2;!VOK;7B>Wtk*6kvj3R&*qBYx zx=k_b{4Q+eV%DTR`9jyjqCbP#5sIu#D18b%H2*T8M|eUo5x6jY?Fq#o2iKUrdH^G* z1BUMoE$6c+YwPCsE3dp`)BBZCMSUN+Sjp)TpO0?G1pkd9UrSY#++X92yp&JY(iGj! zgr@MU!1r#b-V~V8jQ(#KwpUtJ%qDhNSlwzT&)X9_#)k)|+e~A-$+F!e^H;v{t#4); z9~4wD*Ye^+^?RqCZfwT=`uOi6Z`m;K%d@`q&Drw;nZ{Kr*V%aQJj^M|X=3G^jKrOt z^@9T5VJEU)-HE?f5$s|=L@5NJFXq&H*0ao7pKVuMHyFK!Hh-V@`sLh765vY2vsS2- z4uF3m$!3KU`Ep>hu(AW!;HqFSVBu+uAKcw3-M zYrbJ9(~+(*G|b<-(~Rcy=DYwUvVQo{Qz0|2&vwhaJup z(YZ=QYe&~3q%ngd41dE?w%OD)&6exOZ_o+iOd)-pNsbdv>vivJ_0=8r72rw#Hq7KS zTIk+5x@RI&OK!L94`#XCQ*SC9Se`WtxbkXVrU8 zPXCC|EhQ7s*p{N6ibCyG_(!LuoDSW?BcUKoD_G>FFG2iKjOe!2b|#12#`er) zITHq)$q4wsBxf?BhQ?*)aOXBI=U3?~`BnNVe#<$)UPGmdVwefg7gl>purd0xXnMk6 zukEb^l0ITIX*;0_>VPqF2OcN$(v%)CI1@UHC;h{ho~M{Iauh!zr}K*3BT_q@kv)|s z2jVj#P8VU1nsH-Dy~zOW;)#DE-F7buyPV#fV5|IQUWY)t+u#hn$ zsfD#35#3Bcz?@Hmcz?CI|3KfvSnYY^U6iWz66ke@D>6gXE0B9r39!!zU0H- zKl2gBjc-{v=HBb8YfgQ2No626Nb)I|EVoe>-`6)ps~+Q7%J_u0QObAQ$$9SE2K$wd zB^GalT9(?G0D&oyqsS5f9$`0mYr4JW3e9KeKI%5-jP!_8G>2^MtWs89vhP0Awss9? z$A(JCp@~FgCqv(+SkN2Fy6}7M|1DVIAac2EfN9QQ_V{5D3M5L+F3(-_A}H}Q-`i}D zpR{-0DXfViK1&~h_NT7rdaFsAGOnA^>%RH#0P>Ql<70zL=WVEIA0YE-o)~Fie&t;l z+L<)C3-1(qf=B9hL-+GQGjb|WeZ z;`1}S@5{W`#EB8w?z3fqIDSFx!QA6iF#l(rp)FJ!$h88kQRpV@GFhhol>y?P5A3xF{zEH1BMhF z+cxVVnqDtUE_>X>-{ml9CZuNe`4D%R^~t~rwo3+qu)$-16DkO%17(O|x)pOL{s?&W zDb@6#b5{U2Q4`}DgM}^8@uyo!S++>ht5euWY|TP95(wT}DiVW3X)Jy1XN+8cxL37= z2esYB22-JYQ!^#9D*&_lk|uRFREGil7DOqhTT50^bu{@{DHjHgrP3&|v8>zfRid*c z>JCLH`gnYYLi6<4b##yTSo*7TAa#n5kn}Qb#NPs26-JPKO-*$sAiC~*H7y5mSS?pE z_unhc&u@$s7$#t+A^DS(9ZSmRy>GLdtA9(49zA%i#OgYQjt||sxH2$r2#!eM?4Pv! zB>;~)cn%=HPaYIFiY=?(KldQ#T=&%A8xjOh{0}OV`RI}UHU&<^qp|cUl{MY#G__6X zd+Irk2?chSYki&?q=30R0{{+(Fcx{wzGl|A+{h}yM{G}U6-(JV#pxi09M?#650>N- z^8F<&qJLHVQEzT1$l3zHkw4|{4vsnp`cMY%0L`*{>eA+X%L<>JZ~F?rZGto#OvtxC zTL1xASTKWP=P;{8Jlcz^|VRm68U(w%l64uT4t) zd-xSL_yx0~xjqQL6oT#lC4xPH$fgg$O4+pxf_+iTBZ%~02sA!aPABJ$HR$!M(96tI zv2>^)7c*L*01Df$6=_iK%8Jt5OU%))UN=}3qYh!!qi^KN|7MJh(-j4*iXv+fS{YPl zA$cvw8{E3*mP2vtroWg9aQRRt!7n+g3ds;TRS3mIM$CO^hU!J8uY;8hY-}h&nfwJ{ z3>GbZQ%Sb-niZND*bBeCdBNON`z^CkoLmvV8n^9ROLNEOInxS49;VC2$<v4~v&T<7?0!wmS(|Cg$N;3~-|LP%T*=tO zj>&k<`1!GSADJ9>)yreyFEVV)IzsfBz+S<%o&)we!>^#Lv>ng}u@*RMREb8ZhZ8tkvEYmRC-(+TW36{L1sf`^(K4#nHH%b3d}LKU^KUvbEk;(`n?{5I zdSh@}y&)L7s;2oEW$mWajvJt{#vfG;<+ZBU7?sqO$QzO4&$XRbVpV^_#2f1|IJV;V z?0an8kj(XT*t1aRrs_!VQ?~O01FmhZZude;r~cY4J%37(b% zf7^YqVimuK^!iaFmGZv(k#YbaEkvu7PFtn)aM!gJ_55EArmUu{WRyOAMRIh9kwT0+ z2cwsWZctn)Ef|?tA^04>%uPPq{jlG5GRx_0YeQ8#D7f$h1n`(qOLmbwH<%!@_Fj7& zT-o?ZmGib6jM`k&zWh-AROB*auphZJDmKIfDF7jLkBICsw4FOcD=^@%mjV9+tM;>; zLtAfFz(3BBCQQ%xl{R>2-R41wqvKaj(@Rn=Z;|F!S%L=^UdcUCtr}F+d9G<(xTafy z*Z$t94io+$%VJzkPt{&VWi8Wn*itPNFE5M?Q`D_}BYQFm-xqZTMcrm~L%u6YF>a_Q zs7bf}x@fX>C66#P)AVt!Z$ZmcP)IY_fN>;lPBhf$uG&pb-4)SN#e0*xx`+3gw;F49 z`TSagvoqq}oFe>z)smttu2tzX^*|JcH352%8{)^ME76yddV+9mvL2o~h!7Kr!M*}B zCW~53ZWL~#KITqknQV;~bDr3IeGS>TJuG=+X@J~y*aO6e*u_j@-R5~C(G&ANC^o(+ zJU`qp{#=ZU-&o$w%{#X%Zi-^2q^=eXHhdZPiLpYc8a9euluCt!kY{2iUCMz#F{zQ$ z2?)mWr!h~iWipc#tPYuh|{+}tpHW6OB4k`oyM7M`Ckak{WK1_dSr z7wmDm^6=UTDt#Vc?nn%d8f|bUieslvRz3h*_2~q_6(17K!|fgod5}Fm5uX2}#_{Jy zfm-f+6b8gE%vqj$D&l)CaLIg^4uo##hF^#f1B^l04W#r_Ythr}BgY2O%{CPLi7v6z zmqFb=L<}PRo9&)sXU;bxH>~pM9DwvtE?9_)K{9U*lQ7D_-@SbqD8p-6>d9F{`dA;- z1MQ(H-+=a@4>U=I{Y_H$z)FPlcKY_^wBgO`udDQmetKi}pG*gA z1lKe8)rGnaL$)E_f7n9{D_I?(a~6nM5E1*FmxIyI_lbIzU&579ZPjC^$PF|3X1QoO z5pJeqss^>~?~L}%F@F|#bvu!?`I}Lw4<;ZgR}dTy6PkRiTq6v4<3BjSEn9u>RBE@a zp?=$u^@{4m)CG0$^oq}^31pkQHDu0J3{vr+)dXnXn<&=`C}mXO9s3!80?xkKco+5C zkVD$%2Bvqt&YlAp^XIZ=|FYZa|JC*`{6DsLX@7h0|DJI}Y73ks?U?V2G}lH~dy#aw zY&KUauDelfv1$8z=u5_GDp!(lp#^js*ZHKOl7bFBt{;Zn$?Vs9kp}YPZKmUCH9LGz|3?@!D^J(~< zPnh%gpYvTeIlZU5VHSl0$Q(+>8Zd+qboRnrgq#;x|C!L$$DACf7ko0IU(G{w;Xf`* zR0f(SeM6~Z&m3!R%yXeUdJNVwz^pz(<)6w!@+?~6B~GV6gS z?5eGdxPbyJdICfki>hJq@{f}J~7tLeeJpSas0d>VWbr7|48RWjv`Z;U2`I-1K zKXmBW zh#~s_5;;u_g7_);(ysz}73CblgVc8KdSlC*dX9czNetWf0-XkFE{|{;nq93y-2M#V>Oj}u!uKu~qI1@0rm{ZVrJ9#;) z?vT?ty-zP{pX$ad%X@c_>B}2MAH7F*nh~=exypq(QPt(#EnCh;_aU(iyokmfKFLv_%Ii-$3H|&k4iN=N49+JXO!!wbU=8O#Qb~ zD4?-ir$v^YHb&bjOW)SHa+88@p@@8auh!MaMj?)_X@!2~4Rgx)Tma7u!3D_m}*$N2j#?Wpuv+V`O;f?qGGz<_W# zQnVoX{u<$?n%+aHjlu5?FAb7K>q}npZev-WnyaPd2OYUgsNmvTy7UyqB#wYT zzrbF+(7eSXlCZnRnGiEYnx$0%6hxtCvt1Kq+I@JpETjSj!~qCOm;E@^k?7#aeuTQ{HgETkWsJQZ>+-W zCvk@0%JNv1hb^~e09$a|c~-D>j%5k1%09@d%gfJ^XQeWrT^ynBRuPX&>-U z=ZLPtQ`05y>zm91{C$TJg^|Q!u2{<%lyh?vA~Lehtc(wtZJ#k}Y&F@9ht~b3&P8mS zE$kC)^D|1-JYtO*n&7DOC+`Ue7zB|>LG4B|#(5!3RvDz}r^)$1CL;*lN_(H;wm+vM zF-oOlb$iSf6Sim7+w6;&;INC#`(?iOSmCHkbTAG=Y$}W)+VR?;DB5Ya;WRo@1k+RL zY#qS%2d(GqYbYB-2KDQS++=us+(~C58@J{x_a5P6KAlTv9;=mV*k5bS>Sb<&{9=Bn8sO;+d)wPT#B5Xaqu%8M z`wOkOj?DuBwZDI~^r)Kt^Y^>f5z*99_HhAlh zO-|RookO}hdPeW-8nbnPjQ61OT~x8}`5~KBN2|(pyfJ$5%c^F`wkpNW-@IHi7p65P zsG-6GTlVturnNCvv3Kzs^sM>t=_GGm{BnSP8o%O>4~C!Bdut$2=!3Bsqa#(@=t|ds zuK4GyreK=eV5@mnLCTn9u#a{Ym>c=f=6X8n(0eaX|`Zx7CqfsA%_az4J zZRdP|{*}_0^DQiy7nH}GTPsCP!sHl{&v99`WL*P`)MnureW^{VTN01N5W-QkJD1cW zI!|AQ;|z9zJH~ztGipYcc$rU6q=5m_&Qlv-YfaFgS13 zlvp9#SBq>~@M%04Qr*s8XZx+Y_H_!V#LU|6?8VOP_xW9E-}AGb(sg|5&FwJf&1ivM zJ#D1Bi{D%bn+Cl$9!Ah;?Z0^gT`mB?9tYOYTC&t6W2p|sxQGeJr`tJuZnBbJP-zgWyh)Dr$S9(ievp%ynJ&km=?LP%jk)$XWE3?! zqMRT3P_3XJ+dW-2YNt;DL;o7-eO6XS7sI%rtdg){Zazt3TFDrw@yd$1O~E%~RXKmX zb645xCesb!?1jn|gm2Y7lO}QD-e}zmR`NuBz&A*Gq35_)gbR3=p{nl;E+cqu2UPm6 z!32(-uUakgo3!ix9JLzW^jo3){D0TpqP~kRR$8}poAELr$#1H4QdRo(W9%ey{!$IK zUIXM0U{Tmg6)ixaUYWzzZEvvqI?8&qS6HU8JN+ zSJ)8;{4Y?~XfjLG@HhBB7i_9~XIa_2H8C{zw*E|O3cju1nfh~$xiQ;H4QEm=OjnEp zy|Riz4-RBkiUD)}oDX&YF2}0&*mct@D(6i`5qJw?()@f^8+725VLLO!W^n+edQ(qd z9#DoE!ofgmN1tU8FgN>(Ve(?aC}JeP{YfbL|Il_O@KIIQqAfqImeyaZ*4iqlWf27uwg7Gc6cJQ5 zm-iTyh!uh$`G3#7@6AjWw6*^qAI-ewzI)F-_iXpvbI%pk4JEmZVxpG7sw~!h>sQXQ zf3uuy9?eKE;V&!imk*L#t2)wF`?H**3l3!!nHggH5ICOHkE7h)8ftZTGgP)Zdag{Z zWsb(6^ya#4>i958j4e97hyU$-DZ~ytCRO|!LRaAuQZJs*{DW#k$)7Y}-1ly{)ViT}y<#tfSJ?ken1z*a*>dB) zfbs%Tr+g{{GJs=KPHI$~fjph`pgaT6WyG>TO7Y?<%BjdQTz^;r?*&iWBuZR>E0Q+H ziE-IOB7sSwCVE4?MQ#izH+KkkjgkVRjR!X(iG)FWg_4&x46{02B+r43evT-f#AdGI z>3kh3+vF}v8}W1G3$q$89-kEj_aj%3HuWL0fmm@>8p9MI@+HSjja?LMuej+1ChZ}= z%~H$6qlLTL$_?}Q5<=mGS#?b${K1V@C!Ug;Pxr5vAVQxWT*0AS3Kr&P<6)qt)ZlEO)n`;>h#p<@u+ zM<1LR^4A1qLuY*;woRh&iozwt>Dfg?lO5U;`|0PClT*hK{9Cr&c(^)T!iJPug8V18 zk9HZ>H+*sZgw8>h!(~oJs$JGoOE%Hp2}FB|+?5@fyJS|@`v;;TP>+&hX@u+^;SGMz z{qm*#%RBJES?;Kz55{5n>QM-q82P(kfP0I_v91dB>QY9WP3!Qn47&`mG2@3w9=T^A z8-ipCsge%Lk_MzBF;; zn+>AUHHDUKH14|>R*^*i0~BqB%@0B~ALq~mb{O2-(PvD5mCuo;9|#|ZSa37{6#|oz zqh)Ba3kxzGls_8AlJP%2w4V~3i$~aLU8OPSeKkfxN9_r5UoIqotJJ}*y-G-6`2?2p zxbxSCOE#6R55#sf>I#JPV?$h_M%3>aeJ{k{gv*)YoW4r&B0%n2A^g9qL9QHmaGl7UoF9& z2*$7)`^4P}-zPYuaI}yFVr{*mu}bpEa~wMkJT}Vw67%%jaM?S?eR8s3W>}$^0qhYd z{6?mW(;t#|&}T4Y?oqOaQ4F4Dhidi|$w-Qj0fLEq%hfq@^%^V%}Tx~s$hOh1gvmv zNGt}#2?QZcw`U<(p=XyU=U~}8GP>v-6RN-Nz`L+n8;nMfv1g`SI3eK%33nY*b1fnELolvV1C(OWjf;jQ7 zWvpTNbxkD-adCA*pdRpSxe1lL7xFI;SwA4GyQH%0HRC>6P^DZbwwrjvdaMHD5h`?m z#+Xm>4a#C#9fTCiR!(@sQMpS?_NtKsT|Q?#idW62?(7*#UQSi35GN{}M&x`N|s|y zIuG;Swf9&IkwmKa0`iv*0COd8VGwXuv~}x7BxS12q?_hB<=Oidw_lK8jFhwq!ZM4cqwE%zZz&;j^nz zOln~VvanM-W(GzCUJ*C~=Ieoy*Mfwr1s3LWKQfs7RRhTme?VnZ`h|!hQFh48ORWwY zA;yrLe8IN))zlqoUwx@r{%(V$3&*q#m93Ay7k1YsC_!lj?0dltJBON_7)Xs`v*`GM z^m>uFNh-~ia@as@_oz^;p=;2@i!SRGX5|ycgHHlfX|)7#s35tZ#YUwAe&ap~Oi&Jb zeD3xSWa1^>daIKEuf+qm1H62LYRP?V%1>xG@G4v{>lwJL&M!!vK2u7II}$fb%`g4F z%CgQrBS_GQ%hqeCGdB4d@*Pbf;tagh z<}RljAfnnI>|60n6h3m6iwv+T>sXX{f&s_hH4}ruzDhkF$+c@G*g@(BEi;ED&p%Vr z`jfLSwk%(5UOK5M@d&m)bZ5tp6d!Q>!u%NsNLaijKs0R38-8lurf?U%jBmfWsV zQcjkP>zNi70aXfkrmhncerxL4V9y&L9hU5HK7f(gRn631gGQ<=OPF<3R>`1ANC)`c z27XER=-tXM7n5w_hhq;kTw6{W9}r`wY>)lAn$kc`Jzy!@bIVc3%0V_Wqy z&9U;0A7c_#FsMP&l9Jw>N2K{=C6mB6Vn7SEwQiNe&B7D(f)1{YeiqJ|Tpjk`SQqy1 zWGdPpi+1JIa~aVpmT2)|R8%?Fg8||>$ek`IR6R!{)GBB6L1K*fK!reLOg9d)swV;&IYfAm>mANa!E?yC12+H!4 zqS&Xz0UyR*B~G*K!;skvtChWc*bbn@kGiRW$Ex!y%XWm5UGk$3>$yzW+=Ot53sgRL z1zCBonUEtaF(4G0pKAJ zuJ~{)@u6kA3FZF0Awq0B)FMIqR`@f`-tR;TNq^)Ph;GFn<;||}N1%aKL<;o`rk>P% zP8vYyub*;ks5W<%v@W9-F54E}12>5YSGu0nfhl`&Fu&tN{<5U7jQ2aDpYwh&?_Gb_ zyKXe2+EfuTU)N4z#a1HWWZSD8+Z&L9ZqnECk9|`v4JEIovuFJLf5oK@mr#|0}^?rMV}>mf$G})LV;- zUeO9+WqzuM^2bRPl05>i`P>^opjrL_=;DwM8q~N z8+}{XC!Nrh!loTgkdeHSip7#IQdZ)yBrzM5C{TH~VPLGHd|VOd+2^?BXz`ljB0@9C zX_C7^;()S-C`kJ8b>A>=03#O2xf6^d>_zKg2?0zyx9*oAesOdJ`=d<3G%eVGN;ph4f6N_QwF9#NjEzG3Av z`}rsb3vrp~UHGGiz|+I^XZB)6+5Y>_84+_i-}Vz*=|Qm`AT>xx&N*VxApWiPI~~CX zz5|7vq$(p$O^X$CLC|cNLXVS+pp|M(QsHIFh}LidwfLBe)AZBMO?tirS_T z^Cnb5n@aj<#B6K^qy5onc8>ZR(5wL8OZLi>2vrc|DqslIG47usVkhz06bz;=@DLm& z*n~wqJy^CYieZ4^!jU4B6uU%3>o5eyzL4lKcXl04|5-E)ll9#IpT0T9fs z#raqz;#rjQT%?%jW(fxgG(@&FV@BfLn5xHbLcC>baw$EyA+p1fvu~Ss^#D7KWFTP9 zLSx2wWo~JS08$zFe#Mn4j0@tn-+)k^I*Np?Tso}ieY-nS$W2eu*-t5g=1HZ0zcWdA zTFPci1uYPS#)_ma8b2Oy=V~N_!WV1wpW8p979|LrA<})pBWz3VmQU?+fOgs_qzKyr zr`8c?$@7CqUTF|Px?R>mz+K9_alc?X%rgRq4#-d%O1ceMvnH^1`goXiz5if(%&{t|l0ru}n8i3mJ zWew@_ePOidr+o1SWNyTFdR3#Jn<{syS(N)`I%23T_um1+U&RR`Y9=G2A|j(e?;d;j zQOumLQG+8QQC2cEj_nJ1Bccz`eU6V3kToum8FI?3kPn3gTj&a<55&gY75xOjMu8hu zcFM06I~=K;k*QOeP}vrW)FnFnE06)=ltpXI_)yWMY8qVx-K=ZC!rcHv(|TVNOmsWn zJixrzNDQQQ*FJELR@dcT{JDFch#MBDwEj)|r~z3<=c(R^%fty&FQexzC9Ykw?-_)$ z=+N`NYQ&MJtv;pIlV_bEwXmpjYw>8M;I9ZJZ`W!obvmD@Y2F@t^EJ)1dXdPfm3XE+ zrG?yb6BMr--TjLCx0N~NXVMt`|biqGHNOaeq1z~PEMffi{(IPK^5Q9?M<3VZpHzn^H0f3A$Fco0O; zh~Y7)9S;-=dMjP+4!6mTpc@fG75nUdU#2-gTx4qBT<)>PjE7ZyZ?MtySWjJJ(w(OJ zhdI2*-f@*^ruWe|A2+a7Sw>uU?US^rVxjg#Dd|GdEo!{!9d5D@@sB3YUxof|sjD}L zu7<~zFl8Bl)cKo+Cc_ti^ITRoSqO2p^n2Ngx()Ei$Oh#zg*7S=ndz^`eWpT(S-Y`& zid_p{q$sY+!-#~m>2`@y(;jjT+g-A0TwjAvgHM@38$EEw%*kMT>2ja+0EV8QLbwbd&N9J*f)kD2kB6 zUEKMiB867UUnv6+{~MKi%2)XlrgUPyxT=ZjEsE|&bMykf$h8^}nNU|NtSW83N$K1k z9!4-h5GDI;6LZp{z3vjan|6{;W<)-{Ik(-cpRtKy23RdGLR(QQ#&RkWy#*A^}Q1jr;P&1Drq`BBc>(g`(yJeNlW z&SN~vIB7h8sPYLAI*(MY$Lcvhka;1d}G%yg86ip>`9+X4TC3F6MecCt@qdgMiD zitiI{I$=skTq0T)g!~&*Skvg~6u zO)=t!g~!s98VP&%<}`0aa@mpf4!*^#e*S=uQ#EcsrNT^C({5nfA#=3z6cvjw`c*G*JjBEg%>rKyok%g=IoD9@k?xX% zY-h=e&Jg|J#Um2bC}B4&^VQgwFbS9Ija;AKtr5fI>_%jbWGz)I^$0d{*RP^T&8}X- z_N(?Ut0&$tXkKI_W((;_Uh!w;!mMA^TYhV7_Ni4zNATdqUfdDzSsfiLcRjf4L4x2i zxlwD2+?*vhg}f=`2E||hVgWY=+#Dx2`P}4llgCXjPPDHYus!5^c>oQStShNmD3h|7 zb94zyGoJvmn)d*kn^scyK+0~X2uNVH#CoQat;qP%OR*>sR|R5%*qGS$k|z6$ zYP%gPWT1WlPsYkA^~Qp|$@~1(#MhfXH2QA7vt^|?*uI8su0m^x!j|$-mZM^+R9z8ea8HU1$_$#&}>P4Nu9Zjvp&t$gtl(j#=7>x zHgu_&t-2?)Z*WqrbTk1beAQ-Thjwmt8as2hDLFm>8CBB(R%2&rJ5h0=PjU z0?h;o(@Pe$7o9|J&t*;x}yYP%WZQZ37(1m zj_;NWYnHB?daU38^1@A04GaiUR~46Rlvg}RR&jOjK3+I?`2cFEX7v@KWRF(jbqW(m zA=8Iib;xF~>j#2dbvWzTKpq{J zDKgW&l&#dY2??@u%RG^}9G&@cq5G6zz-xVR`uoN49I3Xv%1HEvJlHnGxr}7JmR;#& z+!8Dp^H~=jeAB-2;r^n?l>xPOma3NXA8CkK!(4SvT)1o?erc5+uCzMV|L(p$$ne*p z-gp7oH}5*x;IA&9<ZOvC*wtK}I+E2KpHbE*uMIWj_zaK`< z?AJYVdcTm7cwA7==ub_DX~QK2V!u#ibHDD<#kyn_^|Rk-j-?t) zcB(Sw*Cfk_v#7R`6UOUkj(RHD<5&~ZKy0i!z$(glc-5_0@>;_*fNC=EnktuFxwUvW z1xUUw!z`EbPk~jLywM-C!~K3_+-cx$f&m=eOu0 zO)+k?w>3+6DbYyGw-H}N#m-XxcrRzm%Nxu>=YMz@Q}_^UcPSQjIgRExaxL1e>fqJn z!v^fCgJWhI@jt7pK{{`I)xq11xWpTVYFA3&*9{dMvvotDwt`18=X8hs8!3?<@@H5p zXgTC>QpOu{&S?>b42?k<1~fxP=LuKbP+?B?MNS9EdZr{UF$QDLDMGRL6djV|yM^wO zgSJRIbAP`pCSI+PW`7i7ba(3x>Qhjyc_Jh@@GS@)jCjsDA_`{7&EV;|r(3gSBuGPW zvZC9>XJ?C~s+MkANsT$}?Q+J++)Kw7Yb@rgqqlAdSW%xjPZbTsCi`-Y_}P>UETo1; zr;tDHAd)-p?W-9+ITf3v*vWx)5V3Lp7c{TQL6E8Ee;nY&Q=z$Ju9+M$uufAD2pQ?q z-%WU5!!W>kijusz*5@3-RbOy{vyX zdGMjGw@Y!=!SRt}st#TeX)iRA_#+j$Tm|ho3n*sI7AA}B%#RMS7T0kp*$3|B&{KU7 zo=R2U4-UZ&%u(l!u{!OkHjJ-XGx>yUpz`B0; zGHzkgKze(0OBvdrQLgG{pV3?7X;iM)^63?CxrAkZ-d?I`IP4M?hYl6FQY`U7SLuWv zTa&c`O&G5rPJB1i`bO~M{1gDnt)~P7ghA%(Gp+V($t1iSU&~NvaUz0vJNh^aEq1|? z(ekK9i~LZ{M1Gb^3Rw^AcmE)pXlKi77jo3LLS=12l;sUT$Er&Pu@~@ABX$XtlHt@h zT1D(lvN)wV6l6X@Wt=y`>|TrOG{+i=54!-9wOAow+Nb$INTPwnM;WYFJ|UQIf%b{a zEt{ad6BTJDeCres?ZMhrO5%`)Wh!*x@}KTS1DMbBqPBZ$Jp`vw4RdOM!)An5y(b-Dpwg?V^Oyv+jsq#W?cGk zE`SmDss6$e&*ov2sT=7Fhjqa)b@WYAEG5P?6%_rsZB0#4t1qoTHiF*CxgZ z`uJCyh;RkzarF=PnI@8V+hqej>qo5tK3R^d^6U^rBwwHfgh&|rYPUMh59eW8UAW`? zKT4a-6XM~h-?@~v77I{I+d?1;md%o?*f%dwA}R!CBmPpQ(8fIXl{{OO z+ZJ0!@F~ZQT+Mq+84aA*DIX@I3vrIRLTK9dDsXP!y7OR02G!7@!bjhc3puwG229hz zpD_=zaz%!Q)r;I)Wp%!I0gXGaU2hq!XN0u8e&w?OREYt=L)zQx>R#2;hbRekeo zI7Gf#rwYWkL{{^7W@H(+BM%2sENh_=+n#SGM}e@GcF_sw0nKW-t`f5$f-IVt_HWxoKclNl#n$o0Vkz?@(9@JA z6wPPbMJz*kym~4%XtX^`SJG&UodUPF@HAL4*(}Ma9(o1ov`~Q!=+}u&;W{u~b?|@`iBOj1vl^XCKYW!q-hdgoRClEa8cY_(w*32&|~cg7eXSm%;7G{;lEm z4#*cHQVbMnL=Q?g;L$qYr3a*YZABH(_+s1g1Jgbg;xH2<_z1Z!VkI;NPunaJPrWD4qfIV(wZJK@p_|!c@|jPoA^cs#S(z zRc@gcO6sJ~w8pN3t+OXHj{rkPkYCCKEsJS;aQp8G@@GMM6Q(_C=6}YtiHiWt8ACPV z|CK}I)sia*1o5ab7094r9jwz54UaMgAe$8#SVFlW^o*v!U&PUPYUCRlqc3Y0K(JQ! zqToY0I<-F2`R!mbtMjjLd!)|KWI&zq#1SZtQob^~fm9`!8b!PkviqC^)=RfIN3)i8 z0|yN17PVW!xr)Y-52NHKnf3)cOEu-XUOM})O)LC8HR)l*Be4;3w`SMT78H|Mie;1q z`5#ehEg?4+%ob{98zK5&EbgP<@6rsb)u-R7g@N&E7eK?9$)YQToYO0CSSCVS&TACY z(lw0HkQtZFWvz|NKIp3jAALZ}uEJ9cGFvf77p7THQHg7vYGY)3{a@$E0Fx?QcF?$QGGiMy52n`8N^kj$ZJ=hNmugm#PH%l#pxu?c8^8lg zm0;Ihr!;Stf`kAu8kA;L3l}LHzz>!LwJ|c55S-H+nEzvkVpLRu$K<(s? zzoaASiq3OXzeiCln}m-?C}%ioP*aXN^}9H#8)k+poB+;9Q)$}E(9xYrNeu@YMY&;aTN`(8*5*8|d(xaZ2cTjYt?Zt#zUlU4 zaHc1N|AU@L_QckDA`oPHqE-@wnm$2IWl8F4ZojoB-I+(UqA8XLll~Vy`C$urF#fbw zdU0j8ELbK2h#_#HDmP_uJ1cf zc*{s292*@>Pp@59`K4u#bD%*!ZGkf}pIdqSp`zLE#eLs}%9oI?t)TMO@6RRQ)#9ku zTihYG|M!zH-__zJt+Qu6iUw(zEeUecl3-9q5|Co7xjy}_7B9WHRjeK-l-QDib}H@C zf*9_WsnY*Fe;+T+cv7l0{@$x(zpFnKfd{P|wZ)0Ed>VbO;&RR;8(Ax~yFo=E|DRxa z02X~KwEJ`AE*v1fndYKu5Ke(sV7yz=UW);k=sOQDQPto(@h0=3(#;sR7&0d~k!$_>w z6ezxoBTK<6lpWuS6pWYg#xqft%DPs@w2hytzS7Sl3@hqQCROTFtGE4Vllt)m^_5AAMw6dB1a9SRoE5-kC1q8Fs0eti30R`6yQBH_|Y!a3fKruC$>Vn5O2MM*Jea!akC|RZnT}PV*vn z=xN9{=seTxGVWS7`xsA=?4zimRc~Ir7f`tPaR=A)j@e^$0rh`0Wf+>Z5?-n{o z&{n&Qfx@qFoT(y3oW~Vm>1n0sa4ZWpv-1;wQKgg^_qxuRKz3Jl0c7}-&AaO~IlI$T zMSIdwc3nE+0T5`8cA+UyRqC!M$L9v28;R5S zk=r62kOA4j594C}X5MZjc5K0G=PjV)wo&c>sao7NdM!QNSFIu$@kg*MhfL4yj>}I2 zgEQz!zSgcWIZrwR%s;tn3CsjaW8}32X0QM=)<}%Z28PxAR-o9A9VAfbC4MOoHWkp! z{0V@PfW#^sNS;(t(t@Zmkf{-@aEksCzBb~QP#d_HP6L=cTa|mByUeX< zKf|9Ez>b=O9w!$P@ze|8Qair`3)L?+#{yeFMFwaL2g{;BU&;e*;1S4OnZd@7z7-qo zK*qW)IleDJhV!`^wdR&=idekw<2N+oBN=Vg-7bvuzu(=`R=WE)r~#4_f(n*Z&|UG? zTl4B59$c)0Gu`d}t=(<&-nK2howlv_lguvPr=OA2#NlvUrhlmqHaUM0s`lnZn-vns z;Lw`Yew_@YThdvnArtmls9fj%^+68(=1UHjT*gdN@m%(?%5IJ$wp6*^b<93-9q8B| zmE1KQ)n>nvwoV+`Hinv(`gBWrZQE^1x6?{i-SbeTDxO3X8xC%n;_xy%nVKk@FHlPhu#q|Rj8^< z6Z?YG4!Z-z{?(Q=;SLhZ*}^YuEg=@8u|ahg^B`X(kk#utj~;R zQRuE6<^0iA?(cQb*t&pw*FcwgRfQ6S*U2CIn%FxN$q@URXuK*e+^VT_YTLk4SZ%61 zHKu||v{`Oe(~}$(Wlq)|R)F^S1IZyo)EhJO{A0PlsxNUS!_-SzS0BZaW(F5UJa3MR zNRg}rQFZDsUZ*qhg3d9*@Y*7(0o=O~aNufI7; zzkWgWTE|*@?K%&x&)AGcY-+2f&DKDzMIx@-b$-qz2Ff2F{`X9GUXuxT4mAzs#~;!p6kX1l#0D+A2+lx+#joeG$5 zF-R|`fHLbmyK@1NSJGydt>>Jr+?mRj3;L_cD-X6@(V~TpG3dK=LKAWGT%Dju(WYmm zr1gY7dR|7{#3`6Y6-9-pB|_DUJWU7b=c)l#HA=XuH>)z@cThtHNpC=-Es<2I!1)#= zos|s+B0~6t{5{HKUXeE3mAW+Id9(on`)L-EHQdkM@`mgb5#{L}53jWHl0nDYB9WW#cZ$!Y^pVkV zmyvjo0$CI+`Yh2`1jT=9zsR|XY8SYzAKhMb2mZ` zb6=5I!`fAmg`+G56b7xNVkxzX9OPDLDj74S=MUVAC>- z-ehF+24GNDn~$gh`|Yi{x}WFBfaqEL_mxr#!T;2jpD=k-B(IvA>F|TfB2{yBA3I!I z32X7}EK`L{xpJ%(S%$5QEBu97r7b4^} zB?cBkU}70~h11r9H$O5}IJa}3TgRVu9jS+SuT2Ie=8gEO&Z07tf`x&ra!>0eNkTn9 znM}xYBlZx5n!}9bKmvMjrv+77KpF8)O0syi0TW22g(nezp?O`yp=??sJ_Rx6>I&I@ zB^wc@sW{WAbX1HILa7xniHV|>T&u*}iKV5E-dHhCZ>$g$iUId08uN_E-*TOXlwKgk+97Wh_?yzR!@*%oZ5k*}<0J zw1e*K0H1%$*#XC*sZ-Hpr?}dlK&A_!D9happm#1Oq-l!ns>fn!`My@%c zLH5?)(=bwzOv0SGqUNlpCIPVwQKW;|&Vuq7(`GVP8Sw+FfEPC{w2+fx*Ma_Sl6DP` z;yZUfr>8*728NDvHmuDar;B0K=9EM#N1n=rLgr91oA9Ozzm}T+8^s!FbzZ*V*>vBX zPgvoVKK|t^JyCSuN=I(XDx z=6yG($@46IC&~_$@6)_&u&^lC|)*iI2U{-1=(7Ah&v;3M@OYN1pc8`{OfH%Gt`WK zQ!SOv68Oj&eBn^*RrREFOX)t>#vpU5{XS+!-`P?~4#)MJ%kK|0b?Hm3C)HOFVs3GH z8KKqzrh=Hi${DawuFG~#7^GOElgk?ERlw079aNklMY?!T8C+vN$0(-!_5j|K5$w*7 zgmuzTNw&wqKqdOcg(uycgrGxAVBUpdvX}o&9>f~hMYaYlQMFhw&PZ=vL)X>f=OV!) z`ld?IP;FeM);q}RYtHgflw++_r|O9Fl`X)y9j@lG!mQN}0c)O=VlsNEi}>fNsmE{X zyR;o44~_WqYHdZUPHVQP4Y7gxoz&b2QWTN6OiE{qxf@JWsL zK2AKkOl|A~z^RkH4RnbwR*Pp}mc;4$N8Gr}Idx!C^xkoKh~}048u4*r5NQpnI)8HOoiCJP zZs$D%#_K#1RO)it(Geod1b8EM3^%P4*}?4)5z_`)aADhZ&v!nEH^6w-70p>&Df9Y_jUkb`ln3hDleXa-%(!y#xD%q%Z8F5?TR zLfjXTDV|0i|BL2QKGMh2#IffD*+?4IbQ{HQ${Tk*k1>nnt(Zj*N~$=glDp>8FrW-# z=UiTi0DY-J3D6X0M{75dw_=sr$o?(qpQz23UEP^f9VS1PsV&0R^{0#rBsN4Fsu~f> zbjq5aSx=_*h&Ph8H0I&Jo!|zo>&6+eE|5WUl#r)Hs&}nMQGl_2G1e1r`cMs#C{!T? z&ifo^Bqm7FX8luIA=WPk|H1j{8*OWY1P#itq2Qa>sR$s?0Ng-pH9}k7_`s~x1`%(zi zHT2b1Y@Nq~t=I!OssyfaUY3ca%j^&hh5r-tJ!93m(Es_cRB;68zxv+{^mkEE0Db5y z4XAL8H_qdK*&N}K!5*@O7R3;AHh`J*2a{WT@Y5C_$f9d=c1_I{7&>YgQnQ-BcG~cb zlt}$cL%FRLoTp|>i8Z=J>en7jMyv{z(Ht(;A$jV-=FF5e7L6`u%h&#Pz>~ z*Ih1+JttO#$aVoEOSYR-$;ynpmDQdHuM*iF;h{B8EHdOn**vj0&3W$C}g6&{Zs zln3>McgYolC_jOhMcbPRu@uABlkZgXOq)PhYl&*5P|A(Vl=4<;e-1S$D^AWi_!cYf zvCZFZ#of*O)~&cI*@<<^!Iz!g|#kj1x$M1_i=ecpGv|}6DaKN$DD41$!Of@dhx1bwHdWk?w$rb~xjnd92 zS1bRCSHi2OexbSfT|PTP(VKvRqTb%MRJ|<9q&LI$0vGOw=_EXOH-1ArD&fgfwI92$_73z|_wZfZB|ySdiyE(@El8LLHo1PON7C>oeRd8M2r8u1DnFgdsIgNbEHV3W?pKgP(&G z{Ezk7Xg<>60sqpQ@uU_;O`Zz|77*9zyeF?TcW2yY8IteAY)jzj>%#M(1pGHMO`Wx` z>p~~Eg-*wJ1hr=6^0IrrlU{_CY+cSH?k78U>wf+fy(#T%aPJ>S?tATc<}3~Z=>ewt zHUH$TzH4LruKIM9DCZgW$Ctmy@LVi!|39oFEMn>bg-DxC{C@TnB3NCDaAr{`&Klu- zwyt;pM!LEdB1ots%wDnrvDZf5?0iZ`$#^_( z68FY@J)4y&Ea8UoC{T3?6Z(IMfUs0v$Eq?P;X2yY&#~W?cn{N-x&Uv)Wo1qj1C`1H z(FTZ&Hi7B6=VwI@NX5|=Ucwt=hQw`K3LMwTr-h00$^zv)m~kt-5Hj~G-9q+1&M)PG z%wj}c#rM&sXHJMhi@n2xmV)ljJoWTf>^bSX-;3Bdp6aZGJQ9(ra|bT>2dbu`$DUGI z7em@hs+^`FW6*cFO2v^othE7kxf6$+{TKM(Wl(Q~mji%HiW$C`C}_li_cXskx2NpV zble@7J-YR#rp{0bRV|i`PatVASarwRNX+Gi3}wNdY9PtYYpK~j2~nq<3#6I?a{9E1 zPF+Gt5QTA3#-@P1k`mh`kX+7LzSG}3C$gPGD~kJt#VkY9TZ?;S zU79CVeiwI?ozLdA>;ooM^m)jticYjfZ`wcY#p8Yq44U2)_hivqr>sO&n%=VFV`q$~ zWh*Hou5A-%I1fB>xC_+cY?QYu4Z_WWY7nBVy=%D99hT5ZkM4}vb|7g zwh|Ax2jWO~RYRCgz_7KaIj`(+JDI`sDE85jq)3gh&A=XRxx z-NBkGRgG^26=w+oTY~)R|3@lnK1MGKma?hHh&>3OwgTLF3b^k>&PLovD|Fh3{Q=89 zgTtLIJL_Ehl8CnvifP7)jF8l7I=z%Dlil=clVAGAGcY)Q+WEtaf;%;9ca6ZT^}(8d zWA4LbRE$2H;;N=2OoRUVV8*Q3{6aS6M(;`I5{VBMz`s{0H47MgD+H3o{+~)+&6w*+ zX~G-0Rml809;o0s$&dK`h}?)voUj@w5!OuxN^NK$%ZJF+K%a0IX6;wWyqq{$wSTUs zkr>K7q^cylmsobaXc2865+IeW*_=n8|Nd}xWL7Cix;Xut;gyJ51o3_@8ku_ z%$M<(HaA@|d&tDti7s$n`io$&1qZDVK5qtZ>UFUb?%b;2O1;1iD-uGfb;7xSri&lq zq?ZDh>jKUaQ9bTV&zpfcJ6U)XYm$PV6?u7W+KN;&yK0KG0@0LL)#FhaR+mfXSE_W2 zErcylM^1{qs_v)wQ zRg)c$AO0-6H)?h433#yOZb#`#8>hYMN{cZqS8b|2oat?O{#tiio)e(}jWLp);vwtIN&(l`OwbS>1o4oo{)~i=|MLtbVANl4AK2`g0hKx&8Pbv>u_e=CZ z(EOxvrnqoq&rVhJY&nIeo3GyI>+dTfDgEto%!M4&F@4?2&{_kgTSW<0DQYQ&*wN)vu26+GF1gov8#j^g_Tg z>#n|*d}eZZr#eDJ&hseZ{0y1|9&+8+ojsjmv4(aNPgX!pBe5we8rX~nW&4?RX|xhz(oJwf^I6~Y81bYtZy)VZfk&`QPXp9^=XC-K% z^}djjNA+HJ-M;5<>7Jb&OpeI&WxBT2{`?LC*Iz-%1}Z zp-*s*?Jlg8(>^!}v7FN`I)u#*#gTS_TC^JgL;GQG1LM88Wl%<`#|4?Jde_g&zU@i(-w{yxL zIdDpB3QwIcLZi!;gXpZr$X$W5S}o#KPWI^)KFRpUXHjw{*}cr8b03qBH&_m{RjDXZJESY;&;2JrNh9j1P zG2$E|kI5mHCP78It<=L(lW)qI71JjxNSq0bG4yRDc7fnP?S0i?gh!3eX6`w_flF{A zUAk-8!A56@Dn3AE$ebi4-0_g(Jhz3-8;a{g<{>#Mu_4GGd+8`4u-NV%9JFos2q>9uU<}m z`?!jQxuu6c^`=f_@8;{)@Xgs_{@m5Lj6KQi%RBGKPt2n5B0Z^V#TOsfg+qp;lgN=6Sn+F?HwHeW_=>__;rN6ivzLd^#y4r5e zPW#4Qe;cf7uCQX&zz#20)T%3~DrhM>(L4p+G!E~L6i_lXl7}9a5^^3YNA;R@LZymV zAN7B%*Q2NyMDl%dX=y(xA5s*7~aRZiV_+mEW9fi+V^tzhLt9S(cBgZAr_a{q7uO1A+mKXPs=k^sp74KgJ#~4qf zrq#jNq+Y&gP0+fh(9UC@W0QJ3{#;m9Ge8(IpHHF%!P>kbzdqAfH0(AF-jbr%2m6)= zxwXeP9H4&s_DNIvUHHVS!WDv4PAvqS0s+T}b1t)Q$u>Y-8kWyTe0iX8VcpBLC6e}R zURwR_X=nQETj~!8T7u?EBYuKBuE+)W-eIc(paRJ%cqURex9*F zQYcMHhh15@obyGkD1hvXIGn?wBtB<~{Ay92)NyNjM&Z@UZy3Ch1xu<9afns4a3~nP zS7c>%RUbB&R=l~S8-rC^ZErvjFqo090!d~}kYO??H|kc+io)88Tw#c8DC|dW2HOCV zX&2dM2aecu$b8Rh{Vd)3NLo)-aonmsY~-QF)I*D9NStj5R(W<2&zwWtSe>7#I@2fV z(4OEWqM_;e2wR3}@AX@0?oS*M;(wYX0s9M63ZC?`RC)jjz>&c~`9q{k(B1t(mOFAOH{0lhXyPTH3+ ze>HO??(v>NAIlNuAkMH;H=^f=f?Ph+zIp`xeZ?b{$njSHnyHXUIeS7CnJkY!xTFYp zmGFN>dT1A3q(r})LegBmaDdRX(Am#nRjYp$6|lL&9>)p8&ZY9G;~hL=j*&Q@yC!H0 z@Vmj?e$)M#O6Ba<~FCBtJBS~ zQqsQ%mD;cTSV@xJ?)h$|Yf9HU?~V|KU&W~SI2tl?Ozc3L$O-DrV%`MJb(DEYmGNP3 z|Bb6``G`8b7eR`3wshtjh&0*m(}5EaImpr$H%6?%e-c!(qR0L^S6*6E^JDiE95wMM zYfu5l0R?SX`66MQ^`-kt8|~E>ih#bN5SiKwHHiLQAB-&x<^o=nwe3NO|AMR0IFzVG zg9!89FK<=ZptV3!VhMJycAh64Owbx%s6_wO&QdHOxh-9Pweym?mb!Xhz1$u-RQkD$ z^O63Pt$-jy84t4`-H8K|Pr9a^}!$YzQ!%eU20_C#>5uHEq}X+f@*ACN0> zAV#|knI2!4-Vk^!K@AC7R~7}q#zGNf)_5$Yj%U<$#{r%9LTLCIw{;`X^rIHWYo_;~beuF>D_D4!2YCY8sdyw-QCDNUv z_)ZWLg(+^el{>9x?nBYM3eE`J_GOdf{OG?n`L03#ii)thqIyMYoIwTg^^s0htcOLz z#(WOJ+thn)&pDOZ3u{s1QyEhr@C9=`w>;c z+mHpSXE`?=xXHl>!Q3iVom+0@-d{m8$6Jy6b9v(@(}El*iRDq(w7bBw$oI$FeQF8H z`GT9e%ML=lWf24au)4T@Rs0?z!`{SVJ3} zDgdV7AJVDZ#NzBZgoB#vg65DSOo0VM3W7IRS6xmljDK0`{XlYDchz{K2`Jn z&&~Q+P5YXLt^s4g<3HFF;w;Q{i&RrJ2l4_XHRa}NW6rWge}DGbAC{~#SMT4okOLrm z>vknO{kUdFo04_7_l!BK7ye@G*s(FE&3*^)l7n+pHnA@`;#|KGtPdmuIW>pd z`1dl<0V7l+IP1`6P%^juqTd#W9xeyQf|44k+*}PL{dKLtC+0;@>6ki4Uvv0!;gP1&19@^N0Is~VUr z&p(hlM$vQfC+*7CPHt;%EL#=*(paz|IA&Gw=JkR^cUZ#y_2FcvHqK5Yqc;poT8x4* zXBC4G?Nsx1yOOmxub0D-0vyJgn1-3K2uk!h5(U}(?Ss_m1bI%c=jv<2 z<~BVKg_9>AOPq{-)_F>IbLxC#TR1ry3l!jl^>51O_;uL}@WcO+iNuzO5jK1By*DFX zu4)ULXZHadw^Hqo`8aIexGii>ZU~tF3Yyn$3Y)h9PE-WY=yI$7V-wFLD|%xjU$%RG z0AiNjfb^@)o5FMMeD+T#F|QGebh!>fQp=_i{#(_z4`B&gxe8lnzeaHm&kY!}R*(}v z(ghIVT6w3Yn)Vo6Sz>|^ONNl*-HTjM64R`hPgN&t^Fr>Wk+@6BA-YbXyQ0Uq4w`v4 z^4?yJNnLJTFl}{r0O$Cd9uyUz5;CmRmrJ24`oP$HGvQ3%QgvRtT7yQuXpUiB_sP#3j%bJQ=#8RSLXD_Z|!=5kEtaweBBJ&w`5 zk?v(}Ud(+@zuha;OW)3;xd{SquWtODx{M!8!Qg(}DxE)$p6N65-sP`-x_COxtxVbM z6S)lc?bc&Wci)6|VY6FTE`ojY3g!M7ux4=K&6g|)z=9quh`@^0hpovCYnHz<0dz5( zMnZyrQ;^mkdk2|l$Iev8ql;(7k%;)QGhg`yC7p7)R{TIZJN3wje+;ug2g`xYr32?w ziXB=iU%ZTSjm~_?WF>wTPdOB2G*6aRiM={%tm+A<8Oq0M4pN(ExR-Vx@qwacP(3Ki z57SHI_sV|7PwVX;Mh`VEiOX=C%R*)?C}FlT60T*VQUnKH=j{>DY4u;GeojsCf|}rY?14_jak7bR=JTqrd!pdKK09I0jO|LfzW^QV5r4_04oUL6)?>L^U+xepzdGuoK|KBJ>_Dlwg$qxl9b-=iCPfFI{V>ShIi7b*@83cOYxU&Q^`+6rQd zg4PAYc)|@!VJs&ssCawn+lb+@v4%bq4P!xdU@^H&eP%7%^Bdl7TqF{owY zGW)MrSDknAqYhrw^eu6GslSz0SAE@w30la%BK2a}%-bl1j)RV&0Q>ihd?;Do&abGD zVF6!rAx6mX%?d?^M*LHT_Z1=b(rN+WEM1p^w(9GlM*L~sr@=Pv{|UFXgYspdg2`w# zE^pbpCnNrcS$Kz*jWq`q1g(l{yf8-mB(Obp+Sj-Fjr)(`GImcs!=FbDkSi_Z7RRe2 z9r~RU8P@MCBe5F;yLM2gA`Z~29n?cc2*-oZwD3$SwIx)Z>|;+@YnHly}!;J)X9->X*F6eW{=h@oP151Oh9%B zHEx_)^>ro82$R5+VlNvB!nIl7I4FYy%EbWYbUc2>)(+}$l>9v=PyV8F94tT81m!?4 za4g9!cKP@|N$~H?kh-kNbM1tghvUn>2$TrTKFU1}1h2AI{x_}zRjtB<$v3!fKK zKt}fnBXhwZS8y;@(1PPczEgtZd7cEVyuben#>f*S!&+~0qs9nc^+eZ%yJeJ&`zA<( z-big{4%4~nYY0t9Q3o=U-jC~`)p5F1X?4C_{akRp`sv@3AA3S)(dehnsTPfnZLkiS zf=4IHyQ|oSLx+CBwfuAXFcDPbC#>$=MjtgTL9N{>oeW`DyvY6e>wh#hlw`Ut6LitFoO2 z3K=93u2z&oM^$IS<|NFz{q|2D5Xt%(={9&AGC84DVyv-7PNc$I`jl6|1@PA9wdVpX zoB@VJCMgRxgO2fh7EBI1CYZdULpWLadN?_#fD^PeT`zguRT~!tWb~Q4eApnp8`NQ} zP~bXl;PDQ|{c>IlkB?E07wN}&#{F~E)jb7mjr*VCGB~Eucj-Ts!_ zQdsnBnMw{Yepd@#yM2Y%DsSqN?s%#r_rfU1$#8Lppt&PtZYsydE*75!R&p=Nw;P`U z%B;NMs<;tf%^hwFCXwgkhrq1bW6yd|`MiH#x+T_s;ZlLesBi2TyH&tBSHS7e*fFZ^ zin$Xn0h4hty;;j}tN5|5VxXMdlv_*_KAgX72xhRx3lV94$9(AE&q@8hc2JSL!a3OM zS+QLZ#&Yl6Kv8RaCuJB-TXkzY?Cd!U%z3YrWR;Qk3Nb}PpIRyl}BN@C!P$v#-HIilO zww*WZ%2{cTCJe<lA_7Vzdh~rz@q9Hmkc~_*!`ZeYcFeSpPFr)iNlb|59q+0|dVsI+FEzv3}hx>vgxR*Rr6<*KM<2 zUzqi}lZ-~P|ED)++Wz#ROxr44F4=!|*6W6>*M0apW z&0FkV>Pe89_ufsEFgtJNXY5Qsk^~kVBYY++#{)5VPE%B!lQ)iM#{8S|jrjxG2giKC zLhlDc{=Vp1V}3(0r~fG4+dW|=T!8A0B5)R`AEoF@RW$OaV66W|0)P=eOM&0NRNpm% z7F6Qk{D3*6h>I!hsj;op_#W$dA#+HtkkytS7BN|m30rwb@lJd0YIV=7j(g~Wd-M-m zjfE9scEQl(`4E^R25V!aJN`0umjsg&>ceF}3?>KEPu&@`IGu?_VMI_)&^k9<_IZSv zP?NQB!oYzS2(e3hGshds2g9)|)=k-{K}f8rm2K|62tz@g)6itZSDz~N=8wPwFu;KSKt3aTudsEH4QKknK(ge1Mb$V)r5m^gWdYml>x@!j zr1RIW$mFz-@qnBM&K4O=h+Q(!eecd!_@8{7BaQ>NmfcJDs{LZ?cJ)PB)8EE; z$A2N%>^z$v+^-S8WRIxL`^{--s=4324Ap#+3w7Nf<@rOM%Yh4));DzSv^qBCGvrlcPgzQv3|gh^SOG&^w8|BvfUt)_ffaK<};zNyuC$~ zd6hD%mrif6;reHY(SQL1BUogT(3#$#)5`nH0`0ISC|m#{_Ygm|dDD3;|JaMgy%Fz# zp2Pi&tC>ac{(oH5-Dj8dQ3lftuH|1adE=(5y=aFu%dO7ueO$~ES>)t?=Wv=RG`-|` z*DNSt@dR5mI$tdNb0QO$zQ_yvs%t+J_|OgGV3cr%UX%fH?;93gDUZ7;!Q8Wlz>6j{~}{!(64S=*q(V(i%`%K>jg`~~A zPr0*?BeuFWA$crw)%V+#eZJ%@_4!u&C3KX|1^*^b4lP{>ZeNy?+AES+$R#lo<<>2Q z#_Hgh)z+ZmayLA+HPq+nfqfRrcCK@GIt>P@1;HkdoX!^eK2R9 zRKt&aY@hnrLC~kWDbrIUE(?M(_{aA@-00k;SfKM;V`#2ymf(tjSoz%Q_>y{-6a*WI z!RlGw9Q7ncCF)o714@A9;w3^Y_ARe*Z*{&` zzA9Tr5_W~k{t@n_3}o%Ihe(;Syiq(e5x(8dVy{u7F~2}$dWi6|e3lFrO4p3|gZ!O7vO2r)x>gE<5np61 zVX{Y=7}!QPBu_y zwfF?z%YHRy%{w?+2I3$`WaLgCQAoTSJ#?Y^m|hz5m6}u?500tfEI%Pe<9;$N)0NG^ zoX(4=K=wUNAKD%dkFh#j*8fjD625O^-2akvnVmE0r~m(`#@tcAd<~d)>q`)E!C>}( zfC3;^+)KeRvqU^FHghGpQfxU`JbL(SYi z**)ss0ufDza&C6v_N^3752bFsm7(0Bkk*u1jx>}jTPfRYC@)tYn$DAdMxSB|1%A3F zVs)rl-X|Z#Ge4gts0o&JzKl{6ZsT5%!V1mYG>3hR4F|5}xsmwhYi18x_8N)Tq^Q-o z3!kPd&h6u@lE<2j+k%sg}3(S9QgxJs8{7?eR4ZTSY`TUvU2T-i zJ*MhnI`{=!fI$d5C8PU;=GtJ%UZKM|-F@TRp)>|_5lr48*7}`w2;>P;gT(EK#Y``z zs2Zsz?*X|oIT|4EZmuwn`*yyI3(xkQb+x?i{6G9S_lqxwb+ot~luRW*or{!*+{1GZ z5f)S5z@ePlme1AJ2XU0USl8Si{lv-R3mLDU%sGfxTJY)o$bB?O9@WfrPK4p4$a$*B zOh=B1misf@`=0Lo@$UWQ?tPAXe;fC~*$J&iG?|+tQ`E>X7Pv{m9zDsJ-$twGP3rmJuvHj%LGrWXFfXG} z*gUm@n!{%23;BuO1vj0|1$g@rKh9sFa4BUK1e8gy{zQoDClzKjWPH^jdsb1y%zLT1R zJjRdnG@43EtkflbtaMWUU-7X$a65=J^KOx1cTh~r_rR5G{FX)SeVz*-Xucy}fF5=Y zj#ss8J=$;26DMqPlwabcik2?Mb{(3`JwpZ9qu=Zc#go&q{#iUZOgzVlwu$w(e~Qb} zxbF_RBZQ}j@KL4&_Q?e6DVJHTESuf>QyQ^4KSx=abQLkMaxD_RQZkXMY3`}d^T>!N z6_NKhxgoIUVSX5G46$dP>&}ByAL4-yj<-%ta-Hg4b@x%eXn+a$n+?H|O<{jS(Bv|V z>uUbM{73-it`=r9=9iaY56V=Rqttmdo%^c0kM>3176L+w%2y?uf_|HCRUJ|)x)f&V zKtnbD-( zjjt})#t(J0A0;1mXd|JIW*zBRRXh(?60sbyTKh0(ILcfQ6n zwTBl9Ku~*a-p~H#PF|>J2VqAad3BwE}^c`QWw8B$ZzQy zDOzF7ukZz`*ghGbLt*2=NBPVB8LZUmtF!g`PF%%$7)z=HOW;Emg?mZfz)GC+>V06^ z;n!b(y@L_|jeKP+sqhU-cA8(Fj0~?!9Vhb%{eH^3>hq}@N=oT^CT#QIs4(IeQUf6z z0CAZSFVR5UJ<*_3)db}|K~P>O_8F^YlYQOva!n{X5>BWK5<9}mi#(5hS)RP!A1GN- zZtf*tV95?6w5;5}Ej$K?i-*Th*(xJ8p6UgSx01^8G{$2zc<8{h;F!(eQU!#PfejiFsRLAob1ymLay4wtB~GLe%UbD`vxHFA=b`|Ii4h$D)$ z5+6`k*dHk#B^aOyZ>SO@kx9y@?@)OVP>SHx54c{{jybx|k-d(fR6)s}Oh zmU?MvodK#`0yEKUhXLB7t*2K{Yg=pWQCo`v6_Rk3dI@+#trhV$n~2~o1hnM+{?^`m zGP$7koSy%AKkxs|N146XUe{+m>silw)^9zFSFb}?dc-uB-H1x3S&MZ{(Ot+tW?Y;3 zr5n*DR-mya|DDp!X_F3nNUj+9Vo(e9xZm(ffL?Ic5!D%5hQm& zafEi%ifxNzqg6%r)LakA?V7dL!XpkPpMbIT?PAQm8aoBU4lx&(6VMQw9Cgtu4WPLD zJVMyq`e!bFf5=P|R+J`)>MS`gV0`fgth#gvf{&dl#ARD;E^;R36z45xZMe;=R!K>G z>eilG#cI}ADWT7dqxS1nY7aB~0?U1WW_TkCGsIyAr1Ys3#1OPnrcr8A%f3-JsC!ch z86QnWqHAl#CgX}?*;6c^O@??}0jv0I0^cy#k;G?{X;l}~87_NYUc(Qgj~YLq;pL?t zFKoEY(UlKv_;=_yjezeLG&;dHV*f_(VeSe>fTd3C-)OG_g^jk-CqvnPpyBQy;zv^N zGxn=!H&1oO{+&L+(3zf&7$!Er#7wfn+sQ%Kc6QZS=RWGZxqCaPyk?0wpo!s)&L-zc zFni%gTi-Z3{I1ghe`nKGck_Ao^8_t_1hIwER`+}?JNU`e$33*|id9hl#+4d<5<`gz zI#4^U>IA#!(yEJ*z7+RiLT)i78QxBz=oEDG_d$yE@8&}(A?W4~5)=qJ{0mD}`sl*W zJ(&26*~s$q01{h`=Sf8)b7pQcFK*NVnv=bfB*Ut5zeR&K3|rk2-mdd6mGR85Dr9$j z6hi^6Vd}2>npdsVgXCImxc1z}%@_&hg?-Uo?X}3rZI5uuH(vB!ZX9R9lvz*d0G#5^ zM(km^(Nf&8^kKMC!;N^Bn7@bccnu)c+ZDmtSN%|&Ys9rY8hiryo1)QfDZvkCk zm|-S$ff+t!NC*CKu(-6}v{zEw!wp|F)O|jf_N?@1vS6F#_>VaCxuHBEVvq@08ShO8 zw-aGhDBz%^L^>!4^*~@p3re%6v|!4f-Xb#3k|pZ9q{!ec+opN4jZ1-?fcsob$1 z$+W+kK4!rBl~6w^9qD&5(;FV3cz>c#%`XGde_gj<3)DNvwzk$vj}Qg3r;ORpxR}vL zC3JJN*R&~~$$0vA4Z`wqvBvUg2u^o{xXQ3UhstJPL_`ydnujty%qD~dM!Kqj4(FM( z9qFnj@0le?x@xKS%K5;r^+~$wR-SV&t|bwB$WE)>`o?$xfuCof2eYKTN@#ce zX@X=!Q!}8wo8!ssw04cRL9g54Hk;|vX1)a1&aoW)R&tzFbLL~-WbL-X5g*1iuR#UV zIq$$?1>^HH41N8_=apj(;|#UMG~ym(?2MkBh%?X1MT;jqwjJPb$YG@e?k7jBs(K@WAy?8*(OdqLvjW)C z-DQkq@6$dxe3UBMM;@N!YTEWPdX_j|>g4|Bij<;3E2 zsfjee3_i@An-}F%Oe92fG&7O^zj9>e+6u#jk=@Tkvey)!m0fh;JPKd1UcjUXYm@z* z1_`%D!W*roN2qmmXKm;D;^e8#;xlKDVX@si;i%eWMgAEX>#o%`>yw9Z{Gz%uIG$1S zG*GW~k!(gQG*gN2o7wLaN3x5CstO-ava>6^VfJ7~R>Wyz&3TTMo?1$68@j$>rJtrk zV*04l?vdT(Y`S-Qv}kig+`M;CG`u-`MUh(xi9Kh z^AI{YrQV#ByK(e~%kz67v=JG<1AWp*o@`#;2KJIg?d24bvl+PKqrM z>)C5q(Q_^-Jy~!T)dLxRnq9QJXUV1&TF;WrU)k?t$>ttI8js6#^|Z%%Qvk83bt8I7 zq|B8S3)ZUd-76W}raq?;u~7Bt(RLWG(|}I0!qsZ_8ZPPjP*vxr)Wp!G83GlIXx+Kp zpC*;eoG6>qWV?Qynw!xSOmbSyaxe_=kxu8D>CLeBM_`MZ>?nFk*U@pqb80p3@C2So^XJ~?B554A8~(^PrgOq z5gmK%oqUy!WM#fPB11Oo29uMsYxho_b33}|t={Ld!t26slKsxY?9Wm5%P-LkeVmce zNTJL*l{nF`*k;U>80?ee?Ie%c&2dT&gDXIx?xD)_cCS zWx**}TdpuOTOhPq8QL|gt<=2`g?>&P<*yPeNfjmMGA$Kjq>Ccw%V3vgK7@>*j)vRn zYSyunkJIkA+*V1dsn43_rN3Zev1E}_izW9_O4VwUL4pcoEK^bp4R2s>k@Az8ZPYM~ zbJD~-9jFZ*s6qtazYf&bEatw9LDaBpCu#sJdz`P$u4bI}XW6Sc-epXNbxlKhX#juU zt_Bqhp&eyHDf-S{nAI7&6r2s&zs&zP$%gNIa|_D z3;j!&x#Jmoq$_DH0pWBl@6qN=&CGOb<~P^rLOe)~YqRcpGW>LE{WO%jzwh?Kou{P5 zt#hdS>hN0kSUO1y`AHrViDlYYSh}6YA9O&lyus4l$Rs_G!Zy0M!iKZMCylm?E~vVA_w%l87J6PKtotU6aO0G2%nlxXMrtk)eMXq| z2_)-1iG90b6rj+%rq>ovFL8gVLC}w<)-qeUw;E122K555b_bu#5O!I-50LamCH*&3 zazH0<)$jfisaa6X1)At}t}%ML(*at527T#eC83bHZr~e-rBc zcNTZMxAZLU9&wM&eTqKD79F0TOu`;_P;o};$T-y;%{Jf|H}{7<-I?tkm5(9rL&Rutiar+}^ciJ4~$^3qcDXWC-it0D0KCZ3M+@ z##LGA`H~6Q=^Rv${Q%5!9o7SmRvY7iIS7a0;H(juvntN2i5^>KWiP^dnXlqaK^1)f zazMlVk#BV@b0!;FiD9{|2Sb|m2wSGc^=Fqum5_S{q6Uu{HD?W*^E0dQ9rIQ`7WCy3 zVTSN`al*-hZ<`^%LVb{OaBx9#6^cI zXA4#t@{8@bK|A2PJf{)HU&MtY@fYE|Ew5KqnTh3?nvOCi;1XTMQ*0LlAq*NQYwo#&sUBWtCj{FWoHRVt@hL76RQyR5HBVi>bUtg%J9*W`5?Gh*`kH4F--%`-^w@@I z&ANG;GhZU!obgE>Mnq~h&p9s0YbA~7HjgI}&usH{L z@5$ec{a*R}|DbJUmt4mFqG{kP31Wd{seI!X>3n_0(b#3Hmn!Z2jCZh+luNBe=gYJ` zlYc0P`Y!DF6E!h6g=)XX+aJfzS8y02o*8favDlgGC!x|IFDgjeohj4uOUJeP4(HPu zeX-1CR0f}n&s*sO;G4|(BQ^Ss2@CUmW){AjAnpq!XMxEG3$pFNplB|Rn3fpxh0F=B zYBs3(6k2Uf4gkPReA=~fLxn>B#0fCK3GGp2PK8P2JP(?FT5>}mGy?hZ%yl5mpc%AKaWFVILgLWp@pQY3Eh^HNi(^gf|;M|Yj^6}re+dFGS;+X2^V$KOi zYM}09oR;P8KsVz2q~bD2i;^$KGIMD>xHu82pl$9{-o4RrwwEVOiaB*76VKwuYP}g8 zwv%IM#MalDp+T359sh-NqNpoSo6jeKzb@my%{Xn-sPIIuoazB!Np(++IPcH=qS;msLmr? zx;B(2`}aMu{;#+1>F#K>Gd~gAMAp~oI0kfmF0t05>jciD8VRu$8dN4YiS|PEb4zV! z+$d-(!oK)R;s=DCU6OpcV@dUM9k+NCED2H@`I2~bKeA;ar1J(&9xspUH_c96@VJP_ zymeL8)`(mePQx>tN4J@u$`cKqIg;I{X*$EgCZ?vE%7AcU7{Dos&3)_XaQN+tqq=Ubw`a#)IV1 zC4Kw{P0LgqdlT8E_TtBM-k`TvCx=bTlpHHVInrQP^YJ`brHJ`gra4}4uMF7rd3}mK zwbP!;)GS>PWKT1~r)dP~q=|CjPPFQFJ>6m;p_%e#+hl2XJ@+pHnR|I(Dz{xQMb z&rWm7S3rjDj#p{_WY7KU7G;-BSM4&{qPue>GAIJqLb3-?giyU~sgB5$)?IH70ivHg zYijrR-leXdHJ%|Hz%5p%_6gJ10IO~$Tk;5Be*)JUu%i4!ToDi=MN1WOce%tvi!I??od|0CghQJmhhn6uimk7$qEeyt z0MhtdS34}^iv5ii!2JITbDs0GcLWJ{T2cj=t(>_J;CHHBDdusc^ujX6tJSoXS3s&r zDF0i>(RQ=i#ov59aJJh_rp)_biQBD(e?DdtsCxAKAF1q zb#;$H;(`k=qE#IB+KR?g*Md`;dEm$9w&1U%J)XT1ZKJc}pa>bFVwTs{>`NTYtN?T^ z*~NWpG3#tVK+opxKLMpJ%;WkbP~gc_DA|&k`#^5$x$k#3%zX@4*_z~`Iuj+|IX%as zR8DSZ39Ryx&nU6=+{@VAJSj2pS=<(IkadGm8;k>< z*$f*qmF-_DuWw_Rc27&4et7cn)ZfeIJ?d=7R1;;gMviM1EE_m(M zzE$B1)+lq_?yYQ44xiv9cm8K|l4|x!ds7GUWkvo2K+wBSQk##uhaa5z{E?ribK;*A zh!mZ2Q-Lmi0x=Y z)7^XQ%uOb)?c8L(tq{F+?j>E-OjP~e&Tp=af8865E~wR1>Wq1xrH8C^f}mc#^a4vr zRpdhNLl2GDm)c6A%>FVGZ_TF@!P6fyrB}>5J|yRYY4iQ<9tLVqfZ-Mg=gm*b+u9wz z#yPEO*KTxku@>`1%-LJ-tZCg|;yh`$zEWxz-!)pwF|taE!AUWC(Gbk}oBS-@0U*&I z)uW}LvXGxvg}+xJ@|bH-P|uleXMWVB!dZwh)f7)C%S>ViUkCS2S*uzRXNFxoqs(A; zJI^k~wL_~3zCp%Y2CkKcVTo4D1W_{S@?*Q_3*DD3sf}k#Ob+ql8+6A{gk!?!W%H#| zj35OUWg4Sya$e@lSmwqiwL=hap?l)aQ{G4MT^W%>ajf@a*Y4x=b4yPK$%|xRMZejc zI7HsWTN1@F&Kv`0F8)ecru1`=oBB1rN*CDjeDORF;JV%KK_mG`EdFX)$Chd9OOC~5 zO^DWnBKMRU5A4+~({AuWakP^Bu{p8`rgGe|%rK#8nNyCn`MrB1c>E(%j}}>_Zh{;q zJDqfo0l15>vCL;Mpdw9g5Pe0$%!R}3%qKnKjx(f*WhOw}*$@|SYv(y4u5Q$fWfta% z`x_B#UlqjJx752WQpyRjFQgIf>@NDBg#5CyZD^4j%RyQ@lPL0#g3(geNnrt)SW_~NY=kDe>m$N!I^p84xG`E?(5Lq&s zXRPj3r7KjWOdT`TK%Ug7>^`m;RN>i@=Q0&*%YN}Kowgosv#l25xwGLQ22r_{mV{m2 ze5t&&axB90H2TRGB@6Z~f0~WP>dju*0fhCf!>hm8)4jZ@ciL^#9+hON77|B97Q9T= z%aTLZl`i-mCu;FUwT)rXH=m!A51!zfC5JX+2*f>2(HF9FPUURd+?K>yXl6$T2f5M7 z!fSA02&^&nGAqc}a`znXX;iq$M#ti4T=iy*O_zApXwLoeJ=~AKV(g0(vlom8=kf;r z1%j*1dgb&Da>6J7DfC85$DN)a_SdTts_0CbqB&HF39M)EBoEJ>Nf~f{ACp(+#N%dj zG=jx>oQ`4&@2Z}42%~o`C|J)cYIRG;#_r)wilqqf+b0CU$I?q2yr$+}*^55seM-Kf zWp1eB-&ftjZ!Ytquj!Sx&N@DnIMjA7F2kFTqA;|+L#K8RKS>!wi(in7N$h@^V54tG zE@TAiu)i_9W$tT1oj@jl1zREr0VHgPQ(CQM4Z~oQ)YkHGMUhl{h;z1_%6*9{$#V<* zUS*gm1`vRSG?u6*C_jdrXBvUyO^pHXHl%ylRDu{V3bu!W>|aY z0+mvp9MRyI2Qctx*Q_b%Qd%&qJp#d^HI2$=mhpX8?#wWjHQ&P|3#@qNWV`6Gc=iM^ zRj-xguH^a}2#S374(zB>BRJ`ULe9B8?9IobpR0s=Iu*NZVTaC^FQhB>aDTE|;*Nu8 zCTeDOS!#V*v}k<{5j=uoigP7iF^Q@eonKDo8N6#}K4*#;VTv#wOp0CIW*lRJ(rl*Q z9<%&SZ*U2wZ)Zm5?$CrYe9p|{aWF1_C@ZyC;_!*LATl0NM&cbdo;isXE2nmL=5hz1 zCu>e!0P%2*l7kUqnQxb4L&s#E7;BIHz0H^JhIx?j=bFlf`!(o8R@0IEcy}-4ChYY* z5e!vO<`TN`x#ZedYW_&%M=@b$3%ovaF5c}KZ8|rD^6^Gw!3G}6b2t5*(QfP?_!z0Q z(sg{dr&2-9^R)>4Dyy-Yut;W6RT;Tqj*X=rs4@AUNo{`D^qz> z?t)(_?E_VYKf4pB=Itx!Xv##(kP>)k_DEbwYjO)&=|c(UcxI&LrzY8~$77jUBdfcs zcjPYo8@>^0O&8?u;F{X4?tb*}J}jm$C7+ID?)6%LvGuRiu^z2cwZ|&Cj+JJp z;}fZEWma0g&mw?C>uT;a(XH#VM2`7QjWShcPH5xStYMVlw5PTnIPQStI&SeXWj^Qm zO2`bR&WXe0HMSTQ(?)RHCCpv zEGjScq#qBnZn%bVz)jy)2q~2*{YnzyA~~i(RvPCGmzv}m%bZ&q%Um=@otT+5Iyd1@ z>`O~Ar+)B6T=*l6l3KY??+|dsvSHg zjxM&XHGHn3U44o({Es6L4pn2*mvf((B*17n(s8#FRJ|j0#y1jJxoWMO*Sc%S(H}Ai zKCV28nleJMsprlb;tkTqd->pA$UiaPAm{#)mtb5-(u`iUm8mp_Ws?bF4cjC=CwkmF zx9#gT%afJmm*Hikp2>KX_M>vwj3fVS;}p}afKV}MC9tV?7wZv6>PR@zjdR!85+fNE zUY?6TXT+nG{y86g7V=VPYv1q%MvMz9$vpF*Si!DA>(s6>veGad8&j`!K{${7WB@|^ zHHy95-$9N@YEji+c`0*0LAP|4p8Op;IT_1yLR!I*&UBTSx!h{hp(M4MjwxvNWVXJS z5Bs>Zkd-5km>60mX_=e91?5u&Gqf^PL$Pq6Nyjhp^EDGSTcTQ8Z`HiL#q5XLVM6j- zqIiN^@Vay`J9Bul%3NfVb zY2yZdkdb?l)EW3PZtmg0D zX&f%sEu`OTZW~=z?{w7{4<@f;^LepUI<6qEF6AZtyUbIoW1I_0uQUDHC%^AX5SYk? zt$$s_?ce%Gu43A0+((j*1%4uAXVCKd22ON~N#yz08h#BgUhCNyeG>)=T5o(3QsXid zf?5y&PXkI3efzavmPC)}1-&(H;G&N*May$7#Ok`zD_Sf(8W#SfSGri3acmBF1uR@< zSeV1LZT(61isuB(BN;~Tp!Lhu7yU{0V@kz6h-#e~o-C+BB~_3H<&UCDe*i&(5$N$q ze=B{PIKMXmUU6HQ#_G99rnoNT{$W63oHTGv%1uooUQIf#=pjZeHTgCT^=neAX@`j+ zAO_=^>eO24y#rMT6du)SuP-h#e!rC#D;8+cxZ%)LK{ zjlu`^>-VVGsXx(=rBtg?v%lHlSA8n$i;Io7|NfbDt5^DGvh|zFgynI!`yQ%`$0Fo3 z8j0xMX~-Cr*-q&EV$Uyx#<31d>R^}wOG%1q{ED=yd}>Y^^5r@AeKPbSU-R1_A~K|J z#1~whs_`Q(^&&#w-VsNW;|uN``G{6Zo+eD>UKx%g-d1;ELA*cHh(7TqrsgQWt?pL} z;ysXWj`WdUnJV&g?uiAFf78EkGOaY3dX7Up8l6Um_AgxI+3G%z9um;<7Xwyugo*cO z;u-lq+1rj{IECyL{1amOM}N>Bm+`SD%irwGk}@K2wB0ce_NQ;qyXNo4XL!I9acqPx zMZns$N*^-wwd%$)^Pp@Xf~dcYNdFY;2du5--WbBJ(O+VB2=#i%Etn^q&R|edf$hu{ux?%bcc{ zRWICxfwIZe(iLq|Ad;`)V?)ch?p%q~U!4@?J-2)C``xQZ*d1%uza$OCmh+Dx4v?*y zxlPvf^4VxlecHE|HH+y$hwjvDEo&kd|Q9L zs-vuB<&B!XNa{P5{oqW4KIw+XO8XZ43JwV+k09v~l1?b|Kjw1A=246j1usHX<*aVGV>Z~$ZC01OX4D9(@9-+Yj<>P^0sSAqE7NQ?P>DX zWTnr8x&{+rI@-`H&e}6^JN_0-POO^84N5*5!>61$8+f0o*2~69AM=+CnISNPmHtn- zF_!(=1|r(dUN%oFw7-UN2yQW!XJX-QsAfxIXsTK8ly3F5z6-nMany^oY1%Gt3$b9a zgMwcNBU~e`lbdR+8@{Qh47yU?hU$k_YE6rYwS~Y?$5C3s9T<12=+M?8)@5|pnOu|v zw{FJ2W6k3fy}~Q{N!zK|;C)u{CQ9;oyYwT#`LB~ zQf^U4Qe;J{*^IZufkaiH{=`k0$+tzU2~P#=KZJ4`+*8Ez@Gk+F$b1B$r zY$SB#?U-ehL+&uHxz5zzswGn+?+~$possfL=6hxG*sWn+pDD_o+wE+KWlCB+Gj?rq zi2Dn^IGZpr9*UPHr@ioFE;IR7=h1A*AvNn0Cn@J^iHkv~lQmzaqNQ`885GHbxKV4c z_S7m`7zi4gk0qavINvFomfwZ48h;Pj3w8$@v^#Ka6F}Fxrkc9jtklc2BAU7SHijtN zcehBwuVIUhgJoig)?Cd1`vP5L3_67atf~#i6_8Ehxg^m zQE{~Vt%P~4^hp#b+XU-!TpU9#eHxLPj*lOBd#FBD@~KieNxjQ`pDR!GQmgDzxL+yF z&GUmK%5vY~rL%P5zZeevw#j`CzLmaW;>@WU({UjDryWE}d?9mAIY^^2Wd4ra7-GnJ zm{acRsN8XWz8WgBB~4M0bV6xv7+)d{517?I_Q;Dhut`;^2wt7GzvNZ(?w+8h36fa} zD(3#evms^UpHT)1HWW;c)N@$9F5L;pD^q&Uze=+V3{}74%}PgZzYafIoom8ciKSaLH`H8MAgM&{dUR1_5VGS6?6!R{muLs03o@VK^6@||o1Z*$;k z0T|RfuSXnXQI9QHBjJmT(T|mGAQy9f*1e7=CWj)IE0auL-%5nsE!;Kh{!pruVHZv4 z&LBVy?nWa4>h89k(qU7M54mp>XMO2ImbW!+XS|m8mQw@pw>#71ox}isvqtx-V`aE$ z$?bX!m#lJl=s*FEtc+_WH(P0Q7b>cp=MQOYUGvNnJ%@j`QxB!4(;`9FKD)xbBKZK}RW{6B8sY-K zOzBO0Hd5dCb4%ezIsI8m=pJ6Iif4{WnD5Ue4>u0;{LQGy>_%lq7S3S`28mzYgl zcMK;*bid@RvgPL(lOog4DQh^bs{N?-Ei?WMYzQ#9yHvgHy;pBGA@d07gU2g~e|NZV z{GSuD|M->X_KklqA-&^AF5<|8{NX(%e|V3uPv^W_-Ra*l$wnV6i!O|L3OiRxRmZ9L zKFdyMA2)QSc7GCsBd1qXCB9%6bK={!Ru+FDTd_)R2iO&1W^3HRB4AScyem`t4oj|! z$*{>p(s&y=);nj`j_#yrpwDIz(eWG4Uc(T>8}C1(u8OM6Ae{OP%T^3d?LEv|{0E9NWlv=bW7yi3*JEBa z+PrE66~HD8_nA8`f{W5wGUS|+Pp`kx+(frKH+qOf8kX1fVDOk{zE~E^mK=eTpqMjw zW|dz)=Z3i4hP>?zbZAAn^k;-fS7IA`w_FvVcH2dJ@#JRY7+1eL73*Rt2;u%roOw&! zx@(+Q(Wz~w>Os9TC0x$6c|EyH4xh<*@~d_;_qOb>YO_9ViZvx3P7+d;Q;S9Cbe&&&0Pz^ zK0Lyek#o;mxsaT~f3v4@x7m9mtR*guF&XpKetfHBL9B5NM{R(eMux6U?ZXN!3ykxO zxAO#aJ9B*QPt=+t&-X7NGr7orJa>ChGQzz^#GLm{4#1%bHK547#k&lxs1NMFijym4 zXvKVys2Yuld)V7nIselAV}L%fIK>~X{ znmku)snrZXhy;a#=A&J}K5h-))cE;s2~VCAE)8R|54)40nVZGh)m~6fSVPE_ee!;F zi94EqK%1n&Gp<`ieYD~e|RVFftk*W)n#?7^^mko`1nl1Nu=6khq zs5(iecAmPq-y2;DO|e#_$I#6;8(^D^+Cb&vsu+K<%z4vwodu>IF50q|0o}A#uI`LZ zD5^}p-tgXuR(dt-zJ~Wk3G$}|R(d-D#2jC}xi;OMI5u+nxGK}u#K9fMOgO#dQxX%G zFHH{jd_WmNkt2Rd#kiB^e=U2KWZ0!D#Lgb61ps>%N4;?FpipY;Hz^D%r^bE@_f0Iq zWHy}=N*p8$-*g$nrr1t(bqlRL!SK!r*yu&3p6#U7<7(#rtl>S(sOM8jsyqh|9r!Oq zA4zK7tA=AWGCm_O z3w5-{GvBczc+j;Pb^Ve%kAKLKe3J*~W2uO@yq2C#8|IX=buyS$Ipi8uy*YCFT<#M| zRJea-(qZ{qG~x7U7$ol_yi#)XbU1$#()DFO>0(GhW*mXSn;^uy$VdVI<5&{WzJyKd z23K!JbXT2@TbUZKO~d>qF}{+=6|^d6t5x!%W-Yr+nU5Pf>pvstqa7UrcFl{`ynfC1 z;!Z1=9OLiswJ5tdOhj-~u9$Pv_$fp;l6MH(uvo&DkHGZp)RZd=tsA~dYpv9;f%URe z#9|!eQTPp&{~6p_jyoWddcrhZ4&Ytec`WL@BDmNN`if?Z=O)*px{tMpTqEfy$dx$E z{e({}k5&iuq17vbbc(eNSvc-D90%w}V~nsGXC7s^d}Y>v_)izFdFitnfX`4uB}@KWrht(?U^#4vtT>xY96*0&qKd&nA<(t zYD`Ge&(?EdYNIEg~{x+H$<~lz{y|a>_6p3okx5IN1bMH+s>KB ziNK-c#MzIy(~xjq3WL7mOWvl?&AH7b7$2eQL*cIYspL0IJNf)Ytfl>dlW3Pz5uON4v2MY z@e4ymoCnPAzm2x7j$*q_(c&x`70=Ets;cF;-q|C0$I5fBu_|h-9Q`Nv2uHEW*+g|# zE7OChmr}Kpi=Dm6WFC2tERfY{1C!cMa`t;NU9=epBWncmMhTD*)uWYef>3IXvK0S$<)r;z4_X|}{M4&- z%BvG6#MwMN213;p?I5ih6m85UFo!> zD!<6De0#p~AXBoZyld*;1oDUayJMMO^737RoI*K>jE0Z+pCRVvv~{X$igo=xDC?=N z%dH!3=fU01Klya79I?l&m1v1dl^VBjw$=FW3QMgSB&?Yx4~g7zW!}UGpP$NL_Mn`G zaSOlhEl51+0$&TLan~Wp6rv;T4>ywJK~26}%jy{@bBh}GFr_V_MmXa9ofNXDKP4p5p50Gm-~z>Gkd{6S$q|c)!D}m7B694cQAOwAZ}l^SQO;I{H*D zxf!x1znPm&S3dy_cR%aLa@UEXKFwK*4oZz1G{b7dhc+kG=_Cn&#n?T%{em#AI?BMb z4k>A+XQPZ*%P#EB-;OxzdQ)dUMC|R|x5CrVLJP64CGUKgP0`Ru!*cJcUfsm0xA~re$8|H{qQuH~~Nl zXfRW3E6O!`BiIWz++w9)Hbw(|amw7Mc_}D*cVW?Yl2g9uU*Z)+cSaVEjAi-PN-sPJ zRX7xCnIGi)Bl!*S81QuWM!m4gs?SWE9_frmipseJ34Kms{`=v*-xFIq*m_$Hl@FtP z67S@@%evtN2A`e1rpUe}Q_ITMt~=n+Kw%oiHlo$7i)l%(ckUIx7kB)G8tk1u+qmXJjFFh)=D<> z7a2j!%`yjjjexjsS<4R3*LCLaiN`t!5kbxp5xI-FB&g#j^);_sjo&mB@`O2K|ANwx zS)W?hPx7c`5_-%uuS|?IhH#}!7ctHPZbKk205}!d?T%2oUOeVna=;PP0h;-BxWyF` zj3GUUAw9^&10jqgRIMis0|}j%&A!-I_$`py{RYA*=;%9r*BvOBOu|~Qo$3BqVa!+R z0MssoTlGU?_o(x?TpE84Z(E0;gTjv|xZftKliR(8$uZvM>Y7XAm{KQ+{0ySFC^Om^ zD<%5m411{8vytZU)I~kyZ0h)rpfQpADU;Lx8m0csWY;9BDwD@(hA~r6_FjL^^Cwh+ zT^Ab|@x3O(iZSF6&wi_WVrJ3nA+j@`2y9vnoH#lg{y3VggVEfT*LbKOFp_|g z3MldeDha4mz%F!XB^g1$2nD?02b2?_`}P_i^#Vq3CZJ3K_Y)9luB9HG(X=0YjMmc*DQR zgwPSrGiGRjD>bOLo7SkSBiXYVm5hhM;&(e69ZlAxL#3Vn2a`kG*(|;(=k~TIs0g-S zs;xq}Ox&hl47I^nsd%%o)RNd09ebP6bea`y{xIFe!-oAYw8*A|)k^sG36s+27_nN! zX$5nGoX#D#s~5xVga6EQ5X;svHtLuj-OaFF1bJ_ze_2L$-WX!`VX-SwZ8c^Hrlqsl zsBT~uNS5jWS*O`*h*a{SGvD$C1fB-dKatB4Z@E?DF6M=-CS*^^-fZ&fqA;-E(eQ@s zDUDL3+*c*Y{nn#;sY&`v zC?-q=qw?uzl&|?^Z}M5+BekdQX|s=%4aC!*x~x)a-`(Z~94@~dTy*n5mDH#hL^rCF z?7_aGWR%SZsv4AlXeOaX)tKGvP^8w35KORzkEm=B%oMLjt9Pj58wd&|eiP&<7_Ul* z!@T+=ab&V0OVuG?8q0#*j$No`sXI+X^eHc;U38NPV5BCFmGm;nf@~NuUXvD?aQ9zP z81h;4#J<;$6~y)k;GUw`LE}KzVB6_1ZnyL5xCkAn;ekROsOLs4e?g`tPaQwgI1%z{ zyIk_dTtoupG2z_wM7sizfUUmJ`L47%r~RJ1(D@fXATM+#`2l&MGu{u#3!TsU0ePWw zlov4ip+M+JXS0?ql(@OSmKucrf_L)g=3Ok-G&O?C4TLlHLH}R+1|3i!QjMrEUnb~_ zK$2|R^}_UK5Z&Re$c<5VnJbJy#`y^ zqf8Y%ZiAgPLhbOAY?LmZIgH1t-k^;+J7TS`3JOzkT6CvL zFAsF~RQ%e;OG7ZU9y4BbpwGh|t=uBECbrqxI$_A?an`MFkY`C;pMIjt%aMX~5nLPC z$Q{8Hp!PNJnUdFt82aOgt7)sXL|b7|7`cH^J3EQ)0B9OvG{n^rkwn5K(z0T#xBXgcTw2f`1nTOj&+-uiv@v_<+zyk_;R zVG7_L15B$$Ae1r0ZsVvXWypBwVElMPMaCSs_>D@;&l@>v{1pFh;Qw85xe%s8MUZ*i z0o=Jf^B`LyLDa=rA*ZKVn_{NLe>UO`59jL*S)`qeN?+_}@#Y2K%! zPFKeuXG7M;9&b-&zh4r?tAaNM=Wl~Q#KO{Nj#5WUK1cN6noja; zz4!trS)&(U*d%cjk_)t(>g62z9g(rGclNTq`aPb05#L>`84K zA8t$SJ%Zcc%P2{G+jY3LTYoqGN8;YckV3Ymf33 zd_?OJEJTogf!sCHy{0|cQP|tT%7m+~cD~Z9-=EEOci%%B+T7Ps&EzG(j75fx#t~5Mh_PK!kL*^c_T73J1}a!a=m9a1d=N97J0R2holj3hPqPpe@#oy!!ZUUphr0KlPhAoF@Dr4bC?V+oUYh>Z$ z^P}uj0hb5Wbp;~~#+7>-FOdhmA>}a>2GV(-?HN+8zty%ocR#beKajRW!>*)ApMm7Q zNy*Xd708(&Mj&T;OAm~5X0gde0h1zoS9Rf>5g@Gy5O)QuFWj)S&oAlt% zce0dynp+Fyi=@GLto2jlh{9Stq{PhCRb_mZn+lKNxH*3jrd}Fe<(sP)~xs}+T zrQfJzl-j#H;Ya~5z%Mg*o8DxK4(4S#N%OK+`%KJUCz&_R%o|g$kkrh~9KLU5I(v~ko{G6H9)5_q`D1p?qt*>N^umz~5yN>T7Jk>>{VZK$ za~sdHmRNW#DvpGW{F}*aqfN*36+ECf^d6rC^o&ov}3}3_}X;xXuaeoIlbg3 zIbQmV&qu@f+{XufpGod#)Fqm|b_5Bc;XQ?u+`VSXl9{&MRD6fkC^!QmjfwhZt$H=d zcr3{}w=UepnQk}{mh_zdVo*$*n^76g7&GJHU4l&i2m-!f7d>Mw+iasT8{Nm#S*+`S z&q#2E6Uz_83xa_dXN6fPH>|}Cm9`x<-D33Wn~U{Ll?;^M`H^ZTb$~Z&AFQRDhxGgeGjX`GreO+x@Kjl zig)1vv|P^=6-*qtTXXMqFaJ1SMtQpYiVG)Cp6qOB-E;Kr?ct5t34`1v7!3-Ar?~~b z-&}4j{wy$>9^vEd^XKRD8qV7V3l}r5yWKePHg*j6G-y3B<7s}e?0MahRmPg-%O7`} zaYpV9f4c_9Guy2jBodf=z+kvLWZrUe$P+~M;IOyP;r@=?&E@ILm|5E$XsY~tL6snr zw;l6@y4PB~70I-pY13UJspV^}0U1SBBfPZKuYV2b+)k**;=>q;&~6HN+C78@p`J{) zmVHqc$yV)pzXkqs{;$JJ-MRy_skTgAyWzpAXBGWOFHQb zBLMdYnL5=<4`Jl>hD<2vcmVi^>+W<}pHFYg$%utX+rDsrSYzJqG;r9XRyWl;Qn_L=1DTl4vt;UD>^wB_6 z3+zy6Y0u8gF(hQ6bTKoS!A~_9f)wJXKE)^Za{lq~Q>Tz1z)z_v1K_8yhIYGxT?Lig zHQzYYFdHZSy!r;H3s#1?lW`CbM58T30n*(=^83|Q?q|ru;A8sYscdx3{}!I=6My+2 zJk>a|*PrHy55`k%C`C>d;;D8Lzy{iX2~Rb}$k>^eF(nn^sg~gD!r-Z%XAx@fROe}F zYW7U~;i#N#RZF7(vv{gM?b^FPJk77e^T`9}rKKC7b^ao=V3^JUo?ZUa!}j! zf$6+SI~)7OQ=OqJ6|5yXroLeBgGe=N(U<{O%7_b-M?uGEqHHRhY^tmC=i_;VScgGN|h9CuQvlKvk-q5316mmHrjf zQgd#l9nyAh(J%U}_uB)iGL=RR-oSScRCNY~@<3Jk7VQhFQuZFG$|U_SgQ`B~)qg*r zs?VW{8#87BsOnB_ZwplQD<0fe_$L;CZQWwU?*pp3Sz!Z#s?vNgd%t)sf#ZX6JWv(B zFi|c9+4MnGUwIwD;)#3@R8^zS#ez7c7pN+jN_}M28-n}1Cb$pCs$VdrngR{7>Q|7u zH?oSG7J4JAenYhaWYxnkv5%}eGRTM1Y*Yd>awL1tba*RykPoi9Hzdxd-_<#N@Kq*g z$r8GE0DRRNnr-k^isdd7ar@z`enzN!0h)oqS3S;~Uyv4M%D}YI;H%zYf!`Y%<>9OT zqbE^ce3hx*;szopI~~Z<8n1UI1K_L9gvNr!d`ASY7QuUAUktt~=$l<0$m%?;Vw>p& z{!oz4;k1KZr>l$Y7q$rKw24JmR?#b{cs`JYSjmTt;QFY z-bm@az*av7Y!x)4YkrVtcGfWW%aHSju0$eHFGdTKy zt6PKC_<*bPHEfwa%{0>kxH8QO@B_hQD|^vVPhvD7z9JZNYyh}2)3MpN^#E7@-WPDy z)E96yt1sZ{az7xCeVFVAWkSk^BL#|Bj|0T$kN$GQ)xUC0r)y}0+ zg*^8xJZPqf0?gH);5-j=rS-%Bn5!QVtc_03JBZfy>_{Jwe?#u|M_<9kc&pQ{W&pqX z8ZSQl>SX#4zaf;HhhG&FtcLnme=82y;_{(qV`-S~a!>^Wa z`X7T|ed{kMq5sS9tL}l}SDwi6RPR8M?+d@$sSD41bM}YBubz|MR|vn_=m+>4MONc- zKfvECvKnvq1M(v1M}9zF1YPe1^uVvKA>gCKub$uF8M6ZcE9UipS*!J>0Dfg+0KYQB z)kis*FvM2_EM5S=GMZTW9iu4b;a4@<{)bj34H8>vGy#1W{7U8Zf?usz)dRmWnXy5y zNcs!lS6(50VR`t~^^y>5hBgp0*h%)_SI0;dn(7VOKJY87ji(5l#gLYy)Zd4~uMCZV zUwO3pAo!J(Z~gU$U!A%?_?0sKpMqa`72lQL=KcRV{7UWpsPHR~Ha`67>o~OyMt&ZC zr5GOk%8T0%el@>ZHiZ4auarjcEB)>Ve&uEBjlo{i&%YOJPee8$7a?sNEgTFH6d19v4!(Ro8hzG(F`iku~ExXL}`5ypboh)?)jX>Ug z3PD(z9r%d=gk{17&3U0`&1W?ZR;p&2%%3G7{VGYLP>A(l<1RK9%R&jl9pIOPo&?%2(Zi4_!PoTauq&kL3!GUMMhmq}M$1 z`2Ue!D3l)+>2)7eWvjUNd`dvie5#lHe5#lHe5#lHe5#lHe5#lHe9B9o`Lqz})iXjD zPoXX#y%>oFNH3rLG&>6E^%!yFU${{&e+!Ua%4T4sSDTit|9zy_yYr&~7)CfPGj4pz zQGoQieCY>Zz2-1CL{@o&wGXmO*#W=FBfE~nF{v4>J+v6=>E}TuIu-R4Nd{8ANy<$dlqZ6Lo+gXa51epP^B>ZdeVi`RgyQZ8PD`T)Hq-QTkq z^MGDA=Yd{hAdY}u8s5EuUdqb7$^gC6@9T39WXgQ{l8*s1lEue>{gSHt7%-XP15Gw) zpNxQcNY;v0AX(1|l2wQl6GOQ=1lNNUd)P-cnSz4Zc3451dXQqOn6>z4u%3r*(!2ih zGq1aVZ+*~NeL15tAY>srYuE~phN?us3m!UaJJwGuqq56@ee=*+YQ=uhS-skEZ%ZDX z22N`dLHiyJ{Ce27 zz(0}jTQmRme=UBi;f?&_E)w4 zUHsNa@LMes7QI|vDJZ~i)mlrQVi0~HeybM$M#Slj-)dRrP65AF%Zm9T zIiyJMAkj0W9ZwHJ7ux^zmjD>uJ7VX^%wx6b&yhw;~#H) zKT|r0#<~X+Fc3&93m7|C?}Zu+NDB{wfV9ZmJ%&Vm@mUvR-0#6>T`ZgRq<;RczK(G1 z?eD5qjwMFR-_>=$_V8Jw$e7! z^$n^9DC;U6vKDIT66zWFxT^MiT;ZP!A6H*9ebg~5@NtEIu6Ut8s6Jksal$WdFzQ2iqoL$9ez*RWA`Xh^IfwMmAIlD@H4F6MZj`czwopt$AtMO?93h`On z{M0u?ib8zWWD}m4t_EI90}I?-UFkQA`~&A*7Z)_EV*oc-_|R)mzZw?-czWvb^0dR0 zle{Is4|s>pW;Oxcn3d{y498ep#6?P+V^Lmz|5#is04wHR+E|F^;${K@eg9aCXqmxt zjfFr~YVbePKUOEZpdzn_=gRR`NLz#FQoBtX?Lz-p_i){%XY=XrAM2}h(SHZe^<}Ez z;knLL^**wHtapj+(0g}D0-$QC zT-rOw9KELu!Le%j^+8;feLZ9Sifw%3WlC`!W|-%!#a$Fr2;)-TJut2oO3PVr09D^ zd5W-#R(4UJkTxoF3-2JgIQuh{>ih1o$SE2ixfDSeDSO{NmdfmnacH5()DFb{&|g}VDd=kx_>HF_}$Jzrf_P6gcrAACtx z3a13p!~Cof)>L*kw0BGF{WBLHCF9iAE%2LEP0Id}4TL91!H^ZOe z+dcp-6SU+CF`e1e?&SdMZJO*kz#^fCe(ML&dYCvp04)Kz{erZzPzHve2B3A{ejH%6 z6U$GOU#J8QuvFv4cM(C^{g&U41kgGi8VjH`gJ17lS}(ASydTmpIGg_-66u-JosZBj zlUO|t49Oau`^?b+B#SdcLuCD+RrLF^{Xw$!aD7JsBx@4>nT+$RMlT`P2Kaa^c%{sh z6``Q$0_Rtwb9a%GhX$kQn^__D!ef=P3HeOvY1BW+*ddC~gr~c6^zzTbV(BPj9*b4W z63I6XW&3--qSyQm?Tf_{zs;|8zp1*%^j^wD#)Y{D(kBns~EXWi$GrQ>d&P803ntmiIzs znaQ;PmGv`$rwiR+E%F0=i>lRloga{gL|owqe2-^$jL1mpvz=xu;YFTXp z6S9^FrHVwDU283V3^NC_A~87 zdW)?ZcPTGE-0BQE#%eqe*6)E^5#;WXe?rcSS{f`JuX1X;9%5EzsZOvMKlV-=GGiu44D5#|f3ZIN^b6rj$36AjkmOVKPEh zR5)rAuCWp`eYaSfgZPrsydl0HF_WVJr{Z3;nk+6?0fw(gR=d$ zF3czOZmui$(Nqmo3<)4=2AZ>QYwE2)qwb5Q`WNHOidOf6QcYpF^z&r3jcj^BsW$lm zdAZTz2ju0(U4B4bZv5O2$jgna7tjNx;+~L!pj4CdGLLaG!$V8m{44s;*NFqJ^uAoD zF9kR%GaE3{&3FsEMTyxMT{DUh{Ili2iU2G9eQE9r#(R}uj5c3I4kK5CwMsl=`tPTn zzbazPh^2PQS(WF=iWB<2FRR>wANK&KOf3LTsTTSRfK%RF<(Dv)=2_X-j3x|gs%~;u z)oCqWEkqQzPtWcHmcq2xDoiYcPLfQ2Jl^d+g`!1V@Hw!7{}#BEnYBIu zE@kHjMzD@{+}zmZ8W|8@4yr^jZXnBgVwXDHDjXp|pUa z$6|2vk*fx_;vT0P)fUTrS7c=^`EVKCD8_YT3j;+pn#WCu_nN`%wC1;8fBSO~PNM=3 zhq);5Am1$x6;F}8R&=L{KSwimPi7L54-dZ4Se#z*(D66v1<$-8&ER!3@8`EXQyOVO zfqsD(&kXj_{ZFlz>Od_=k}>{-j0Y66^2176AfA<4Y` zUQp+r8^;0%R71GO$U`Qzq7a)#86$j9Sq^I?9A zTO+aWN)B+@PjF7+E(O8pc@ER6Kbrk+t-&0bVaC8zUjFC~#(xjy$Se`%!6;ZIhIhdY zk)d)jh1;pz=_=qP3NSpKC(|w1E%xVrs!*mTw-wCj?tEiE!3`BP0-WT-1~P#gs^yru zQXNqu3y1CP4JpQSF*?0M_} z0`uKeIDhhL^PZoXT|X!n(Pp7vb2s za@r%S^mB}7IyT18jfcBF%p(;uRkwS)tTl_5A|8BMygfP!nU9fs>AX{Wgz+X%7;F1T z;<)uk!twHATJ~grvFx74nE66>*eS7^M`wx$h7w7xxc|wiD}RXBk}=DR*u~g>NijjpEt^i!#kzFIbe5D-dRZ4=fSkLV#C+z>3$r z#O=V=4Z_x&UGL5ASZqZ5@#)m7-t&H%M-7>k75uxnkn`D)NTaT!O0WC zFzwmps$`>->5cqkj2@cqL#V_BXJ&=K6#2} z)af%2$K2#Glt^m^q!~4MfW)1ld$)|D%CdU1?{SN|c5JhbPLsLFCj8UkF9diAT>P?) zR{cgvG9W4MiT_QZBS7gL`YgHmKNlU(G2i1YAdn;V93@p*prbL>~g zGAF}KT(%-eJ=2_Z*CzgdgdXH)pIJ#-cfD&DQIkCrvn8LmYaWYbYHaJAU6hyF2I3x{ znnjw{if|LWC7vxAWBs5_zLgmRMH^!^UG+|psrhPZ&YjLhZ8U;fvk8CX$;k%vhycOB zb#Jwy)VleZA+6hvuCt7i!^2x8!E^+lrBYI)`Z$#7bQEY}sM_ zJ*TT4<4gB8D?Iy6D?H;xD?ELZ6`s;+g)hFx3QzhED{Oz?3fC@B%&o+SqAD0e3%UE-AJhvZxRw(z=N8V@q z4r{p8)JB*@d!;;NnPcIj&Fw49;^D{bO*y<+e|L(_{4oZ_E?0atr%0WWs#t1VRVZ;? zEK^doE;^!H3r@VQS9O`pJWquZyk#_3ORaMA%dr;o%h_~(p`NH=_?LxTE(fF@N+|cl z5<8PnkDgC+*XQ>AP#Y1{AXjpw4zW^nvQd_zF^X(}SKjAWxaq_F4rCR%bGGxi`eheG z@^9*!E<5%5h?w&ZPV#i`@+Y`=K-W|i0>W?uHbVC&aygjvf9J8>zitu$Wp(0->djiG zIcqyM>U-*S494TC=;X3hxBmGY61*xa@`&8R;ml*3Ih_14RjE8T=o(2qJvASySxh%) zASF_3Mp8~Rz?=Cum6mha>h5*B-soPHJj>2p#2&-==Hv*j{K*`2sCyhzv-ICyJC5ZD zyIIjLuBScdx?@A$RKex}q{y8DI@)&5DkuN)OIDjJfL2c9_McT(aeGhh`hR(!y5SDC zx|6$Hr+-m*xu)qc`Xcpu8EsxwyX>4$ZdC=VimsxMAuQLF?QR!6+}}Hh-p>Znm!9w4 zB%5NHEZ#MZ8doKAeOclFbA4>?o5OUs?5nHpCd=yQa#xV4?#d9CjVQQ(y0(aWXdf|g zar3^W@)E-jh8~%Vkm$3(tBlXZKQD$qYs4k1J-l4L{L!X-zi5d8_Ft$?P>Gk>aZn{{ z?=zKn<*=SgRQ9ceov*}bRiZ(32^#Fx=Uh6Zr%oF{GE*mNtnt4$hR^o}=7yN%m84_@ zR=2$Ww*7m~U0uHP#z1%&=jJW5_|jhu>%q((i#c+Qs}PpSQbP{QnjhU+C4F%N~MF+6+DI8br>f z1Wpt-CqBnDm@{;t()yw(7nX2Gk#W7jDa}0eBP^bJbsE?Xg6OJ{?enjZm46#aVal1@}5se+Pim} z+nKw@8z=u=2gSlIv2V6Ce5oj8hu7oS*DiYVd!N31sc}NQpjF|s-?kU*na{(#3VVU8 z5T^1XKWzT%%%}E>(m8KmvF*#7Y>o2_#AHCTWTf>Zwet<2@~UB1u5CFu^&|Fzld{iENgS$bYbdEd%cu`nbHcxW^3a^ z&W4Ed#SvChf(3D8#UGhgV-Ftq^si=|alq5Ra;3n>9p=YPvE4iD);;6nMU2XJ>P?9o zn8zB-|J-O6<1U9IsazTEmd${Zry7dmMwwNN|+oe4p-{VhFEsuAg0;zYL3%2F?-~p zR4(lOjhA7Q)dqUl zT!+9U{j7JX!uWc$HA1OCtaUF{Vspc*2cg{3K&cb6Cmi6FIG7Td@`&*RilBV-wvvXJ z$TZABjiOL~;hol1YBLxHZ=5j*o>m)rU6-xY&dy%S8KB>Qyc~`y9{BSNpxN_*&i~ z*@ZV@P>E%Kpr43yb_I7Y)U+o)=I^b7DgFYiQr(hQ;q1oFI_K;o6O)@Ocg8~2eUlDf z&i)Ji+BWZF4E+l8X5EKack%U_8^nkCyQn-oRvyXL7E#79vntHzm}(h9;0RaVnPD}1 zMZkP8ojCu$%yeI2c5ObLTDp^Y%8&Pp3NG8#B%c{#I~7a_d(CxHnTh4m%vXk?IL*mS zs9f>;JMQ?5nJY50N+QlfnfYaW8O^$Gw$Tfj5(xT`J@uipvK87$KEVveY{}4QQJdB(bL^rGk!;2H z>DL7&eS2)`WO1{=^>*8|N^4u+`eN0-Nvi^iLc&WH)G8oF6cv0y?sY+Fts#Pv=X+-E z-R$Dx&wifg&nF+)d+(fiJ#*&FnKNh3q`zS{b(u{#g~uOHa1EMACIPnkh<(1QO#V3k_t;QX#!K!uyC-lb$-{DEC+YS{;vwsHVRkh)R+Ubw0@NpFrp_EEoBQMP92kFv+eo;a%epjzxB3Bj7&18=J=TVI_myGhI$I zN)*5o52HA z5NfJ}ue9@k9i|l8!!m${g$XxV8)_QUk0`oz1&b^H2+zmDz8Wvn8WqmTam#m*>_W-aF>(85Ck`*z~E*X zsBGz4LYKByZ+?(_jU`0x=P{a};!QU8Wua=KBhdY$^Hcp)-O72>-NYh`Ryyu{w*k6P z^SR$CtZu2jX{EVSS!J_BO`h?M-0Ohkzr$#LQi2mZ=hm|13|Bekmd>vyxpv&rvi-5? zAkq)}_ViypF$Ue6IG5kj$y8F7Zfgl!Z>E0+I}{AD4iJvvv##JZ$WyApNF+7X+W1K? ziG_YjwXjgubd2aSv#MU+;4*ZrX<8?+rQS+oYsSgeKrcqEU`Ikcsk?`KZ8!k|Zy5*4ANeRd+31DksR z;i8RJM9v9>-|GeKv0K!Ki(k@zXLrF=Lj&p_nBK^JFzI_l$(<0wMJ3GnjOIcq^{9-( zY%%&Ma(4^vnx3qYl5J|i$!oolA0P-=b{pRiAldot~a0ie_|Shbz`^CUIXXy!?0vh$@lC>%I< zdSL>Yd~_Hk#KV^23HN3mmqVXIK7*@U6Puy|*y5oPGWl8?!cA-=)(`p~qnA7sBlYqO748c)y&5ij zQ+Cz_AY>e>dBwQ5E!eide|@zZuGwSUyS9zv2mQYS$LaYr^B=i#p9XxZC*t|(GGrM$ znm;T06b9x_Q{j3XYW^Ft_C;&l(+FpSOfy-eZxjr7X_j})c1F$#S+B~bT85ULaLw*W z9Xm5OJ_^qbSM7$D$*Vm5_mK4px1gt=1G&dw8Xy;@AzLkqc*S9^+NOGxmrrFJKAtC_ z6Zh8Vrghl)#TUrQ+V#Y+#v2M&*KNL};A(f9Sf!$`TzMA#W0aV+h3sb-@sA%n+?BP! zoYtb(+ZU_N2>Y@?y*;Xzv3zkaq^+=h`4IS`vHSp6qc(8W->fp{BKU(|iFeDRvgSbL zn-NRn`=*=L*F-ie7fwCUSiVTIi|@WSzOj6XdN=JwtprIwHp?ySracCshP|ro@ z9k)uF$wuNK1r|4o7D9PzK%gih71+yCy_@!}nJll)&hqPCmJ>2rp6O-D>{1%C)_IwQ z;_Cv(%J6lOBm6pUlMiY!CX?X+F`LR{ za~L8FS*K*OnRd)r8tFG}RIL7Ke+{ zCqliD&pH(n?odQHIf@G7JyBm{AIwrnj==@OR=)~opv?Gwg5>u$FckV#$bq;(80J( zioXpAU9N~K_LvNDi{=P3ZWU=`D88FW>e|P;>_~Mg(upe4mQeh4B0ViRA*FTaWU5H_ zifDo^A^rxDUXVy*vLlUAk*bLl9yGCHNGSehXSk3TiO4FbJGTic;tD0Q$Z)J;9GdYd z6+=2D>JYO~0(qO9ooKR3be@-}ToMg5+vZgaERv`LQA1A8l17DJjSpH|gIon)B@vEr83{!OVy)ly_FPEpx#OZlo&9t!Z71| z4+xL4<}cAFg<&Hsx2}SfjP?mTd*D~Mvd^7L%pg4^T@bmRjRH;%)LSfYTE%?Zw0pI4 z44R97nRu^|EiXMe_j!?LN(fknqe=c_$bVrs^J}QtyhMFQ|4tJNEmcN60<6sKZHS<$ zwJ>Q;RjgBwWbyH4s-ab9_tX%9SczdOLY-`w6il%{KP3e-Llj%8kB=o(EPJVb)CE#K zqzPtxB|F+usf0;#qxm|{?y)m#+IP#h8Nb;qFq$t=19+)~*mvuNz(@obmVnmy7Sq0> z)S7fBIgF7MR>OU^!m!nFmudGzNz?R^r)}XxwnK7$=7E6^;fvqxn^3*QtWJY0G5pv!9Upjr(Ae z{WeGrsDtU5mz~SzO9rZAgUJPqo0m;{nVJfdBaS%dDyZs^diyjvWZ5iNbftUM+r8D9 z#f^&B-K`1cYIZIHZ7-FexB@V6Ig0zB(DbRW3N&6Q&Q3Dj{2%Y;llTvGuWnu>(pB+ z9Tkywx@Qq(kjk>b+R$L7E=``#4)@Y>g4t0hawbd{n$s*sWG#a}IS6+hH;8BE?(SZ~LKs_JV}vra=xX&s^=>d2 z&5&|efxTB<0m75qyl>C$KonOwKbk_=#wbk6ucJ~l78DShECB)x8z+7lr$C);2 zLF*D|9F?GT36opHGJm!m8Hfx4APPsau`fk**Ma2(mg_*NN*&)L`DP!J;`O^EAdKx->N`f|;7be~ z7bFfrS|qDUNOg?nN2G4nWAfql#TFUaONKbIfh}iay$MhBy*Kl1tcd+x*d(Oa{9W~p zETg5}$^%_!H>=i%1$!(O4!Dg(Xt9-~H^nM-7fZi#eXt$Wa@46(^6)VMmNnhDQPAPK zidy2Kp{49rzeMA~J1DZ0Amk(E{~A*q?^X;!W6Ryf2L@%YeAL}|J(svADqF+(tax@a%hck+N zSf9Bqe2BT4M~@bIHq~?VGK7at+Wo%7upS$x$VvCy)hcM0^O_8iPnq4Hn+3dkc7K@Y z?&;DmKHnG+R`<<^{|Z$W!U}&tWG)mBVtUwbxF+QnC1rX=Nl7N+#vTir}aJQrm6 zg^`%Z=i@PH9J__DVf8X|&=Ki*Q35+xvL8YZC|)X)@vVxT!r|QF7 zt3EBVvG}j_v(w-ukgJ7Qss|n)at*dO2+ak~p>vKPB8afmwUTd;-iZE6O$??TU0J=A zNnks1!glTD5LkG)T0t6#B52N5@{l;j`hdZfO3Wh@$-`bMZy|fJNI_wH@aD#v_l)Ke zMqJ2Fv`F{BB0h&aiLGzqT@r-iPkBp%Bl^o*63E6zqrE~{LZkI%4&o{UCZo_8C?4jOO1{aWgr$)S7^f``}hZ3e3L;ef_iy2#d@X@4hR77bFX@;0YPrj2VM%(zony)&GgT!_4lZP&U& zWt-R`xr{@5Rr6-laW5nu)sP%vk%ReC@}~P;-gIM&jKnxTTv=l^%bg!~$?A6n?L*ew zjAa|L#+o6ZeKg>11GS*oBUl~5@nUE>E~LzNKOQSqdtn>%G^z5vrh3%2t%3U_x}pti z)I_ha@0L|mJY5(++!YxBb5OL2Y3J+pRtLeRJp#j#cbv;0Bcfn-6<PX5xR{ukrB{jgN=VJJOZ@LpL&*Z?In8taMkw zE77osIhG*9WOERasx@fb(auWo3`9}2E*Zblv>KOvVy)8fpfd#@5^$~uWqVz69N&`u zT0`>tg$*2=8$NZV(JXfB2rny{{~oNysO8;o)f?f$z0ROaLe!fmZb)p8Z*4Cwlr;+r zl;cf%!a(dSqkoI9dJ8h`iabaz$l1Y~?X&Kt$QHAxaan`-RInQ!G>g!(SdHY-NCO(~ zW3`tY(IypYz~LBqX;lNtP$PYc%-?3sGm)#6?YDgrG6m}w7CEv!-Mr|Nyd4W_>rziJ65m5`?W<6NJSdB^}Y(UjK`YjjUv`CEMQ)DetR_- zi_c$%#<+ikrIpBT4Vy#B^ZW3=(O8aTlXB)uB)joW5%HGvqlx1mWI^*K#It2WPH+Kx z{(TZZT==##Mn!HQa^r&vfp$ZSD@q+Ta`bo~ukABMTd8+Iq`Oh{w)%#V&%Dve`eOg; zvsgWMr5d>pdGKjKxs-$)e+1YJBua#!}h&HWMeHqpdNYkP0?z z6JGLn>IT)OPYrVZROKE-mry@Ey3J_*IWSCT8tsZykiE8ElwBEM$1UC&h;9zXepGRi zsttw^VuD-{ncGqF;wr`IQO|_X3%dz= zGXx*cjPPe&HNu%aD)ktD1~JC1B@eH^)!=TlQqtQ@4RT~*n`onVP+~{PTTh%-P#Uu9 zrkmDN0TR_)$zmR1HY1G0$uK-7;prL=Xk7VbGzLON{nNcY`we?kDJZIiIttfKiw{Tq z9YOT^nx;VXE%xTZ)_eB(W#PgO=c^cTW9IujchR%V<&;AALYIvQLDi*XZzrU9a@>JP zDivDu51NJUqmFlZ4O@Rvb8Si~c3;szSsB0g1>#w1RpY#f={zNetaj&FUe`!86k5(2 zF{!szLlI7#Wqe+i9CudX8kM*Z+rEB1oL@<#WPRBgt5wmyf^4GbX5Ks?a_tgCcR4XA z+XBf_HP5Y;q%qJ%n6|PZ` zcJ(Q3Qt9%v=}}ACgsFPUd5G85l1x7C;)8mt!%(htLWs?`kZlg}*9n*Hl{O$|sO}0` z|5~#I5ef7#|6YuM<37PKwiQ*06R(f?^1F635vhEO_qX0 zWBd+M2|w8wcc$=0kAAjFLsClBD6h~@nZjA3oQFq0GURmOiGjR2zn}!@M-cfVUOoD0 zcNR#=x?Vy~Gx)@D*cl%EB#V2Vk)2+v*(->>%6n=$oH;YC@iDLFI)R@*AI;OpN zf{bu$e2iEgO&QJKR$(#$tDO;1KVK0@CB8>yAdbSTX9jN;!TPtVz`yBRgSPFsWZLqtX~&Bj1q%(dCX` z;+{qZL3_1`fr+lj2&TJ}rnQIwR&$Sp%|0h&FOv0EQ0yt*yVO2^w{uT_)uxv{$k*+P z-EKd!k%MgQ5qWGyO#1RgJ#?5#bG=C$-PmD?zt9al2X`BU_{Q3-9w=%@_lnjTE3PUI**}&xQoY>ToUdwQ)mI1D6~#{XB(-g37h`s( zs=}_r7JIay^^fjDu#fJZadE5D73^rPP`fHd^LH3~?oNJ`RHeB!2a+<}I-Iwpb~?0K((@pXmHpfF6NaJ?x8Zf+=9UvUP+k=d4+SaGU1 z>s)3>_OMJ}U+DB~{+Odq9~Hr#*_dEXfhW(-%qgp0_vRGmH>VxxYJn+OAE-%xeD!`$ z*6YGWi*;D@kB+$BEILiRPF!8qQyqZ9RmA#$<>=MRx_ax4dYSEZIdYq2qE&62M7N~> zA@Z}>$QJf8Pr(^`yzZUR(mTt56*w22 zdW2&Q>#JL*EY?ZlojsywDuS890=ZHK-4y%$N|Wa@^g5}2@#hK+j($yACVkLTMz{MX znZ0T0$sw6X^KDi37aIwu@EVzoWvdbsx?Rp2C{RBw`c@X2daH6>go5TTb2fiPrg>K> z0PE36&5+s)2j{?m+oVP~V|3p@RwRl<`&7{Ry#x!!@*cjb#8}Q+Z6rHo8zm&EfGm|> zFNOo6QW@DpK_YV`NRAm7wh>sQ1F!W0!^umFHGoqO>a>Shg|3$&xb)d(i$(p{C*`oQMf_?N;f+?3W}* z2GAQsPL(7xVjrTmZ`jV6AY7E+Sk!2vKx9j0eL$ZZ3ma=BL67u5G#{chYT?JqM-HD& z=xVfH3dUbw5PISDc-!%fJvTNq@0|Na`d+4eoo=a4SFg$~PA~H9$(saR?22bRwZ8ZA z_3i&YJ^%QJ7aNO3u^x=SS!XnVnYU1?jo&WnK_!&=glezz0;bc*BNTQ#+`2Pt^I8}1 z+eqb_=^Vz3#wSkN<9la-*z!o@>_I&1B0~kukyH5{62($|k&K{j{BTA>aMdFI-QOop zX=J}MnIefOT4FC^9kcQxSv+RG{bfCe>K5)BCXA)#pqzdjV#MW2cr$tK&)71i8%J|} zUpP6XVqnPn0BxcY@I!0JO8)9H^*5HE8ctqbm>kJ<4_imPYb^dER3LQRRG%oozPf8S zQR~Uro&%NcWJvc^-@)Y7IHB5ksTFx;NT}xHIV(g(*0d8ds#k_!*Dp28QjT@2q{7zo z>E{KnO@4kQ=|}j^WM7j0z3NwEjUS;X{j=`$#)?d^s}@JbYCoWddmSC=(TTCVJ9vx= z*8NqVyt*rPsDG$wooPqbW7a;rnD#D8@Ebccz-a!OdbtDrf_f=8ny=!eyL@NWcNJYg z!|*7NmrMlWX%+(kSav)QMP$hIpz;`7dY8J41_WpW3d%5={a zRgX_km^4&x0v}?=#bEyioN_ZK?G#_Cq6ps{J|W%l{o@uDmfp?yKP@HOh> zo=J5w^uYwOiSjbvQl7E=s+?+>J=>|)9xqq3X?sX=ZT<~35R5%}$_}A8qxom@N%m_& zO*cL{WSAU#BsuD1PH@TD9F$x0)(-(i&kn)DaoZ5AAfFwA@vgi* {QL)@ff;Ny#f z;>l4*1f~;*w@G4hup+!!Vd789j!)eS*@2)Uw4?{cRc%)B-9f|x?_?(ZLVnalO6#q| zA#VcRlhs$f+^o>$#n92+G(AiD{{mn14rz_WefTjaG+#ch|@p6Yd#yc!SxOJY1M@796pt$dgV|ApGyy-R|sRLq}h zsK9<{9{dms6!#VhI#WSs$*b3@rMhyHvx4r@L5&2BKzUh9Q@GnjFe85H5#Zgg^E6hd zAmyN2y`3(BYTjddO)V6yu>2YE7x`sRC8J(f>lo`9#DHO@{j*k}roB*YFtxpNaj58y ziaRB!X0s9h2`}N~(4voIdT!Xrw2V$5sE&w1PsZ}E(Wiyhzv8dA#y+fzoE`fx7MU6Q zu+?am(_MA3Bj*{58(C$AntCk{7yhg3bxmjSw=bqKAo7vP)RCpSX+0vvhil%ORW6$9 zRw>_(=c&?6J4dT9NlyG1==|h{Y-9QM>P>n14Ks-F`>^rwuSK&&-A?Ml-ZKXS6M_%JgS4t!Xq6n`~Ur}`v}l@10IpS4_5<@1P_ zPpbZ_y3>A}or97;jv)`|^s} zeU@PMfsN*OizT45(Ox5i{_!f{LLSy@@0<72^AaeudY5Elt4}Y^F6fFlVQZxqCuF7E zDABq}nt3^2{XF@JkvoLkt2b45WX;!k`nx;+K;oz3b^P+Lz&*aZG=Dz%-^Z9y^W@XD$cr->Vh6IO!Bkyy$K1C>z!)b*sSw*^jlH_O{pQh? zT2w9o^>Gp6X7j?FkHBso+(8T_l4X#ZH=k~N{#X64#3jm%unXsvM^4?{DU*WM{68Xe z;=0UfJ}q|3gU6;X$$ypPzdSqtG`suNo3i-x|26({`PL|zmb>{@FanENRFq#v5#8&ST zNMv4hYq~GqmCiA(uZY?Q+r5)&t*iRPNtMF)eUnSna)~FwpXOC)`iyd zEO0OCWPy8Or1XrB|l^ac))J`uGslu7fzW3Z+y!z6*H0PoDgR?3j{sWf$^gXi2nGA+f?j(Vtu< z3as&Cu~85yIb^rA@@*m>vkWcma&8;Um+W7yH=4_tI>f4x2#v{bMKK#xB8i1?vrsI~ zc#_}j*@!nrw87iZ?FM7>drk$vMOdLfN=m*1MaJSEGVJw~P*2Vv+gLsW{{HWbdc^Gc zqMCm~)@mVqCfsg)!l%U-hS)RycAb%!CY7>Q3wM>&QZRM6k2-u>dQwa$MX-I*4|yP3 z_Ri??V~1`sn#&|TrXZX{#CV1S%Vp^=#SUE&86G<{CUQya&{!iOj)O@*iwDi>EfJfy zMsA873P=BGEH9PPgG+uc*{8MMwmVRysjnz)r zc2}eu?nVh&KOZCMZujX+9Vp0BDxa zN|9EwQt0&?q;tY_BM}rS*)9zwCw-1S41o5z)W|)DU>&b|zb{YaqvwBWc`7+#AjBcf zhZ#zHdkYl>+y}@eqZd^~LIFaG?hFM1KRyzw_X`RlSFoPqEb@_hh~W!D42(u}mN?|X zZ_#_sa@>z&J)sWGhOEW+(K7cLX(uA@@nB(9sf2u=kX5p1aHlBPtIAl-d?MA#T|(jn zpk|EN69J=7g(;bK%YE`lE|afdYLPG5hEj`$P+b()ZlF@V>v?XNCE+vhS7inTVNHc_bNG_RViv+Gy zD&2f{;&Kv8DiW*aB+X$YUYV14V|U^m8Gw|GEyau(q`Z$%imfB1lZmh$Z*;_|BhGZ< zEYopJTC4$M0L%r@ssUp%fXM`I)`1gL)F1EGUz5#}2jvZ4VyQ))ym>7O@XWMmk-UO@ zK~O1i$m{?Qp9595x*s6!iXJ*P482ods3`{Fa^Dkg5ONQ97V`GAY2D*l&kS8MGdwPb zsMS8kK(ZIcCt6!M_m;))ZU<_T~nHY$yT#21<^RbsHcQb@(uKO9>Q7Dk94-XqnUoLl6IccJ@< z6qI~eIH2i%>D$?MY;>zRp+2Dn1j&z`CfYy+I5wu|nh~UPeBL``6>M zlF_#Ve6$ZURTSU z$$RK@=^FW~3nf;x@(hEkGNbuhRGnJ-&g1BY&z3)#gL<8R0r^WyRhG&DY%g-v{LySG z>MlC=WU-L3^!557k$N+M>vBGggq;3C;&J5;ZJkc=m#H$C^lHsHJff)cA39zpe5r(s zd9YWmkdxnmW>ZYq6!lv_Bs!?k{-Q#ExadwHaJQW?(b9dHcc`aAzdMf%{R&5)OK)Pd zWRDjHN_Fe_v1+hji=lS&wjr|LNtWVd6rU5N2t=mcT(OcM6Cz*LV zLr{WoFmTzr0Z7lY&E(MjqWenLmIW&doTA4%v7U%t(-BvrDr^m|h#cP@*8|IH8m2Pd zg&LbFF;PFR)Q>UpQ07@#_=W#i zT;6h6_Hi8y4j_+&a61#r4K$kZd3^)NMK1J^B0D~P)zmUrwU{XtPl99*SK{XO?>Mx{98j=ucDgS{!6ECdbX_;UlztVAi|NT3@#lJk zpjVt?av}X1LJPXMis<;r|A^7^2yGPOoC9rouNO=I+PAk#;kGS|Ne(0Nm*y9YV{5+)#puY^;o-pZ^ee1P<_bfd0*cm4eRXuK;6DnF(bOUNi}&%tDLL0Jfo zSQDk+xh$>`cSXADtHtV7)j!y^9in4Hr@NJ3$`?i$5fd`y zjUOwuzsJtcTmxq%|$(kOZ`EBe_kI0uy-*HMi!YpUUa6J*OX^-^gtZeL1 z;gXZ1FPRuvTBoL8rGJ_$%E%i#Cl)x^_wzo@N=O^!bAtYqg9h@sSry+Se=?ldlVie0N4!tl+%NhLGGvU~$U^P_R%>j;!pe+bQhkL_* zAZ*Ngtv!JHhY_(-9|X52?FidbcZcm8oUk1|5Vq%cZqn^gtCRF=;44uo6|0krOm+*OK^L)U6mv0(Wx`o-x1y`~???hoo}9UkN3KE9x|Ao@i|>>~2xQhJ9LDeCaM zCW`dG*EyaRnYx>(_~RoWzW1er1ogIR&ASq9H=2u2_QH3h!2g=ceEkN7_3E^gAx`mS zka_a@``&rV?DkUm$)Ij*hV(%5UZ`x09@&a($jBas+~xBxWiGTg*!3a<`z)rZ$VDFE z&7#fT>k@iNO=epex{-gVq3JQ6jJYXtgyKJ1`yrdb%`!$Id0ZLPi2r~~1IjM*3$5b+ z#278^;ANfPt(oJX+W4`_i9K04Y(xARSYLw&8Po;y>fJ@;r1Qrx#Co+cIbuEbIU%kD z5w%7r+3#7?{;Hb0Z~T@D#nQERa^ksUb$qbq)muWAoBS?@^ZRdEBN>D%`e{88(?mGg zYlBo29qszdlk<9^0L6z{$o`tjPB}C~57lq(Db}lL8~^&uQd!e;%jL-ty<9Q(_0~aI z?SZq32=Y(m^oa`}6e_GXSvm!{&ca$3w4TR&Wp00wN353`EQ;owC04iZ5$wD{ugUqY zJ#w^GnYpY>?39+@!emW#X?sIy(AptJ9+E~54zk4z#~IBj**BcuO8RtR^q*9@EUU`7 z@}9_m0sk(*o|EUb2~KscYh-ZvWh3Ir*HA&naN2fWXa!|^v3dr z6J#|V7;#0kU%JlYFC)THOY2hEk!dR&aYf{;5o4mRvAo7iexp!a__zX&?_J=0Lr0Hod-L zp-88(k>d9lnp$pfeb4zk^Pb&X4qD1eoZ~=d62+06JAwhC)~pu=ZasSnwOYT!xpaH1 zcDaNOv}rAP0pOTnv8~Sbi!#7$8dZ!ey0@dDyg0&5sAF+$ zwKiPCEhyU~{n)x}v<^CF3oYRAe0e8+@0=SEsi+RFLn4-P@{gI|t0aVcP$us}9IW8b z&cuph$565YyAZU81$ca~QQhGjB6&SLxtO@lQwSXhns_DzMNIxAozvT!Q8dx9QNXEg zp~P}Cfj{8k#8X=t-A#+1En%qSvo6!Z`M5_X>xXsU&mb1TC=nhNn zuVxcc*_);6WD$Z!rB1CgO{-4a*$&~RkLa7ke^!#EN@|ENf7Sa_BL~V$W+%zCO70_0 zy4)WRN4~I9aAhnif-La*?jLY5RxBu+CL1?m_b)CbJD?TLCSj(JbK>+soj$nm!!dgz zQ}n)SF#chWuuUI-U`b6{i5 zOO3|J_k+p+owq~$e={qC=o-~-by{$NGz0|B##JbnJx``$a({XNjqf;lw;Mn=Oa&iJ zyp*i`GPtnL{hN9KvL+!t-cW|^S9#c)50aytSJ)pJ94eYr8XI0187>Mka$G;bXGE&k zV+1l@{^4?YnvlhWoc{Ot0HdslCGK|Er5q(GK?)TX$haB{VY0k!cfLWGvHWIKG#i*T zyIB9L%Lk0lL zKUT2iMze4a{GJq>>Pm!^^CSZTp3P`ubo@YU3+yNinlS|9PU1U?img<^41KaFa}P2& zv-qEV?hntc)VJ)0hnGy^-AG&sCkxwsC-5QHRW!?VK(~gie_|MzK0)|L$V!`>%aQ#) zyMfjB#yLG3Yc?8-<@jf~XRDmRp+^vMw~OvJ;Qp8_z#)jjuf<+xgOc#6B`!78p3+|D z^QxBIq@~W)e}h^+hzF3h!b+MzUKHcTm&9%OtsL{Bo8Cc^9L!xFjb8Yy@5vFZ>#Dob zrWMS|%U zLpATPR)K_XKxN%3{9IaLo%{8{m9%)&Ku%2!bRQ(Vv1uySj<4vAY5EiH0}wvnqS(d2!Yf?<606ws4I*lt{BQ&>gXU_ z&O&`Y=P@nrZj&RY$dg*;Xbsk^kCX&sjpZCL?o|;=UL~>xHT;+w@@Pkq+0FKk$?+lZ zmtgu4_QjbPxIw$2U^X@cV4K56_VJCFy%+Oj`kEeU8ZFZpCo-=oX76*KS+!1Fg)9^i zWIBCRE0Z-x-6B^iY`se*{zfGbgph$6%B{5=Kkeie!$Y0N8;P$mD9L2ow94Z<@c`0u z^Rys?)vcDXs&JXRh*9$|L7uID7BiCDw(`$6o_-Z^4H6JxX<*j;e*hYDK2P;HC(WQ# z$d*}Ey5>#V0b^%R-J9QT4irRAQ!XoL|H*hqru#32wTXk^iJMDvCj38;IA_8iBK%HI z_>l@`d(-Muq1Lzlbl>jWhALJr=b$FsJkEXT5nE>c0JA_9 z2gS>$JtUnOV1*U7FDv6pc>5c0w(YabOOw4$fpvuJ`c2IBa|2=VSy%J%%yV3sQ#D?> zBEj794pFvbM=|1QL7|1d{kfStmHe7L`Alo6x34P?w(a|z%zue}taRZhXEI!7@Zl-zQ5 zC9ycPTQkU5JR5Ww%SQS!5p-P9f@A5vSDd zqK+8drsvli}w*V(Q$UwXcqtDLb7$9 zs0umO>#46i-4EwKK)9yESo{F}(wLlE*jRJWi2q#@F|-C=o-Dp7w8iJ92H2d zw5xS$vq*dxG;$K*`_fK(PMPyQ6R~uFvSXS{_3EwN2o!iUSR1OrUrkS{zp$xX?pal` z&JT%#@6|n`S`}s1o3C-*q?kc**p((5%}R@usuOj9im+Bk5U7d!M-O5wzpNZ1HH1&} z$hrr)7e@yE^P*T5yh;jOE9mi{D7CYEYQP9{OH?)PF8Z4m5VbmQxcWOUoQ?P zD{o|4i9|0%Gg1eEhM7%~cX;7MM)K4O-u;k!8iiC)ZrrHlG zAVBzknY0G!5BY{l!A+&qvPNs(24$8a_uwcH)9s%b&w+GToy74iKSwqqI zPvJmE35TqZ%4CL8n&X=FYFvKenVsw1BK~y#K z=^n@;>&ou=-J|af#;r%BeaUIi_dX-ORb)O;Q+j!_*I-4YDBcj3j)bx1;4yUU|5t{(tKL4q5D1Z9(-J)pC?@u39N zaVffC-1FY{3evuGOyu-XoQ^7p;?i4P8#`_-+OKgR5Uaf~y+Z;`sdPEWmiZ)v0FGed zOi)^9$W{@R@=&5lgkF}-CGlBT$4z~yM*9cQB_(y&*NmgNXF10(Rg?zyDWXl&Pqf_q z5$T2RTxQoFWHjR{5T-(#aW-+VH1fm7WEAwM;k^qF3kORT5iHmuVPpgWNdcvSOOy5I z;5L3M-r!SF<|}CguI599XoLCiYYuLloBqQ7@wB z(ns#^=q&LQSPqA9o5;hT&ue%8ZU8&Cn(JRu4n7!Z$HA63OL&Rm9z6BXux=@vW?fPq z`3llxZ4bzRZD;4{+dFAb$pH0vdOvw2YxjIffTIRp?OyidP9_4@UL}Pp#?V6wbcuJ1 zEvA2w`Sm!FL70eQTrl>Sm5~cMxwX*rD=}0mF<)i&zSE#9agX{u{TK2`)=pMmQi=9t zr{7+?Y=M{-uXBGuBShtAZ9{o&6PVxLx@r>~Po zvi3*nOWO2rL~-=nc8QKZ^tTEEUPS=R><=?Kwa-#-9ok*rzwc1>l?YcuT<#V0IQb>I zU`j+Gs-OI2U$guJ2aWm(?gFb_fKS!h3|}m5;l515FO7DP`BW|0WxVT zygk#O`u@B{@OJuM-UTP8x{oQ0F)w*dHkyA&NO<_5ne0@leXChof?~=unim7}^SfE{ zd;2!YZ&Uz0vB+y67o6f-4VcsgnH7|5s&uoh$TGW`jARM@C5Opdt?*x0vddm)Ga;s3 zj9lJ;EOdYt4)NC(plE0fCAGjExj}*sI77RmqZL)U6?B;`D_p6qX_fSrdaXu4H|@S% zXE0+hSF^xgVcMTFho3OJSNbn{eAJvU6Cz)Ep`Yrt_xi}wL zv@}`!m`n+>K{>dhds&Elp!rlrP~fE;T*jUl!uZe(+;!M7TXf^%g++*K}(um{SK1l21z35X^^g2eMPX2rU zTbK7K`x~#V{r~$L^!%uEKGXh2ysJ>{mqv~g_Fu<&)*jL0)SlWpSqg@6yMRRbL3+|=3;L4G;tJSZT;fMS5#W$>C_IHGGweZ>T$`L~jN=6qaxp|PA>?{e(Y zQ*yr6EW~DL9v9IrKQ5fSrBG6k{+oJU;`%1>4>5;?pEy|eX1I{xd2+E5K8${~6TzWI zzVK&hEK=^1s14>22ouUfh~UXs{=JHCR*TBz5V8dZ{20A!jnMzlFa<@%RS{nDBe*(3 z4H4i=^D4fHMv$u>tS)CcQFw~VU1V$YVZ5DmZ~BH zR_Gn`yW^ zm*jr`8V;2W|L&sP@8OA@Z|481UokP;Vqzup2l5N%VkLbf&>AL0OlVel!;i{84MWW? z?`o#f?C(KLEuZ%N%+YYxu-Wl1Lrls3K717X*SYQ2^D8*gY_Y|)bL*?%*MSosJ}4Is zSFWLiO#kxzQ1-Wb^TOQv+sNfEvFCc z!PtH3zC&?JXOpCyj93$LA)2piTecIGZ_?(B+xTp0ZdBe549qIWA} zLiD7F>0B=)S7&J^$LL=bMXqFobfuqZk@9Por^uU$47#283q{Nb5Qk1-x_?TiirBBzlWu92dguY#8o3kr(SM|L`A z@j<-aSm-$a;}vnQX`lOtpHq6W_A8`+;v`z3Mmg@13!Hjsv^~GTSw)V@fJF1ru+sg+ z-#QTNn%Sw0d+?)+KfNo0vOS)xopN>$M4u0&`XKgQ5{sbB-R$ej#K-ObXfL;uLdk18 z)qFF}c&|0g6<}+MC>|ZQQPt3@`a=wQqBjQP9~E->R{WzL(I@eGxUnnx7?0Mj$X_Yz zZ?DrFTNhz8%l#Rl)Ou+=`FQzFZFLUOcB=5@uzl`|rPAD?<Y{j_X;iHni~+BY9-U*H?UvxRo;~5Ld=G#!*NoF7olmt0qm%?I*U}9fPeE; zVL@b+^EO!v9v*T&nuoXMVNS^{o$L@*o~0{v)madtm|(plbz%;>K-GztTB*|-_^Io;c-RE561$fT_!Ao!{A&oS@uTF@3KUsP!^4IJ#{WqohAMIp@&S zV0(SPF5gf(hd&>ao;=&Vu^bfH!N7u`7VZns#pyn(1=tWQJPdUg;5P}%ks}rFtS$hK zZjdqC7X*ry@CM@uIuMtrA-rW~x}ON+?L5=C2ErwOcA+485dG z``oFN6=E|GUwiRk1(Ajf{|+~?A5Y%#5t|F5{|>diRTN6KqXy|p_f+kcMB-I9q}a!B z=M@^-ScCqfi1p&a^@5?JMj7j2QM!8d;1WjoA7@VK*4tkb*D9!UOf{aPdOTXq%OgQ-eu~~B1u#JU#*f8b2+@k}!h!yo#M`(Qj`2^RU^4>h^&tmeUQ!%*jqqaUhs4pJVvFxw zoB8~re2$eIlC^KVLT*=4bvEu#=KI+%QHwcV?p#VSTna#5yLyuQubY`kX`$O9Q_gS# zIYFt*cg6pj!mH>@l&ezYsuJgj!pY58y~x)A`LcFh?e68pqo+@fUypu5R#)%}Q3#aV zrw<1ZC!f=Ut+uy?t>g}QHNmXC-{`~K% zOfBw!ZF-+IfaD$hJ=?9a(7u34Zl$h)(;}TGE9wwJGDutJysO&Z_XkouH>W_YQ`D=V zjg9I9nV}ZEMo(!is|z6cHFacSR5i9bAKwV^C9kY&YFLul}kuzOl7qZ9x0S zG?ObOk!ke^q8AQ6ei4aR_va`0#Krt-BaGxPbe#FE;lds78@DvHvTScY ze}i!cAFq=dl=BmOLSB(6=lL_ongYU|?Qnh+9CpK_#_|`0Ny?EvM)quu3&=cn5ElIR z*+QU02Z^;wvUWWXT)Yx~0^!8)aDEpoK^=4LMx3*8E-CGyJuj#%NR=EOT3H~KsOxA4 zU#+Sf4`0UxR|>8kSGamSgDW*fn%xM?U<*IY?HXA^eQzKI;ICRNbF%Q&HV1s+uhc`< z#$d7-t^3y*9vo(}Mzp)5cooM-G;LXbY14(n}|lc zKQ9s1OGco0Wt~*KoF9#CP%L*Rp^vONIM#^&1^^ybLMsc-(uc<~^MkQG5c{xv_6)P8 zefBLTw`-W(POn{Zl621CaPso5tYj_5@{@FY?v&B57;>YeQ( z#;61Ze*AX}!`Ptc__~_uCDXFxdz7!pp=X?5iRWZk*r0m5@xiggyRB_wC3WiabR%Y;nqBG!(R?tB*DU6U;w%n|A^sTEg1iOzE z@|P<4t@k!5RdRbKFt~Pr2oWf&OBQ*7oZ-r#;FJ6Xbdx}JgT*x%8wU*-74z_-> zU2?b$F6%E5u%Bkd;20oYmJaE+GxgOBzU8#z3Y;wvh#aG{N(^#EQHFN#59w7RjdqVu zyYD++VP52l>5)jx$K<}kdKd01rzuo&4JqiDr{qQ_qq#Tf%w$3xj7XmTBc^6}uxTY) zg%5!5r4mhzM(`&Sr*kJ0hno7YgRic>P|yQrXUViOgnK3XepOXy==uF*J;Y8rnw=C};I1DEbZFly z#Tx$lMd2Vw{my@82v>a1`OzG>#2o3U{uCpaUJJ6`MqBTS7QThFL9)aLdDwnRx=j2S zbDtX7qJ#pvYM{z_966Ki-DKNd@&}R^w3pml#>2%6dN#jL4z)^Y@G0y`7AJ324c>a@ zX1~Eu3nMEWCMz00cw(u^PfjStc2DhGD;2+7@X#N+J zDH~z3lgO+zjA+bCMl))j0%Nt&%snsiic==U4?V6yZ{bF(ZQ<)=cfi7dQCaocptae0 z`ry|3GuLu6Ov763(s*^x=1tKp!oL<&v)%sD!AGQ;OxX6|bxdsB+cj9#LyrG3f%cc{ z&IGr&N7QO)@6{&Ou^dE}TXf|-ZF1-@iz^GB5NT4PJHLQm?#JTaQ&0C|Jy$b44BvvbaJ+V4n*N6d@ z^Y8C_ZXR(k|a_H_N@M<04K7n{^ zaq_1gbAEc^8;UzS))u()!L^z_Z(%a%f$blRy5I#fz617rz%QxHF9}hnp%g3p{2SIz0 z^bv!8B9;|u#mZuTlF&qi!vrzf#(Z-#>c2sHVf71{6l{N)WyzQ9DW;uU6B z<8$HH>hd>b;CsK5Q~qQPe<%Y_<-)(I;Z_FzKrZ|-Rsz)j`V4$=F8o^>9?HP4%Y~Qf z{HrqX(Yf$9MZK4-?U{j}oeOW#aF=4d_UFPkGESLXFF8%OKLg)8EvNm5zo6g` zW#Fk?_=Yoe`!nzda^W*I{Q3-haW1?{!$TSPb-C~z=r*MN8Tja2cwEDKX5eS%!oQ;7 zE@<}Jp9_Bz1%mW{2EKP{PWykR;SXitsa*Id4YxAz2Xf(^XQ=$I&%hVw!hfUTp$z=G zT)3&>RT=o`T=@ReRsKCQ@UwH_cWJl_8F=l_g^$wkO&R#!DLL)`x5xi8@Ki4R0gwM@ z;1A@&CusQf8TjH{_=y@G%D}J7h5zzY-TxW*=v??uG`wd9es(T=rsf|mWahO$7ha{| zn=;n!#2 zi*w-*>;4O6;Me8Cr+EFJfsf9GpXl{}27Y!fe67ZhtMr5-Umc$d|DlF!J)*$(emkfA z6&n6fCVi?KZkIgAA{|lGv+qG(tNn6c@Y(H>UzGF{(1#I^ML>a51NW5`>$x#Jwl<3$ znYwjT^d>21B2!axOjrES9AnXiER*7$bB&)c{-uKs^kMJeFuY4rpO7jEJgk*z&e^An zG;Ab}kiD6lf2VUL(khWqj6@b7Kt4l4$^1OzK7!G_N2Z&}hGilJdsk`kTq*LYfAJYc zG6?T6J~v9R(+8HpN@p3_-v{79-qF`K+}HI2`Nzm#lq=68SE>BhMgrBXU0c~Ly2(tQ zKK-u)Sl13Oz)?&=U~43K;%j2hhh?Q{oxno)Kta@@ncq}+cCMXFW^y*tU#;Tp zCRw`=T(F>T5FogpP0nohc2GNIJ;%kShrWmsMy%|pSZ8ltu?zf)l?+CEVKiUIa*wE& zgSYAqg?&NKZb5Bw=w_Wrj?EoGP@+K`bEKEp$%t|5c=Gf+|DxMtG|PD;j)`Efe!92r z2(n}gHKkC6$Jk?hfwFEDtR{5Sfz%+@>=kp?3jinw zNhki-Va#67;<2WJDcyB9!X3 ziLNkN+lzKNPr~|Ci&QE*8q2i)4b{Wk3XN4|nJD!VvNKEED5UnwKM&wTu%&n3##6C4 z+U>psK%Ce!=l~-TqBg0L>xnPK?p~-WcluPmg#?V|S)g9de^qHZ$ic`bk=HgSDM@oNu4x3JN+x{zi%#|iEjl+}>WtAq+Qt(9SJiMqL5=NDb3 zc1}=|kS%Mw82FK4{cq$prvjGD`(sRnviaNUG)?O4a&GyS3cW>T$=-_7NT_V9JwZWN zNNBS5?<7&y7S0fW>HEZ7yIY?3}K9*)u7!fX(Eo+Gk7FYZ@uZ9 z;X^|}qpdVzDDU$>8Ti_8%zpA`12J z6MyKF`1yf^<;PDyzX79&^9lSMfsRzGtAHx}^p#4h5!OR0m%-0AKjz^Fv+?tkAM8l5 z;OF+!j>b=e;p69FA(#w){)jD&#=|cN@5WDGiLdapK;nNYe)e}N{G39e9)6mr$kFt3 zxrF7%&qwelg@FOY`2>Dm^PxR~D*SxFhK0t@8xynf^Qa$l=L6aJx!VukD8YiC@3N1O zOFxw-`S|&XWSPOwZBmrN!yLl9@$OPE9$Tp^_>}SZ zAM3Dlw@O#CT%}{*i=#Lg2r%gU`>Yf%$r!)t=S|)^tW*kyF;W`a$P@PG<;>R8CwopddSc55EZ?etv%VR3F|eKfJ+* zzs2rMp8P9)_>=kJB|iL){O~;pcB=m0%Mah+!|U_I@Au*T^1~B8{6FlzY<%jQi-)sNi{O}Dvyf{DnejonIlX=^h@Zpcpk+=ROK73n#_#RFptM>mnKYW7^Z_W?D--l1k4^Q~;^Yg=}`tV-);SE0g zt;h4WuhNGcgMS4{z|{ zzt0b^^x^aJ!%KYlxcu-vSW~L@56%zY;KPga!|(UuudK-1zJw2dG(UW*5C2hqc!Lk0 zk{@2_!$;(Ym-z5L`Qdvw^R37K^1Sum;KSGFhu`nR@5&EP`0z-6_*5Sr&JS6a&VSNp%zp!? zeiePJUzV@_&iy|8uKe(X50B)BPxay9{O|@JUXdSO>BB!l$NWkBIwd}QTYmT+j(-0i z_TC1*r~3c@e}}Pi~8tFJ`%tC^PI<-_hzc^=l8jNfB)P6`#s!uKj(FQuFw0t->-Ar<6p_~ z?|ShQOOAiai|=1@{3I{FWr^|b{xOh5wtd-sa_t{)CjHIz@0Gufd)Fn+zZXBRhyucxsRsfN0?WBT8ZTczvIO;&iZd(a{RkqeASZUpYq}lbK|&#NSM*n-H6YW1C0a63O$bYBzEYI6nd>96VOd@H~=0NdEg1H3mOGLp2R>6Tl%IfdyK zS-SS5tLCL!;(SNY>S0TlU6^j9rK{>RWop(n9boYj{BYbVzV;8)~uO-yg6Uj9v_j{jMs@}hPY+Z?7E-?BRLEVJnEf<*W%<|n^2)lur|ez$UGsPD zLFSNEF+K7&>b7s7-wD=a9sLw>Q`k@325-LRr-(+I+wK^50ZCHW2KfqVQ%8N3WVe33 z3(Ugx>3pBY4|VPPB)dp0xFn}K^ZRAQB>F(x-O!tvWYI=U9LBdAe4XliOC2$BtHn-l zwSS@YwEbuB*Jx*UtOEm&k|SmQm@-Z1Z$K)({)(6w#z-_h&hF;>s&rq(4}(BTPVT;`dL6l0y31My9Mx!-^o!5i1AV+E8rS0 zV4?yVdI8TX;1aKlHx*FTOJQGDSheN6fVUNJ{G{6P4gq)bn8pj}b>}u@9U<%xJImQO zi(yxCY&cY{@cYBC)oySVJ7m}@vG21T1g@63b2>qku`ake08g%+5Q#f6nIV6Z4(XUeTMUZhw1s<+t}# zonEWd)JyJT7k9sZv$Zp65XBrw;g44lxWAm$1xxR1JsnU#debS2UgYAUQ%M}PdRV|&w2j2LD{L{lW zm30gsN2Q^VF?z~OG2b}M@!=7*xykrUH zl7Ku9xk2+S=pHwyzzr&}pnw~6mm4(7f>PX|OWmM!3$k8+F$H0l(JXshTg8H~DvWYO zq+NDAyqUBc!cLxd`gnMjnb{4WwiXccG697A3!W1Le)Ric8|Ila#!CM7eml6{ia^(WTG z_@M2yyP#E9Lih-Nlq=_{VbM$k!`)76wK@7d*z0m%LA9$0Wdq!3E4qNky9XD=@YI5^ zsswxGa1C(2rLyhyW~w83|1xZ^nRafRw1&00qoU2*d92NX$Zd>M=P9So519zgV$)zI z7gOhDPMxn3N+D$m>uf=tho%K@brbqYcr1zK!0)I@vZ@aA?S+HYc3sIIk(>cNqoe?Wo|)`+H9PpD+=e!c591?w-=g-L&}S2FrFfi6bUWAu@QVyx?;cw%or$s@%STbyw z^uexEr)a31q5}1)c>@n0jGJ1HzEw`Lsk@zHbMyduGkfQKzN2;O=hsMYb7FN@?QiAl z`vQ$=?V?h)Q*Q{4{cjy>-`~ z@j#RKp;fL^(0V#$NAoQ|G4_b~^RA$M!D$`%p~+_~eHPiI`p%{nS%YOBe87t0yLi%G zbacYL@VnPLzjdi;&72yRME$`gd_7FF66pfek!hcGj|uyPrxDV!;Q3Bu-f(*k2ayMU zwZ*yQTFd=5$)??4TU)DlX_-Bu%2_A#Ijn1bCPW@NmlE?{h@S8VkCU}tm5lKO_KwcU z(0N_~8|(6a*+JO)J-2Ab_MA_NeIIr@WAq!%WziqZoU=^d$*Xl&JNQqkXLfkR->%@xk!&8(wgpdbHNffr*7a8Q^7G>v`0DG1<$kJ*G#Xrf@8*{HLT318KDmi zzY?0XlzatY`8*YI0>|cwwB9*e7C37pY>FkEI%%b|IwKoXbMQx-x9O4H8RsWM!9DJ* z@|(ZthzHl(CRihO;!&PGK6Sly1^Sk=l&#_YlxHXDS!*mdocBaedfW;6KF^tG!s6ux z5ZkQ2>D6{PiX42bE_3?LYJ2G}vwNXkolOthLL{9hl_*wwv|T{(s4#xUht1L zmeStu&9kodPo1>H7ETS@2IwKncL=;L%bBHu2W|FQ)YGg?`qbENIB5Z4RJZXO(x<*@ zdA;=0Tcudb+-#($m*YA6gO8CgSe~zDZSQYoC!B&;tPGaY#8W)ugx|x_o&y)#nz)=* zMtBTcy7d8dR^va(f1n+)cROp*=R3?1{@`Pm+dj>;K@XNwLD&waoi$`9WOl8ePo87z za_V%Ok%&nH*a`~5Uh)zRc2?hcpB7Ej)=4zQOSFqbwmDRLiDWF;ZVw@PsA+{CDDP}p zk$z|U>pqqDFIhwTIHBH-@V>Jj*^Y3fMLN&lo*wxXONpTB1TnMMu&FQ=?oK`6P91Me za@FvWyM^z!AYSoQxE%h)a`<|_w;Wz&#tXu>Fn!Igl-5s{HT627{Mf;{vsxowGlo6Moh99w@-l)lYV0C&=&h}SlOcT z$~(am6G+N-KoJL8IhRq9L!GAIPlye{7H$&)wcP3}SoIfM_4a$q3{_namTD#JVp&-Y zN1PfCIv+px@`U5*k-JYYH~TsCF*ku0Aw^Ylit=v=tD~Zv?6%yDzAu`Mw z6fwzp25CXqyfSvtu_n-leQ_3L+u7%sdt`~2__DhMr&^u4Zk?QU?J?A0Yv$3+e-PhX z=hL6v*<&M!r^vje!G*0jw$Gr8EDtW}!z=2ddE?V((Y<(dcU+gt?Ru|q0#537<#%)` zeftkyZ^Ty|f3&bU`#wx}6PUxUBz0Ut{xg=&4hUg22*`Wa+7|Nr9_ON}`5NcL z_k_rMFS4^{#Ul|fwc7I>sc+?>KQFcV+(rkk3P0Dm9Q8M+>uJFy7Ee#a7x{E=LvYZm z$4^a&yxu9G!jV(wEwoHs4uzjOZ;p*ca`QGX>=1|lL)H(HGujPvX(pW=zt;zMww^32 zllS~ud3$)a0#_+n2N(uR5?Z;lwF~$MZ%fzjYvurK2b#_B@`=?7~bnv#DA^d&AS< z8MZ)o1zR8 zTfDg=b@zgF8o4(x$XjX=6Q??@^k#Fm9VoQq1_tdq`VbG}+(mi8_a8d${DS$}Zd1RF zb6&oXY9;3#I%F?!4K5+tS#Eu4fBe+1cpeu`bt=!>f9NY)_*0!E-v=&o_GjC?PcQvl z#g=~2W}UKAj@vAExdy){x6z8=y-agsC;^M1Lp@zF~#=FW7NL4{V#N} zSF=_IE%(_DC2}$kZp=#hnhKi(49^L%6DtKpX16X?(4Bfvo3F`5q#ZpvEjdL zW>?&7yW;8dh1)5|PD;*Uvmor}PM7m^fe+$+Z`oxQ-ziW`vtTQ>Fy|AxC9BC(gND9x z>eQ2fNkfIK<-4_;R$?`4Pns-RXMp#(mJC=-TXL(%0 z=`Y6`7PXFK_EEsAbYAgf-*zNf{@WyDYc5P?*JedZ8|%#GMv|2aN!FuyvIi~MKuZ=L zlFZ)sSERJrR_Sg_#woS1QeW|85mwrQ_ef?hg!g9Q3wE3$r6pKt{!JvS5RzrOV#1xHe1Wd+Mb(T$>}ovOVgm2;3kxPc^#?CMaxRu?aIrLMBu^LlHm>w7*)$+H z$SSpmUQF*|w{iZseSNSeL5JSr(35R*&EqAbe8Fo8TY2QoDCU-1u-w1Mrv<^-CR}$H zUUXbY8s4f$f=hY)30oPDb?x*O#TU8G`tg3NkfMv<*E#^%{R zzeMJ(ayDOY%O1>R@CGZUAhMqumG>RveJs4Kg>MM!%y{8aW93bBrlN0j&INkIS1Jr%DYX!-A+)vJd2|i_j_nU6j$K(o*N6DSuB*3A)$?mg)(1+uID5Z zT7usR9Y|=m=`1cQ*OL8V>$jjUH4i0#YplgiKqmrtJ0n{vA1FtK2P0XR+~S%z&+2jx zE!XfmvA|XK8bCg)#7#XOsD9usCz4mE@}NR1d{UML@F1qRwm;_W3tlw+I8XR3<18I} zka1jrQ%2K}GHM>UmMqTosJ(*KE2n;uaz44&%87LrYdj0kE#@kFM6J`5f6zQ>*N=r9|1x_xW_CAaT&vYyetMMcWHLG5FobJ`bQ&_T0WH>7D1hjK$!K;B@l zJqLs5lRth#S;}PL7j6bc^Ij90x8do$pG~uU%w6~H#npr&?~!M3ZcywsukQZGX?eHZ z2T#fy%}Hk*qulghDU`Ntysop2-^A@DUh~0)DkoiM(~Jt)G_ShZ_VaS&+Yoj=vf)5y zn&WLBh1;vWDc;@Y4+iTw?X@dR-yuGMSL;p11s%h$4SSN2h)K8EA(DQe)*My{@Bd}Y z20AJS4@^CN>OfCYH~|~h@KZUv2Cbattw%b9^(j0jObaKcdj}*imm_)y=bVsXqgPW! zCL?iE$7geS$HT$~Ff}3aMkkA%4LVw~26i@RV`H^{wl3n0EsJhyoM&e#_qcxOCF0Hx ziMuQ$ZoPBd^m=<6^occaQFyd}Dk}uQ;Eg ze8e=3Nr-Q1u}QJL3a1leyTtaj^uCa9H4D~mtmwRP-e{4ozXnKyHKmM$g z;pzU2Tz^JZ>WG}2^wdBj|A-v_=n;AT;VGm2StA1ep(!KN{R!RTn?`r)yBXXQHqqEb?H|U*}osxPhS)y}tQbspT3gqOa2AcNH${3O5 z50K(Y|6t}ZCp{%CBWp<0+jBAk*grBQGcUbK3GFDhNG-jyY>O^bWxT2QlFQ8-JUAmY zBRwlnxDZoQvQwOen4arTcUD!J(;{ba+G^rch%KC)^yJ|wfz+Y?b~JWKUS>*;KYdho zPI_*x&B+jEAmFUUlq^=(D1W_XY3=-D>*bEMru>`hgL=|OHAzh^Qhz(P4ryv_B=&*|4k`={Y$ga?WUPMiz@RBh5b~bHpIqpw4W++f=W)Me1XIoqT^bvn`sn zY<7BX-TKq=hG*MCOHD}~n%>Uu$k|3RhG%D{4`&smr$KN=nPp72reUm#!C-q8ZtF=##4DNax!YmYS9^Tf<`tc9S z2;?^M56TNTJ0EK;V|dyCkcadTl@Tl8($s@uzq#X&lI4 z?;V_(GNhgVsFn=? zLB4kFeD!jh)XVj`iG3r}bJ8-BQ}c38XLEAorr(yAo|T%Og6`5mv*JEPkiT)+)g7-SLYPwwrpg^VAVb{BPWoT zl9}wBDh8*drYC!)pBa;uP7ygN0nQ&jD; z{`GSGQS~y@e7RZKxz-de8Im*7e4#};&1Xe^)BV_~%lHLzDBtso<=0@4!slRH=clU) zEh(gN?uKzjJx=?rLT2BY38nK6ww#$#Z%uZZ&b49VnE1{;Iy;+$T?wV7XOGCu2oz3p z{7Q8aMCmYESh92IOg~*D(}Q!;-FfmZZ`{P8=WZv&E&-AQBbZfR zc1liedU8%~PHOV-+##CG9?JgbOmp7j1eQ05bC~TrowVEOav*m^9!oLVISKkgqfT$o zg)3XpTu-LwBlYcLysB4h`bM+E$pQOLdo} z&)o_#a+6OV2y(cxqP!HlWTm3C^ij^1GdMSGP_LYntX#Ghw?)Y*X=z-j49Q3xnVy=Q z5}+YmPo!%*J4&qQ;Vdx>zqKk zU4x{j3{M`EJ|rVcvr{ykdx=xx%oiz7>He}_r8{G$i?>hd&Y0=5q$_Eri?mNO`e!Th zZ0U>7)7jFMG*7ln&z8RUyqzswN%M9_xkcA!dRAJ|YTXrFv<8kqnJI(PGmECQB@{>* zQoP-Nvfzr8YUzqCuruP%vY^gLS8M^D5r38ib4I!|_uORrJdwlyVGo!_(MDxtnJmqUqpi_~|<7Gf)ovzmK_P$a3Hs@y7yZB!QF zUYIb)K08`+IW;JqE4INIcI4*jfls7kxR@#y#pmhtK=IJx)5(1P9iEZJQOa4$K3~>| zktw-+(#*_Ew)Vwv`Wneu%Eyfooz&eF!!vSI&z893=+0d1xCIs~FFGwPF)zzL-X&!W z;e&T*5xHFGXb%h6nIR?BE{t^aRP&0bcXqktkmP4c$C@abDx_UjubsS$Bq$bdEAI3u zsaRxQcA6dL-Tk~+T#+>%5@!qdMs71@3=W;8?35H|l@<@<29!RK6)VElPba&;?VcVO zI)b|Z#p07V<=c&wB57g>{oONy6T61UBlGqWv#PY3W8cK{WvQhlW z{5l5(yAQ?3tTcCrDpsCz3FTZl{k`QFlHRTYLl%2T!L~ziSWeHeJ#b`t=sa3fAU!t_ z8s;4*oNdg#xp~I+Rk)qH@!E_+>deV-4>q9%J3D4(UhYum!$)Y0S7j3Sb~w_6l;g$3 z_jH$Qa$tCNlU#1~GDpeTIU~5c$Op#L1NZW6xU07Yck<$-w5_{n-0sg3%YA;=It@Gc_6<_6i4ay(k4Q=lG*8W#&HS6<)0i8yl_klZ9 zY*z6d=YMcvL6cR%g%zqVn=z?T+GQ2gp7Pm|-t8sn*=-dh=M<`SMbE!CgwH>Az9* z|I;K1-|JS&f2b8lo^j`Y-~=rnO=nMbr~S{$1+JGa)b#V3_R>A-PUqj_I%>Y_-BVm2 zk=Mvxy3LyQO?A^%mjCYTdF|i4*v+5+jO+i`?H{+nZO7zyT`$n|iH+{`&6|qGd+Cb1 z()(_@uAjROm&3WCUu!B22P2M55yUq=t zD^FAU*77#FzS2*Yx5=%QZ?-&H>08KK6{auemd zPadcA4dqR8O{E_rZ;~4--!yrQ($|;Q%hi>Bq`Y3PuY8l`kxE}jUMp8o`W$(!Tu1rF z%Q;G4TV5?!Qu-`;wOm{I#>rVqUsGNsmsk2>@+!He@{N&)DSdT$gdH4# z9<20L^T9EFYKqDc@qbyz&i` z`zc*nd69fn?xTE*D_Xa($A2O$O+0HrF7o$Xke6keDTSb z%YON4xtW|KC&>F$?h*L{g;$opRrqeXlft9qdL7;RGvuZ6F}YJG9T(-T@})6u_;C3Z z*%#}EcatBH_sK0gyYUlbzv@YopOb%PeOt$v?<#RbRfmNv@`PQsw95qjE>p_kg@pZm4=k%hgp+KlyR_fZS5`-66j#S5`d( zeCr+NeO`KqV4JWt*$H&uNjOOfIK-u9s)ZJLGz*FH>G2pQCzu z$`8qV{oq5dTSel+RT?edQE5I9-!%TIaAJ+$H;ffP0wPld@tUc{!i6^jq3HTCyKi)0k2u0 z-~RY~Wn6ia_S#W5KFW#!QS{ED}~E%EOBm2z?vZ@<0W+l?>n#M}C`ARQB^R$hx-h=z{P_vDp(D8E7j2*4A{lIN zFR6aNMKZ*I8}F`PZ~pui$q)-}yx%6z*#0{cw$_P>a{hYx-6@~13!!d&z7t=3{lyXL zmTzm?=WOrhDEq?@4{m&vP1*x7oFPi?irw-DIw7{d*bwFX_4%&Hq4gKf->ooyjgzBz z{_chG&I1t6sJ{pCZu!_A~asF@^DN$;I>EQ5f&W6ptTU7+-SzcNWI`89TH7y9jgJ z@0RSg^7Qf@Ul?DseSX4im;j;qz4rMnl3^m0w0!&)$uJ4rc)v{+E+2Qw=bKDeX!*sL z|2>8ACC~q6;@$1jZCUaBpA^Qs`HRPIDUA0scINuuMwnZE$@PC;81HB7^!!CRQ$F8z z?B;hvyyfS`?<|b>Gj`_w`xRlK`HSygUl+#bGj?YFZwYhr`z`v6{qJ;qREhC>33c=5 zmlz+lA!nNWNXvHlh-+seh;=@@NCKTdIfv+dhPJS|H{9Nbjw{Jm%rVezC!lG&*m;lH%Puyo>MIU z%bNbQSoqn>^}-)0);=%%-}PbD-;`e!H08TFpEJT2bM_O&5*8MnKzj5^PM}-6k3J$y zbWVf6UA zdMCvuTbksKG{Z+1m*{RWJ-bK8_pouN!QGvnFUh?UqdUd=dc-HWrT6HTCr1Tu}5?_U+-R>+Z0V{0T$f2q;P9%d}8C~#plh* zWRJ%SeQUsaF z+qid9Ns+yi8lN>|$vNUXcE7syH6@kRDdB7pNoTFYTcpie*y=cArh4~?@6R+?_$gvYv(OHIWojCY+ z>lWRM6AYuhZc1=Qy7%l6+l%v)1@`FOJvK4Ela2O@@9sv&CM898v2$N1PAUnpiB7s` zH!?aVhEs_X*DJPLY(gAmS$y}{=%n6>vE5^Pa7OHu93R6-eCPO1(RRx5Rq$Wst9rHX zy10a!hu$7uzFheV70QN}D_^nF`Dbal8|*AZMm-l#e75l7>Hgj6$`{7`yIKDu`RtK2 zHrVrIY_JE$I0H|#aRweoV}m^*rpUmQIh-pZd1m52-j z`(ZiHf%7#6@A}tv0NcszGl7NKx+wl@o!*4m;OvZegfqp%y5%Oa)e$yZm-)D+u`uE?BD_V*>^=D=MS^DD3&eow97CP?D*SRGXrm%lEuB0OWtgJsvUwplJ z)8)zJjoZFy^J5Ohn{P{J<2HEn?dA3C#=3vKFwd698~5y$7n;w;y*fR6$03^zZCgp> zmcOKM3-ii5Tl`;z+dPC~$1!i(%Jv4Yo=}IT3k@$mZeLuSun?YrC*dhr1W&^= z@GLBb=U@pu4==!ruoPZ`W$-fC``TWC6=2V`UkUd8{wlC%ioF4E!fLSZ)z-k8}J^TRwgd^}H`~*M4FYqfIh2P*9{0ol5 z?_l?0{(zHUePNJu9aIXJhB6QaWuY8|!?{o%DnLaz4=OC141i=92>)I6-$7kt;Z7I_cR@bf4dY<~OoT}=8Sa58Fcqdj0o)7I;Xb$@ zX21h56aE3S;6a!T55XLG80NwwFb^Ju`S2JlfX87WJONL_Q?Ll0hG*beSPaj>5_le7 zfEQsYyadbOWmpcczzTR3R>Etr3SNgd;7wQ!Z^0UP8`i=*unyjZ^{@dp!h5g@-iHt1 zL-+_jhRyH^Y=KWP!x*?3`ok?S0Fq%K{CCxV z2X&2wJ7FB$1^Mv5`~UyY{hxL34Lmx(oHrh73p@wP$~ImDZ1BU`@>zWGp`^5bRlb!` zQofSPE-Bq#m2Y`U%2%}fQ>BYepPurTi`fQm`P6bJ`Fr-fg-J+b`=e2O@Y-*-!5U)A zKeYU_<+b#7p=)hA+wiCD|BJj{nf5tCgB?xHI}^?fD+g zpZrz%w*8+iua)oR4`bZ6?MuNszA)+x4dmS{kpB);-QUt*wcl&I73TkM!s`0FgV+8t zWU%oFu;t$dY=|p?c#E)&Gh{fY*x+sS&Lj7HnImrJnV2b=JloHgmNA4!A&zpD%M+b> z4j|8{4fuxSq+}2E4a!W(y49DO70Ai-W#?t32FS+4=D4yN;g%H`;g)8N;5v*K^ZZu^ zYqA{=dn?l#eLuctm(A(vVa<2NroSvYeL7{)F~5EfG0gj2#E))1tJ8+td6|g~Yrb*A z*X(!Q#R+xqA=s#wesdW&{T4|cp!o6~L^EMV6 zCZ@R#YWj$LO!g^0T&^tFkp1$1x}D9O*17j~Y_x@@ua;ZNt>o77HL|z;w$*gJ9bg#p zf7Nm??=DAwE7t?Ny7rb&1I?G4>&(Bq54q(;9d^Ay_I>Y850ux){vX`%e0jGV^-txO zeMj8sDA}j&=gbzI4&gN9v;Ei3<>62sDnccw3{{{iR0rF)Yl2-r)CND)fx1v18bCv6 z1Wmx!gDrbo-nNWwxweD$z~zB23OYgzbOvj^ZBgBz2P8lu^nyOn7y3be7ytud5TwCi z7z)F{K4;lytZc}E0E~oDV4tPN!Z^r>@h}l4!xWeX_riTJ17^Z3m<@AaF3f}ZumBdq zlduS$fyJ-{UVx>r43@(RSP84(4Ok6pU@feJ^{^2(!3XdWY=$kc6}G{4*a17?YuF8Y zU@z>0ARL6l@BMW_Uop$gdh&Z|QWs0p>8 zHu#|q)P?%c02)FgXadck1+;|L&<5H;d*}dB&=F#wGsHnx=mtF?0TQ7X^nt$65BkFZ z7zl$P4F$GEf%6p*&QCN>CZ9Kvk#?HJ~Qc zg4*DRI#3ttLj!0C_A(!Pb#yam0WG05w1IZe9y&l2bc7h_3~|sExc*(7A42*?wkPqWwB20!UFb(d7`(Oslgjp~f z=D=K-2lHV8EQBXv5j+EnVF|ndOJNx-hZV3AR>2#v8rHyCSO@E2BW!{X;3L=!TVN|} zgYB>bcEZ=N8}`6n*aty42#4VZI08SxFK`r&!ErbNC&4b7OFPjD>NK5947XOok~i4eo{eU1H_P}1)2SGRphv5e}0zbhoa1@TgaX0}d!N+xNDJTPFAsos>MW_Uo zp$b%m>QDn}LM^Bbey9U=p*}Q#hR_I_Kr?6oEul5Efp*XyIzSY3gc#@yanKdIK@UiP zMCb*5pfB`;{xARr!XQY4!7vntK_+BDHsnA6M#3l<17l$vw%2%F#o_y{(` z7T5~gU_0!9o$xj6hCQ$s_CXL1!eRIUj=)dw3mk=Ga2!s+N${0r{X-cj3*k^6Dnccw z3{{{iREHW+6KX+i@IxJ_3-zG^G=xUb1e!q$XbG*M4YY&y&;g>LBg8;wh=Z=s4SGNV zBtkFf1AU<%^oId35C%aS42GdF3^E}LvLOcoFcL<=7#IuVARorVM3@XyU>e*D_rVO9 z3A11}%z?Qu59Y%HSO`zTB6tQC!xDG_mclYv4l7_Ktb#XSHLQWPunyM4M%V-&z(=qd zw!l`{2HRl=?1ZmjH|&AEun&T85Dvo+a0GsWU*ISlgX3@lPJ)k{Hl?5pl!b684;7&j zRE8>06{8Hu#|q)P?%c02)FgXadck1+;|L&<5H;d*}dB&=F#wGsHnx=mtF? z0TQ7X^nt$65BkFZ7zl$P4FCRU<{0fagYz=VIoY1DKHK0h5KLz z%!FAm8|J`Vm~+*uo~9DT383`VIypU58xx% z3|n9;Y=iBv19rmKup9QkUf2ggI0%Q~2RH&h!7p$Wj=^y_0Vlye@Rx!zP!__WJXC~A zP#LN~Rj3X%peEFU+Te#eP#5Y$184}1pb0dC7SIw}LmOxZ?V$riK}U#z&JYJ(p&Rso z1W1Hl&FG#Cs+VHjjW7Gy&X1YjhLf-x`_#z8)ehlwy5roc3~7w&@@ zFcW6MY?uRcVIItf1+WmFghlWSEQTfU0xX4PupCyvN>~MNz-m|nYhfL%hmEiaK7fy4 zGi-sauno4u4%i7_!*19Edto00;UFA_AK(c51i!#hI0nbz1e^r>aaJiP17#r`%0oq{ z1eKu*RE6qL18PDos11Io19hQ3G=PTC2%11MXaOyuHMD_t&>lKK6m*0b=nQet6}mwW zNPtA>1%03|^n?B|00zP!NQ1#J6ox@2WI;CMKmbOR4{VI1Vcc$f&2VG2xxd*MEq z0W)D1%!WBI7v{lySO5#*NmvBWz+zYeFThe*2Fqautb|qY2CRlPuol+Ade{h?-~;#w zHp3R!3fo{i?0}u{HSC5xuow105Dvm&_yLZ$pNP!*~}4X6pVpf>oS4%CJE&;S}jBWME6paryq*3bspL3`)`QP2@$pfkilSLg;k zAORAg7xaO?&=2~<02l~^APokCy(4iLWI`5XLkDCDe@pWRenZZB0E2l z^>MrRGX5}>lEdV(a(TIeTv0wxt|V8M&zGyn7s%D*>heW$P5ENEmVAkPnOsM%E7zAB z$c^O2aud0!+)QpRUoE$k+sd(WXSs_UC&$Z4axb~J+(*7q?knFU_mgjy`^&e;1LS0R zpqwHPl2he0Ib9ws50Qt;8S*gsRyk81E@#OjGCl7R(ZSph3tI6MOEk2 zb?Yl7mzLev!E-xB(`Dsya=3i1TwbmqSCr3_E6EXZW%+!$ihO}wRjwvimoJoS$QQ{q z<%{K7@+ER@`BK?0UnXBJ*Odp#De@pWRZf%B<-zh0d8nKr50h_|Gv(oOmOMhvmT!}D zonE96(@mGW!yD*1K!4f#!ZwfvU6Mt)mf zE59SJli!us%Nyj4@_X_o`F;5V`9t|5`D1yr{E56p{#4#7eB=?hVmix=M$OGhL`6YRo z{Ia}Uennm(zbdbkUz1nKugh=9Z_2CXx8&ROLzx^oR}RQ|@<=&fzFQtIPmm|dljO^{Eoa%epg;EZ;&_2@5!6w_vH`d59N>KkLAtsC-N5gQ+ccWnY>N@T;48! zA@7jCly}Ns$xi1d4gu%4KB{@RwF87do$_a9!+)M5)_mOXuZ<71TH_QFyWO<;RA`g;N&o@y`tlWW1Nln1p?sCxNNy}Qk(%RS_ta)Nw=oG2&Bz2rXfjdEZ4Cb^${ zv)o_4MIIn0%LC;Ud61kcr^)H^V0nlm4# z@(TG?d8PcC{JQ+6yjp%sUL&uS-;v*y*UKB^jq-c)Ci#8&1NlSwBl%-_v;2v?MgCOY zDt{(#lRuZY%U{SllZL@-BI|{EfUv{#M>Ae<$yg_sc=~fP7FsBp;T)mw%A| zDIbx4lz)$;aj2&f-yE93_9 zm2yM*D!Gx|SZ*RWm7B@Usqua(=&k#Yw)N{*I0%AMpGxwG6= zzFzJocb9v}J>>*BQBIP3$-U)1@{Mv|`6juae6!qNzC|7&C(8rn6nT)GDyPZm@?d$0 zJXFq*hsn3fneuQsOCBL-%eTona;_YZ^W>58?eZvjv^++>Lmn&NDUXxylJn)eDgQ&BB|j+7mLHPm$PdeNKP^8aKPxYmpOcr!&&w~!FUm{hm*i#g%kpyh6?ujHs=QKu zOZSv>xcKHi=hy10yQ~pZ+THYn^mcNnr$luC)|aLp~{=lAX>{_PN`OUq^CFuAN; zP7asPmCMT&hgth4f!IurhKtnOTI*|Enh18<;&zc z^5t?}xt?5KzCvywUnw_~uaXEw_|g$*tvU*a28ce#h$Q%;a?kQ3!3xtH8q?jzqQ_myvw z`^h)U{pDNa0dlfDP)?Bt$*FRhoGuTRhsZ%Sl1Iy93J9(eHUk=I#&>6Zy4@iVQ&<_Sc3Zz2@*fYuOIb?ZY&l|I6eBBL`UQv~fpSm*DnS*f4mIHtxD+mf%b^}z2~FT?XamK)SRd}vrTD!zL_ig&4j03vPzUP6RnQz-K^tff(GUY&peuBT z1n31f!7VTl(jWsyKrW1gF>n`*he zUJLg7;Z5){Y=tkt`Zs?I)~ESc4xAJ?ApRi+HxF`yD$02jffP!Fzx=5P%}LMMoap3oa^f?FU3 z(jfze!)=fUqhTCOfGIE?X2L@-4<3g_@Ek0KS6~&q1?%8F_y|6Q?eG=sf&Fk8euSg& zJNUx*59J{Os=`H38!m?i&=^|4HP9ZSp)*_$3D6t*K{BL424q1ljDkDC`fc6=)>rcZ zu>P5kzyf#*tRLo!V0|xN1M6@34p<+{55f9Xeh$``@*A-JlZU|iO#TYiPx2I$Da(3+ zN^k+xfJ>kbTmg-sIkbjrp#wxi40M66&>a#W3HrcI&>xZ^4Ti#S$c9`P4R^sLD1Zmx zA$Sy?fM?-Fcm-aEw_yW(2%o|iunWF}L+~T~27f^5a?B4zKsC4+E`uwe5j2CA&=w*g z8e$)ZAlSYNhMoFvOZMK~X-!zEB38bT9j0j;4ObbyY~ z8M;CbNQ6Gn4+g*>7z~+^4FMPh<6r{Z0|jtD`~x0>N8mAd0-lEF!1{q%A293x^(L%^ z^o@ov{u6z+E<4xluXP1(2#ui`Tn(+EEwqR0pd-XW z99$1Q;0EXgH$p$S1qMPY42BH26|&$q2*B+y2JVD>m;jSuDp+5u`@#BIJqXsv>JhO1 zRgZ)9t$G@)U)A$qeX3pt>reF>SYN8Q!1__W3)Y9~eX#yhpMdq9`W&p^)K_4AroIL1 zFLeN{uhc)m`bqr?)<^1hu>Mi!lxP2ka!?-5gUWCLSbwOC!1_X63U#0!SRbgX!1_Nm z2kZNE4OqXYNU%Onoxu7##e?;A>Iv4*sW(_3r<=k0H>H5}Z5jgBuW2|~pQc=}{!F96 z`ZC=G){kitSRbYWu>MOAz$|zO=E9?}0G@zF@GLBW7hxH^0xRKlSPgH(I@kc4;6vC9 zpTaiy0(QbK*aP1|5Dvi)@FV;Jzrk_%1METYrJ*dG3l$*(sz5cU0T)AUxD4vT70?hG zLo>JiC57$9Qh=n+~9(uqH&j^;dxjJFT)CW4c>saU@g208{vKU2tI+W@Hy;&uV6QP3;W;z9EN|wPw*=ogWusK zoO2%gKa_*=a2`~K3!pk&1hwE&r~~z&0bB)5pgFXJYoHxOLKJj@&JYjXpeH0kZ|Dm* z!vIKuG#CQIU^rw$E{ufHFc$8D@h}OdKmptb55O#V28m=_yg?0a;2dxoC_5p0;)hY zr~wy4ZMY2T!WGaE8bdR<8d^hJXb;yxM~HlCKBOnLz zU=-W|wuc%4A(H^13P?zSNSc|1BH}Q~Op=kw%s3?kY^=H#?5>Ks_P(oY zTXl79*n980uHsr2>sr@>!vFWX<;|U!Hv!vz`+a{doSb*h?d{xi&n*LZ3-B)BJ-~;6 ze*r!Nd-01E&yz#)J%U?E^J;Bdeaz|nwX0Ve=X0-Ops18^4L z9Ki1Y7XmH;Tn@Mj@CU#j0XG2t1h^G&JD@jX8;(QpT@Dy(&?e%WpiPnf0H*&&fa#z5 zQEi6n4`AwB3EJ5B7y&2-lmcvkHa0%A+em!Vu8oZk>T$iom4RLf(572|0H+jaQV<_u zZ2_(Z>}S{`_&(UM55{-Qu-D_;Ov`PL!`+PEZD)S8slkVtHtlBGQJ1~W<)3!bKl5qY zD`2NiTN~OJYYTA5WoKEnp&nctlRjS)fdj_(1mpV% zHtD`u4J$G;@5LV{{PWA!t*qKY;gD zph>iwe)&zBHn#p)2TYz~jt`ErW&r8h1o6TAv}yvdrkP>M&$OXmhB50|(@ehAt^)v1 zUx)7w!w>n0Hs*JYCIUx|?>g7FnYRYRZGYq2tcQHxP4LfpGt(u%CcPFg6rhdC8|p!1 zc{;Ttu<1V4pqq7T@;dXIdC;axe*iNN+L&b~-_?eEN*nShZOr&h|0d6~;aSfiqwr0; zHa0#+0*V1%ZaUm(xZ412Y<%c&UT%zwaeBFNj?3q!(;EXnHb5I2AB>CPyxerSad5W* z+SvHedGT`7aeBFNf0XHYx#{%aER5N|`R!$Q)40E?jr-g5OE-6%Ce8HA@7X$1k8jg2 z{kh{c$B5ad&HiWh*NBl8zrE~kT9*;V{cZZCn>$XEX8PrKw-K-V+w@B}cf2MaA|KSo z9BU>Y;5<>JJ*jVVzR>P&+9V?kzrEZ|nwbXsqBaNW58%Cx@57AmeDVkLX6A$GnRdDp zw4uA1C++U#$Mi>j-V8ANlppqbfH{|tMqbSRs113g7mZ;_*M|O>&i z)kf1sX#%jOl^QhG4eP_K3+7`Ez?^S&eY@v0hIRWh$3Np@8=K)67wIgAHmoPow3&tv z)6RM`?Tpj3^V^gGSXOs?nzA?ZY_>J$d)DbtfHtJDPTjOo9yHde+aKo+H*Jgujq`}x z-#8E2cn=!$T#|?GRUXp3%0#+Xxk&da8|hx{p&!%Ea+!9P$F#E?rk(jW?aa4nXMRmP^J&_dAGV2`=FTVW?!1!b&M#^1 zJd@_mH)-y?ljhDpY3?$R<}Qb>YqK2WXF-6wU$uD9T0LkX4_erR*5*OuI?~SE$Ts|Oy&+7^Pt5&XbBJ6As)1(2QB46OMB2V9<(kG+CmRnw+C&J2W_zj zjq|pu zQGRs$Yw)1$??G$yp!q#$O&+vn586x*+AI&+Y!BKT4;pn7?y{}t2U1tsv zG1rxcq2FjTOn(6X(%)L+?sT_3=T3qbo%6dFopZbw zo%6gGopZexo%6jHopZhyo%6n%&OEx?ljSAdtGuLpm6vpaSNvY(rC+b|lI~Sr(!I({ zx>tEg_bM;*;#FSKy~<0vS9wWi8t(FvPVl08m6v|K%1gRec}e#wFX>+8Wt#5tQkH4} zke_QqdCjzQ&TrO^z?A#wo-}A2bDVdyN#KLBpf33pn|AI0AkaCUw9(<* zbozJGbewLw88^qIHfG!=UB_+G%(zWD{T2gKI#OVh=FW?ITrplZt=5Cac6R&YSY!WX z+i7Fc-1+iybLWr!+$;;**|f8rO*_kK+8N#)^9*m=8Q!!rylH2Bn0D5MX=nVDTeV^Q zrk(MdcE)eo8NX>~{HC4t=>sqvu{PuzhiD5h`wl@Hew*!34m<0g>6zo4bn-rHnf}Z(at=ZLmJ~TX)FiJqYc}abwj^q9nl}57N8C7joJdte#kzqjUOLf+5*gW zHuFfHM$m@e%(FJMn|am#-G1Eum=Cjlhv^9a3$UrT&i6gs$fwx``xtb}&)S&h4F+i< z@DSsBsPTQM@qM`Qy@&C=m+@`xIhl1?WcYFK4{0Y;PCrl+f%i1N3ytq7#<%`7%e1>D z0-O2G7oS;oBe2tK`%4DO+HCps!fId0H*#(n=1VQOunj($#beT z5tw=*ZOAvZsnH+6CJ(AJ==H`od6PE#>knX)Uy(m)LzzvRX8i$d&VA-QHQVqr$M`%)$qePOdINPwIOfR#+*MoG!fY3rw1E!&Qsc$ ze7I8+fn&xu=N4@e`UBXUx6S#1d|DfmUsHzA#+*MEY9g>X_nC9GDZ`t5?l2>)$@7jd z=?NW>@SVq*+FXkK2^BSj?%uh!UGj9 zRCtuaWeV3TJWt^T3Xg7*@%(L;#0M!{s_?0^CH=ygx%eSv*La@tH$q`c;jdIY&no*3 z%`%>!ihu6-erkT!HTP_R{DStP(;(Ntqx0JsjKQq?((CzTH z%I^S`{(cH;f9qO5>;3iKKi0K={?qlY`>STJ8h^Fst2NdVYspt@aN>2zW)|()f7X&6 ztiiam*B`(2@;&^~*8twvTB5^S8?40?(0!u~w%p3?Z*vkhbW?k`Z;|8NH^=rrW9hT; zCY$mN@qDI_ReM3W#?~f+&fnCLUt3wZE)2D~i+S_%H=}Z_AVMrC4FnWlUMSB zwi(KdmuyiAx7i#7v#fYS)a?b~HiDn*zYRd?1G_dXlh;3M$(l7gB5d!5>1_e9FI4h` z!o7#eb?pNyp*Pb57vG70RQXso%H(3(w%U;G&+7==03{((@&&9cN50R zkFp2K7j5y*=rdo|?oR%c`;Ny3ci{g%s^Ogcs`5WNb-SBR`_1r>c6aix!VkD^+MA1i zb=@@W?vzKBFEI7cejgRyyiBL>luxC%Q}Fhywm$pNfjYcXUKRh=Q#T!SO#i8yYImpn zD*T&AZ#DVr6-RHS>({9d<-XPX7jMb`x??)^quh0T8kdY1IjVH@n6cx=2U}W0;kNdU z$iWMuow0c0kYp;I=~~#m$UKUygE=@GzHDlFMP=2r>DBwqsHv^1Z`i-l-_$&F*6cav zQF14o{`mH^3i0`$!oIU*dJmp1vF{9tk5%-u6i%Hi>4`HXzW6MO+fR}B7?tm_zm@hO z$4UH}3YV`uy4)I%I7x>4PvJfn$n+`{zChv2Rk@EoN4lS(@Us_5`^G0q-0(Yzf3NV> z%6-mnB>k&%CC*nKU2cu@`TME#w^h5kkzKehjlzeJ|@hx2pWLzi3QA6mYA{>v_u>HKdk{|>62 zf(oCa>TiX@&nW)uSN*&DQd!<>761BgFMpBBuWomZO}VbGB5tYh#@Z9`CIBU(2+>H( zx}4TGT?c@dyk?+s*-_zbfi;k{i=q=zqRwXqcsOjMXq18T8J+&G;9)C(PMesLJ!v}w zXt)&G0kAz_Yru8@ohPQxRYHG&HtUkU_DkGw*;HX&ak%VX^N*GGgu*wRBklHy65nvC z#HSsn?8={gfwV7C^eGog`-HlpH``3ow^z7G;Yx+~S9pQKixpm~@VN^ALE%RfK1ZeZ znzDbO@V5$Yv$;%nH-$$lT%qu7Dtv>o#}qzZ;om8Iox%?*yh4T3b^JF)|F^>Zwvg!- zDm+=?T7~B-oL2aBg|Aik4uzjk_@4@Ysqkurx7|{vqw`@a`)Gx$6`rebMB$?pzF6T~ z6@FOZzbpKa!mAYCek+;YUJ8#_xJKbk_m}Rol|7~KX$qHUcZHWIe6hmMDEzg;C5EC_G=$A652u6<(s~Un%>R{bl$mckb+{~2X}M%hnN_VX3~MA3Kf%lQ7J z=uap-MbSGHK271}3csuTtx|Z)tz|qrDLh=^{S*!dctRe!_BUcJ?n7MeSGeNW@zlBeY5M;* zT&c=&iNYfW$@=`Iap~^=nRIkH{@^N~JN?sK?mC(fcw-x1rR*fbjW^akC*u3eI$Nnfs+3Yk$B1&Nk46d z#LJ5%zCp`}jfy^2V*7si!o-w1X`fQ7=v5N0(01i+ogmZcuW)WAd$GClf0|Fm|3mY? zq*;brzK;3-AL?URJ*(T&yt|@XW#dq?LRvI zQ&j(Uua9*8OZJob`=ml*oqyebN>u+|TmFCU{-g6hf4a<9zWn!B?w?e8=l|!b{}+#x z@y}Z#@mUJrrRdiyyh!1dM@WAUE4#*v75zVj?^Wq(99Q{iSNYfUNs6xXHB{Muqpsp8e; zU8C&U{S8;T?)cVbuT}LrN#P=0{|f7N9-!>~6xR9B{W2fc>ATCF&;RdKdBO@eE3ETz zswa~GDwodZ3Cez?!n&X7eB{HAss8z@!n&L~UTt?{ zT~8Wo|8BfCcenqa+pg2sSeHYme*@ZksIpdsZXY!ep+3#b!25t)du>o1!#T0 zk?FQ;{GGIGy2e{;cNf;~8gH5>ymoivm8)cW_bPm(!f$*l=})QnRw}IN8gH%qYkwMR zca3lTUdE^GYr_LpOaJvMT$jSAvK*6%g}W2s)^s>zC&STrtDRny2-_v*vuN;d_-d$W z>dj}{rc!UF6Vc~#wS8@P4GjHkq&zlmFXNl7aApT-*LY#S-1OY>|Jd|>Dk7cE55)(m z{Qg*c(a9ZJRg%4BsXoZ(5e1^j3D}05**D8FA!nZ4Ym%{fd z{Gh^*D*U9v&no<)!mld)cZJ_n_#K5mQuyBrf2XjHe*-m6H&J+Vg|}6B7ljKI_9;A8 z;Ry;)Qn*p!xeA9A*6q2jShu(Ke^N;HkMkA2R^dApenR2b6#h`*?-br4toTp6#J0lI z6)sio31x3k_W7Ew@DhbjQ}|+qZ%}x-!p|tYLg7yow%TO+=csgUQ@FpP4^ddhKSkM_ z6z))Xg$lQ6hm3EC!VL-^qvKJyThX6U_-lpjhz$RB>$>5DYR?IGmIxM+RCY~IE4#+J z{kjyrL8a#&$3K>T=;N0|6~lT?b)26oel6#mqVliHvo`#5rLWHeteh*`XKm^JSa)}R z_4w2H$F`4_4=z|s{rytuZ=edG`(wUzA|uAdCX5(Ae!TU=zkWoJACZV|32*#k+ee=P zxk|Oq4hPD9HeKQS75!O--%$7?g>`>h<+5wK#%q-OhC9mmm#BMVx;=hu{(1K0zrn0f z_vltUDbKI#ayfbHv%!XaH{vCQg3UJHV#}@iZ@ta7zZ$UJ_B-r2aHpMj*>yL2(C&Ne zS-98WAw%~bHoVAJoINi*VV{YUCht2X|FPk@2hKYvFhBpXo${Q9V)kNM59 z#~pvd(i2ZQ`IJ*nJN=B`o_W^U%g#CXyx*OF!G#xHe95JkU4F%tS6%)4KU{O|AFsRq zh8u7C)6KWs`sdqj|H~bB-gWmq%kRDK{s$g>=;22meeCfko_y-*XP$lT`4?V%>E%~m z{p;Ue`}^x}ta$SuZ@vA_yZ>DI-uoYX_|eDz`sCBkKL6s&e}DD$H{Y)M?)%kic=n1c znwW-*2cA#T=auNju}Xf4SKr0{w2m{&x?SKwI1aL550U!$K1jGQ8pA*a!kOpJ`e9Lj2jSZUEc}xC!tlz|DYL0Jj4E47d$&JK!&XI{D&{xqi)3?~Q+U>>gPw zzJC3$YkxDhth4CjzBy!J>9gCx_k=zg7yD@0Re$~Bn|E}0nt?vVWz2V6_cRX^`l^gE0+k15z7y;Ju+2=6dIdhMD{_f;O zufB3z@qld}YIuD?BDUYtTfK7Di?Ks)+Uth4hyJ+dm;t*S@ch(?TQ;p}U7l)wV*7u6 z6aH+-oy}i8{MwS&K8k(u)W2Q}eC6M0Eh+sl=RRLYziUr^w(zy8vrdgKJA2-;r4w#@ zb>Ob|Z@bY0Rnum@d*;1eCp@y~mQ2Mysjr9JbIC4$81($)#QRSd?z(&Nz?*!l_P=vp z{hMcB`_X0hTsQ0dk^V!z`{!93e|P_$JO2JR2ek&iS#io~H@*GGYks?Ts%_f?7gnFS z<=nd?*Ir+q`t_aX-cYs6Rh841MK0Yib;C*9?zY*|WlOI)>D6)1-TT6T($<}SSP_0v z-+eyWacFYb+%M-2IxKeVMfdG`T;0y+9zXEG0iz!*_|?E&53kwrmElipS@Gum1*=BP2!Cv6Lt*2~Pwq&k#&>o+EQC)TNt{cC8^_Xh^gQ>mmdiSc$mtA@9 znTZ{y{j2(K&&}O8dd3U=TCS@eUtaXkWnYw@Ij`h}jRwB-YT<^zXfyn;i@eW@QQL+) z`n|Pp?cp~)eeyr52Tk*R*!0$MA0Lz1_|`j?o;c?8OWwJnx${L|YT;4ePkpxG{hLny z^ZpkOFFohZzcxJb=}WO=w;5i#<8jrC&bTk~^>h3APH#K)`-cxHI_9cbA2c@a@x(_* zu3EA4v7f(rzCEt`=53di_PMw8^`_`a=MTC1s`9owiarlL{#Ktg=_T*^PI&9gBk%2h zM*P#OU)puZ)qlEU@!3;;HQ<1cRyCemvFpv--rw)t>$ki7&P#VYYU@vL4sEk4{pep# zzviWfUmCq;`YGji?N||>dF2EBn-A(3Q`0Yd?*~q_w@O`ebASEN%)h-~7616|kLzA(_U}0H^@>ZEpOCuXu7O?t9iKV(=^6K3 z`1<&#y0-ZBjdv$L{;=7%X#UqP&bXlS%T>o7zv}1%{x6kiAWz*MJ9M+!s>cxV)KHYWqyU)0Nw{MTTyzd21{XTyGb9*M@ z`?g#^hkR99a`)Yb?s(Z=?_4|d zt&^Th@AvwP{?~5#)5?DT>&C$P4F7U9&}Vu3-Y3^3U-;+Z)u)`c>v>;qU$*JLn)YAO zwErD9ZaZ}4f`;UcLs!+!I`^Hb;9oy^e#_{RyWctZw%;B!pz^+*=PWNhY}X_Hy2tdx zo~qexmz9^C_`A#-_q^2q?y|SKuY2RZo7xxj8F=I#TRnNr-ILOV2Vej4yMKCY$(Fuf z4L<#>;MUXk7~W@@b=*iv4 zzoQ3k^5V6h?fvj6`%V~p)c1Ezz51+?wOb8YzBKrG-}-^SzWIv#J9k=q_VedFa@&AY z$E|+t;+yI!``te7xU=7Tp#RoSygF?2Czp*Z`7jW^v2c^?R&Lqvi;s6ae8Z38Cyih5 z*?IdkTz=-G<=dCua?`RaGxy%!aP1j$AD_5U%ZfF@Wh1Yi+W7t(e|zlc55D^21^r2DKet|g;v=J9Kk3vDE`RUE zv2R`+x_QdVC!am;u6@p!x~ApSCqF-JFW+{r9n^29iyoOX@B6EFo0J*1|IlFt<98?? zu%z(SSBfUTa?Cer-*Jnt-|fPCi<)XbdghjIKFqw|a^@Qa6CW(S+w$?7qja-3s^Jtm5?}TJAaF;lC!&x^2^2 z_dcS#`;$Mte(W`iKaPKq`t2Que)-|xBW`)+tBVf4^tFLrytmKuX`ST_SPc~9y#gV9k2g5@Q-az8CKHz@v_d< zMYDHVR`|fgUEV&dWl6z#x14;Tc>CdINe7NuCmBaruYux?zU!Q*O%BQ{7;&-dFN()HZCUzFZ=)e~KPF8FNH%g>zf?TNKd_OEZcX~p)# z4nMHq&(*7s{l0A9v2WaQR>h$2XRSQu#ciLr?*8uVz7^B{a`{n@KDIJ;;eFSQOMH0T zj#pTTeMWtJ-SD^D))X%Ie(65{zInsfPG5A_!>`_UKwZZRzUaX8^exWZ`1XKI`Zgb66 z{crupphG9`({i%!(hJTyV`1R3JFnPqb9>g!-;I9zp<8zva(mrZO`FY|G-#J6j*IsV zRZp3E-42R{sF|xX_ zaQQ_ASB9RP(Z90K(2|y3*q>fH>7|;sYrF}<(#`F@C1_kbZVaH&{&&JRS9F__2&3s^ z@I4Zs*PB{QT>x4;U<&|^UR7~D&Gq#*B*N(U{?G?{0>D5?7WycD`VnCRV5p+&waXRA z;H7{{MIWp1en@Z{;4o#6Dtsm)J`KP%I1ShIG=oP&PX%yHA3Wj$(^r{|TxR37m`#47 z+4O(dl%OI;0NAFZ0Hpv{58Ih_JPt4(5CpUUS^*(I7|;e_n|A;rfP(?-2W*2*KnxHE zBmjp1l7JK-4afkx01E-#fJK1C0JmA!{FNc#R6seP0>FM!1(*hy4yXp~2bck<0n`HO z0QGv#%mT~?%mEw#*rbE8LWk7$-;H`i@!PT?Qe9# zo1QNoT`ukZc}#}iM#ZDu-B|nob9CL(o2C3cqvGE#=^bvGi#{?>Id%C3Q&@*T^BXhV@cnPs{hq6zl=-?%;a#4Rc1_<} z**8%5Q{_*)Yy4M5e^B8`U&?sO)??A$`Rd_?fB)aEr?Fqj@|Aoo@vh%U{K2=m<$i6I zw7K7#P}W=+h8wX$pa_Qd_KctNZIE>70%K$YK= z#r;30Ah+b9%a1sjiRgW=rSrNgTaifUsz2Sj0xOD@C-mLp;id2(_TL%j`toJhkh(ot zKQwwh_}|xlyW#A>0a#-!!`|`>*k|3kPf4G;KAmXk-Eg8{E><4rV8{7I?7nW(XGEX+ zKCwPutp0vY>6-7?90-U4Xnz~ngp*+36L6SvUv8~l^GF{F$19uwo(-4|C;`}j{s8(V zOzFFN&B_f|uXzTr9B?(@c))DH4gki-xR%488%Q_Z%x~@Y9FK6??RdB){)b`yMyuES zPr}zI|NkW1wt;NpAquk%9|3HtFu&KsFIxxCppMVRSUVcf4G06~05->%C)DA)T-nC~ z7Xfw$Y_Dj2fj>q2y$*OBa5LFPD-0-gqJ-Vc5O9|IN^tX^{mVEfHiuPNPZ^_pXWe+giocL1D^G|nD?df#sK zn$rL)@O?aB3ciou6Li2Q0IN{iyMaxxVZROT{gr#^q}6NEfb#*@0%+fJaxOdpS_p8b zau3JS$wgK&+!^l*H-=N`crsiSiH4iPor$_&XV~g$O$Bh(DiBPEyCZ3+Nl1fEl?KZycaCf*hlMc2-!}j39)L@_0 z9!{6XJCos5DxQ>=!5FN4aU@|C6{ZjqGGK8@L`uCL1>Ppzyg zpI%$mID^@U#k+#3ns|FLEnVScC?YIXLDW&mPRH$dA{?{ZU_&}S5sz6dfr`qh&C{$@ zuq)iukqiezxB;~w7GD^%!>mZVFvJ41#$%}6wB06RogiY8Re+l3<+iT&WH=EGwuYIS zFBP|HDgvm|n)xd7%DCdv3Iao1+-@^t--Wij5Ogp)DcV< z;o?|0ma%aU6CqinwQqz31Kq7P+v!XDS^`XVBvYiZ(?HBrMTcYJ&-XWO3L+ zuS@ts!F14v9+*JpQsI#0Vp@p^E@(<7iiRS;3E?lw-5CZS4*SyG>0E9lMz<<2IA1bM zW^6SphGq_X$=xi$<0?6A=q^~?7P6u#yC@oD^{_t%K=Jux*G=I9DTYgND&`Yz#S4>> zbXaF18;RvZRxNl-2MR+0%imC0URzcdXsWEmh^TI~P!>j^qVsVK_`<2E)fr4KV0wPt zEP`(%<7gtp7YcV3r_+n%M>(j=BwT47YN?L^LojvZKAH-L7Z@GLrzo5h+S<^X z>^lZW?^jPgQ5z41ZM6T0l9bJfmfvW|euW{sz$&PUV~8zek6}V7dto>k77P_##$uy0 z`x!ACwxQ>@1Y3~<#=>^Ad~K;v3u;dC`L+uEJmQICgu$Y;GACXH~oY#^9OL>C3xqwyB-Hq5}O zG?;w5o$82Zq9INXEnyqNRC&=>YHpW zpE(5WXgaVeDyscujI?4-U0H2)IrvoFjLOEqtTItim34U>vwSCn!PJW0mqx$E_(WD} z#e@d7m9pk`bp?~iQdeqGsui7ko``j3g}*)k8H%Z(b{9r4MPTsRb}HP?Is(UC9JVLn zrg>q>h%wP_a7x)X1l_iCoG42bM?*y;E%ju8^DU^pkvR^u78Z@gKox=&gqN;RDn8F@ z!w{W>p~Cz(U@ixD^$=_un*x+WEKZ<)`UJZjGSp6n)0t!pvSVFyO^s_*is2~c8}eq% zohkgc(Qp4f57&U_+j)lcD} zsd9ExR(Nn^iX1X}dUJVAHEV#~lNBDy$PSJ&`3kbJ)l_h#$oOP`m|e+kh+z~B)kIp7 z!Q`R_NEqc9ckSqcu6#jw^{zy}C=@avrl^!rWkNI&v)Jy1sognc2*zi0sh+ZG8A?~( zgq~bB&1zJ0Hp*6HXJ;48YF0b=C@TatN@xsJ*3GPL#6m%(p_@GvWhT(9lF?fs%$#j; z!yZ?Opk0P@T(^pjlLkLh4zrSAAmOCwOaT?U6#U4rhNU8%UHp@ZqPh)=utGGJtiCmq zOrmK5otRuKC39M-cqZ8zwnC8dWhX6{zBmI}J%XlMlaWNaC!L~zRU{S>;;%@eh+RK` zUzrF(B6s9QLA9h?EZ*9(Kz(z9GCl*8ij+MQ)BFuRqNqI+5q~B@E|zJIWJSwQI(Buo zV%-9HBD?;XgqlSIS_vs)VV#;z3O~l&%QaRq8tH`OM8;yeP|Vh~C}T)jwZZO4XQtDR zWjb5JNiH~41%|nvoM1!7WL#m)oMKrMjp9r72=X>aW)tKZhT)94%$Ne%$+e9*^v24v3O^lGdH7l0pe;qMmXJ169a4lW zK|e_*GYLM}5sGIpu@|AKF@M@oOeEGswN9N3p@~xe1WZ*4yR`#k&RZ(1<0wUvP`D-2 z-p<*tBOYInvYP5KpO#ewtcJ?Qrsl?}0jri%Y;D=>fK}Fr`GTM7s|k7p`cnn5ni{KX z#kYTYJz86aWFeKk3>_XqWC+VN%opi!r`@tB9ZrGaljTFa$`oMjVP{fd4~M3BIvBNw zL}IN`pG{eLD5B{Mc8hPY=yuGuDJL4oL8l<%k0OCK$iPE}P5^7h;tuNtu~x%icGEc* zsk)+fxqQ-7>tMgX}W{>if7L6D` zW?XS`QzIxf)lF6s{X}$IWRkqh0z0ijG131JF&@W+T~wb#P`w)c-fB%`RA_vO&}!sB zH-ko;Rn}NXJhi&60vdx^#&;9HwOlc)vTO$JSbtN34AeI_H8eL_LRFB;L_$TPqgl0O zH8ohEi{)>C{>%JW1y=?jlhjq#;07exB$%?mu`(D})&BaT5hY_v#}qf0`|)tlB(0w+ znw)7(m0FYdw`j7aV%qZ;mDW@i_wb3hgkOx9tZyUsrmAtdVbX2m5CVU_{g?Yk!aq_U zX}IU+Ze)-4vL*$gWQcf&5KdNeU3Gaq^iS2(SO&(Q3`6=&nb~$FqdZvc&`5O!(#c>9 zN(iZ(GHK?ws&!tEN1FrnG9x>ZajL+*qgq zTUxD#dVe*TLry=nWKTeomo@q;o3Q2$qCDwIB4~5jv`VWJ!dS2!j5?aJqO+y0LFi73 z!Nh`SxtaLmO&!iKWiqh7QSR^_Wf3ad3YSoq1S+QWus;;X7D`~U* znN}g|VI7wYQlCK4%!UP%0obTj7q?TH)($CtLcM@>C!}5KYqX0R4VKV_DCVoU7bhmr z6Pa|e$PFZVY6zX6Sja_M!#1J~hC*QKDXUDa5(L9`RuWbv7Uh&7JE2^YQB2j#rBGPT z@-o`i4k&$$sSS%OmParmL&?*?@?q(R;)cSp2+GO$bSA{23l1W4$?zc=lsSZDi_R=^ zD#Q@GC6iiYiDipisi3TqXSOD>u*8TKAvguOiVQ0ubC0LO^i9D*{G{6gm}5GwS!&p zNC;Ics!-@W_1cjcq!(067t{^Zjayah&ANw1Iup?_*Gg;|-6uug73)%lSHp&~4mt_j zP`uw|Rinw%k+ulLAh|^lvM9gD!cj*$GP7L+1{1YMinvpYVyzv?cnnh!M!IkqWp@Ol z%!Rh1=wS$Rs2tDe8j|sJycLSXP%;9}C8C9V7lf)yjJ9%rHJ96&RxGK)M(K4nRBF1X zg}cEoSi73Q{t5}2MHbR8#zm#_W4BRhf|?1&C@#B0w3@_J6e~0_$iOOP`^)jC$AK7D z%xO5-$vCN)GqT$Rjaw4ZUP6qWdRd{;cZ$733?Pc77_qT1#V|BVuu?kxPP%5DpwHgdb z^*D>0Od``r0j|$Zw%DQ4Bj74%>{OGk|yABct8^yz}Nh|cn7y_z$+1MKrEu5|J8K7`D>d~+5Vt7Iv!-NsCNL&a{ z$uLJV%87aIu}^OTU#MBO{;8zgjXfB?fL~yELU5L!}5nwI=4ZzxPBpNYovTl+g3l$hlLRjOb z*CcB~Van>)Z%ARvZcE0|w(Nd|siDxzlL#y*oN=Mog)Uje%uy*llcie`C5qr_QM&_9 z7;5|!Ve9>N+0<%ucOms;=_I15gnXqPvEe7S%2YqJZEVr&Jpt&+3Uu=1gvd~k({)^M zdhLQnvloYx@gk%hMHwj(t30Km)4Ro7V!HDygz^^LU_ z`Vxh6?9ev~!5us40xuM!fMsos22)Ux;Iq62%P};tShYbzl8XCUIdeNTFKnVX!nJ6G zZ>+DxYNzmDWcVN98-b0OSlp>4xw)7ciad96m(d#TI9a0iLobDS5*r$7W8Q++7#p6H z!EACh3=i;ZTKd{jrEWvvJ!sG%^l1i@K^a`M zJ%kJ#lR)xq=yTk1m>@Hzz1p(Jf+JI$!!n*L5c*ObAkJ+lwm$ z4x$rgU8F|TnkLvzLu2(!Xk4v8C>mh00qTXU>S=X2F=8DwchQ`A_#3O6hgWv|nV3}z zO-NHFY|TR9nmRI8RWf4vp;mxfD7c8uMDUR!hOe0Xg5RVdApl(vCX?jHeptO#gh>)W7$_1?}A*=O8*(CsTn3IJ`k|AgFz!v1`IRgA_mQ1 znnP#^IZzQYjyN&mkpx60Kgw#YhFYr-nyMDTx~5MktesHkw+rW3g`HFl7NTtw(JHLg zt_i#=)feYsJpvve!X^sSh3$Y&K$|tJu+^qltRVgM#nqMN_K4Ckqet3&#m%m&C@(Lf zoIN8P4cY!kCm3cpW-WkiN@zhQ)9wo`u!fb6wnvQ^IkITX=+aTrHfrQ(X+xaW2nImBu@ zKyeE$)j3k3wW8pkLN{-2Dz^&f9#m2^e)zDWd6)?@6BJmr`0yzxj9Jrao3Nu?jM%sr z+;l*sjeCKS?j*E8P~ft%t-?7)g`Gu(Atw`5Ym1#1twsxUQ zg|-?3iuPvh*IYLP?IY2ogTW}ZbikuKt)1*lQVq^*hx2Rk zWw|&iBu>XrP%_T24Y#p8$FV1@VQ}2XhJ3(fP@COz^Gff>);HQXDS{(LF|h-RZPN%v zJsVT2Wpp`F=CcNXMo6WL+vcKU)13Q5ej!lA*YD} zDmO{x_PXe|2+X}ym62=-!SYlpJnO?53XQ9?E8CalV+Vkci8BM(O&D%NPUFzZ9^^s4 zPlNO0q=X2ZjUS?Jwy~(!!09H;o+>WU!+iy2bkVdRs_iE!l*rYzkuQ0C0eOIQfM)6p zLTi=O=Z6X@)PMk~6CFe<@Z_$QQ!ZVVfW7@$7jOZ00Sc^5rj21XIvvFU?! z+632TWYQm&O;BL|z$y|gmaQkYgVU*hwtDKlpOcyJM5*10iJIL@bOv+-iu)90z&4|C zR3oZbs84>xxRIQqUq4$PxXU>T;?%lU4oHO!mh3plnWlcoUc?5G^^EL!wFjBKYT2gh z3a*%-^+q>kmQ0+y@-TzG!*Z|v=QhE z;v5oIt{!|xoWHG_hVu<-OH{;%T~l^r(A8jqQW(qb7#SyPs+`AloC#U73vs}P%!BJZ zgf^O^cmF)M=JKDj8)q-o3QCeq_U-W3f>u5o& z1)_s_%n0*r+4UA;8$jsMSUqY>Uk{TB1^v(i)e$w>E(NoKNorp_VDU^Wm%tO(;sGpXR~LipZzd z1QsS#OJwUenTc_}<2hd8SN4LQ^Bs4vA;C0OH#AgM1jGUe>1E>)bH6$n2=0w~9U>OH z?1vZ_E$E3*>)`Yl&Vz)8>JE`Ne2-(WGQ`^bXOGA-L|*^y!?P+wYQ~VIVG2V- zSqkc-Q=GVe*^E+xJR z_I$|+MKDY1Lm&^x(}S3m3KK#DD^?>|iiGTGEfC4|fOJmD$l&O|N_mD-P;ra2utk=P zgvEg!^$R#zq8c@rUvgT_*%~%DicFvvevK66-l>@5g=RCmE$XwgTYGXLp~iz~Xewzk z;vA!5gT2iQ*@%!L&zFj6u>(s1ab$%XN@CMn^s!X7e+9+%cuoiFnQrfL8>9)|Q3;8q z7~3YLy60Ntvz&0Eh9WhX_vcu6NtTB{cLRK%f9>r+} z+b?#aYJ!W%oEw##r&O_0NRt6nS)u62SK6L4vp$N;82r>caFvVmLOJJR^$w!SR~63O zsa-{<{@L!b3PdjzK7~JHH7cV)1Em*=9adKuTSae84<%DpVa1%?5G0qYCR0P^RqUvq7q|QFE$@#yQ{~ zwSsj4I*Ax4N&pi(#yB<^4JMsjFa^!;q<%zuCf@*`#b39E!Xsvi&Ikb!4Zot%moSb^H|An?$hVn>ndmETy7M~6ImT=;X{Z8 zOoRu67K+jr>jE|447Cu;<)$XM=A3v&c$G^Kg}|L5?<+zS z21JG9nvgVxKY58r9!(HA&E_BKo;?0JGTP81DMWet&o7{=+7MgTvKN@F0#cwlJtyXO zpPs$+NQtBi*k42>Vre!+NM@69=oYdWkKmg8c&HFioC`4EC%vstwFDXqFVtG38719C+Fu9fE(X3DM2P9Q6 z3#WjB*kh03s+h<1Y*7RrB9JX2Wh*ltr(Jrqi1Z7_&%@MO*#$N#W*78+-E#03ittzo z1Y({@6SKDun;$f5%b%Xtkwh-jvv-#_3UnLnE*52+R!Py(8|zp$vTWUAoYWJy-_W6| z9L+ZchwM!o5v}2|a{**?PCB0AvrnGC%MJ*!)&S?qIiSP-ThOn!j+o7jV4Uhooh*^4 zF00qzsFTyHFT?j|3WWag`*7TMt5Zko|`XSK+S- zNjlp-yhXxs82JxORU*+9csflGd8sp7|K;$; z@t^Cgo6k`XqhH1%DV&R3n@6#aB4)GfeZ%aA6y#%lH&(BZ=N2Z43KR1tI7?=|P|mQq zvDwzHTU!hz?W(HZ7K5!oVg0g~N^k^arG9TrMOp)D=Q8CQ^@7U%@~&Ey@`B(z+b@`z68)5<4ppF%;gmwirW&U+$kSpd)w-{m ze7Vs_QU$i2yo@xI1`G`f<}31Q>v{RdthAid&W~)PUgzN~JI0kDbVy7>Sb?Y=YKY35<$bo8$olouJ8W4W@@g49p#r&XUyx1mY zHO$dY5Up$kQ;0@=ILM|d&MBctfR08O8CUKy|KNBF+9toaXL5AG0x)@Il|phhmdsPA zqU3mUG>>bIS14H8B1x!Bg>p{}E-iA1ooUf?*4l3xrD{oOBt%9zoKTsLiqDaJ#KbB) zWd8hlM2IG$(1z8IIOWGt1p)47%OBMij6bNN=W3Hf2z`p$0k7<@z1>9ps>!pL3TFT6 zu|{#}hdD9kuP@b4M0!~5ik=H)ZJze*B|WWYW^(J8Ug6?yxpeSI&C!p`p4OwQvO810 zmit~VePX>|T{jEVR{Lwqn#!jueh&SNJo&2iT|(14+!2;N-N9kjt^R&Wd7Pmq>Mzgj zZZ*K<8ipP@Ny$l2Ffd%1#)aHsaV1z>(#1Y*d?CEcQ6Uv~y#x@McdPlRv)A)sjqE54Cb`)O{ z+X&eWTCo!0c@?$SiP&(QNj*yex!R}2qt4(0Oik2N8NTzMBGQ`{=<%I604z3WQWR6Q zYDToa2Ix(^5oOP$JT^%_Kwo43wj^Y5EFg2BR z)9{*)<`S+T&^=M7mkp{Ob;wdwG?pAJToI_9EbO;q2?OzSh!8Y~Qdb&_DVYLdk;7yY8W6QSyljtis@+7CdrXgI!AzoT^Ltwn+?^;LWR03@=O!mzhLL*f-M|O)Z|}2+4WEck(*fx2^O<5aPt1?xbh*sh^?hmPQ4w{5nH-by;I3K2oENaj5g!&K_+XfHy zP$4bWbGTc8#*@cv_(q$Y)WNwkujdNIey6AA@o;({9^QJGS+JG-{LU>{C$KJq)e zHe!j10}M8=Twzs(OB*eyZ~1aqRwz*C#>oosyoKiMb@g^-T@&6t^X|jC+gTzJtgyks zAbW~CY0RU%I4ATaRMzY5*C9N@ivEL_la#^ikN$!KKS3;t<+%rVUI3PW>m`^a^p0?L z+^KB_rpf!6qUXwqLddwPzv%;Zyv5JHjCw%5;oUB={9+x86{)fslRF}jTcR=|p=wk* zEqTvKGZnG&6Q}jLi={5zs?_nj72@iHCW}TL+~aW#*2Z9|)M%pKfJ#-7U{)cARYuSj zldbi%FhL`!s@}`&7Rp2VUzqz5Iz7apX(Yu~nYx#1I zv@y4WZ!5i_%7wEXZKxBS`svrtJ1)#gVdx66MTO<8xHv1cB4+fQB;|z-a%mM~@Ah2_hofwHQq>bmMC{_qTp*8d6RAJlm0Fc4bEEHG|G+KkoZ z4@B`^^{2{jTx@FKMVeVxv;(eWel^Q(b5FGA(lM^EmgZ z-@#JHKGl0zngwXpF&7LFr7;7u>$>LPA(*963(zn+^PW>qmhuOb%L&(`emE6n);TKj zF%C7c!{?kO#vC5R;O7GlvS2>DnpXk!sM5r8@DM`@4?Xa3$|3qW zD|x64Vj3kCwc?SwV%8nk#XcVqr*HBj2%XM+pY4A#1P|YfLaWZECkVa&@1g0NU}qyi z&GQ$p*0LX={L3S)3v&+lfz#mH#o&;+yy4=8?>piMO}Sk3a0tkz-y3XIiYa=^z=N-Q z!;vPARbrZ`HLZgaM-*{SB(2AF-n&8m*OYxr(m|>GgXu^^)pqvnsI6}>%aFLu7f|DYXg0&c}!`sc(6wP zErKM+Yh>ywEBuL05u;Getp%Pcb2duMj^p$b`q%fo3hVZyl;8*@W`_dbk`Je7p`Nb; z;IU)p2?7oVm})G2v9!TK56)1LcxxKUWOP9FNQv>zh$Ew_o1r*K579=(f^)H$uEnb< zQM?I(Ct5K<$osW;wShIR+jpk8o+KxCypE#M!kmVVAl|hQm*B>I>7xO7f zl@lQjYwGZ-1QqvVIt)%0C|=QBsU} zt|~W$R7WN)UdS-|w{!U$TpUUaF|XpZC$5qRgWD!}tecPg$#>e@f~}D#q8R4qQ5qizm35ETT>05iyh?f_uu!IR5qZO>{1Z z`_6eid3;o>DIm<`3%8aKV#!sBmoVqliB$|fd6AM;Lb05eqeRct`5BB$3RuhFJqB#` zGxreNF{P>l&!ozXaBU0qsJ!^PkBysVe9>HtOED-hIS5{uTYqZ&$wv&pyPWrUME!}I zT54IWJ7}>w55}yJ|Amv_k9hE{9gK)M(M=2GT_MgfwQx^Q>jpS(q)=4ERRlM{#AY65pzuiy?P;4vAG`kRyD#VL9Mjo&a-|xL&mFOQX9tE+LfD@ylIk~vbw(P z)T?c)>I6j$%HWr zYJo$gE0pQEHN}K*pF=#Nffz7W)iW2EH*+!}qFRT1@$R@*ykiXW)(rUFqM@ROAixR6 zCkB=-38!lPO}Sc$9QJ&^W-g7&zthiEe?cC(CWmmsjxX*H<@lF-6zUwkBbl+6W%DQk zP?-12heVhvdM>6hh(BPz+|i<7*BF>RZcJcIX<*byBebgE9}>Qyyk`vV@Z>q!Q*?sc zvaHbaU~-kr32Gla;edI8g%Pi|8tu*az_S`^n(+G|kj`)(kY!M8O|Nb&i~f`#sHzlg zA=Rtd8sa<;Nk?)L8CJCig%_^SH3K0>bF>NQ1eRPi%9R)yBLy>63fXHZguyT$qECo5 zE=nfVcZg3=#jGj5*mi6oRqyqX{ve~OyvuYHuZt{ZVk(BD@}E2YJh-LoK6r7Xu&%HT z5CXISu)LM84kckJFDhJ&_lNM+T39DS=m$6UYbqa6>@OcVvUt|CktKLg8cI5GBOFUW z@a@H7M-(B-i{p0ALmb0#iZ1Q5_lrZCjzNKkQ(^YF;daH0q7h?8jJ9XsVUZZl{KRbj zN-}=y83HXU-gz|xLX=(;NMStM$-zEEtq}EE1EMChPuXDX6d}Va!?4;|*9Je4lCXHZ z1uDB(dQzccc&tG^w?iNlj_8mJ#dFr7LC*0ZX#6Sk@p!vf@)z(O3?rd^l(3zf1lIYk zj8G_`4vIldLE;DIgQH7G7cCf0sDg2zX3aq+^13w`aej1R3)oKhk!--vH5#)eVK z*OBgwP8Ld`3jC4`r#3Pq9&1D#{^sh6V*j-23O$3dW2^oqWP)I(8!{yKWdw_92@58} z?kgT()H4)@pSWtD7OzC6GA($hE{zu|_`@Yuj!!Vkd|pK-!5>$`a{RMlv!Xtx6jjNH zU-*X=oz`JAy?EE5pif2*+x*{;LO`cnUoOo@#Gb{V&;5vWFZ()1i;mQ{&c-C+4zOXqKa+4`6B)G*yqx>Rs1!) z$dTRWQm?dfr$nsJ?ZF2Y#s_n&5Nrg!B_re0uerMYp#g&#kEacu zfHI4H9zGDxWaSHF?f}|%P#sRy(I zbeZe$%{uJ@&{P2EpMGd``ph3`tWO#=tq~s%qR1k0_Z%^jp@=f z0QC1Ug2F#b)&P#QtmOb}2`Sp>`Ix^}L`4X+0u)TO08RN_DOdHLpkB(!7}#fYpJJZe z?P>NgT}Cf|j7Rr9)}5ChkaPMV)1_Z`n)Jsy3<8)Y{qdWAb$y!sigYhOAm{W4);HUz z6Ttq+Z-%8Y`w9Dp+uiIp`Ql(a6#%-k@AT%c5bi9K4d6G^)87oIzo+Ay5Ax6t`-T4Y z{Fn>C&0!Q!5`G9GC3m@8@x(LE)*rJQjN(V4(0^+ql@hdYCoOO_{ zm0g&q1HAJiBqjW00-g+KFzLWxSX0XtU@n=b4BYWZ6;c2aKAsB?K#5{RmFw1mXS-tf zMT1CXHy2rr{Eg5>)52+HyPT^vx0^ql=KCl9j!0V%Hx|Asn!)eGxFVzjl&zlR9;xr2 z2`5Fhaee9z;)ht@+94{{m4+WX+fXT_a7c&0)!}ljh7L1JtD+!@k_a5C;!obC@UXC` z4EdWC^4A^(RaKbS8<1pGv7%CSvZB!&phfOUtqrF;;<5?KAP34Kuu3SySyldusR7*W zmcJ4zj~w8(IDSXP5-$fY`-#juIxdNpae9x=Xp6qSQc5FM|s-j@@?%gqVsbl99A zxzrrbRnVn@74-0K(sKf|3D(iWzd?4q;}?{3Bkn;c3x)801aCIW=MY`hz}{Deu5 z%s521*Sh#ZPu~vR9iTeq7cbm;C6VKn8?d6xiP0QL7^@E59iTo|jvK=GF^--|Rg~pA zx`XCq#Fbu-TW-K`XLZcGzo7n1r<`C7{+gaybck-RagPBH&zjuByB^_YDd=C*a{_>q z>4;4K2DyiK9go|;rsoEL#1U&xdyIO2j!SMJ=;AOD_Gm@FLC*6D{QTfJQLM3s1q^ezN~=f)DS;BoSa}v zLckCCgrnJFFjP+$Cs2-z*&``O`gB}4<H!SyT5EX z8YtHDib-W7RlV4`ls8E9gPgj&e)-HIPHV{@-yDHWG#vNR*J7M{$?Av2j)kb4kfQ8!+J4d3n+HjPaA@caA{0!gXkeUpo0%-qAt1U8tnimHs+MHkScHI}0Pk@UB zr|4Tw@9JDI+F`*&mm#7*E;#n4k4#M zc!tYe;du=fdv3g51>@YQ_=^@R^Lxu%eq+iJ8T6=6mfP(uZ+wo3Ba18j<>rs^Io`a=>C)ik z%a@loSH6r2=6cE~Mou~NdvnFdv0+FNN;|;}=EUdit*avu3CMQ`E%D6)VCI(=)CeCu z(H?}}YX9bH{JN@#3Jbs5JG0DhjVu{4##b^1xMYk#BgT#xN$S{=Q6!F+ZsR2pp6E70 zxQ!Sgi6cwKlQ>Gcl}fi#={8!rF>1Ui8%RJkhPNyOIr=Xu)B+HNYG+%WEFa1w%2S=H zjdX`YP73;671HVtC!A6>6rZnHAMJcKm2{j=ip?-tsR>wc^@tS1r%oi@@B)o>}3? z@0V2j%cj;;<^-um_GVT#R#c0hGQf{-)iuv9tE~XFFjXwKGNF3pDV1UntUX2BNWWQS zp!_W!sshd2;!d7A|5%G|)&_LSk9KI1F^`VR5LW~t>swoz#rll`-ES#B?hm2GD#*^Ou_G;rozT0o> zUI3Ynh8^A27e)v_irA3fAL0|kgwK141rfb^#Hak>=0p^|tY>;~XQrxCsDTI$l{du` zI@KO>=LF|8fUSNkGaQoFud=O5;koVqads|nZq4ccKa-@>YSZap&|TT?uyGHHaoH7X znq>5rcBf3;8Vm+SP?UBF%8YSKFBtcr826y;tZOhB_n_?Tx&_5ptXO47dP{r$@8_G7 zG&7y#oZtVvUNi5U=lMRL@AZ7oCFh)Qr#koJsrzH3an)DugCaJL8Coshf5!1J`EUcl zSz&pyR^BMYFZ-UyLtiU8F(mIr9C+iTv7&%t5)I_sHK$Rb(3Qy7>I?k=wLRK2N<{ z)tK+{H&o=yU3@$*TMDmO%AIkk-vcZh*bmrwWb`n61jgXrhi3F7d<?^K{x5rp}=s;Qr^*KJa7c_R zQ!ogFONoa+!YI7%F5=2)J;YC7oOBWozk)&7aWC<3#(l)Y&F&{2J`Iy_ z&I825aSsyDruZ)Oz^fi29v=KK@o*K4!h7Sy!9GU8!3 z48zkNCmy<=ARhL@By9g1@zCEzJU1DvgC5xZcjDpvCy9r9KSexrJWV`&9VTJ;8RFr% zXNhmnv{#@9p79*;>XsA9Ql_MazrC!(U+#ws#W`w|R+p z_yCN9i_hgGi<5ATFgIQ})_;Y%T@o?O`#KXs66xP2-JbWJ} z;R(x$hyR1lDVo;yKJjp?4~U2N!7$waAH>5XjKeJKfu3IGFIk6K@&A+Ub1L)dN6Z7z z^D%KS3zIPTDf#fzYQfLgZlUXQ;$a%PnTNe!u>HdH3g#IY|B~{-)K~bYYg+7U<_DOD z5t#Uf`3FY6W&Y-7m&A9J8)m;}et_OI<%G`vk}o$QC4a&XgTFHG!SE{bm-Q_AGtk#o z>2smiwn|S3H(RAU4yBxrta43R_?dMqnIvz$A>r z6zqXnn1bHlQ_jQDJ7AR_7EWEIcfrWCRl4&C{D)CL7=&RMhHWqcV=xQ5pr>h-o`hc5 z2gA^DB>e&1FgSCS9)NM!0-cAW1H-TrhK``!WF0z=LKk|W^GNcCuA`|3^uRdu!X6le zDHwwNFbbVVQ-A1≈8!$nSD$-dVH@48jf=I+OB3*Lk$#Ec9Uz#;(N=BR8QBlQ0XN zci<0^&jZvSrXHlc$6$YGmF|LJ7=%d}fzgMlA51KxelYSB)uK zbUnLD_Z)`~48c%>dPC<6lmmL9s~JBG!!&Gzo);+>48txMhe>!_H|0E@b=U@@FO#pZ zhjtV@Ou;nlhu&9d#}jBL=!PNag)!I!y{|J)g>TSKF!(0vTkyX{dbnkhe6jBhT_>`i zfnhiYCSVK(NEe48n1pj-dO7ukSr|A4`}>R+nEfaD!0^8)FaB#_%}JW}5acc;?M>JP zzk`mGncqL8oN!0j2^(NP48yqS!5(7=s-!0khEd z89LAfIUCoe!x|Wd(bL$keNG(fm%}7n3SHO}Fm^io%`b>&eTx<7!QEjHdl1ICk@B%G zX(!g-f=<@If+3iNJ!fdzm0z#Yds)8+x><0p>sX;I9Jow!hY7b+Q7JDeRtRagU~UT_JnSj z(8(u)U0bc!!3Ye(IBbC_7!iNPYP|!7U>rta4~)SSOu&8^wyoBk7oh{)Fbcge37eqP zzFH4MFKmNh7=sbm1>-OY6R-~^VHT!f&BgQ!^uR0(K&NB19)d177kXe6dSNFF!UT-L zUYLey=p43McU^)VdZDwD^1&2rgYgl>!Q@El1wEt5cLDiU(Js(AhIWC;YRV52TaX^6 zVK0nrNj}iI73IE^_^pYDX&8W^Z74T%jzvfOzd;AaVM6@BrCr7EB7YeB9qoD<^%_Tc z;T5&?6a9A|jKP;+8m@re^E6F|Q8;cpbl@MM=X{>GhY@%#Ov3A+YaZu6Fbv;-3HU8^ z&SzfOo^rzNU>tg37S4jfzjFNr#^4Pw4VOXh1)NX9DEtph!Oi|a{ugpw3?tAFlQ0ZJ z)F%Pw!W4``r~2{ zLkGr}GF~6lw0;<+J-57zc7%ICPaDVMFal@8@a3A;3KQ^d=xk>k!Vp{m<4}iLIPPxB zaRukYFa|>~4KIY=D>?p$QTRAa!R63%m8Sg!Be3co$_aOeuB&NJ7>1|A1iTtLuhFyz zVFlza zn1Y8w&&`^4HjKa}FbN-qu3I<{hGF<6OhD~H^1oHneh)+NPcRN=!YrH%gSTng^)LpX zfNA(X^u{!8C5*x`50O9I1A1>gU3YMt1jF!6n1J6w=baoUJxu;^M;M0< zFbi8?a4F*z#^BvB4PS!ZyBM!93U!!*(TgLuU{Ewp#Jx?%Cz%+C|g#T~MFE9lI(D`?CgikWR!01!d2gYCz z48DvGjK4;C9%kNnn|ceA%oi~D4)X;Jy-OU7zeo9Db~)_J42hs0R#xMf<@7?0{()hgsMIU0+jw7>4~Y3Z0Lk1KrTmN58@-Y=X{j=r37^ zZ7>dFFbTV08YZFh-|T0g2WDXe)-1yhJ<$0r<%D4vf^j$(reG9iVJCEbN4=pJ_QC{A z!xVHpPQKsMZZHLdFb!LvCyfpa|46yS4wKONU&)}CFW8~yf5gLJhWud+cETh~z!dC-X_$u5Rp|bm`opHD zs2>c&B#cAXYRU~gun)#y7Dm^Q?rF-umU6(PV~ySeXAWPZyV08q=fdk@4}1dFJjpx( zTi{CA1;>n7qdU;^!p>*NXR|eW3VNN`pQW7816|c?^d`7h%^E#TK8?^zx(JM5_iVjJ zk3lc&g28d5lXW-cd5&`Lwnh)Z(4K4b4(O~~qbH%uOFjwOZvuKSaR}vx!6|F>I804j zqxT7qUZcC7r=Bfq^nMsUX^rlDfqZ9EKInx37!6ZS{13xUNe{!=Q?LzYVHb3sNj+u# ztTlQT#?M}(*S(0&Jkr4^?1FKagbCOu{=ZV*Zt8O(<%Ho&sW(i(E?K_^Zn~fTBi)m*=4GxQ!xq>NyWo}& zP#)6n4Vz#HhKWl)g#N3V_TjVS$9e|#!L6R7p4h!`?rW3}MxnDCJ?MIAjoyp@KdcID3!_=GP2fayjgzwM}F#IpdEBuiDhjHk5gYrNZ%tH5@n)c2ol!Ns& zY=fgdB_HU40sILVfzB^zADH@*_IU^0uV_~o{hIc8m-OE;ZeScHN%uB%y~Xh|48zU7 zU!y1B&d~X`rcHz)cruK`%V8FF!eEm9I*h@uU>Z9AL;cWq!6@{?PFepi<$)g94^zYanfbQ4}&w;>V434#9H0?0r_3FRI z(ETsUb3b+%d0?#`hQSBP|3lVcCyYO|R!_q8W0VJa9$%|_KEnP4^?=SU+66|Rqy#>xgqchYo%h?1Mp=g^3p^$H$ZddST>6%JT{9Ff8mw4?163t9L;cOu`6E z!|cnn@2BX!Lb+fV2BGU!$^|{IQC}E=30Z%GIGBX46#B3ZI^U!|&;!FT1lz>^4($tr zFbQ4n5-)z}{EYmT6Ax3c33~srR*%5=N5sM4r)%|I7*0`N==z-ceolLSMLFT0`_}3q z>U|W9!?`dEV=#uL1G z50fzbBXQz~H7n4E9vJ(Hdce@n#KH9c7zZ$tAr87$(SOhbv(O7`zNDVe14A$%>#He0 zOs->`Lsu3Z=v>b@gh2c3+)C|umidp*XeQSIhuZeNtlJf5bgN`Y^EPz z=rrP>_YCR_wChMlPmZVE7X9gQ*3?i~UmKWgR+y zq`uG%gO^cW=)Hn=g(=tuqgPT-v0p_wU>f#{{~F3I{_BYUFZG9B7`~qTVG2fMy@Ptg zH0+V}8;OIio2c(k)bkeV3&XdP9wuQ2^xj6fVI)So!ZhrMuBG(bO6m(+U<|gwH0%`n zUE~9!un%To7KZPpAAg4TFpgjv24NPqz)&ao!^nNq6GmYYx*jE87<-KE;1}9y8R=md zMqnIvz!Z!_@8jeTqcA0Q*bkjg(BA(eALxZi*aTfq(NE9|J7EkaVEjem#NJIi^)sHJ z7kYZgAEsbb?5~nu_!{Y9{9VfVEBgN=9dv#~Iv9qX(EBm{2ScAQzG3{+b-E*iJ%tWT zdb4^T{0DTw|H3eI9+cIy!arkQMLD4ty6Us~T27nrW&$(hpbP`>Rm7fdu4r6R!>70bgUsBx?lv>LC@r@9+Y+10@JWf z>{GIO48~y>bWP3bNwLE|=!L0uq@R}6omujmPPw4#D0E=hi#!$g>Juctg`X7whRy^3@&6s3GH0h2Jf5Iq=K zOnYr0{gSL6fX?eE7YxIQ*kOm*uczH%3MOF|_Q6C4<%O;r(a~wQo6v!A7=p=L(19t~ z0n;!p{@c)j&f6&m4BeU4YqScsJK7s2@1h*gc|U#_eGGp^g_e4j`a|z?)DH$>7=~dR zjKCO-!7dnwNtlFv(D?%GV?*afbYOY~;{s-36nejATtUw_q=)g!^}5Smp(S7)%#K*E zhhcK$dOZr`yRFxI#1B)@=~=J$iyyijq=#M@*?qm<1QRd}gL|yk+hA(X^?Dq7$FJ9u zFbeyibFcNfV;JRtF6f1IFb11o0*1xEH|2mq*a_3H2YUCRJTL_N#lJu0sbqb^dOZXq za4w9&C``dl=sAQq7(JZ)hhsltz3zeOBiHLKvVJt_VKTH{?}G7W(#v`a=|>QM66s+W z24VIT@`29T#K}7Bgu&A&CrrS8=s9P-9@q?CNIDpYow9z>dOZOnt>}%!-o9RsLFbj^ z3!|`R6nazxE*OlW z14Ga;8l8poFN`gso-nwW{)Db2^dt1bEKEU974?S!n7nqq-T@=mQ4g4g&N0MaPkCS* zhG4d1y&i$l8>o-iZ=}7T_h$UntjB0a7`&Z)Wc?1t#pdYUNqU&PkAB|*|NZ0(Q?LbQ zVFY>}pnfn86EFdLVG8yOAEZ26q6dS}^$_vGIQ4{4n1ZQC$RB!_(GNA`2g5J~+h7>R zU<7u-C``f_?1KrIg-KYm6?)JE(=Y(DFa({C)6UQZqtF98p%*4#5ca|lOv5mAY)!t< z1*5PI#$XV}VGB&a2u#8bn1XSbhCMI~Q_%SY?E+oUxefV4H}pa;48kTDf?*hjZ7>33 zFbcb13?^Y5_Q3?q!X&I2i_YJuH*|JUUzqwk^@C~H3$ri{Lr+q#-w^i{^?=@I(1&T* z4_(iq_gl*GJnaWVFHj!ne35i83j3hz70SCU^?_|L4!dBqhjn1%tEg)Pwa2D;D-J7Ea+zzFPvG3XeF4s^p548SaGfvz`+hhEqTL$C)% zU>}S@$M1=UZkU1rn1wCS^%n8a3p-&5_P_}2gE8o+B_6tA3I<>nwm{e0#6vIagdx}i zBd`y~pkq7Yp&O=P0A^tebR~(0Uf2mkum?t9AB;iA_QXRsOu+!m!WQUyhj{3PoiGG@ zUH7=T&W0$uMC552GxhF}kjz&;p*4ma`84O1`xv#@z4u9VF>oX2<(F~=-82X=!Pj6fLYiAUGEbQy|5F8 zU=NJIJ{W_Jors5S82KmT9ftnJJR$2a4r3p({lLUWY;Q32G3j?^d-#O;00vp z9(rIO3_{0V#6vfX!T^lJ7MO%>FbzAQvyXV_fqgIt9eWcG-7pFRFb-Q_61KrK?1av5 zh=(572ZPYD5Ao0qqc8yDumvVz8%)Da==?YF&;$Em7&_{RgKn6F0hop@(DN|oTVJD2k9+-rEFby615&u2$&;tW72wPwjw!t{; zgh|)~)36UZ|3m!##6dRF8r8b-vvk~o-zNto?to`UgJ9OrmRw}E_MNM}BS zVb}ws&~XXpV=(;k<7%bb45aZLe}(IFfYla{Y7^aqo~n zjKT!;a9t(n+@SY!o*RQPjr~CN2E7-iptBSI<{R{68~1%c*X2B4q2-o}3l7yP=2us2 z>l{8}NyYGLvAg7NbVlFRNFx|JJ@*4iTK=xof7KT_`A}7TNY&UWV@Ayzu|PZcw+HUJ zk9&KpDn0<0q3ahzpsM!bsu3zS#OkNmXNY}5RduV)_7_}gk(da7&Z>-lidcMA)r)Pu zseoF0?~VlWn|uao#^us7RS$?Hs9 zWMAlr4r{HnH`!2;bW97{&zooT#l`9TQG2VyeubnFNy#Tfx+7~c`aWU^R8^lz<;6Z1 z`?=VEZ`hAE+AE6v0_=5SSM7C9zPY#$kgxf=^~0opnDloJkv>p0-gaG8Ed|V#O2$cy^uPTb&jm<& zW0Cgh!oDN+H^pYY-7FibeMB>h&i&}TCOT@olYOf_P*rbxUX^1))d(qv+rj-h<1+fz zl8%DTpwYzc#qJ+u7u_c86R2{HH)2phFLRZFR z2lnr<|3>U;J2*VwO=>&n!oL##3QOa|{(8CXAV?ODVZ8XVHlv?PdziLov%S$iRD()c zS)}|;=-u)MV}4QPKebT)I{b6-cjD)AyUK5&%zIH8m+cOwx7J}Y7(TDs83P@Xo9Cpx z;>68zXY?wGV?LQ|v{w)I8Q5ovUFByxET5qlQy>0z{G8^M%+Fp`F)%~XVv&5^mArFx zhm5|J7|6E~aj|=`pD@TS?b(F=IP6QT^iREgUb+5}?XnZSJ9o_J_w#v^?d;C{79&pP zX8w9fzx7TT{R=VBd_%VHy928$<#rC|IZEPIS}XU5<;pGX9zyS!T{8MGLvNAv^FrCb zMWvtHW&hS%DZ93nYQIK*57@88h~IwKjDDg~j{)<`tbz5GahD|hOwzYW`rJ6UEI$sU zerf#A;8*)evw5T5eqFixiJq6av&NIruatS+Os~;?maWWoBkdJMFStiWKeTLn*?qZ@ zT4*XFbH^)5;*Z@kqfZe7^>3H?Ugon}`GA4>Ov>F)x&@?LPJ5V^ds&73so|vyF6|!J zjDP!Q^jW}YcbUr6;^BV_{sZyfEf(5oKtJ9(upgy-9i)GR^p9F=*Bi>UtK{E@-l#ui z^y`Mo|LRTiuNleni=b=b^=+z&V(Z4nFFMFIiW$I$xk8 z&5~cl-h+Jw_AT>9DE*Scp2B{s*wuL8xX^Zk+5w3_i~sPZjDGI`|I&irHHzccGJY@q z=9#7AL-@bJUn>6y{^NKKx>Wu#{C)UK#V7C|dqhTmYe4y5EtEfnf5ed){iXr_>k9rX z{!8$84Dc^5_+3t(<0<3!;y>l6(&Y=`{}O+x`bF@cd~`;?VL<*%3i-$Ie~Q0Ud;)*- zERMqm#9v*APvL(Lf2sD%;-4HUt?wGm^T_xg8=(JCLEnr2m}5%Ehwy)ezf}Ga{Gnrw zd7&^qZ!hS_@PCfKWc~4NDs z^y5p9pAh~p@Ruro1b@p38U5J-`hPFz$MA2!zifd2;etPbf6ebM~zw}Rg_hW+VDW%?g~AO2GDA^ee(na2m{KUmO@;2(KP>H5X+ z--`da0r5{3;uHAyot@F29N>Sv;7{Ry8-J|viR@7 z|G@zLcMAHhEx5ny+>HLp0RIaGzZd`i@R!=YL-^;;V0v3hfiZe;od62l%4} ze+2(>{0j#7FD&?D_{aaXbbJE;U1j_!{99a5IzEfPwT$1z!Bu}5zZd^W7nar!;r~|| ze+2);Na^?({-?|M6Zm(zsC0Y^|E*>GS^T3eE*v6qzAkKli= zj6a6IenIK@1pdd%_*3||zqE9G7XS5S{4Or|I4&z4@5O&!8Gi`>zsvX|_>X8Utslex zMj3ws{~y~*$EWZ=RK}mhzwPCv<6YZuPFlwA#lOCcKZO6x_R{(h{9lyu$M82^Q93?> z|D`hi6#l)hEFGW4e@_WNn+Es%^8Ddd8T}73KN*YI>#&a>WS8@)AofYvUzfCnyT$$qt#;cRDv4M%FMl1RTY7ay|3Oal8k{4w$<>v%^R>xi?B~y}tR8JU ze}1*ocK)16)ppzY&GzFfMoJPSCUQO_`ScRsxGKDJBp*-Q`#iT84Wa_2=EeS%!CkoXxx#!tw_KbMaWNPGu> z5#kS6oY7Ys*E=>jFXlR+@%_g{uE}Ob4s~K27D`JpA>eGydma^+{sbcs=G2`Cj0{ftN#6d$_kH{q@Di zafSA{wzxg=%^|XN{Dp{nnmE43&y9~i%>zLLKV)k2yy{@M6nlJZO^ ze(3E=HC?mqy&?LikqlpXE&0x*+@>hopXvg&J*q8J+P8_xVEK(1y<76h&jVBB`!U<8 zBT<+<%66l03PqRtwvoR1=8RrnoPP4g@9%71OX^9ZW(Z^>XOZnZLEP+HGCH>j7~8q+ zNVTEc-pp59eP7T=x&^mp^nC|jPirWA&$w|rn^9G7|6>K)2PQ7j7Jt(?S!FEau*()l4;wN(4rE35}q$`gYErM2B&> z$#v=}d=GH!$blEC)$GAFM?w~^tsK|cWbjBi4jCmnj&Y2)POhH~oNr6l zM~%To`@}+hCMlgDI#=G8(f{H2Kb0ppmZdmM06{`K(5Zcx_XFm2jO~1=rj?z-k-3ec z(OzAsSgy0slKSt_rgf1$w-$!!HWy&m+spXU3p ze0y2d+ue~L2uA60?Nw9D{`2{a{@%dyHI*FSs~pYl`KYjnJ!quQ_l-DZJ8!|>OnP-5IMjA7-}fEC zcFs2y>{&>`*V0B0OMEBs-T$BQQm#JYYkLNkmnl)pVjqiLU0;|a`-@AMKL4tUuj;=& z$KQkERQ<7cW1oNq-v`!4m~BNbh}UjDPH_%)=FuAh$?d8}{$M z|CRe&SX3OlV)@J>ah>>UUmJLRU+f9&J7bs4F~5kt*GNCup2j|Qi1ZE)aI3L1O%xW< zabcJA##cGYC-yoc{Z?Wzz7Lgg7c|oEZ)Zj3hr`skHJwLKD@-;aMm34Z|TnjOg>|F@Dq_hlI0SNi4nn3{~8@ES8zl5;6BwA-UL z+oF+}4>io{2u<28gwE^@eE%&v#!?68VsFMC72C%1i^i(j=^Re`)hR__lucIf@}=#h zQK}!}#63;i;Q6%&`!eh{8J`>X%O%bi$Ev-Q>@bj3x{jS_4{g;Z^N0)keC%8%FDz28 zI_z_XNFT&LdkA|A_Gau1JJp^u>1ol482W?fmyQzp@gej@v&Yb%FZ#y(IsT%Ns_EE- z)gt+&N%u76C?2nJJmT1y_QPJq^+45rx%1#5k4NMr+-PrBN0D-boIgBK$B_y2o}REupC=6?5ens;msRbvksbiZDL^kaE{td;b#ouo-WiS#dsKK)~Bp@Sxk zlK;U`#vL0nu4;B;ype9l{CLP6Pn(X1`Gw)KT^Yl8j?5fByK;7zuSq_mEHRQLFSz_*^ufPUOB9&K}27Q@QbF z6pfjiP9>T2LzcMN#0{R`YUqq+>>Mtsb~=XnO7ihwpO5_%v2UEWC7-EKkeVG4=S;>bd^M3D4J z($Aj7dpwQtYn)HhYQ}wa$5)L%k!EB-6*aBxm_Z#dZ4_Ff=h~g)r_d_>p@G}O#_I-* z^1}Ve&Gr_#Zm{XV&&>cbKZMa6aqOzxaRFs-wGF&4Fp7UH{;Nn^)J_wt#xq2XzMC*A zSokcLexx5|$=~m7XOfWn?!+#6uJHRbzUC_|VwXPA0zPg3f;E?3>RdmBUB>c)L3YuO z@Yjv~eW=Z-T48Iox63YAmZEYUmb(!>XO|d>U3{D|pO10OTUt?BJ;F9`=jzRD^Tts zMXOP8@i$>#I?<=CHT;c5e${>)*ma-4`(#O*U*xllyY9(8Z7(sh)d|GjDLPYp>X=t- zvWPu_y%GCxWy$>$doT9pA?#`FbFd#+lwQi~pzO=A->mX!9oFtRtZJoWp)JaJi1^+3 z8-qSgorh0p=U(>1tHv*~$ql*69}xdEpH?C9YWrlCVXJ8+Udkg&etd1a#c)+GwS8be z_|#Ro``EXxwk_ClqWpJGtkQ(s#=4+l>*}fnm80FWd7JI%^G;$;nY3l~*43jHtkI1{ z@?;UcFn?8+^gcaB^tw#+66k$K&RibhhR|~5yH4qCFwt{T*0?3T$x3hL$))S7`k(e~ zp6=5oOB)#DMf$53`?A0Iw0UAz^B#|0wA-04TOAVDFa8-mO?3q8vWVU3VEkb(KHsUs zF86P*z+MAIk2@z9*%z}%~ zXIp94&(4y5diu1|?I3#ce85?j^g5K@gC=@i=>6G}-c(EFbI{(SE$P{mUeu&~P3WcO zSZTj!cx$|C^j@czZ@*6T9=4=6N9i46q9^0)0!w;3DZSfF^yE73WJ`LVpCR=lVgCWeIZAbJ5Q`yYH!V-lgc;` zkiL4Bk8?Vf=`!!N+r;kLm*Z=CbdlJNMYg9p?Bl7=rD7xhP}PW#bC|Zdea_~UXK(JD zxB2MvH?KNx^D%QbA0FPk`g9d3dh&ZZezKK;UWV#T0%?S3_%|7jRNm^w0V6Q#ir>WzMBD-Th(#;*XKa=e;OCwFk zuC9N|{5i&7Vtyaa*5Mlc6?H2$ze~B|=uVS-YBr;P zPVi~!I;4@2JxWgnD34pRM3dHnoNg?W25 zsrHg>yj1^-UXcE;vZR+APjgK4V(5KrslA?6?NzS*r9I@haQTTGUqOfM<*Bl57q^F# zarJ|x@^@GoKOyvAdo)Dt zM^}wsXlv&|F8s1czq&aqh1Zac_hJvP-Ib)1*=&a=`UkDMdr&y>ke+M^A< zJJB;Qf3wp2#ze0dy;CgdRV%&jGI}yj!t7^P&h}|5`OHVob!33dkdY#%AZn3x@?77F zQ+?|BTgM)`?W^53QJ&G^8bU6e>?eBpVy*UcpT=ocVG(;8dn0yrer0&X?%)E(k|FFa z?8~uxB(1S6OZqzOTG*$ZH^?5uz5u&AzbZ=Kg1sC29|xt6h|U>4Z6C24i|BV?ug5+y zZ-ioxV_$+@9cL8j_lVA!K5deu=lG+Y6X`lW%Kh7o*mp3F3%CR})Ny-#@o~G1&l*k) z7R>SSEIZ4fzEJhYUwgJsyF&a%Ii!Dr*q32{Rt_YlEV4(39a~ku*tXEo&O_9amPO*{ zl5Wnqq*IpMKPhh%`}ny&ZH&Zop0QZ^c9EU)44&rBpJf=OU8n|1?)V#>D&=u(PJPe!X$!?*)JNLEg}r*7Py2^qZz8) zT_{x*oiOQ|7x=WRrJggZ>RTOATf0#SDSs6I%1eFfxPn!+$ov(c|2AB0Wj@^La+wd$ zY%4wgie3kLZ=z>DAAW+8YxGGbdNRJQwWN2o()&{xJ!z*1`{CNleA<8c?C=geju3y> zmb7E5PrHE68uvxDJ7zMZhAouMT>7II{|fwHD!*K>nI_k2{=yYDdOWvCJIMIjCvN3D za>c#U4vn;Ksr{PhrO~sYXMVhQz0%v>M9<53c;{g&<(s1P)?Hb?d@{abmh>u=-oH)s z^)P?2SFO1%q=$W_Q!AftwiJm+k@JCB}zuYbLy~RY&xfR>9rTRXo z^in2zA@u(7pq2WDl-~A>%eP+~y*tn|zuvi}(!10|Po57r!&3QPyGz>dWD~t0_uC&} zseBhHy=zVM!7x(XDE4L8*{v29v3Fu$j{Tm3HFvx#_irz_V$<5WXQF$EP z2fYIOzJu&8?6p_=wDDp$7SWOWw;Qn!Znq%z1=#cFIuau3Td*&|zNZ+BMeK6_b~pCH zuG&oNPkU4B>U?dAalXba{KnZDUv;Xb zj{kL}UrGA6gRx-l+HT=zo8w{bqc*hW6Nxcu3z z@g16oY>ew9ZpC7s)@;OaR$PdZEBlgqvCS{n_vhqKw56OW;_9#Cdk&+VoV^y})Him8 zb7_gsRW+%zTFZXZP z-{DjDHHhv}aC^J>p_6OJQeL@#yY?=hc1=Yt zPV6#n6WEvC?bB}L^AOh=+T}e^twuvh`O>6syvL_amA)R-f0B=Ld*-hPHr)>0*q37; zJTH5(uf)DPvH5lsohIzH57JIzHx}v7F!p-vS4lmlEObbJHZHcwg`z@#O1cio?;)-~ z71B*>uUus3*_y?+{P)XJp9JaV#0%GTa@P#yOFDewPvNh9#HXp}5Y&AOM^%lFI^@m; z<qxo$Dt$^Ii^R+Q+e;qvac?8bF@z$WzjPhMB;%|3cdIQN4_scuuj-Bd z4Mzp#&YwN>&z|U+AMYKY^uFMzpqyR=y|peYdOvI_lrPBS zu#VqPHgCVNO7C$Ky%>6LS<-v2TH5a_6TLKgw^-6^S9)id=*jZ|vn}Zzr1bnIdQtTD zN6&nISvf}PJIq8cg`Ull-aSe$W}@fWk?Vc@PPTdf9 zqIbF_y*H}VeDG-b^2zf7f3l=^iPF2-L@$iqW|s8!Q+jur=q1qmXsng~_;Iw<_jD6I z=gzz@)RJDO(!0P!FNEItmh@&Ry+#wgIC>41^tMoXhnwhS(W|kf_oh?YZ&wpNc|PFl zZB|>@J{BmwIupGZdQVu=+h6HjZ=#n*?@~*8{~aauJ;OxLyDP`5mh|pbdY7B%$@2lf zN3U?aQ5`68KhjZ3?^P4M6nZ~wZAEXi(wqKR`T4-Z1%;>3GoSx@MoRmQSXN#yf?m5N zy$hAzg(iAQ^k$-GzW>-m>3v|L=i(%$){@?rn@N3_JYK%OVf233%1Zm)tn_9*QC=^B z-m{kU{-X5u{CjylCnri*S<k$7 z&7$`UXDa4;e^7dtJzHKcxCigsv!wU0;ZolppD(W$L+?sUdRHmE$`{J(rO`XglAc%T z{llbuUM?7pv!wS^rPO!KKg*Xdir)8}nVHWo_bI)dO!QLdJ#9(v7^Ro#Enhwl7h11C z&wRX8E4`mg^djgTYDw>vVbXqIo9HFc`<*4d^OauEr2Slb@q7|zdglGHyV5(sL@$iq zQgDiIkPpd@4-s%0TaC_de2zW`=vta`-6#I3cWTEhi7d`X-y-4ZR znCOMkyTX#*c%`@clk(ed0=+{m>3yxs_p|d&%IDml{fDLYyH)9(ZlV`LFU^^}d4J4M zdVey}i=+1(dglG%PSD@^oa=+#=%+d=95 zU@||a(ff%j1LpmaS}*n8>9g|V&&v(NFIdvMUg-@p(Tk$D(30L1rFV^qUJAXCCA|uz zH_=4T^Cy0X$&%jFS!uuFpO+PuYE;cEj>p;Ht zw50dhI;romCVFA?PO+rdq4eG`(MzDWpC!GiN^hx&p3}?yh?ewhO7Arjy%2i6Tv;*i zk7w3O`(0_G7f0_NOL}vZ-uN%ekH0K>=UCF)N$ELF^nwTRHLE4P&(}zO{U&-b^r|iC z-JtZwnCPX^`+_S!=KT>=dcQHz^Zr@W9<`)rS9%wk=ta@H$dcZ(tEK(cnCPX@3tG}U zTj_mhqUSl7_X%6l+ga&t|5f?%7eVhoTuCzTk1tk9eJ?W6OQQFTCA}M!-uYjbFQ2QP z>o1n{rYXH96TL8cN1$hZ{GutnE50pXz65&PThjYmM%u5rjGl|{xWj(#e^|zSZ*pG) z?-e%gpJ63;pGOpbP-r^t+52$DH#P1AnKgr*GntBPxl!Z3+43?z8Cw>q9`X_ywdJiV| zJyCw1i_X2mOZ-9nE1vRcKk}L961ba!XWEP#Dx_Rt{L7!_J}aqz(fcjhurGbqr|n|L zuHGZaJyv{-N&4q~>i6K}{ucF%O|?bO0xhY?J-U>;0g4FY`3K_0zihmpBNsQU3ceK6S94`+q@K60RXAF5o9 zn-ojcCrn)TyR@&=zYv!ze9?OpjJvW(!y@g_NnGP{o_j8pA9sCiybnx;sr!af#4Y{6 zr)8vFx!)&$KT*Hf|K(Hf4=H|L%y|g+vwY-Z4ktb3lUFM-6rV)zsISFYuGyPSVmlv{+<)1}0^`Agv+zuu=^K1}rG{;}q&Ir3wi?Uni4-Bdn~ ziS&!juf46t-y++IsPMdu>ToHC8~>6@zxIb*K0LL=xHcYK5`RGANBE6$$UQ=o!+3s5 z##sw~ZInNE-=Xo0w5mV$IoR24s_mudF-FyYG5pK$ual-O>c1|rkM?W7lei*#68m!O z+ev!ff0gg=KJ3~UzsAoQ7q&0SKa0J-+OHiW^`gJ&ZFw7?yt&08e%B<%$rgU?237Ce z{ciP9S;@^;Ui|f2`8BWTPOqw$=MJUao3Jm~+OJL9jFlpS}E=+AkE%JBjnz|8S-3Zpq(Rq`!Kx*K);mySx!fKAy?w?Bmz|F7_h^-Y+KQ z4dP!?=hwCoJ>&Vu<{_Scteb}pSFpbq9b=Jvqr@-W&#&E@H$t&@Vz1xdpL?Fr@QFQv zT|2<9-DlVYQqErN%do3)#rSCF-casDURtV%uWrqDhI`ql5y%D9;%zdk9i#^4ChJc%>oP@J_?K^Yd2Qi)y_n zK1%$R+;4P}itl8^HSmI(=&%NUe77jxunvruayh3mUo`r){~4MBX%Dy9XZW=pjrMA1 z&q;f#R1z1!-+ZWF8zFvUk+=}{IfwbRL&QE!wx3zX)|0z`GlIXn$*(ntpLXOeJ;nFu zZk%nItt6i~>8lU-Z}Q%r9_+Q)|0+7hd{D>76!xV@__Y~^zM9XAo~O<2Gd8_%xJGVn zJj$<)HsXr+yBqg2DvtY=Ny{Sj4H8#jGiZL?4zDMuIiHSe`rKUD&bud2O}{G=V6(;4R{F^?AN$?qLb zZXFhNEVN6U2mgXo{F^+N9>BgF`%a=~ERx<8VS1`tz3Kapv5&_tY4VGFj!OQs{Tjoz zu!y~d^mB$tAHhC<2zv+i1=!COmE(Cgc0=xWh~wD5!+u=OUVFCD&OO-2@4IRG6!x2k zu=iuv_S>}l&Wm_I-w<{;_Ua+*UhHFsus30^9l{>}3)|1>eyvf;Z!FS2J=m9EKSOLq z?;lEGmy-@TEXyyFz6UCeJ{HNhkMt|f^bdFsnb@U z8;g{qjr5JA-&Jh12mAA@ZI{|xs;Xb&^_Fj`l#(t^x+6)qy;vwO>DowlEa`Z`XOBuJ z?NW0n?L|JnRhHa8)qmKvxqhu>kUfBX4)(LeZX91R3@$60D`($2V){!HLsLA!5l?57vX`!b^Pd&TXJ$wkLueWa^D->Cnla z7i6(l&*!{G^o>PyYnn)peRCPXx$(sLvph_q-qx*B%6RaSuK7Y^e{1ZY#NLE`8Fn?! zRO;Mz5XQa&`xwI}ko0ZXS7KNDe`EZp`eWB3{@itsLCzuPP0ZZ6|- zmqV@e>m3J3zpmP~e82Xfw|a&Zy_rgH=iSQd)zI#*ThenXz5Ce*l^b_0=-p^Z?-gcK z*XWB)^t#YH$&%g$O7ABVJqP_*XGw1_rT2!3o{TTMCB5(1%eh96;(w|3lX2uYg8d=m zYy_Y4i`ZS*mk+Yb_E9Hxw#)k^G1~{n$7~;k_XEm?GEByAh;%E6m%}lR(>6ITjo=@D zkzX4neq)h*JFwSdKUr+X{#^9p*q34N6Z?@>oQiLJUP<`S2?%GB#UgvUQQGa znq_6X`=ws``GY@}?q|`9qUW@v_n^|dxs0ClllLgb0qs{j&(&dX!rr*huYJd7X}A1! zG`S*{yUr(PQHRL$nq2FXM7j2h5?8;7`B54{wI9ddlk%-MNhOu`>k|EI{kiuUp}$bB z(;4$V=ih4lNxBs2wCk9M3wl#VR}MNpk#vru83#A`wXGbiFh>VWFhzN!xskh><8)&Ioa}&-~xprHi=nFR@+z zAKN8OT=nam7ycj1=?*c@-{w5jXqUwtYH#vhu8lP;)GtI_^Lu{nvj1aT2XQMu@M{~K zNEP~l>;Cyio%7>Gdfj+(RQe$)abNhgZ{K+y;jjO3;QmFn_kQe+*c)XWOlcjy&~Ypq(7*?ACEj%``To4=ez6YwO6*&ZCcjAf zAa?EQNdw-SD*3fwul~hf{C;ru3yT~JhedfY+|z&5&Zt-*QYAYM!dk~51Bd$B+*VKg?#zpb zgK=Cc^{m6*m^Jq6{M=0bcshuE4(Yd*^hQ5P`WEa322Gng18b_1)ZeV@22X*!z-(MRe1|EunmBe@|Rg&KEdx zk;8f^kF%NW;F?L=2FZ7F?)~%9e{Sr{css+(GT-F)4}oaqUs{JtCr+0Rl=vX&7E}fX zTpv>Xk9|4zyNcr{N$($(dr2Iz5+9X#XFxlF&-wO~_)hFAu^(^r`^Nnp$02gA&-G?W zmn2gk(LqELzjg|R9drRh zTGD&!P0_1)zPz4{uTw4QovHLDyjWh((ad!~OL{vfy(SaACiI3|(o4M|_1)G)PsZ23 z`mFTF^-AyFGJ3L|HJ!-zPQR<)hfvpN|5CU^xxva^T)vRKS>uN-HJT}+Nf$)RQm;K zKbbTSUu>7@53|(QO+VDHvr@j9pG*6l@LB2diJpwFG3ZGjm;c=gr_$>wqbK9l&53AZ zJ@pVhW0CrKu{UGyl7XP=H%-0wGk?Y+Nu>-eq^oTRXw?=hFL{}@bH~AL_~#rF z(C!wU{QfL={9OD#o!n8ral9wG3DKPz(DJ{p6P5Srw9ECcR)>@?g@1W4pw-HL()j+k zUcNgnI?ogx$0_VzrU$emR62Pp>BjH(MaPZ5aYjJ|NNq zv5(6eq1cnyS74`E3yX}0Hpbz#Tdc8gAJ~)qGQMhlDL=k?(QCG(cZSkC%|uVe*PfR2 z+)B?^Mo;>)cQ)JSF#+v;=}-EBQNh^Z@(UME>oE1>uWt_IzE{hSx1#Sw)v+Y=W$rkN z02b*t6%Da+Y-=TG4kj8cQp()^zOH$=T>@A6TJj_=UdYIRF`p+GSPF=?hTgou2XvNndpVktFfdvS?P^f zTfY6`=zaaWH5RrLP3c`|qL)SQ2}^oUZIJfcgE_n0elf_ny3~^1nM%)Rq8CH2(URT{ zN^fTqy)=5ix1^U^FZKP_M9+H~&wshB^vCr|?-3KdD0<`nyxv0pK0i*rKiZf7<@zIq zo_>&(@3-bEJx3WmnZKiafxGf#V_quy9;6fdf>Q$87P9{w^j_&oUiW|F`2O!DWr=4SC1o$tD_*Pg}xTkOUn>Al#;V=ulA zAoFVz_D1ZzGEe5tuL{@uitcmd>r|OxbAqb=qIYg!lkaIevDaf?mP@Msd-$Kgz7o6o z-B$AXi@NG2cPlKiO)tE;FBhchPkQbAfL1Ri+Nm{n2qJ50|LHu7eDJINyt3xz>7Fpp z)wZs&a9{DV6J?&heZ-ow=#90c_vQ&w z-)Un?>q$Sg%%NT91+;r*e9})v-%GTsn@c$FmP_I67S((0I!M3r!hrUTjQ8C5DgJ(C zV%2hA;rBzNUwcTu;-Y}|I-fOe7UM3_+#N5h%J$KRf7!)>-2FZI?L(c%99lS!k#x?p z(Yb`{9L8~~+HV)GzjHy{(5oZevP%QnO>!esUT@I(m5k>Q>AF7(XaPQJ{P?I`CzA5c z#a{h!K$~jRPu+hf7h`I3W4Sv&Nm0$FC^Qm z;~d%>f5kSe4A@?auP3SN?8~`{mPEPj#Y>#_`-c4eNh_o}l3x?{CDY$D`=U`1<)>EBlpyKO%Y^ zCVDcyx-IG5uJlea(UbAD7(I0zvFz_=H7dQsO!V5&JKB<-UFn@uMo+dAm+VJ(XwWJd z&yFelJ^_8m7%tp9Op@I7uelsA@6@3ENesrK#y|Gzog1{3VpIFG#=>vC$ad3#U)!Z& zzTglBIz2_06gB9c}}L!O254QlCtg@dyhnq!3!feQ<&Guf zL$3Y3_?O_{lL;~JS984auH1Y&*>896*`V#qJgCx5ksr#LCO4clRju4EI*hx`WE{wG zp|)>>c2Hg~*B4wNDIN!MEX5q%I(%YPbFLh6Tv)$fgEl`OcR1Ic)XP@Yt5g?Co>Ct< zF6`dFK|3y=Zi;*(z%>x=DHXpQ7uNo7=hkylp2lnyUcT$$zKR1YDZ^nL>20UWRu~yVG%^C z%LyH($G;E;tJA5~{;uo3KKJg--Av!k^PKZMzvuVs`>MTX-q+{8?*I4q{{Ec|+ONgP z-WX?(zvj<6_S1`X1*u-Pb>p~y# z<4im{ChSI^I}-D>p@f$-CdTfI=^go|;p0f+|?}TezT)J#8A$ySQZ_sCL zFPKkHPSLDG&sMR00olFh(7b2tH1F`!J@i%g&lQ{xvbW-SX+F(6E$!F*dvLn^qzj!} zpzn{i^U;lb@qRl-c76^@+x42{oaQwNr^oG)OZ&ON*a9_?=j*#9y=3S1SkHd*Nguhe zKvf&RWB4`Jd;+@VRo1L4BV8~^^Oflr<`|#%+U$%r)>V@(G_gQEV(YBm$y-l4@1z2? zfeJ(aXs+Xj+3;+gKFX5z;r+HMEl_8-_-p*pk15q7b63+A_JNIg-u2XvFOhk} z>qmPuXgv zR<+qXKO4wTczWVGll^ZY9wlz>7ueVHHQZ0y&82dc7wCN&uXptIaa>Pef4#_FNA`8} zneBS)w*0PJWviiy?^_?w{;nvH->XgHZw}d`WWPU&zrvgz3I4eJeE)W62K;dx+L&+P zd=cj|n2r0(7UD7DPgDEK`RYkDt?|VVzUM=ftjh?|@oYtbdXaTBhNMr^jeh*-2O-{A z*DFNhZB~I=$er?hUaPRL;+zQe=|$Lyv#ywQ>gocuKc5BW+UvQIGU7dw@CC#@N%%729WBoJYY0&}<`l^Nd8WK{oIk(ySiY*+ z{5((Rc{DDsD^OSRj6>%Y#?jNG`bir4%K02b_Gn13J_1$;v$N9;bY8S0P#{RPJ^#}K_QTyfZ1nqDB z&VBpaME*MOm%pt|ygsVjwQql&L-fAR-}C$B?=0=_;ytPJ$L*AH zHO*VpPR;v2V$3@e4-r3@HT0i1?pJxl!^HcT{UVKddIAi0*vJD~+&{*XuI`2cHI6=K ztfu`4?wzNvdhVG+c5h{Y3h{nst*oaLp55cCqH-@A&ymCE$!gjEQ@om6Xr01-*%@t| z-X`J^;y2M}&GFma#=f2Gfkkoa49ni`8k$GQUdi#lko_L7sZIR+1=ODx7pMXT);!1Q zmyo@j?62XT;6OWT2%A=KadGvn+>+UE} zt2j?m=kfcIe1338uI07-&I$W(q z&3*XmMp+tp9re%q==^FLjh~;)x{=O##yzOc^||Oqr9$^pKOi02Gyb~CqE=_&cZGC+ zCJk*IZv({(h6~iksoFvRE|3`kI+`9|jTFzfyg=SJlA`}}{<|%t@lQJayRD`l3^Ny( zZsXCZ{f#7D=%E5t!9btO(7)FsyMJc1vA+t^sg(ul>gI7x_vz7bJf5c2$MLLN#{M2D zP|q~$lHc#Nfposd3siOvMKbx*&*v80+R882+u7fC(goJhx|Qom>*#)!A&Ki8uFqVW zC~ICQQ0H>GreB-;12FeiX6kb-Bg_d69#11Fp8KT&rLRY6|Fq(qpYG>9Jlo62?s=s^ z-k(xX+3IY4wv8Svq;byc%SB|5Y>@Rhr@NT$L+Pl0HTYKgfot3J@&5kI44AR)@R|oJ;!UHcy(_Ts6Z=;=X6eyRC@o?>t;%?zCgXo09!7F z`NU(y`!d6ILr!Nraqs&DD!nazq5P#tXRqsk)fCV7X@UAZ`@_cdx0-mA__aJf%rO#< zeK@^MWDjmFkeyuW^vs>=+B5fyj9Vz)7X_*f=hO5b>W}(<4Siil>bn=|%6}+OA6es! zCpW5Z{Tzet7kZDQ-RpKQp?HCx=s1v(_TMu0xm*j#9wYl#Y%iKmC;tNa7?{6u{jMN; z^ydNc0KVBz05`5FGzlQpUnDt)>~Znf8xGh=yXp$T|w<#usDP6&f}Z4q#irpO6^83#kqt1U`@p7<`It(f6(G1iHF-Ka?Vc)`^oXE z$5=l`4k6~Z8cz4zOFbyGuDkHh_Y{r=W=;t}Gt6rIyC>z$U>!XkYicNgYIWCSr|I0=hM-`-3NBY%Vlvn13=2Zi~rD?DA8rS1$PN$P!p1T`sF7oQb zDm@==BD=S&#SG^9gpYuPqd> zuBu3W50Uaq=Qf+4@8k3u$?iSQuc*5>ZyYbTislL8monAmq?h~fXWH1FNA?=B7c$Ux zI%~(DX=8ga*;RMHI)j0>2NLWR?4Rr{^VXSsE2!Q$U)5v}lik!O8>YYr}p7Mm!G)m>EG+VmRveeaTC}1V=--c^uHJJa^ks| z|M%**KGO^>#~GYX3B{{A(Vy@f0FUQ6#KXjIXiZ+52}YIlsBI;|=!rE504TY|MKR z4-p?=Acf5HiARX{vG{o6G2){wUO_xCz^?{dd}M^q@BL|4(+G>NU_Yeq%^c6$@c5b& zq35zmpU-@1yH=`A>#R1JZQ8ig=Bo~wb33%VzC-)#I^@jhaL~0npWDe#`6+&RPX@=K zjq53cCip1vAsO_A>S^!#m1CJU(!3l^`x`{@yn_9h1^e8P`n7eWQ~Sv%e=jaFVNBaig#V`IM~GL7Wmb*&@FPE z$5-XazuXVKo$PgFpBTTMHtQVRm&)noR@3+#=9k~Wr1}^w$CZ3WSMGNv4cGr5(#1&k zH>B4x?=Rx_KvBP(pGl9R=}niP^mW5APE9@Qb+suY5et|JnYEU){)&$liR--6P|CJK1Za*Sv9h zgNXZxZ(_jL9{J9*$_#zYhU1MVyL+Qw&bv^4%ysAr;z8nN9M6hJvggn15wf#~Vl?^G(Eq#PxoNa>!=ppA)Yl{s#s+ zec_G7eQ){o^<$GR=Qo!QM5>6FHtU-&Cu)Cre4z5SKjAr7PG=->AMrUHFYdfw3GoQ= ziOjLFehzVOUE;ccc{TeX-rk5Qf z?eRQ$>fC}P02 zL1(_>kGjnJK6w7!PWl?s&x}9MQFL4SqReyns#o*!A9f zEyA4NL8Nm>u`Y=_FHuZ9OdR9cO;WudFC$*J)vvCLKd*+nn3~r=n0*&v-N5-?LGc1# z_|-M-=nIYC#QSnb=zQmqX%qYZ(XV32cgy^4)>p~b$r<<2`23mHqn*f!wZ1TCO>d*a zG`hEHcuo~JjvO}*qIm9u3gvg%_4!VEd7wE{qE%8)C8R6wP^ivjhU?;;>Ej&Yb;R3Y zUX8!EhRd^z?9qb@)q^Ncit}g9_x`ba_FGT!s&WfeSB|ImOD*rkWqSkJBZn5MbF`gr z`qRIQt>1Uc?V3v$q& z`)hjpLb{GOhwL%3AHfmgj?1cvyN@W8>+Ec!jr+lB;&sF?YOXJNu1c@S_}MDb=<<`! z+o@3Xmh#iR4iC$5euR1wYxy>EL0zkZtc zzZ0KFlH4$rvwNXBmB%3IZ{z1GQ(jRc4Q(86WSHg`nx|%Ce8<0^Az>WF9j9{rEueT_ zdXM>f`poMNp7*%Dml5~$Dpc3<@luHlEcV00a4DCCHr8zj(|nUxr~=vaMc31Ld%fp| z)7ehC*cpXtJbh-JnO`#=r1`~HxYv1r&cuVn4`w|!_LE0Elte$0cvTX83GtdF`Z>fS zmY(aens}6We{OeO|N2NV?l_Tap+N5S^pS=(?gyJFo@Z#Gs!O9U@z)W3i(Tw@JK1CT zg(~w{`qJDFnvbIjbE@dZkd}rbk&N$df1nRj3KQJy)?s>9M#s{V2bUazl`67LHF+;m?NUFek&Do(gRjwfA= zURJL63v_QEUJ0xPoS!*l4?I&S_YGjZ&he^=hl$T-r5>k=>+f2!d)5@n`_JRn9h->z zh-2x%vGut4oYNt0#{Dpw?p)d`^^)-rjZd;4$qe%X=c6<6I^q+-htZAm@$m+c-S=#v zJck_@FZVslf3L=-WgKr5zdyT@Px0!F%Uwb7)LNR?1vT?U!~?_+kK?-iiI)@KmQDuU z{_><-vUOe_N$N=-Tu=Rr*LkG3&QsDErnsx}c%v!jtKCXUXJetRGgA-DKd17j(;5@* zKVUwH^fja(NS}?K(=R3-BR(>NzEJvfwJUM&(Q?kE`9x_qk}jfnzBg!{#4ARs&q^1) zudHP6>&&&J3x8ZF@6{g8_fwAIu17aja$lslv~fPRa6Yyas&wwBQV)sO)2Ywd*XuYR zxewEyPYUJvGo25*K4iV`nRSCm7yGnOeZ&5>j^2-9y*G_@C8UdPEmR+I`)OU=^WX)G zGI<57%TKz<7lrDWj5wW6m)^7^m!-6>mi>K={!Hbv-bcWDbNzhB7Sj2?DOA5DchWvB z@4e>q8p$3ayI#`jd<|=PPMz{aXJz!f(QOsY8{ZWs9FOYuC+;D>mHo$!-`o$V9|s$o z3b|fre7Y`bo0H|wc6~ylfctYE*+UZ>o601fd%8CJ>F<#2arCz!dqnei8A+NF(nm;t zX>0mI<-N^CJ$iAvK621kck~%>I-AGOKEyIy_q5F!s88?p(A|zY9}R)55xhFk&*IgR zzi4S=(@q|g=bGaQb6*i%2X+1Fl_8skygB5pA#V@f*sgU}LAy*h&E&4Q7h7=o8_Cbo zD;k^hJjKpwW1jn0`ZI$#mmk|A3VRVRo!Quw&wX@ub2;>WU6+H-3sz>L9OdaRrsZV4 zvT@U!Z@nGJDUaWgxPIjVzCtH3H|F#hvWZ^%^d^n4x2TkF=VT4ezL>236xKpr36wn7 z>w3yhkEeM*sx6-y_Rz^WX%`(`){hjw$90WOGbp-@6MY7cI_*_$`H~!+(4$tI zU_P=+r@MgSl~cSY8IaROE{cca)!{kebk}ulb3C-TCJ)pPhGo;ks6KaK%5){iH? zG>N`~cop$OjhI5#FG`_b0ll5>dg69|c)wRqob%6f1drwX`jbT$*+6+}O^QrQ@xpc(X%|P4BRyz2X&cyzvxq zG)Ekq?K+bqa{fQ3c%FHUO*QfH=&fgxo{4rcF5gJrA>$ zq$?s_vi;T|vTrB*>>C=JDmgu>x5d04!u|g=zg6;kOy_3~&+)k?^Tj2e<@C~vXC3Yj zz5Yh;v#0n+B#wg-IbJGh^Ze!tH-GVG>vye}P`sMT#-@3gSNAbLjmjxcAIj4-WrcDO zzyI@R(-|y!LczjZ7TQYa|0YUj^No#7Q!?mFOTS3ApUtNq^hsZve1A}sJ(4o8cS=Rv zW4IpjKB4{ZEsah0(q~TRR<1|9zm{@0zZKnX;JPkxFHuI)GKyEU2;+X_KKt#+oM3vo z&R&WgVFA}hQ3@la#p7fPr8n@_M!A0@S$(vOlTno3!D-1lHu>R=>$lgZw0~RN*z^(a z|C9M^S;x?M#x&R5qyukgcKRLZNiDnw;(lCB>4d8ro35qL>MB0ZG3^yIaM)f;c6DcC z(*m~7Nwo94QBU@Avd8aVINo;Rvx%R;@kVpJ;sn3#qBQ@LeJ0z>_x9V1?Ayp5e?5o& z<`a(+Kbk)Cyf)u;uF0<6zn8$ihwb#cR@lGO@S30DdR9gY4Y9)PGvhw9mNqWWiYV2` zlE$W146rd@AEo(*xZdv;^KnX+ULV#IuOa>;##{1nPA9QkFSOljpvy8quX8(ZrS;y@ zmUS-AOhwte&K;h89{uH&h}kD|J&Yv%D$*yLe~QUoM)u8jH#Uvs9pZ)i?En0hV)_Lo z?j|Yv_)s2=Qa>BWZ}i^1>t_q6mxMPEuS>$){Rho&Nq9HnktBQ&@wLPyCptT_|07;Q zyn`V9m)olhdb=GLKyUM9#8)Lrx0ZM~3ExC~X%hZ9@v0=ek@&(SJohtN?9h|f;K z^NE)y;p2&y63^ipp#35DPp*dw;=v^PMa0J@(XSvLNTOd)yofj-R+)|c*Aw?8;oFH1 zOyVcwbK3tW;hl;1NW$}ody?>x#5)o{$Yh2>&QA$(cM|;^;#tJ?1t!E{eKm2FM8BH& z&U^Om=NpK}lJG6WwuQ2MN3raQ+qYqq<+YgW0tooiOHmiEl1px%Qk~NR%KzBR0?u&2^$8b#6gAV3>~0iw6Zy$n z-q`dxedhUQzRPtR?WPK{%jho-Y`9$-NLNR?hghfEMIUd|`=@Dtd~r_4F*)vuCWEZ+ zwvFbW6-kcky_1{EZ#v2X(if9HO!}L+Jm)m`w^2Il$qH4Zi_RLeNF90dOGZ)8ycGT+jJV@)(m~#r)3>El2*P8Q}49tex3LeLFA6d)dKQg zzN)e5DE3e7#;Ygh%ZSe=-ikRk?oYMQbKW@r^Id0A1Ir9iLa3hXH5Bhx?*Aj2k8|ia zU=g3kscc2-)cKjN+uOd9)1zP`9pr4Hb2)F)V0?@2Z_Z9XHH{5JEdw9kOCxZqdR;f@ z8`}RoisM=8M~nG)1#ac@dI{-VnbU)Ab!} zFB(jJfWGSTlRdl-dp+5!j9(r996G?781Ll;6Q#>|n2Ouva_SYaQ(M4)z8Id+zrR z<#(_bJJ>56?9~qTS_gZ*gT2AQp8JDC`5o-V4)zKMd$ohT*1=xyU~h1+=f)h$?_e)> zuva+Ps~zmM4)%HndxL{L_eY2FJJ^dI>=h37Y6p9*gT3Ct-r!)*{mG&H4)$UPdxe9& z+QDAyV6S(uH#pdHe|9LpgT2_nUg2P`cCgnv*y|na4G#9)28Z%H*oz(P75lK~(HgHB zcKzHqou}0I5sYfN?vj2Qtx#Kz5olpIIJ+0c+eGm?KGE3pE`64DBVAV-%I_4zbrn~D zudnEZGOr^WN&hP84{p}eI+Krj={p}!b^b-{`ne9X4%PmyVL$qihjsa+i~O;%$w^m6 zy0taVx@ywZJW1De?O?BUu-7}-8yxJp|8OY3gT2_n zUg2P`cCgnv*y|na4G#9)T@K}UuopYnD;(_A4)$6Hd%c6b!NH!p+oAjp_F@Nng@e7? z!CvcNuXnIFIM{O=9m?-uFLtn3IM}Nl?6nT|dIx)hgFW|Ghw?kviyiD04)$sXd#!`L z-of7BV9%uswfow?56?9~qTS_gZ*gT2AQo}1=Se%KF5wf=*>Z@j%=e)?ouB6cQL zLG~c6A5+DzhCNmMTC#`s5x*YxRPh_g9^S|LHP|n2Ouva_SYhl;tcFg&Q zxa*_!WcO12wr}qL^c+r{y@Bl9|FfIz^xO;Vd~PH+D^0n{ellM_F2eH_bQ3-eA3E#9 zL3uXs#|DwEM{Q%%AofGQk5uWR_c4xYIZra4>_ucxm5(`OA4v9g9AB4%o?nT}=Q8+D zX6N%+>&f1c;$O}2^?AbL_L*gz{(1DkN2Tj5$qHNb`Mm9pNF!3V| zMA+1n*7m6M7t++)G(Y{a9(@b8+Lfl(xabB3`j)*aE&UHJ^@;g9&voh>E_GwNSleFO z>ghD~LmIwKZuNK?{gAwTb1zT3K&j>Fts?1aUWTxC%Uw^qe#lUdx03H27P;P}`%Ug? zogQng9?wF=r_$);;F9$8b?NjbLkJ&cum6#DgX{gaYD-&zS%X>)Q)A?F&!^M)m!BKb zTan;HcE6|9hiTi|s-Id@PyaNl4}DzI=5>-#q1ybmRfU>oay_F}BuzE)w*%XO8aPk` z2WsFz4IHR}12u4<2Apc3i8j^*Gs?8ogduz{MQHq#|JkQMx-S^2!vMbXd)Sg~vs;N@ zW8%zn<69arQr2-y_!d*RAjR9g}hKckr4y?)y(| z62Ipin)rQ*_5Gg16YY;!-=DRV)rZgm9zEVdvv9-i-a1Jx!PM_d1u417((^;qmf4Q&YOn<52nD7T+&8 zT|VezL;Bbe9MytvN}<^(0m+{^Mp&h9rjYxZS&Zs$ekaDl6+Z35@SS1#Zthd4f@3sO zbNYLlq&(Xm5IqeST|QH;7{2eiTkJZK1AqSKYG9M}3p)c-(BEevtVFm3;Yx&S5N<^H z0m3g4?m(Ei)~Ay=3}JVK0}%QVjzKsD;Vgue2$vvSiEs_VjR-$L_$9&}2s58U`Utxt z9DvY|a16pJ2xlRzM7RXuN`z|=ZbbM2!Y>i-K$!VF(nr`G;Q)kwgkum+K{yLxCBh{L zS0Y@4a3jJG5Ppep2g1yCNFQN$gaZ)z5spDP1>r1&l?az0T#0ZE!i@+&K=>uX9SAem zBYlM35e`7;M>q!I6oj)7Rw7)2a3#Vu2sa}90O6MicOcAs0qG;`j&J}%Kf*Bxry!h# zuoB@CgewuQLAVj&2ME7JxC3G4pO8Mn?g$4U^dlUDa0p&#KGgi{dCLRg7#3Br{K*C5=8@B@TjBHV#6GlKLHc1Jh>p&#KG zgi{dCLRg7#3Br{K*C5=8@B@TjBHV#6^ChH@usgy52>l4hAe@457Q#w|OAxL^xCY@y zgdZUM65$SnnJ*)KgxwJiKgp~-FAY6%X4Z@8Gbt2XuUNf7mPszlJiG8lRe8#1HuD<4)(uvcj z_9-nX>oap!pBa-UmRx>i#e~7<6;3#NRG-pmWfxDLQ8A&UeA)BBW^ zl{H(YOqk!5ecHAuW=0PZ_%HK2! zT=YMk{`27juOWFo%xh9!hnlq~eRSM<_wGGv@Hxc=XVZsxae1r3`s@012{++8r@KF! z=R?VV4}@NX0}<}8pZ!Vee^S%qqr`P0w@Lg)9eMOs1d1$OyoNt>oANPCnEvy&4edS< z;ZlSUg`#+eCDx__~{mn`$z2V{gb!4T_^ZGv-b>H&PzRd@$|JoW@g?Y+& zU1~n02m>j`cZl?+FQth3Z^dSPvA>D%Ua;2=?Ep?Eft1c8ZU~ z-`&k;QR6L%pLc#B>lR*j{5v;OpCgWH8a{N1enB&=}P+8_UcRUg` z$GJ1COsvQntVtB1r;U8iM_7(RTn3IXj4+DOGhOVy zOC<~=REQsf-v~lAMRW@31`$7E{9G>f5W*-zPr2|Q!Z5-pLeCZOYvSX31Yy+$V)xB} ze}oEQ`8e1wfgNG0K4Ok)`MAeyJm;FvsY2uLq#2XxV$Y1`>q>?n2oKc2fg1RKzXndS z58(daFYHGmr6wF3X=<7^-O4;_0M{cYJ6dLG~1^6kIxlUcWz6y3*5zHA%q^hNXf)3k#(MfrkF z|F!akcmF5jn~WU@_gMpxr)8Y%Q*^-ipRR!e_4}W$y8Vi1>etM_W}Y(hMqS^b+D}b; z2_wfzSe`8*&(rCw@M#(S$-)P>4(WLny+s=e^mm^B(kTMpW5`?R7{7ej&%eAc{;zDQ z;-}(MvWDyAUTQ5N>!?6;-@*4(c`#TYH}TGJh<6t3cDxA=@r>TYH|bsO5N~dZ^r{@{m5KgcgjJq0ZK@d~?Q{P@dUkHseGT2h6uLH-iN7Z3LeN!N{*108^s`gw zegnG~VL5c=mOrEOLsyzYHyQSE2!qfC;IDUwbhV5A8{JjVjZL9j2K(<32B7m=`7^pz z&=sZ7{RQ?H5c;5VTmFphBj^UE(5dOt9y_4(LKizXv3-rMJ@h?N=uU#YD?$%+b(TM) z8wg#;6uL36k3{H(uEz3bbQeRHl|nZU_Nx#o=&CG#Mpq5p&SRwC+q&mruR$1tuH5ox zbbodC9E1_* z+?GG1>ki%86uLs#Pe)h-U932<{~6s`(5*_Ln+AIo>Lma1YJ4&@qERb(d~h5b_(5B=zle+ zzjEjTmOrE03|(mo-8=B7u9kiigwAXEGdd4+V^ipkD;GbV5c;5VTlHsjeW4qeLKlGD zkI)NU?3~2@XLJ`q*CT~)F6>t#^gvf<`7^p(pzD}I_bBXPgl_0+EPqD#9CTSJbRWb1 z4nhT8mF3UqzK3q-(U|`%UG5dq9&HiEpes+I>k8er6uJV~PeT}mE@1gHy7QsioI)3Z zeHOwx=)9Icqq_sTS5xSogZ+;PBha}me@6EPbZb-SVz7USum-x=*)9EV-NEU~=o+D0 zl|t8PhO|cqgkk9F;{5S^V01m9Tbe>Q9CjbVD(Gq~e@1scbPH4Hu7&*ygdymvEPqCK z6Lhmv=pKbVjIbQKa?795JqKNB3f;%Bzk@IcUBL2ZblagDn?mQFDeaMoFaVv`@@I6% zKv$GPHyHL)5c;5VTmFo0Byjx&+zeg0 z<r4H%73SGeRXLPNhkEGD`f&DmyYoYU6{)}!gbTuh-Q(zyDa20g! zIDdS6WOP?S7fzwO7xvo`E`=@@NbG+`w;H;t6uP%z--ys{`jzGHGuS^um<3&prTYc; z?FbcgRhG_um9$$7?dF~>*UQQ+-L_ex^IRig7IXniHxK=EEOZJwucf;Tx)5|buab1# zmhLR*!qCN_i=CBN&;6mRgKisib(XFpbUUGoLRVwyT+n&0mGW+euFBGVdx_YKpsRzf z+|s=ZT{(2GLKm=fYoJ>ST?9I>rMm~Z2y|A@)WvN@K*(0DReb){x;A$!ssH<1yksPro7ONg{~^jACGgR zb6+Rr4W!UzT`BfG7)M3Wm0SLdt|Rom6uQ%3?~8CCbOFns(VYdIH-)YUHY&0I8QnVQR0>^Rly@P@v9q)6 zSL-Z)Mppw}EQPKk{MA9X4Z4~*e-~2!GrFD7MN{Z@O_ToOxn9D}&{f6xNv=*r>mAJmu6C4WY@9lA&g-9Z>{tq`t-E)eH$Ecr9Kj?mSl(B;8?0>V|$ zdExH@^2POMbVbmGQ|O|ozjD;eQs~@q{*F5&T^Zd{=&Dlacn!EeTWqBC$Nw#%LVf&i z$?t)D{_pBv|G&1ExsGIq<~q}MTxW~SkoK%W7)Dq)bm(t9Jqph}x2Gqs_rTu$Jtuqn z_V*4rxu2)U*^^5=MH4H8pOlx^Q^kn~^gg+_Hy-rYV8R4?(^UC{DOXOPR5op9#e_*S zuD-nQgubWr^Y-o6*L%u<2?O%__v=5wJ7I!;ThYGd1HB|4&@WHR%>#G)m>kpT4!?4j zZ!bkUU0qz1l&7oJNqI)|dq(4(rPG_0%=<+Xd8RV&Ax-41RkHVYrqjI@=DnYZ`ZmhE zKQxiIRpvdTi9B1G_pK)Kb}E4PNhb34s_qqEJkp=jRgMZTkp2;Gq`j(&-I4LbaiC&dutPUrxd(%^LuO)^+%~tQvXM*s-*lF`Vrv0@B2$v9u<8n89%o9 z{k4hdc2PmR-yxBARZ(1*OXS^@CpCfrvxV;#Ibk%(?&ht-(`Z3{M6fEjT|6QuR zijE!3UsYHASE*YlWbQsck$(p61OE&3*_43RUm*Io!25wm!N0|gn&XJ4QEI^sxbIhP zYf_hhheNV1H?PXL-ta2nZQ;Ml_;HIL^L*%X@TkR~0k3HiKUwfYzYU`Ev&!O|z}JGC zH)%zQb9w779IQP|grAO7zBIb4x#~yh4@Q3+an}1T68$UCkJ9?CDqNme-Z9X-Cy0J< znnbuB`cP2xX{fgwp$`T{zZ3dDLO&MuVDj?}_;`!217B#BccbxtvG{)z`TrjJ@T-!a zrRaM9051pc2tDs+xE{Qd#LpYxJ-}6oaC3J@kT~}fceVI8`M(6boyD()p8)(kh$|AcR=Ye7KXtX@-}Hw;z0-8MQE-$0r=efHHZec1AYC(`n_2f;a5Y8B^#aP5I*O%s zXx>%rJV?g1X_xd&sRxCAYj_U0+v10T2P}Rpaju8pHIko0P_AL%p*h0eLx$$TPnOl+ zesBED6Ma7P&qE(X|2O4&1$?$O&%6y@VU4>e{MXzd{_jkadh6I)@*lWSxViB&NSyo0 z!WD`Axg0!X@wwo>AJDHbpDfb6i;Db${Td2zKXIZhyYCeK zHuT-8;n+`fiSS>fSn49f?-D)(`by$lt~#qfEP+0Fx9DF(JH7?|X6Q}*Zv~H_9?brw zLGvyuY|Rh5!IxURRU64qa9QGbJk0QLB0pa9E^3+O=Va)8@I%Sz?R4;0t@55poa?RQ z2A|d~6S$|8`R=L(dzsySa z&)^YjzI~54m&^U2wBso>Z}RpncwmL_Pcad9r3C<|8?ok%{+f4HJ*@qjAKYWj1LuMJ zEd3Plj+Xu!@PQV;3EXS(d%>4laqYiO{Ex@@DuzCY_QkZJ-Z@qJPuME&MbH;n z^;4#K7qt-eVETUr^jVgE9(c%`n9n=F2V(zd{5%NmwfIxuJuJQf++*?g!M9lb|10pA z#dm>6EZ)AI)N=&&W9FYOns-sPR)6RZ9s)=8s{nCsmta)dB}f0*uTrz&zrq@KH-bm7 zem3(!HTYU^Q*RG|&xRkvp8}6UUzsN3^cC=BD3{6S+u$LqA8rO;Wc8EJz-z4hG!WApyu%Ug5U5S`C0X1xSIC04!d1`k;Lpd6{6 za*KBaF9kR4dn|a+(w_i6*3$O_SIv7U>GbGfQIOS$}LmpR~3@H5c9 zweSCyXD=X-y&Lr>?)`XFbwAhPYmDTjlKqJ`n3A z(|`Jdms$PF2mdt%;vdCTrCQ%by=v{hW`S?Acpp07#`y{2Ia!nL0{B0EwD_kp6na|? z?zeb7_+*PWf-kUmH=5WvUGsdd8wvL!&h_RVBk68Hx+b4nx?iS=$!9V2p>c`%oCE)x zE&Yw)KJcaRKcEczm*d30;fvt^0n2|acog~Uf(&f||C6Q9=pg0#o5g#9H(IIT_6}{mV;DPDF`8*D9%fLf(gdZzdZ2+$U$8@N+gGa#4e4Bfy zyk=g?>ONE(Onqi{mU;+b+$}_e4#YV>$3stU^>!+_I!OHR^#*3 zdN>4U1Asm?pq_=oVT0~KXq3AL(oT`75#VczY4r=t?<{-UUl%Z z%JTCO^mD9wc2Ni8{D+{28`S}Pq2=dT;#?1RS?w|m`lXhBH1umM{ng;%=OzC=u^@O5 z{C!LR8hC@ne*{0|_{8yYgh%puvc(63kGA+U@N$da4t^WB8DGy4=XwibJeu|VCh)P= ze)Dhe^Qh&g)v=P#x)-D#is9&V;(Q+ObCr0UI2?NK%cB1f4K_pTyQ(dyf0Lhu;F~Re zKm63;JqyRe&qna@!BU@hx|H6&2M--4d=#C3#^p9DVF;>vhTj3+72K3-9rzH7e+E9$;@RCLKOu|v0}pN1ApJ*?}5iGz8gII#KiJ;JznzZ`%Ln45FK~%HUd1bLwI+W z@R{Jn#7bfd63er@?c2CDy~o z;5{v#-c$19{Z;DWhE`G!CxYi&`g6c1fSY=_7W{gPF9*NR^797xT1)>k_+KsF=>*B= z4$IF_@D3*>miJQdUKYOtyb#>ve;xP~i+=`wgT=E?l>Dr){PzQY(cE2$;AdLAYcI*Cd2i3@(!6RM_%zG^eDH-9e+=Bb4~Uj$dV3H2 zVN1Uoe51v?o+SDC!r~*qGx{W!cP4mOi{A@A#Nw}jPqg^A;3112(p&QLfW=P(|C7Zh zf&b0ow}3ZV{Aut`-o$c!4E`I7r}vTkoNw_H!Dn0i9Pqm=el7SKi!TR%-{Nn8H(2~< z@I&xEDANx+c_p7GTYM<^Xp3J8UT*O_z;6RL{dpbuqZa=R+`Nyf2>md-FRv?F?gPvM zKm07_E%&DxK1%E9el}~JJcc;0tKDOyz1;A#7~BJXHP&fsz{BT>el++e+J6V-dr@*2 zZ7q(zgI=94`u5NtdNR_zK==vRADvD-O_86!h@VpAXDE2Y;=|x4cA5D38u}~1)#bu} z1OInxo}<(a;{O)tp9H@J+^kzZB(9IM`d+4$S#2c3x6nUq=@02A<=Szjq-*+*IWKzX ztVDhq>C@C9YAx>b%tC%H(VXrt`i-P(+GQ5_KyXy2nyYyS6?{#~)e43?iM#0j)jH(! zVfcSRb2_e^Bl#Hx{tNt%h5rojUGNjC6#uKO`t;r;{4VIbtHn)wMXdHR{i+W2 z&;|8SL4LSDhhCTZG3~ej{sZv84f@sKzQvNCgVM!N4?j2W7^Z<~moJQ;+eQBb{Ink+ z^&hm_u_JM=|AM;{%j*L#v3M!`jJNz;tvOxqODoeUhS48x(wwgIqP$-r|EAtvwd(CP z>Udl~;kTrILg=@5!;hyy3>=+~0}=TTmBovYl+=bO-ne@razFW|oKglEIg zQKv|~m0JC_Cvi^qMa$1<=x1Ac(;w8$l7E^m^mZZi3$1)!^|GWJviy`GUH4Ct&otDJ zsn0sAK25qlq)Uf0dTaL^@n2>6uh#x^R5|o!f3zOy1{x(@Ge4B(%K5IPmY>g|k3etg z)8uormCtqqr9K0D#Q(YIKlz&Hs4C>=F66(AIQJ9vj?`x<_;uhRi{D}RyP~JzueTN8 z5pd&Y9k}nkME`FXJ$Pr-|7YOQdeL`;|6jq?`@+{lpL?q0Kk|X_koCO|fEAwO#9nbJK z5!};XxY1t$9vm(_CdsRL#Cg1j56{=}&G{}fzTAr?-R0V<)FawYS2cD}Vm&+o9<=y+ z_zxW+{x5`|dg$9hZ|3z_glQnASssz`J`c`H#46^t@)%c^lPnh<%5STKWBnR zz|DSnEOD;4Z_h}~e+ay-FOjbT?`iQm@Bp}(4|jqG!D}<5Tpl`5<#lGv%K!1;+bk~o z&2Gwz=TOYJ>jiz((w`2#+2Utv-c9we{Fwb|9rVbi8b^ArAFn^L9_DL5T~wfztOrbf z?t%U+=sTkwUj`417C%3Mf294;Jb$l@N7L?G!F}MFm{-384=xkEq^{I2hToUyXAgK7 z{79sm{#(q?7S96z2;9_z8~hWC9|<0{^3w&}bHC)rgLHd<`z&ti-+j8wC#HY)COy~x z)(MIAKSulMsuc7lpW~rd!9@K6@MA2#8oUmCWV&Ru9{zj4ziHpk!97;GKY@EKZtjnY zT$Y%hUC{e1|E?<`fat*@OPRZ?#cWr(BBgQZ-tYlVM-aaT-SuiGDy{=5q5PKLg4v*UMKp$ zK>rSX{NVmj>Su&i zZ^4fe>untL1CB4yHDKCnKKN*G)2|)_FSocEceh!7-h=*8i<|ooUp_H0-QCdt&Ej1t z+gz@l;AY)8f;iWM8^2Fr=E<|cJr*~5AGifXMT->YmUDSB2e~f-P^kMk# zlP-?lfd7S7KHmjjV5R#J{Fhk%{{#AvrQZ%72A_`n{~dmUmY*i@Qj2HPZiV~pomP4K zf!A7`f3}eI?^%34_)ivp47_}?)aUKU=PTgRJB6Qye)uhT87_W*+;IIG4+}T=MfM{P(16Fpq)XjQW{KJWU;~qE>#`E%Q2y z^J{q7Pv{}>UjhF&f~%Fn`8i(R{;2(TP<6;>8^P)Y@Q9WFI^+Kl@$(G)?9}?BRSfwt zTT{A|?Ei`oyJZ=6CIpv{camwzkg zwK`hu^^Vrl^J^CW7~Es=FOY8ZQ%Uz)q}!fO_;5W3w+c52_b1N%Dva|Uhe&bNP;d`^ z&w#$_Z6tUE`9BSOoaSAX*UC=`^iLipS;TdITGIqh+t;XwC*a2iKc;@xfR|eS z*MpZ^{LkRCEk7TC2Q2+Z;6)bS3Z8HI`3gK}@lKaYI}Wt`{0RM6i~k+G#PVa-+jUq! z&qF;ok)G?#dz{o;EAZn-q2GdE3Vs%N4LD7+dYcNa@H-83SfRJui1YndAxNvW{hH@Qo;4ihHU02q@UUO>rD*s!HSeIx(GSi1 z_7(U=hfBhkCe<(CQS-YT=>JWIzey7jZJpu2&6!efU%e#tY3A*|;I5Yw`T4~4c=<~F ztkT|;nhL#pqv(f%-v%B8F9Uy8`=RR>sOM7f4MzW}__+dnJ9reFE{EwYLtk&D`!Gjb zroVdxD>t|g+|*kq;@p2co5cSO&<};a2zoQ_%=NgD7B7UK8u+;cekOod;deRy0Dhz4 z_?-?@-amo|>Lfppp&?EG58hs+J(zy`SJLx3EoznP3-E0g-wyvZ?}`7JZ6!mw0r69A zm8%DM2t0`V_XV%8^n-|VeYV{y{>^%<1iYuk7l9X9ycT?_#kYW0T0CR4r2CM?%{bj@ zjniJF=X%Q;DgDIshe6;SE&k_$qW4(b553poXM+#4_(kAui!IhQn)5N*m-3Lj}45HtD3to4G@QX12 z^gCPh!Op@-rnhm#xm|jUN^F=kA@HT(w-V=a zMY~HrPsI-A8F1fm!tY19{-$}3s=+v&jrElozh1nbYCZh7q6M>_e|m|ZYta!;BF^=Y zXO-9VXNC7inSMJMexg3{(;xLeP5YsC-!AiW80lVR(j6-LI^_QW=tI!^pf~>AQOg+?}Og>kD$C^{I1e$lxrKf55KEq z)(`GtX_p#sb6$ENxbIm>_h|SD66f|BX!W-%jGv97r)flQH-X2%N1{W&1Rnla^nTRa z*Wl5u!aqX4>Ts^))Ax;VI&G`BQ^7;vRp<|+!QJ1Bz7YQJ1`qxq-0YX%0j~qUw6*yE z2e^+9U}@Wlj@Wk$@}r70H}!lqaju^L>gQl>RcbNx5u-97cKY+J6U(&5QT=Y}H2ZO7Q!s)Btrhx}7ZrVKpZrc4p z=-o$(pGx@o8axbs1nRTH1*qpvqMrvo3_Ng@@G0oew-e{}voBM6R2KZ-t2y0=Z;h9S zz{B7n_0QweNaD%A0N^k4SnP?(Qg5tX8hbCd=)zSJor)Hi=UCuFVmdX z^LTE>lK)`G?gc{Viy%t1mYCOXWx^7k+ML?xObXBlZ6y{5%DHXllMz9t{4v_D}Csu-aua z^x^hWpAW*nnjrZvKSj9oUZpyMhi{bppANl`I3Ev2_{1P>@|T{!fIhHX^dnpn;STV~ z7gBG>VBUCxIhCvCAn|ah$W?k!@)LVk>S0eiF^nLt=XvXSs}ktV@5y!&xmpGuxLEu= z4qglHL3yS2==To6-RV*f*F&E>QSzfor9YVST7!t|diYWDPu*K@qoMbdNxD=_y^Sn_$qQpu<3KZC)|??(24pYxeh z{fANiS>W@auNyA)up9g}!}$UqZBKyz2p+(Be&eUjB*{nB349oM z@Fww3hyQxJ!tm=ve`UJxo51b*e9&;Llil$1-oxBpT~v)VE80@cmci!lA_ z4Db-`%`juGcxk#D8DtuLbvwl6o-vqvhard;yxaXP{pPUUQe|sk`d!Gs9~{|2!Jz z#A#^93nl;NIQ<;(SWxt{;pY}`pEcf>8~r7cE-iQUwhlZnNc_`bj@~{4xA)__!E3T5 z-Q8&Su5`kU>nD1J_`e^1MiA$5x6K;Y)1db}S*Vrkp}!qGaH;tD4*WTAZ$HUr1=4*7 zyzUsuPYC>L@bcl(UZ=rNUpf)Z`ShG7dgEspcyPJ!SSyKeJGdL?Pu7|IfS3Ou`TWQw zhONwLyaaQLH24ejJ!zq+`%k{)=N<6ThPx!)H`2v04cs$P^fy6258V8II-Ty&+iS)T z_G{h2w}RLFLHwBhc?2yaI6taX(#?W?Fu40N;buQ|K5@R@wA8v@b_w*cdE&nt{QO?) zJE#E8cbW12DD>qM#sBfpzYFfM_OrXd)$c`bjyJnZmwx58=JP(9(|xn}U27lwpH7_1 z>$TR;lfi>eOF!iIHS=~WcogrO%FPh|XYg3Qw0omq^((liqxg9l{J6^{-PoBj@15ro z!-e3H{?eb#{Cp+2_kHo7-d6OF6Ib+pY-CR${e2C3&m~enX5QWk9vUa-9< zleAYq#7wBh#dvG6!$@}s z0U!0KTvw_ZE&$1@kBuL!XUut(pTUFHJe*A@I`sU5`Tt`0?*|?!mUb*aLyrSj$4I}5 zfZqY`ohSX|d-!>bIn`$X=RNKM|IGNuI_F{VZ0azapBnUYH~3KEyncQS&zrOdzW{n4 z?uRt(G6Ot>esU`GH-ksUOS#&B|3UljqP#dSVbXmJdWH8{$tD zcG1L3TU7s5YB%)0)>1#q!G~5zd4t$5v6-hao&dM|)tBI^ zKk5hlIp-=#_k3$U8369?Df*{Oe!#=iq#mfb^)?GUh(Dc7%jB)`2AVZ{TlpC@W@-@-@I3I7I=BB=xZ=>yalexL{H73x6G@>zYo_R z&A97Loafa#YaMku>v_Fl&7YHv9`9*1^WF^j5AY3sv~|aTyazloLh?Bo`Fskz3g^j9 z|NjU)iv5n^KY@Gj{;}6|DoUMjjg%{rFXQDl@Uy|~@pzBnIg-yl$j{5*Rprtj^5Ewi zaPJn;=bR{pgRhlzgOjD6C!xK51May`_@Ur4z@s=m>JR_-fCq3rVm$a$Mvv#PTi<&p?DgGaKYKb!{s6u5h;iL>+>yeH{RPx%OSmeY5d^0 z?`80ukmNIp<4x1w27p)L_{-$~BJe<+)TbZqQVH(CeGz8Ad_QrXXNqtii1GiN@t-N} z*cE=>(fSTb)kuBzP_q38^bxH0%>29yTrHFQ=w&TMIe8x11<%v*?{M%|NSxaxhIRE3 zg4G1W^JRX{JXQ>M!H=?@A9)h`&UZcTZ-{;| z@>v8PYQXq{J_N2NiQb%NUTXY2CHXh&s0esfp6Cxx6Gz*?!-IskHu;$^`3YYn+_djN z@W{{769#vZ2tmWK-a8n6W`jrP57A^Rc-ZLA5kH3^;jBBQy_Q+`4ZaG!@6V#&1V1rw zHFKyYW`EIff%u79$7?-_bGt{c75zc*GZ8#=g!rfBgx>Bp+&aE^68?kM^^r}`*NqTA zU!YtK;6aSv!{NWz4d|a=NI$2W%=9*tIOo%QpR~Jq9{EzkalXsc^L+3q&UvzdPKIC%M)1zK5?E)m`b z_uxGC+3@o#co6+ZQcDuQ%CxeG}ioVn(5w0`($A!O*bRPn@&*#4Y?nVC}fpp(De$JNi zo&x?WxIG^pceCU(Fjn*vTSPE`*lOZ1(^04NL; z6~=oB2EzX==wrC<-y8gX=!>jz_q5TUAvHE0`j5fwlEwQ4#c_MYH&Q53;#XA zJ!mhJ&(Yw%OQfG)bF4(T3H~D=OFuEs7lfe?A1?Flq42*MTwN{oyufsbCCJY}>7S=V z-w|A4e_{6T0q}@*+&!DP-alIRX)K1`jpLCk;eVs?V_l#61l&F^lzErrQ(-@QGW_%g z_h9{Y2>2NA7}jZRz^?}nZ5gZy?dJ5h5j>3dKlcOQ1Fmo#+|1{PEk(Uq_ZyrE?y=6p zPXVv{QQ9Sjak`i}pJ(eO?YIExegJ*s6lt#s(C;z+t@DcA@0R@7>%B9ZE^z+|>*I4~+40s673D%>$*Mmp! zoVb|}SAyHur~U+PpU?jQJhn^bPjlXBkMWQDld;=W-S3tB2l4#lSk%v%#Cg0c-2bx* zd|C?q?V9H(?=8~4dr+=-sUsy@KfDG%(QZ=GH(E;qKZ3_5Nd8SfY_kmQK0cB61&{ni z+UqLh^L+3C?)RYSOK%T?S7Dr<0sb{{UEU`p|E9cuhd#DS(me_KWA2muc=!Qu+8#xD z&m+$J->`N5=ThkHnT@&S6S!rZZh0jPd*49SSRyaF)HpgaQk}hR^w-c=pREq+dPPRv#u8$3mzIT z{cwPiZ5X)k_fp;s@N)%mUI&`rzs`dG8t7{(MSn2(Q{dqfrG9QeJAMRi-#79TbE^NE zL!|y|;OEFcNd7%I|9=$t5ay)!;(F_mCO^b^9F4^|a)B>|p8$?q+o1q;;P!FfPH@lf zhG-Ap!;gD8@`Lj~hlBS3x36afz=J_)mm||8!ffK1^gHIJ9!+>F^yRpY*~%sQKZD!X zC(T_O1lHxAXHqhK|PxSp?G9;S&8d;;{3;JTjKAKeK4e^`6-z&fjH z@Bd-aiVQ&zdmS(qkSbW7GoOQ^DWy=5Ay5!dAUVTx+CZ8dlN4GJjrXEfi5GxiZ?kukXV5+kfBu&-*1m^(9}zix2SidfX0;pIJYC%F=%<+}Z6mKJ{#I zn@_S{JbI!p=gXz%b>dTb&l%q7*KL81`SRQ@`5%e56eoSM%bPXpB{0quj>N8!t>SA|5fow{x^=>>DS-I?Rt3R zM}2v$|LsJ$Yv=8zou4Q9nOFM$dXe;BB0hJJ?_bR0^y?;Z8)y7s;8%M2Bh}7_f6SL> zPWKUBB|RsI+c@?4;yoq59^NMT4e)e5)IISY@hw5Wu_q_RWBCWd`Ei%{%sHp08oJfa zbK*V94}XpHul%?#f9o3GKHDVUD{k$CE#l*fxBAwIq6zWYAz!b9rGID8qw#aH_^i0y zf0y0j%M)ole^Bz>;x^x)EZ(E{o{dYsH*nb@e$++LHt}iYT~s7L1z&2Oen;|?+AmI# z{KMj{^}fF0p5SwD_2r+Dy&de3CfvP;yln3UOTK%>nW^SAYX6(XZNAEv#QXK0q9>_5 z2eFX4bX*FDTiYf+D}VLT8voPc_WsTu>F@dUS!oGb z*3z#7KH4B$`AR1-{S!Q02h96; z{}$vGSMseFMHv*HTX-Yf*{j`> zTc+S)?f6vPy2FCo$cFgyV~uUaMv%>53chH)DWwk zJo|O)2+zYk$F0)SWya@w#I1kqo6_I&Q{QeAs@DUOA6NT7TKw^!@#UN>`ugG?Nxz;Y z-a5w5qXSj`i-Y``zFv=rUoYOPIA%CU+$=t!@z#?5yWwt~oRvKp?1zUWAL;!$7fAl_ z&-!wXKgzE#Vcec6Zu1_8;jW$gmA?_IAIBwc^L%!Q_v&7`p9N7gEk5;HU*D$6vsZjl z_QsLo-8=nrd%ot|zeju(+>KkC&-nuJ3C-V4k{^wCELe^7kxLI2!`9^)VI zyCARp$;0*BquB^teOvMmtQ0>_eCjz~&&OoAG{tS+^6SN|pY}82b6tMkef&`>kGNf* zkN%<`Z{7O$JGxb#li*9`SDYpJ{*U7ce^3S#V%NgP}A7&`<=nbJzNDdymHL_rzQ8_B{B<{>yRpksh7YBL z!0+(w6VC776u0}`AH-)A=klXBivDHVx5Lnr&Q71G?uw3syYV)w_q6{*^W_}z{#W{X zosfPiipIq4eeD~?CrsYaz2cG9?e55b9dd_%uFcQS2QGhaDCgzkGxFC}rDsgs#yxNB zz~2vd<6&I)m_q;V6o2t!eEVp+M|Vn3m*UG|e|buaZ_f>%rb?Lpq$*Z8aW#8JK-j@{3H;N-9Q z^4R;Kmx#~k{ko?}|4o5^$G3B@%6VtdWA4#B2zUKH`OU@c@JGqFbPgJp{!_m0(pucMRKrIN=>{@)#E9(8M+ze4;2;x_;4Zt-Ur|M_3UW99LNdH0lWc>OltxBz$c z>iLPU7r&%m&y&2(@7f?fr*$}^a^56v@5kLKK4bD)9~AF4d1z0#)0eYXaf-0+oh)wi zU@sA$K6!0glEOj0KsSlodxakoA2)Hg?~3=E_~}F9lh5}0vj=+pPu->VH1WrhxP6a7 zpLq9I&PWyf-K&Y-B5wUHw~NOYtVt!Gu6}v+H~n+F{^EJ?SDz1e{Wz%gN6io=l8>vmYV-XFBfoJLOd_6Mwnnd(QLif4ulRXIy<(L_5v8_aVvKxZCY;*FMwA`}L*e zUV!9n{^uXX$Ms&6u+Bg6+rIoQ*-J<5?+bJq-06=#^al0>n4(|GEnYjmg zx8$v#{4c5eu{bpRNlMc$+V5^({%Pg+hJElE;`Tirs~vait;W@H>X+9@-nQo_Qhr!8 z{rYvOq#t!r^f$@dJcJ{@qw(-DzklHdOusG@pHN=$xcDpJt{s-E9YVd{kn$s zbd8@WJ>qW_x9|D+M36W6y7!4sDW7MB^c=zbP4~MO`*wS^_*vri{RyuSxB0_wb?X3e zBD)T}U-IK759%)Q?oq!IK0(j@xwySob;$R8Ip<`D?57Xp9cNt4nsf9^gS>gK!u!SJ zC;N5|c`SE{+xvZg7N61kGp|3u7xc;Z`0`8}yXzwHarv>It$MvQ$eX;@_lw*67k7!r zH~aQ^yawojYy_^qdYQ`Q982_)9{J6$pQ}VZq z+wk-=!ux74FJu z?=xO3KBc&xpT$vhy}0!+-7G#k?#p?$p7W(3ulKR+5dVevq_IE$A#U%%J^ly2{b!9I zY$e>yqnXF~`ZDa(uYSj=mwn&GHgTKBKAGxY5%p+YT`4`cNPbS|(1!Rg#izUdx_XJ$ z$-{o=pKJ5wisCk3;!5!zt&@M0{#OM(vL{2oeOcV*OZ-xN_6|S49xXlp4BYg~(Yt*) z=XB5Xagskz-1_C3;=RA{`d@O0|G?{myz#?)MttHWew>GUL*Ehazuu3N&03cp7N0c! z52!-J`w6;c0zgQ?^m z$^Sv}6R-C5T_*kvCZHRKy~<~Mqxc2l)~?9g=^K`1pao{hJzZ-xRm^?EWBb z@7@2)k6b$(6HS@C_ot`)h-mhfb?Fn2)pJh~@4nS@wz>4{Y`Ck}>>6L+Bg996 zt$2Tr@AqN#`zOV%-}wHZ|KGg+pGg1y|LLDQt@(JO_}Sv!-}LPh{@tPx@!oU2o^YS; zwT`oHw6y;oFFl`xyK%D4`Hy};{cGYu=ZV|*-ZaInpYUaHm;bOu z3uhQVZ;`ysPyViW?{9s1dbJTg`p3RJHoknaxLu!LyvMJr)(?E9C>Zf2!ce!+(i?U)-LL zew*rF5zQGt!=XR(<+Smsiwdp z9q2zW=Q!gnHvXuUzx3s?d9eNBHomz{eC}JmU&4AdC2rrFwejf+n!uV9(lZAXwd_v2>7t^L18+`dn&YmYDgl+OE~-_Ji_ zow)V)w%~5O*?sNRDQDgI@2gV<%T&%cNsoQs;1|Vh{_UT|$MwFEze~?aEC_D=+xPTd zByR7U-zeVy#WPb42OsPeeJ$|YJP+@Y`;EAr*GK)zKezWVKTbZX@?0)%^OJ9YyS$g> zk_+wd4$0g1&V5R}OZSm)(&z39TyfGc@BSw=z}{krs!#>4v@XWmUK?)ezW|620n7q3mpCh=o`a-xUD(4B}cKe9~ z-`2vNow>!lhyTJqdET;I@*BlfoahSiej~rJ!*j2PyK+X)TbGvQ87k4Fcr1RN*2&wX z|4r9!N+rW{?-1WE9@gz|r=0gJ>HUX6ez)Ye8Tp@xj}LqOA;9(rxGU%QX}+94S2+(* z`%IYfbcv5&vRKbCfp1vMPYAqkF^>Z`%5+8CI3_L>9ZE=KZpaSD}TQ!=TYLZ%A@HL zJxzSlls^`q7Jsy!d#d=Xk$=AUjNzAwPnmWY5brheTLL%bf0cM^jj!(qRG#;Uj~o3{ z;&VpNH^h6)bH6X%B|V2r|Ifr@wSVZZzlygsP6o8@J^nAg{imcqwA-=blPZt4si-90 zZOXGQ@QSbR9nybU;HJJC#b-@@uN9v=#p_w7dQFITndeT5M@IiGL0BmW zBt9!WFPHpY@gAf9Q4g!#RQ@9*|3vX=wLk8=^eZDiVd~o>9+~p=ipS^pc6f{QTqWLp zy5}R}*9Uo{=O*!S^W1le&pyZN3HIB^gPyZJ|A+eVPVpJj4!Z+aIiI5P{9e5Gxn9pl z#dnHNY8?pj{}gW-{fGY5xATnTFPEMZ#QTkWQM}jiwc^u;UnD-M_I!=@oni5D)1EIC zpD=p<9lq2)yIt~gYM*5)|3}25bA9^+eusFMcxa#BipPc@x!1Q(ui>l2TZZ?FPZ&Nf zK4thv#b*q^SA5R!KZ|#r=j#>9zx;2$oU!4jiT4`5QM_gNYsDuF-zh$2_xN+FEesTHB;<4dZi1!-)Z{jV(-!DF4_&3F;4F8q*jN!{Ty|{AD z8NO1y>!QWwKSMk=d`P_4@a^I)!@n#(Vfc^4rwoq>w7GK57=D8IoZ+j*yDnZ_{;GIv z_`izx8var7mf_zLpD_IQ;!}nny1&YA_%p@l3_nY}>jjI;KO`O-exrD=;Zx!*!@naw zVfgRGrwl)g7mTOvFaBxm?@xid^Y8o3Ij)@Y6;ZFwkKx?0R`OHkTz0)-A z^W8G-`@`a0hTkl{%M~44Ge6K0beq2m*^_nyM1o1tFpCvwP_>lN+!*3LyG5n+A zy9~ceeA@6i@tuYrb&xOrqLKRx9uqKWRov?W7(K2Lm`;g^Vy8$Kew#qb-&TZX@1 ze52uC5brnqKJiNpe^|WN@WUSE>Wja^@Z-gM3_o4GYI+_U4~yEzRd8e#UsOCE57%b#p8d6_?+Qi72jj{1LCuW|3iGY;ZJ_7ukVcE&lcZh z_+{eLhF>eb)9^QmPZ|C(@g0VLReaL$2gJ7<{;>Fj;YZ-Wck^zW;U|iZ8@^V2i{V#_ zw+!DZzR~bEiuW5nC4Q;lcZv5J{*d@O!}mMX*Q>|y<>F<-SBb}lpDn)9@I<`Z@EgRJ z8~$GLF2i?@3U}we$q|1J z4d;aekMev<{7{|C9w$C69^xG*h|h>;ky-0kQ;WhDT z!><+JAs)^_uSof^(fE!2xseX2HwFHV#pQX%WxgM$jGlK&|Hlmfu=KoC`onW?6W?O^ zm&G?4{$25Y@zDOi5^ov)_aLwKq*K$c!}$lKTsxP=LwWv1Ja72%;<4dH@s;ACJZFh_ z8@@i}+=nv#GSHS++?LY0uTjar-?Zn;gS^h~?f#Pdq>+ES_&W^$kob1PZ-Xy2{=b~c zKP4JhetTL-{_}0Pn-{ZR>j^0Rez$nn*BA3y@m|9pPW2xf&8j?_7STbxz-qtf$x+KZ z_awM`Zp-K?r}9sV`i=Z0@TKZilb%WGS)*EviT_MI#QRXz*T_FpJUVgl_Bd&J8|{*U54 zM*hI3`1-Ch^2dqy8u_C5rAGb*;{8T`qxeQ6zfHVlS(8u_!uqmveo|I5XfiHGt3Qt>V$|9bJ|M*bG@ZX-V}zS79g zipNI&kK$z`|F~{n-yS1>ocKB;f4X?Dk$-{srAGc5@qQz}O?;z~-yz;I@}C#qV&v}^ zA2;&95#MIy4|$re?}U**Mtr-Gf3EnXk?$4XVdPulQ%3$@#djL{_li#&`A>=OGV=F| z&lve%itje^k6PjDJ8R^Zi|;Y=W$`&9f42BuBY%~6^sL3>|E1!~#KZW1hj^EfzeRkx zk^hc(w~?O}Uuoo{V|{&NBmX$@vXRe<_Za!p#n&16hIp@$zefC0BmZXcej~p_e4~-y zCEhaf_ls{a@{fp*8~Hbd|4Dq>$RD)Q*LRnZKVE#s$d|--8~IDbXN~-T_#Pww8u2+J z|90`cM*a)p(aDR)|J~xt#KZXit$3G_{~z(?M*gYK@a@oT`Y8u>fLFE#QHiT4}%zlm=&^8fNoU*DFIKT&*(k$=AUxRJj?e4CNK zUVOsH-z2`>$loeHY2@z^-(lo`B0gp0|0KTC$RBy4ukW;xKVE#7kzXr5W8^Op-)-ct z6`wWouMyv4GV|W2Lr?Pc?K1LD6<==TpC{gJt}XM?|~cylxTyu@=uMTyS)E4eCSOn=f0%;(t%H=&wbK9e(6t$TmSRdQaw+LqM60|?}s1F z`$;aBA6eg`U%?-Te|vnum-8q+_<(1*+kKSh*Ngqkho$`3Xwt-MR=}N}r+v34)$nHN zIXUI}Wx=<%U*_cZ{W@2A?)XVh`p1hUvQhlazwrD)@oV8Lc<$I~t5b5b_#5Cy;J4Xf z%J~85>3XM^e}m*dB|h6++zxl8TtW-JJ(%j}R_K2%Zs)lU@&~N)<-E7xpZgK%S`K&Z z79Hhzctk8dd$PZ${AK-N9o*Gxnf&m}#Mh^MMReS67WY>T?%L-mCwcw1O8(#AZaiGE zp9lID-6Z)}^mzHVN&e#lzMQkei`(ZT$S>88+t2dyldZ+_UrOb@zq_GDk*7n=G$8NO8cPwv2f94?^QgbiKer$~KSJKEW3Bsr`)pL;{HJhc zZ`8H3N0R>AK^fm)JJnyoPCFW&>Mwi!p*>HKe8cQhImz!j#Mdi4?`bS9&lko2``0~bvu)eox89xbE=HE! z$G;=_{;L-2`32mK&*i^bypQ}td}?m-ygnu8+jF;Rhx5cI6sHUQ`$D+um$%OPa-Qgm z8C@+tY0C5JluKyAx0|JZ);#xpDPJI{A3rbs-DW(j>-FX7R{v%s|NRcn{iF2sJm~v3 z+!sD9@AdR*{BMw+@15iMxT)8%srEK1L$v42)vvR~9qzw|2(9zJ;i@@`$d>m*;E zo%+KTxEtqt)IMR|cy)*8PITZOO!e^I2HBHA&!;=c->-eP``FXcl3aVRFVHtrdEQ$% z>&vNSFZ$08dVVSSY1u_zSH1qbgM3%PxAWIDu6X@L`gI~a9nWSz8kYQ|nMeO7eunm) z>!s%%sUGfwnDO=z$tQbyQptn%^B?#!-1W=nGQPf3((~>MG#+F}T`B&M^o(y>JRc7# zdilrS-;+unE%~Rw-TE2p_s}mVr}BaezO8|~^4$1rU!JgEZ0#Wb-VXe;9rzU$U(RW> zPJT0$$DTKK*U!YGLw$KdQU5Of{$-2#ktL^p->(x=&imWn<>kXY{pZ46zwb2b)mHJc zv6F8U-(%M2n^XP!KKG*Zyg>essnr+nXfoh125?NiGn@_e{!w=U@q>&B%W^bEq?IK1ImzWldH z&+DZ>GVAA*c(<{KXM()3^M9Z6eanB~vweMgh8EA4RpQg;ymm&)_tkR|+>P@dGoH7? zUA^{{e7!!YkG?(V@A5oo{FL~>Jv}K3<8xP#f2l9$bJf8Qh`;~v#d`h%ck6cK#l!1o zqWzxZ>bvjPQ7LDg(RnBIc_*Z*%wx%e39z(m*;li7r@qv!eleeo`@r+~H2r=q+}TlupY^1_KVEPdl4& z@=59G8uR`59Lc}3>GXF++l?RoYm)E1Z*?je_VIV0yL7)lDESHbufjO_n|Qa`2ah<- zm;aq7d;P&~EW?-DUrrr#^80>0zk~c`DJTEjtdk=hurx24{w&98;wjfdX7Hbw`bqadQ!=W{rm^=aMuo( znts_H){{X$kAffOtW=L4w&2?fr6)3Rhq|8I`wl-&!g+8j+>M_zp5b{||KFAB;ol23 zew7bPPmjtI{Ga~;clO(*Dog0cU!-~#6i`3zm7f36`8~X+aoO6X=i`$)@MGbw{MqOE z@`v}}Jty#0i|bW|yK-*a&lhC5%CkxGKb8C!#3$fOtq(Uhd_T?_yY5}cyMF99{?3ccXlW6tpMlV&|!0e9_CmTYK;C&-UC zZT#CA$?rYWe<1Yl^Q6Dq*rjJ*>7P4p^jsTp9EQ7o{L!h4`*A##Ur-_a z_!_ut=W(^qM)7xvNAL3I%}~D&q* z%Fo1mAMe}eA@Se9m)ZvpS+{gMJf#EAci`(f@E5_|`0Q6X!g%|G+H>5j|E*LH=RtFh zey#YpvB%!m;kjS!z<($`-B-&lRk?mAzD?)fPsl$1dyqHh5vd-^5*xu5!4&!Wnmp33v@ z8yY|7i&Fi>CCz$u9o&uo-Y+iJGnvXSQl0+tsSf;3>5q)v{y+!$KX%}ce!j2Qxa{O` z-aHQO`hBDNJBbNk7Lijcz|D*RGjER^tk%ARNrO#!=6-skedJgFg&$$ zp5yi0qdz?}jYG}Lg`d`^ob|+v&oQ{G*NgV^lKK|Cs)L@lNl)*C{<-1&_*uAHpZm>x zd`R-Yl--VbnST8_=#ifx)OQ)@BUhea--mub3hwmJnf3pIlw)UFKM#DVeQiAD?3pEUDsKhD=nmFMv(KQyX*zb9>%1Jpi$l07!@LcczT{(ZXSS8G50 zvTx3)DBf?@w+rD%^Y1l;uh5<^lzgP|AMD;ODG$=~-(S^%uTp)x&G>(J2l-ER;9u{+ zx2AEl$42pId*%znPxm43#>q0@JkiGe{hxo{LC@ddZu~@HotL(|Hv0M4W7;h`f9d`@ zB<1}3EN1*14|nr-i&?L-;^SssuaTZ}4)OCSRPIG^SFhigb!L;~OGkToRX2LIc+aC2 z>zRbR`ffAx0ZcB7h-wz{j|-Xkx#wj6+{oy8Oge5CG=P45GTgQQoN52lQhEFU@oA~#({+w`K?gm5>9C(( zB|YQDu6wz7zghp^D&B44)L-cE++A=tPA2yA6}t2c|2>!5+y3W;|NVXkJ%8@NmtDB@ zygMf4_|MJ0{G1N*7f8Nr;v)laSN?vLKkPf#z}&$tfDE=IC4mtzw?1ZDglI)#15^rt8wadWu@F zCQUnBAU;(r{;dD@`d_d0{Cn`F&RM^Z zeB&rTUqa9QGv(p8`R|8tE_35^!tC$eaF>r~^SGXsp5x{}AATxIPnUVm$!fS;ue!{7 zb-v`cnY^zTb^P(H|hOLp*%OFdf4|(d%j(ICe6INP4bh*U-516 zam7ux>H!akU)r^}o&S>ZP>T8QkGXW|aoF8~=i#njc9{B}*FnA}`6*Mcv6Rz~%Hs_s zdQ%5IA4)mzuP*p?Roi&dR09~JMdfKuARF~oaM{`Z`Xy- zhwoqMpy!?r{Ew-A@|I0|9>M|LwNGo(m;XpT;DnTir_FyqRq|7p`*C=r#?h4>+@ZdINaYu)NPjut3g2#z&G>e^MIz6DhrU?&sZ0mHCgtp>#;&^p z?)rVV>8}CF&zg3-QS!4!{@s#~Zt&wRQK4>?{2n8JyX0G|PfH~~qW1Wfc>F+5$_Ebc zitZCX|31&Z;c+x4e#7rP$DB^T4o>4W5?b)>DIItWck_COS>FaydHlWa^6j6Mu9tMs z^M1G+=L1tX>50zayY2uP6r+h($^y6B%EB~a?GulD^ zHL3iu(VtCu-XT5PcQ4Mn*pcdC{W-|jE0o~l;{9e^eLdwtYX19=I`H4aUAsN}46px_ z`p6SFsJVJAH|M6j&M%X?Pf?NlG03O>d99Pd&#(&a^zV44*B{P77fJr4Ll@U;Q_APd z9e%n=&+Rt%eXi>ue^u(I4WFL>K9QCuihAzonTPyzo66H|*0Fm!=>Kg8{s`R7>*(Fy zuJf~!f2**%^ty2@+^u8draWsq$oHr6her3F;@d5(=Wk5q`S(!n^ZX>$?iTSK#;*P% z-1YB9V_)3^ck3kPQTnBC(F2m-YvTC7OgVWVs_!yAcQ1UYb@EZQrTI~^|082RJP~=< zp1sl^=I_c>&!N#(|J9Q|v99Ny(n0^)R1fx~{=JM?0nrcSoc?{ku8{t_rT+$rv^wZN zHI38ltLKIe^6!%Vt_OU7g?;v`;?riod!U1!ImyTG_Ie8Humcs(UHPM)v{1nleH7f~ zYwa-kTF-zCW|z%kvR6$yKR-;ss`$T$}P0(O$ED z-U4^y@FIOa^!x2_x6aHw==E>W-@lh~{j%WOFC>41Iq&>a`nRdvVcb4i{{MdI4{?^e zm=LL*EdM}=TOF6mFL|X_<@bH=-Eh}# zbHo>G^m#-09h4^gIpj`Zqbzw|`iFo}cRB9AVB!P4QVXudf%MHtX%1 z#3v@b{?PuninspL^KibqT=C*5#fy(qlY9?(SN?9(zYj`J_m8}u^^$)C?&@`Y-t&)( zA4)vYwf{1c54k*zGw$2|&xAWYmsGr-&_3s-@|*&Vf9dKDdajdvOLpDTpE+HJW$ALd20!Ry&(;wH=CsUEYB z6yQ$JN~7nrRF5y)!v7v~mDfLR#>wZ2kGOf)ZQAX;4tg$4%R}Cj)|oCnWLV{)InuA? z;xCna%j_@z-a-F+BtK^4KbguC-!$X)>u@(7zO{OF`oypveqZvBS>ySYD$m~}|HJ1k zmOpBvZ-<_vJ>O6APlvnxaf?}3vvAk`y^;&-=NYLU!3E!{(%&on;hcNDc>IWe?!o%Z z8>RmS6(s0?cL)8Sgu8LF+l;qAN&l?z#~#VKekuFm=^gl5`2l9X(zBpeQM93h{BWttb=<~;af@m}rkv+9RebkP4A$@iP}_Cw<1WAxw-~TB2S+fowHR$!v zn)c7aT{$OJ&T*-F&)G}Qi)SP6%HR5Gk0kxKzEuArl>Rc%f#2AHzb)m&9gH3LDe>EW z(v#{9`H*+QU3sQVdFDFkKWvk4hv_4Id#1|x&qvP`H|u}2YSqd7|M{n#ee&Qy?c~ww zHOWXaIyO9#4A-tYng2a&aA0WjmSXe+mw7U#0HQk-oT`Q9vLGfAnGD^#-i z`u|^go6UMPE@YdTxLPd5&1TSBOY(V&$Fr)%Mx$7)*BY4`MXOZHxn`271i41NlBpL< z^=hF|YgVX1yBp(QG~$U|%v+tqmrPP}o8#UoDjL#Uxj5W-8?ZRj=f;m3qBWql%?` ztr!=Y)n>k4sO2-|Na|)UU(eM22eOrRn`Vp6gz`0Wm3qET zHK6Wcb&|Bs*gUp*Bnbtq=Q7n&wUN)}DP5zGtCh>8 zYFw=q>v^i3%S59C8>;m&8geum9&GfDj)qUh2_0T4EykHzsa|Ll>5q)7S9?yE zv*lu`(qslOIkR!CnaLOEx?;XsZ`7$lsAE!yv$RX8TFckVrKB8qqa0_ll}ff;%~h&# zv)z_)rBW=GS-cA6Qn}9G8gac`$uY}Hl}x5t2%oQ(se4i{G#hb3E9c{UzE(|Y&7_>8 zH4|n-HYsNFwOXlGsPTaCxooCbPihG(XN}g2%bA#QTr9^lccz%jwad}SBUk3L845!e zxgM=0V)Dz$tiQ*M{IL?@P+?A0XO$i@k)LZOhS=-G0qM9!%4OO2nst`3T9YZnM$CX^^5p2fLN3l!7)wPKU7DmZKRuEn zl`K?i88*XeJzuP3D)}Z$V1@rN{fm?=-zXQWG3$M%*e(YvLao7H>$!Z=Y!=IOK_Oc& zWr}enDK@gTs5RV5hEChMo(4E$^HBSFb~|8s%QT9OCPqXlrbSAPLa|Yx7Ye0DB~$g| z_d;*JoZs^6{Gvu})EL%jJD<@Aqqt+{ok!6Z+bG;1-=XKUC9)ml02_65sYS^)h{zt_$0*F=qhQMaA< zxlOBHyE^@QUvqHy+P+Q0jU*ZjgQn@`v+Of=l~Mx(22-nEsVAkt<5D)p94OKIY;Z}n zP^skU@_e?;;+`#K*_@LcotiDNTII`ZnhS?z`UE%2T1mq-nV)3~fLmEc)6eYt_tmx0 zw3!!+EcnD?fmTw-uIWp*42+JUl82sg`g%8O{Ew?gMygvwt?W=?cvP~v5=IXOOa{Yh zRN9&ix-l9`uI+QnTc4YFt4ft}eqMlDtzrqw2h~+}mI6CisZy(Ap0F#@vxR1nZLCs= z^M$xju9q5YD_It|T(KIBr5kf!Gc-eE9o88gGr$U0u4JO-z{u#>dRlc2OKr6_Iy|^} zEOD){s+`RhqUu;*+T_ha>g|4O_ig~KVH(8s$|8~K$e2LAIylJocXgk}4Nqyc2DiFL zu=<}lI9#g^hT*@)+ZX4$aij+ct*?&^w8jiPXJ9CauBnfnS+AcyyqPiCJCf7~oQ+GH z%^T5~e6~?cYT05gsq!;RO_j~ImPzV4EXH!pspuOWbahBaaFrokZERgt%9hJf{p!)p zo7|5jw_J{lZEp4XWi(wf2ZyO%-)7%&`CQSDwZ2w;B-!AX*B4a%9QU7!)^8o{t!_x% z$XQil^KJKQqroy)&9Dt675dOGN}OM?3+U})JyR=Uiy@Uy*t~O#wknKuN=NzKda-_U zvpKLuYkPfTQolOg%wozmRvp`%n)b!W^$1l-|Gs!q`ANL6UYT61dNJcUn2l6Nwx$C% zbcYrr&GjmWn_|A6ua!#mO1WHPl`J&tbvB7QCOd|2y3dSkxMpEPI+hGwvkyyGpzwk8 zlSrkudMb|fJuu|=+cj9C$(HsI37fDARm?QX)k@LLmRuDhpo-a9sd8qDYqg?VSi(V) z145F;T*{X+7~br1rJ}RuIGZ#JdG^v~xme6pu{StO<~cQ_LqAN4v^o3Sh{sr7^cU)p zU1H#`PN!bmu1@D_C`z_atrl|_$fahMeLX$Vq?0jS_FS8|MK>B^387O9m0bISF{XPF zi`AW{I1(r2MhUwiDdyP~tIaq(u5Vewn}&IcTi!gH;@Y_*zc zlnQlcV`iGgd>HpZo=L;eFH>hTE7P_4Tmx~YOdgXx&a%|D2j$}W6myLNCp1j15~g)A zM{lvTHS0rTBhiaD543GHTb?R*ZLXOwHY$wfa;9zcR#j#H^X+f- zaja_=i`WElF;gg&+V!w<=*kt@kaML%E}P4CY|n%PC+FH6Ruxv)`~lCFk3EV7xKYd1 zIrG)>9qSvHoDo?r7pg_3Er*6MKh1cna5RlE0x=C~>ukFo_PNDUp~{&Dqd&oaGJlw| z^(|&gah%1p&DM$>hic)uR$rW}S8|zLu~Nay!a+aCS$!NlFkIqFfg@+NmTMp4_L0MY zbW1*Sd+sqcFa*2tEwbN`k&lrWS;B#W1&czix z2>EvZ+3}D~8l_sJSgkS$agDUgY5S#Fs^aXba*8Vzs~pZleem$*W84h5erU`%pTi%L zO_HQkNa{sSZSE|O&y#j`3u&gkKj7GiokKO3Fc2$sW?>kK(!>s$Qju@)Yoi9 z&N}U5AMV3KyE;777OoiAa=98liZc6Krr35+S~(oYENcZ0nK^oEzEN$X;MUpo zgVJWicHGnokFX!jR&i3-vW+?>6<&+BQETg6bF&@y2B-OEi35Gmw~t&d&r*)P60;+6 z&Ixk%xj134d`s0lJ~pHspKI%pZ{i=}V4T6;s%ATH z+BuwajWV9g3YOb~$z#h$ZzXsv$_-{?2`6i(cFyNHYU0qW;AYR_uJ0sQVl%8{al&DO z(0*-w_PKbAij`WiNT0++C)$(S%4PAwLN)V-rS>{fy9gMyP zZt_Z;XQ?mX3@o(U**>?-#zpfru?!11vfJa&%HdpRGtMVCkem3TGErY>7`JO~(5A z+(D_{SLH}DFa}LF;WzJdQ>yM=G<|*hN{?=?g&7_`;U{;W!|oG&esrwi4gh_zU)mhH zcA$~;HL7FPzIc&HW+@R$xj;*HDUtbxF5}~6Ddsp=EfI!_8Syw5u(dG>EAx)lVuf|P z(JZt5xKK(1Lp^cPi6)aXA+}X5*6IW(D#ZpHCX?GQ&*{>vh8i1f^qsry^cUvuCc$bRoyg@EkVW1S5oS2sE8_x5Lp_z3aMQlC}&FPVBlV3JB4iC3C zhD?=Ry;R1!sdMUM|1VeB%?tTlnPUycoBv?i3F*gDJ-&$5vF7l|)tnuOjf@W9LP(Dg z8i2N4Sk#F&aw5y;OL_KJywDAXP!4x4J6~sOou+fKCr)0h;`w5GfZG9yDO$=gDzWW3 zcsJVp`2Q+L4bv%Kp>%BM&i)Jyiszi=yv_=i!^v6a_*cwhJJoThG?N^=bG3>2z=4QR zT80mX&)Eq|%!_8lYtG(|71y>tZ239J5yPmu01Zysd8><^ixy)?EaL3t$P{t-4bQ4) zi1Ak0#_{hEykToCHS4Tak4W{>V!251f_`lM(GIY+mvhy zWwq@>7=k&04TYtbch>yo(WI}HjP!Z0U9=(fj^VN2($}oA<#$-uN;r23tuV?NO~g5) zq4ddZlfX7Jt_y-5wF3K2CT8LWy$MDbp_)pz&S8|;D#lx#b5+dNnd5ZQHacxJYBl^K zc@}7V1qq&&pwB*+{V1Q$akgSC;O1%@-&U@fuw7;fd3GkOsQJT&eJcYGT19^ARnp&kbba)>!>zHrh%fv^Q)1CL*kr z99#GhzNo&j;XZoQfp%T3t_Jgn&?XTrg8#U3L)+o2=g?g$6*(9rjck#*$J$D7<=Ew1 z$d~rUYmV!jKzgNAioEa1N0fsSV#b7^O9gyZ`6_|2Jl$Ggfgk`vxSSE{e6kpkxbFR; z=>374M+b&BEJFMqblQUD;vDCMVv^4k5|;n=Vw@#3NPYtm?*>)_>jcMi;xY-r_8QAm z`-GOT!s1%MsHx{TB{(~c<-3S2oWc5?KN(`0HWO;$BK>%ciuk-);ayS%@%c=#-N1IO zOBm0blAN=f_(HjDY}<}tuyIDqHfYdF>3N+VZ8rK(bVvUxF-=YQ#E0zCo?8wsovsDLa#hLt)J0`Q)%w z#*-F0(JIGPz`@Jef&^Te_4Z7+`fzcT$OI@MPsT>BZ5dg8WezwoF1Bi+M69#kaltR+ zCZ@e{s1o&I2kulpVtY*%Z5(`~1pb<*E(b0G#`9ZV`p7x9ee_%OQ?^q|7$RF^zCUr{{7=&odFQ zWixe>P}*`lnb?v{fiyc;H<(}V3Nh1-Dx#UP^fa3r%-S zaW>+-hrm9!z+PCui@+hb%;C8`{%}WOThr?V!m+hE)N*vK6OkcBh!m!_+&<6E;`U>P zvkunDA?Y~ZI8kRf@e>Yh;^kYARcGAmoU|}&@rhy%Hb^oF?Ps6MX`h1$N32q_=7v|0 zv-K@E@gvvr6p-{bf|@~YAAMxl5y4@oy8NB_`dWSL90k0&F>93zPy8?I2CiJD0w=pN z-qZFvX`hQblH?obnjj{#z)fqP%Mw*1*oDiCfD9Q{9qZwo+)VTe-NK&OaelCy^4xNs zNE8*C-`{NcSPxjwSc3{=u;8@}&$Z>lBf`AI8ICKa%zy}TR$r4?co~C|Pzy7isC$PK z1}(y3TE;5Qb9SAVv-((?N&F*b$P6K2uH$$~I4j|z!rh#0WSGXCp6eQgG`B*t(sc2x z_IhuPL5k958(!%16W26A@p95&#v#6b;DIr({* zlI?!PF-zVB$(LnLfr(q)8h9TFl(1hA1Zj^)+dtGMho3(#;=y(~o1uKRJxS7I%PDXI zB{2`{Kgd~qIcnkjUu?;E|H=1NKU#epSBazMD})>~^is!m=Zu{Yvd@yWieGTvZEyAA zFvut5PA6>K*edOM*zyryD&@%ZiJRoO;pgvEK2HD6oWT4i$Bh)0AZPWl=hR}(2y}No zgN+vCZ24SF28)7>BTVZ`=P+27kSWs?pB8DA3p}+}AHQ>sBJr9{3{$f`uWb2}xXhNv z3DIRe5p?NPz8srPg-|0_5@~=W=60BWgv$uukkCf98TNTw&Xx~X1nw2mT;f75gKgGH zA8Tu=h?9e83W-&PcE4GD1eWp*PLLSi7|QkbxVQDqjE>_42R6M=ZOYCK^}mFZNm#B_ zm~(`y2%)vx+18g(Tb;;EB~6EAjSuZ?%g5|yUJ%!E+57lWf}B0{mdMqr(J=1GBm|WA z^$jbJYY7*z_cH14!GGN6U6w7<88oCY8A*gDy2KtxM7mDqE7`sHt;iH`3sxQ%13m|r z28rE4wx~YmB9W}TxPEI`JmkZ$(6B6%I#VcPLAJMWyy`5!gb{JwaFi!TTu(#zcxNzy zY7H_s#*)FlINNvi(D1cGK|LFg^Jip9tl{`c@;^aq5|dePV-C>smNseJWRnuy#sA=z zTzpjI6cPcd5o#_b%?Mx1wIl`&_ibtyJwu4rg%(MPBTS30fE214)(n0F7PxBrR7L2@ zMVATEGKM+1lGR$o^+_5zhf~5@p{(v4*eu}{!&F3XyVvdLD3J~8OiqfLCC|4Qr7q%) zY2w{TUu$@HFle;ng;;1dCQpq;GREW9ao@_3{az@OXG)41d4;)s$IB7}CG}t9jUAjCkfUmQo9hen+jJ4rLEsv{&7El5zSkswedxu%{W0fxmRnP zo8SD&81E8}V*Kt2xk4`3+~j13o0O)&ztv=M;G{xw6=n&R7(3eVP`$k!aJ!=DQhISi zCnPyyQM%lmD#;>P3K@CowGBqIaYRDDWqhXs>EQJJtOQ7~4V%6z=tHh6FVvyRRivKU$}(L7%*y5Gsl#eSxY@&5dvXa!*Ahq63R^xWc#ab8MC_tNt1_gqxBJAl zHEHfR_X^}mkVJqX5LDYXEMcB-`XrMN#~uw7QJT6-$WKOifU|CDn+FED#-d`5wtpu? zP#sN1S-LiH+hr9;=ynIN{uDA;4crhZb5wAdMdTQ9DlagHbGi1KVGE7Rmi#eyKLs}@ zD^4gh&Jm1yZo`o56qEf)+C-6dPY7ILEH}#0Xi^=iZ|oZ*5*R*XD@s+k%v5Iju)njU ztMNJLZ{*6C`>&k3^Dci*f1EmSX*O^bR#*jbdy^i?^UE31o7x6vo?Pr)11DWlsb|^E zGEDwzf=jo71BeT0p-5IP=0sg1B$q~e3-b{}yr+z3?%I%FlgW}vg*jZx)XTVTaF?Wi!mt!%q#NZ2K&?)(kpyzm(E=jzx` z>0Ll>`mweElZ z5M@hz;G(tXtnFP#8J76lxocOizxcwn=dL~Pq9y)%*6HxG&Nyq$>Wj`g|2*Pm^^LCe z=@s`Q39cmgN5tvUba{uGF5^1=qYJsZ#O7#hq&hS@NU*&*GEA>Dwiq&28=NPY35z87 z-Thr_6Ry+-V}!G|i>9%(aW99s5lJC=;=&Bhk>O!I&~2w_HcnX9h#KQ*#p{pPjHpe- zeVW$hF%qrWP#H(*ENraeURHU@Ke@k@{Mv`-sJ%MaRfIqe7YSXo7gLUSZ<-lIS;zw> z&BSf=u3#=j-I4YRY8MPnT1<9sS(0|1!J!x$z{-``Hi$Om+*BvN-!@}yv2u9=VpuL1 zWiDN+4UTJXoDjj)MHtKZaOLP3W z2REO%WLu`1B~gnbGhTkeUFG&JP%q*ft5Igw?Q97vVCz=OImS0XOIbhp*#{f9Zguwo z(?5=6p(7b5y+P#IA`dQkS`bHs~N0xoLutSPWoI0;_9(7BlRiudxg3O} zuFCJ&RNS8C2uUE1%XKV~BztX423=CgRhu`pI(7ti$yf?%9D*A>o29nH^okoeJ_uuy z^hU1L{Nl>(Jd8JYZ=6v;dL3hhyEb{;RvB)hJIjq!e)o0-j6BZx?ZuI#QGCYaHMn;I z@O}es-IDu>3zM5#OuF_~)8JY%p(xzESvDY!)-I8!&c?t=l6-iE54RaJv?^H+&1|i` zNZXFWGfv`dgM|+lE!ka>Yn8rqb>T2jvQ^*0W=%gmaHnK|%mzlaoJ{ zelp+wBnD_oa?u`niBzBw&5F19rY2{QQc8oF0Xlu4}W(CY*ThzN2qyhM!)jgHfbEAJ#qxhYd8 zc+>1St%#?S9Y7q7t2kVD1Rhl7Y4aCrLLpR=vc5e+XkLCm7dWU6;;1^03ch8a1>IO51*4&|_|#YOuj zuR6gOPa;v3w^FFyW!{RwVqbRAc=z5AGM72@aoS|6kv@lUK?tbK&6o<|0|q?KgdEOy zoHVRd#5p_ZA$y)BJDbIRjB90_88})nCrJb&cdL-J%gN*18z5Ys6L-Fx8yhD!*Qd$) zp)f>3NTkBYOzL8hQ|7!GYRg?9WvQCyi_MyxA(HMm_v7IsEQ%A5_*J=1OoLV+>O)=I z&u8Z%^vAkJ33w_OUEGU9*eP(4yOhjM^|Q|-7mXXE677A?Lcc zen_5K!}6R4iJ0lUb|%B+a?bh~67(hU1mrKOZgAh$yTcjZ-DPX{x{#ryKIZ%nX(3iO z8Y8JJhvrnMS|8vHw>3P+wrsY6|Ba-u8m<$3wH>`IF3W}Ma=gBUYrjmwpwHH`NEhRZ z!NK6}ymYpyGQ2K`2rchb;ARGG6ZDZmS;IerLz$cf{Gx%!c=9o9$Wr4EWiIEiP2fJn zyNT<1L7b6gH(S9o>P8A_3|JAkS1~4e!$*>5(gc0B9WYtQuj15#e}iSO<2vgu@$jM? zUXYXLf)I(<9r~L%8V*lhHba<`^s@HB4fB}HZ*E{Q!|=ov>)f=!?@JJX#5UYI?(*oh z9A^n)MMHHiq7rX#*{ZzRz@5+s6J9d0mECNUBcls{?sI=rY4EKQisB9ew~BBa=U9Ho zsx24UzGyH!@YoF46PVPb{4Cf7h=^kL)R`7!1Y#^yX~YHtp6j6Qg(rj&a91{Y$q9La zSf`b=XugySp3eJTxPrz?M51Jg`*Q74aqWlquegOJ&B~`+vNDFKs8a z3s;eO9R{9K&dWqjd9ez`oh(CV_=6i5&52oMa3> zj$({2tTq;3ysa!H*p{4V$ezSnY;YLI_~d0ld3?P1ak1feUru{WV=$5K%|VzTf0>L< zA{%&Uc-sx8CfDmrQDgI_O+A$=>zaf)L(+;oZA`1-i(1HdaSBHb<0;J2pJ(5`^oc zyy?Mx!#y*+Da{m4fAYUOZ&X-Yu|9ZR4y}Tf6@j!zZU?t#s$JEI3vdYLq*&rmf?1Isf}BHa z(Fq8{2aAY2OFZECw7J=Yf0y(oTxgDPY}ahzvOi%QR)fs;knS71n7b|Z)bAb zD>J{CUOlRk{#M~$qDy1tl^`+iA!`q43>>aHWJnDm;e$5>wRPZsVj#0|P-!kmaI1pV zflCV&;tUv3K@MLQ_tM>a&v0$fB<^sA|BE@F#cH3oh6}j1xSQ%OSUZE6bO&zkxi_T| z7{Xg0M`JIMZz^4t+-qaTUJ@R{WgxB|XIWH>Y$q;tv+IPY-U8SaaFmT z5l(-b{LG?l+$-eL-_y{8YZ`Y;Y?#*#raw1$g(5$@z=ZR9b1K4p<|kzOF)pOx7jRxU zV#4$dThOWklY!<BML&M?6O=AP|?>^%WGZ~K@BeBTI^mS>E*n(_ygj5+A zTytgNz&^yx=j!|fm2 zhC+s9Rg!xu72K7C4DtB5(5WPGhFJ)aoYZM9zqqgGCn+s1oPh z#Jj8fSkR(DqJT4JNXjO9!X1`&0YOy`e0bH!B_yk*fT2&Agd~?bnIJB^5Hl=E@J*2_ zkZo6t*f+;&{0bbAc;A3~j~@#xMm{+m9Gu$T6K=E+KIWi86LABKgi|U=!apySaBijc zN=$+lRp4OdUiicqV{+0^JR9qtcMG;R69yZ`sw+~NSjGIy1KfK77&_#cvB+haCS=ND zNV~`?Np=j68hL??bEaX;i(bgf#p>cl4!@DzH*W!QGt#ZgByMnx0JDK<$eEzQbvy2u zb5)C6l$bH?HaR}qXtyJ|V{DaJi5!;5+@v&gHhG=c`-DH{7d;y?U9sU*B$3(u{4YhRXhI5HT@ELA*|N^yWs zX!-WSK^`nIe$IRJFz>eGoR9O2*a7Y&HfG9?&Edu{@uvzlLA$ZYY-hV>`tgl-*^o?1 zAd<8ot}*d^uKKaE@Kxpxkb9X?dzLusp+FiQ#ww=`<~^OoYb7}l)kq{FQ;La?gv-^( z$3p7AOT2M)c2y?VhgUU)D*wNVkmgcyWsR3WvNX+Sw{t6mwT<*2{5_-vA^bW)CYhS$Ff^N2`bP0GT+LP#h0Q>}4t8WP&S=ij5isK|oU;~De z#TW?^ZA^8O&1Ux^K(pEG8CqmFhs9})1ShYGRd11{t}oRGn>`5-EyjTj7=aMPh8-aA zEV9r-3uh4s2!cR{kWFM50|YRDJ1zM$vU=$!iUN)slgEnqa+p65#Gi7 z+$Zlvp2O-8K*&+aPaNQ>!l@0w(<@wQ3{Ea=D#nnLWKd*l!$X4tfVb>z>}us_XwQ`V z5UJp>u%MWzl97>ofsh{n66-kh>xd(G7q?hm4q9fYxxiOO8b2T*>sjISFtd_FxKcqFXppDddO>ltryTmBvsnQss?kSMCxM7PL}NENB6BFV!nfz$o+%Z!qZBuH z8XS1EbEX70+=uWSl_=*C&l6Wpr6ceQCnK3_ckFzQg>cb_x6LtqEn0FL98ETGHhB~P zUA7!|LVa&=%IZk{!8e7A$nzXNJFm~cAC%sw050(d$z>uD&AX&OWQOfNka!+UY@4xb z#3@riQZsxB^4+invxA#N6f5=f9f+es(J?yE#vqVUq96cia zJmHCGmz`AI*jI;WPNpD*5lJm-`Z0W~6ujK0d95*^maHzzp8HLKNdcZBYQj|T><>An zBpW^~>qO2QJ6Xm{Al^DCAJDkWH047qeg<{@9I-a_nrvr2)n$x$D?pg2O|-Oyz%lfhx=l8nh?*BvfCbWN&r29n6To|c`ct1_ zy1#c$_yvW8c{y?WBR^EHlPhI#P#|i5Yz}$t<_6NM2!%~6QQe{1vS{lvl?}y4OK5dX!!S` zyfR@}5KOOnKZdrF(;<$l3CQlxYPnivqDPnnA>qG}CNj_NZ+BDAlN=3I)|TQF)Tf{t zK!60yxelyhLPb1d4+)qV2gLkf^YXn!o;s_yNVRFclWaHXhaXCGcsWN?bL;v`#cO;hv(ND&%%>8gBL zc(Sl#*X(zRnu`o&ba4LoMYkNMX@VrooNj5Qdf{hwm zO1htc73N^-07T-0$}*lLN~yI8LZ-;LQ*9&gJ**CZ3p<#%lHxs~m8*%cmiS3cMsjdJ z_H%XvW2yEdY*rCn6KZ&E>iT)V8r63veIe>_Dw?6Kc6m?YsIX@G1&0FWK!e5LRA7=9 zpw@-_9+JWipJ0_mwC*7n}CrLh(=XD;tSr7hp*U?vk2N#!Kn(6 z;k$Dau%a0>Ods@k;Bc$)Qb91mNzTg4b%r z*oH~?2>3k7OvOI#vF)*bI7Rn(va$2vCn-#r6aW&5IjKginvCw!?vK$BQ7A^EatOi% z`(5%8^0+0*GrS|S!v0inTZ*QY)$}qm!B?i9O(DBQL;+Z&CZ80ZJ#Ykgf_K4^2iN$M zF<7OAiXH}$A;2?As91E$+kddR6T(1e8VH4S9Npfp&&J2<`=F)0DSi3531Aao&(@aCfr zS08>bl*JpO&js6iwR70+q7#A%%!@ceeoN__9NWXX0Mt&<8l%VSyM(Ems@1GanbD3& zfitHNN9}#c-Kr_u6eY>0Nitw437Wb2IN)4++Z~n02;8JLLQzR;kFlC<4(qS|qxuL4k+8c)f{d=8_Zo@}gbjhmm=<=ALU4NNPg9D6x6?Gq2e*+B zi4-v-1VhJ$sRMx*p*%Y7E%9CNPvWN3Fx;8`m6KNOBatHKFsz-5Qy4 zr+>v^gDfH!f;-W4>izl!t8^rT)VfY+gz-}-2~hjXmn*WZ$^DY4G`CMIS7eZP8v5t%Z#yxer6{)OfAPt7_Xcw zB>pb$4-5j8v(P!%Y}V9A0OFR>uL*S0+r~7uA(w8Pi1M9lO4Bhh;1R zErGB>^98E7{=_n4HRq?bc7Wwnuz;Rh5y6&Z#fXY3kU`5%@i&aVQXWV)IVpyyE)LAr zVEe$IQ6}pBaF4lUN<|S`=;!S@!7Qa`Py*6Jac{yirGf*>P%`}Dkcd*MF}X*p7ji`F z9WhFC!~`HZ{ErD7?IoVu#103%sO%BES>Y;ncS;H;EY&19szKh6S4p2Icl9<+g+EA0 ztM@qZ1F3asT&TCM6`SKTiYLSgPw3B*s{KQ8mcdS|LXBjzHA&U4Rt_aH#>dK_ChvJd z{z*VCfKXI4l&sBmU!%p3O4b5n9g)P#h=g+q>^kKh>UH2r1${&o1;2qgO%fxu@Wlg# zWywlg7*~*2qK>dv5`(d}VYowi?Tb8(sWUMI$#>iJ2 z$x3y|o&m;#7K*t$>`LU|lEoSd=D_$Ehm&*2)}!kHO>|6KW+`c+)_AZ_wKpev|JukX z#BL5rfe@Zo=piNba=anO9etVM5+a}Sn&4(&NJ__n;~4>UoQQxBHYiU^${H*-{O#5I zg{OjzSFh`RuY{tp`AUJ&P`t4qIJ2rQeVhoI0@7k1JVBD#h=?iH``AN()tuo1Dq;<= z`I(<&c`}R>J#smfWN&~c=!e=)NnR0JL1)o9?V4g@>`U59>Wc1wrHLFKi_jlhAV;?C zBZRX!<+#?YZ9v{SnA0L`L3>45f>G7Rk&7tj z+#0hjfDO$8EXVcP{LF*f3FZiA#6af($VoDW^|}3e%9%JNb5Dwcb>E)TD`MUQ7)hL! z;H71P|IlaLI|UvX3hn*FMkPz^ANDS=e$vq;{dtV2q(pK{UCE3JGlWB-f>03tWLwCF zvK*tne;hs|K^*8YCXmIC~H3B+_}PyM_%N}>B!3tJy)$P1;?0bWJoIyiyW zYHg>ipXdcs1jLhN> zNg{1ym9TZh6WCyE1$Ywo8x5bc_CXuxI85mEgjkpsma7+WevjF)a+zM&-M zQu80!DbmWq#z%^ADe6{IFGvk047LV@YFf9TX(q;pQe;ZD4;6!N&fwEBDK`Wc7!o9` zZZsouN>xbVLM%X9J%=D-eDO!#ql_6}in@tNtdKXZ2AQsCBu1$T1pV5VuujcNN&0<9 z1fJJ-?~O@VMUDZLfR1$}GFGp1_6B_b_f23#tgwF0z5}93x)c(?iUj<`n*}oR9oSM$ ztfaJPNV8lG;rt1x?$@5w#rU!bAchK^oh%e7_ZnJC>NjztU=QvCN;=fZo(wk3hRW^` z8W1V1{d=1_NUkWyQS$D-Vn;wzv|x4u--*;$LZ;rQ2cnHFa{4_TNM_Y6UEk@%vPB%j z6^mJAVb&=UZEyww&FM&0)FOgN)ZnAkN>celAdKWtDiut{nyJryj}<8S3lLARDEh-D z#8sEPN_}x$+x+z7XpQqwnIecUA{oSoSV`(11sR^1j}ldAJrlwC%O>jJR?ll{w z-5=^v+)|DM+QpRL-|x+wu18>CISB%h#KNlRY>qnN&6CLOesmnvFw_!)D4?@$4ukpj>W~b^Y^%BVkM+Y)OiyObAJ(c0p|;Rn|Rldu@q)z+fe5q1RL4ajyWYlYR<8g2F*Sq?iik z!nOfNGjp{Il*v2bd16CCBn>eD3wtpJjYG}hxlMidW`kl^ z?cxE2b?h3QCU1;Jr{Ki(!c9IXdqfnu=lkxQDpz#_D`z?)<@)3@EPHxKuc%!t*1=PVSR>M{)Cvx^hn z#8*k;f5(6!ofJQAm==rQHk`qjIp3gSaQcWrhcAv?YH6UmTHmK!C^ z1D=6XCbgU!4+#;;YyEM478(N*uOJ>3!59*uDBxRo1{3H>C-eFFHq;7mWUTyic~&Y0 zRZMKCI#RpfIYQG098n+dCetY{d7!q;SevF^2m(X#g1&+8tn;=$9IY+mD^bRDFwUI< zbc|c`jp|7jrj~Ug7c7I26gHaI^&z;$V)Uv04^WnLQa|qzE%n*B(-DgdC@3`$J>*!r zRazJdy0>__zDRzPpJxuimHTsgczNd7*6O9(m24n#*9R7Mhq=|S$I16_o;ETY4-P_6$OG757^JCb!bKY8<46#o#JJ7NAKSIvV zV}h8s1doV0flie{1o%*R>UC7StR1mJWewN?t$jai{B?$BNVQO6wjd27Od=0lHc-6F zdOv(~`qVB3hOja`(}o9`+XIZG3B>Uca1`do+g=Mn*Y?mN6%=wzLR|Qi@xZb169%3T zV$(uz3qaQUNek!BLP|xep%+S#L+z3q1a`GI+xXH>7RG5B9@d)XSf<6uC zt+l7db;qZcEXtA>M4@gI($8_Z#pgm3#SehRB??JFrtE(0*!p8B2JUa*>E{&BAgt|4 zvQNf48;~H29b%by{=|RBQWjAS`_%BIc3bP%+`D~wwsYDL@_wv(DoO1Ua|fXla}L`* zPQMCpO33RULnyhe$^W+eh$J2XkF0+*opJKb#Pec@NT=X32z=nH&~?u3k~18~Cr6&w z$A+2h=p!aG6ND#SKhI9Gh6Iz6-C&h?v=A;T3k-&w#ctA3m^=?ug{G$X%mb2!SUWe6 z^Vde4eoIXRi;`u_(ok~; zE6^wAvS2pE3a93FbL-)1>vH@sU|J0tcDlHzSS)jzgNPkSjl0WL=f2Yu@YjFSkg+gq_DSWK_Z!gaCp0h9`Evnus%P3ishwFLrj)r zDPl7RL5|f679@=m@P!Ux*}+ly8w|4gd=LwrgTAUV3M4A@YjpnD%5XkbXuA$lcNevd z{DV_qDMPmGdpIgLk1C?6c!0^#k@unNwO!95p=JcoS+Nf22=5MINOjITqVI((-gY>A zCdu2$JmOhRCxZ0r1=!3u;N0@b(PJkoBJsr!!WJXaXO->gLy$pOuqYS8qP3s>I#HiS z#0HKkH72=K^*S1(_LX{sONC_p~qA5bIpy51GyWP?(GnWzS$>SB#+bps@g zaHvx*skSq^CMlTfFYSAWkrpdQ&&gH+|P&rgp~%j=VKO{7W6vxiv#Fr+a$m)9f7$cG%koj za)O-^F0ejGG^0+O-NDl&p1ANNZF7)v6k(Fx;p-j}RvpevN;t?VVIobEudD7O%!Db< z2jk2$7FhlS2SXr-FG`?mI}OuU`PCE#VE~7D0Jt+!^fp!Ev7%F|RX|QHlN@bV#{soN zfJ;9-CmfNG`XnfbEH#NlH`6UCcnoJ}JI2BQSkeAS zEoA-RP3^97ROFyHpMUxI%U^l;taj|m1a+iwc0WbRvIM@~VMpcA2hy8lA}9niIEvwy z8FzWiGrNCHNAc@W;^}=BMtz?73=16>oNxxl+eB2F{AR$nx>7-CyOMrUfH6>T-DvMA z>_jW0&F~{|6s^)Wq-c*Nt0$pDb!yraSkH+dBDx^36QH$6Z2llN92=RcB8y>U;&)*I zCf$kWh09_efae5RU@)~E^g`8{ye2%Ao&rRLnT6^Pw^pv7c!FCBSFf>@lkT;NJHax$y8a@Cb^rrwaz zu+jEDg=$~aw^H{Y$ABd{Rjg1jexwP@0w1|7ezcNC9A%FM7$sSi`I}gfloR+3bVby7 zE?|FfoR%{Y%ff`2DwSogr8;sDdz3{=|2+Za>F~FnVK1hl6cxHT(gu=2W9m5kRJltv zVc3dob|sPutRkF=x?%Y6M5QhAu~3ezhN&DCTm)%Y)DZpJS*aar8KuJ zEV9K35DctIAOLJRA)+HvAb^L>h<@9?iYP5CaED`|7clU#C55V44d{3iSYM^j7h1_YOOfo_E+suuf?BCEZ$`^o`b~e#CfcJrlBHQ^?Ef)Q7`#tDb*tf2emt}GA*zVX0@5&@G;~H5^=~|5?RS{aaNvrQXK02tq8pe#LsH61L$eg?%BV1y^z6Iwxm?Yzd21@CeaRqL`X&>_ZVX zzG8iDIHIY0CEUm@5H6u&;^5#X^BAY|QTllT$j8XZ`B+x^#5C;v$(~_}5)6eF_leL4 z#ngWEJcK@(QRhsQn6q{$%gt)*tz0prAlI;SYD@%_MUKjC_t_)KQX`Vo$66h$R-_!m zP%vk!eN=nHV3CiU5?J8+6nGvj5;JC;l1YjeSfYc>CM+jL6<*!MHt7*R4lFc@{*%oP z{{lC}eg(D&3dPIr#cp9#E45T%7k;1($4yF3y4XfS!%P&sC1WoMkBuw-L764CIXT&J zU8MLhD%eMI;PD~gb|E-GhRuAvKZGLIE<6u27LliZF3Ht`w8N$MSgvq?8=ni|Sz%IP zK!uko2847C3Tum=rU$oDKN65aZ{{glq|onV(8;ng2|N47zGZkWGR58og(MD=;=j1T zB4`ukE4(=bJXH6@ho`bSj1XZi;fG}MhQ~~jV{3?km6G^vlo=DIhDjoVA%2EOj`)!S z{#b3u3r%G8OAeV(nr$$D$zcMab@ceY0zJtct-q-)|cX| z&0wirQBgqXf{2KzCiK4Ux9~pg6O%>8*x+d4)zCw$KFo4xOyF>yP%Mz zZm!q^O)7AReqOKRU*+UYh97V=mN70r)CoRnT{{<)4e1Rr=51Y(+-B>kL6So+K`)pr zGdC({c_8cD6Iiqf*!+^-ly}O>j#d_Jki6!P@4yygKu=aRB5TsRU~RIF9qe8E2YP{R zlX$RN%xJ=sxuJYDfR>7w;$QGtX>Ch0!V~!-Xa@-WmQm@0Y z&E8}Q5^;<<=m`@6CM8c9=cA1mngEI?fEYF=)1gTzXMXYSvPE)i+f>xGoGYDF2nb>b z<6_aOxrwq65Gn(<_w1AFN~u$s0{}Q;dLp?p_yBlQ=}3lZiusEeC&xo(YG)u1w(|ld z?zKE5UFio#tYsEXejO4~LVdK2NndqWFrav_h?aPiIk_xR(*TG}%G89JWpnVN6|GBL zKRb$dk%Tz)2f#y|*rxTR_u4JIbI}rxS@RU7KB}G95JROnDw1okDuA)XFM8Wn+(@c-szL--oWeu$ zA%H5GrTFXEewZe<3yfKu2(0ZSuasX?Gs;*|9fhrYad=(|MWt?^dM|b4F zKGL*fo&cG!HxX85O1OUf=w(IS**n24)!z)W68q_y-P?8}fZzRpH zwzG`U!2uDpJ#tT5DU9#9F*2nACfP_hE3}6wY8d|0bty>9vY;+=&@&;B%^>~ZVmLjb zJ_bQYqA46b$?GzP9Y6*T2$4cAFGx4w9iWO02cPp{ZPyP+${~SyS#|2GkXu`;TOM|L zzISo!g#(0Yv$r`?ePB~94W^J2{mg5&NWD)h+>N zDwL{`o&vM%#bAy1ye>|25}i@)B#EHgL6=kez4bTofSl(B-okq%rR=?AV!fxdEvu%f zdRYuq=03>7w^9g9%^mbh6qdV;1kx@seKSgafz;R2Fm|yCmX0Vc4h)5sWWU<~o)VZS zxL_DSpOqlI=)D9z#Xat+(kqZi2J+Z2XlXPt`KDS6E*xFY5TbJ0c=nWl`dsP*mR73n zDbY1m%IN!po{SHLt|Si3(rhXxkSes6mzA8Yi7nroZ1|m&dy+V1$$?|*^GyfE^C2yl z0L8?jcRGEr6f3mUzMxaXU8U`)R$EKdiPm9BhtQ41o&p)HRLOB(N+kHB_Tv5h;^hhmnz6i+p_ON4v7fkt|z#}c~N;R!m$rZ)~V^&Dgqz1%1-8Q5*R!#DY8z9PFW+Yk@nI; zH^i4xL)W}5cW|%S0+o@R9ePzU@`g<~W#S~eIRCJ6r%%ypFMBxTI7fgn9ujGiu7V>LC&=wG! zUpz|G1kjWUVwg>J#NgWqA2=uB{W8)7Ap#7^Y6Q}msCr#WwFPvL&%?e~z=vPO7E#TJ zEj5e8x(awgBPIg@LzS;f;fv*lU%iSut#G{y4%7L4}i| za0maxLeE(?Gsh1D)jrATbskG}*83%L?ki_Di1=EwHnXxA3fMa41`yE97Dk1TTp5w*-jQsGC3@P=Fs#-rcx^bE{%1-tdjCt`vsd4 z)nGm0L5TK2%h0R2bJ%W4gbxSM)T&iz`KMk?ZKptJCPWZf08famem>|%2lx@z=C^Hs zi3+y3)I=GUoRxN;-T`2VGyUxfy(DUqi%Qk8ZD2Y)r#6qhqnCh#Cy7J4O&YY9IFGG}*(B99tL{BOY;t~lNdPf5k3G0Fo{Rjjxo zrCsKzG;L&h#=e3bRA}4#YFp4Du_>4sOgZecWcQlZoD7_LF)SC#!*;ijX*Q1(CF12l z&@AQpyeljg<|98)swcV!sSDO7)l6cc-G~EP zwJeq?Ch|5CH0HJCpCbLTmvCRzOOm`n3P#dG{Oj}kx*y3#5H`Xe0~>-YkYmq>&5cGP*zd&H2o5P!BaZ!@on))KDfd;#VZG^;=GHJk(U>H^tN4S|lF;0Obl%&W$ zv@c5wftA%vkg>FS%Ey!!90!kU?||W`d-$=M+_X9G)&F;8Fwgb&|jPa$J!ue3U5j4 zNq%o?mrr~WmVoN3UepDt6s#zLr6j&9U2qQ^QlG zpu4m1`OClu5bwRMh;G$DMQ&cJES);+tMgYUXBVZmdc8}J<0rAlM&2FVTH@HTocd5z#LAw7T#22j1v6*Y}MBY@9VNo551aa4|2(|$a^ zoZql4cPwJVBvk_yR42cvNg$#!!CVAk7&mJhlPGC^S!V>z^crs)Y>1rfeD(OnKV7$K}+-Sz%pV~P~b5g847 zXn8r}o0EzlFEd9xtSew7)8pG04)M&X8KWrb>n#eAv*Hj5L3$yft2Zec5W$k%n2ExT zT^&G>^T*m%Qvqcc=Lw-h;D<jv;OIc2m zPw1!(RWk49vKYqzJvY2=j;TPS!?y$%h$oi39Q_D^=!{TD2avwq z1w~H~1?z;%0^7j&hUtQEF`WTxLM$R%FbwLNlAdafpczVqzlTzhshil;z2Juu!RV}c zP`)@`+e0im`iCn8^ufl-PN^=rY!_GI5f{M0`oStOrVy>_X>724rrb1sE_DK)m){*QhJ0(jOPlnxX{Xrvz2J#JH0cyd!Y1Ih)==@VVi7;(P4oC^sk zC@&Otj=8XWq0?#!a{p_007T4Mo`^Uqv#gn=kUbfA<^lkb)V@BpQ#ny%w|e-C0s$ON zb=Vc!;F0s>y(eNqleq%N9Axl_TtYSB@HGuAn=^mw!M*HSVS^;gX~AFBL;XX!6wbz`oVm!?NrwRwdjSS zfoMx@?V_|U$OxPi@tQ!}<(!U5azO^jt&k{ikJRb(3aRMgj^z3@1{`55Z8S{;Ruy2H zP|t&ja>TwInahV}!iZQwTV;z#A)|rW)}~e9Olc7o`dE{4NYS3k(6&(&C8B(wUvhR5 z1>wtC5I|s39@x}o1y02*70kyJL*Rp=WaOy;HHqXbGq9K?A(nlN}U^TO}vw9&wu`~fVDuPQeMYT;?ww8!QCAeI2d4l`Z2Qai%_H$~e z5IVw1`@A12Tn?53ECQJpCalXaZ8QW34#x8YbX?&hGi_))Iu`oOahg{B^GA-R9~XNs zdUu&fP9UM&@iV2q)$16C7}^ZI1idjy#@FApMoZ*ZS|}QM%3HKG)O$iaLa_!~Ms&t1 zs0EmD1Jy0B&*h@>*TvJ7tc-syJ243g7C64CQ)=pUy~>LN3Llez#Qq6d#14e56s!_C z8Lk+5RN{+#A7DhiPrvz92LnEStRe^eY!*iBLuu-LB5_(rIr0y0TbxEoA-QNC!M$lJ ztnX(J#HNZHYy-bTd2q6<_Ny;9SwB})K`4P~B!VZEsEtSR{*@Vlm7_=|9|ZDT$~C!n z=B2j3%PeFX2r;Fc_k_BK-_3F2qPsz;k31>WgP0)U=}d_PeK~X9Mh-Jj#V&~mA@<_q zLE43{lQh?!+mFNBq679F?mH7+Of$l)_Mb+#LwyAC&cV(=J0a^A(tZrUEa9hDviRMq zArA+`!9+O+yv%a`Gye^oT<$vjp!gC_rs5^6_LZFm^$_S zdYlV&l1M=oL)(&F&kjmFaxcz#s(v(i;?zxtbs!j0>!}+7B`_w8+ay_wQrG({TcvO( zDGm3DNKthyHP4A=dSre!LuVWbr$a_j2vTr}OQFJ>qoUwbfHJsun@3h?3RYPHVZKec z94M5ovvP?IJO_3+{{cvtdYN34X1c2rx_|LRd5_m>S2bnJNNX@cP7NMTJe>7%wi`VocpE$(Qy1Ar8__VbQRS5%NaS zPNN|=g0WNc3mM&3fi8hiaZyvMt6pbCCbdw;3PC~J0TIN5gS{xSg=$-`yT<^7`F)H> z&G7S$z;?ovL9G&|k+hMT5GGbULw%^)&W0jHWgjJv7pm1hPt`JocGyt_SI{<@7fhGH z+5~6oU#Sub#bKZeWlWADsQJbtGaT#!0&(vZ8E-K@xuc=_&dN#jFh27n zL@Gi!Rl%p~XenqX`-arS0>aW_L_&AP=kNjLm$Y%j{sI|85ET)^3lg0JLNY2b&Xg;3 zg2X^&nxo`BQJ73(j9FBwlS=PuQ`Z-f=8h9V-dP`nEt_kTV}1l(abUQQq7QW_`^3=H zQb(jjmAOs!3hHx%(euP+QnpIEjv5AP`YB(*38lg7efm=DDMZFaPoTu2iwPkf54Vig z_h(x=R=vOsz|6kD2MUGtj;blR6e|5G2Gj0Oa;7MydMB=y0Lb{`TIb{`?1Cx1GNtD& z#}q!ab*G9dYFz z9c&zs5eA2)7RNoOjVpVJTiNeDf%ryo}kr}ov5=I30zlMeMTLf)GWq`2tQbOWITl$hiXapKB!C_I% z`rMus<6H;60D>IXRh35?Iz(H&`d)kvUW`<_1-Z-^F^fMlY9%9gxG2O@IAthEc>bdxBDXM8yKufxeKg&a}{jwW#+6H@+MTi&5SC! zh*+*%VW4{Aj>$}=!_~xRd~qv05>sN&@A7l5&-D(PNsa~zT65+ zB*)8xzx9V%Q18bxN$QD>D2JDXvzvDhe>5+YQ&P8-PM=1$cz`)3QSiG7!;-xzUPe)mwdl!QfCO+I zCM6!)-nS>9)6F0+^MDZsg9kb&5CC`La|(9Ov@;kIn?kr*LKOg@_D8r_hPHZMk^)jF z5CXs)_I83%5-{5S>=ZUF zJ!nwlHr4+0R$$7nv3AG~NmU@`dR@PA#gU*_vQ_{jhFb(639GzEAFDsz-k19f#!j8x z3=$Iy7|)>~#4*IxJgWEa135uTVaDikO8sOXAi?qn1Utk)9D9&bcXv%cAVGX@;=uND zKW7R_(@9}n2A_l*1t~&HPtj1wZlF_mQm7cJ2=Ljr1^`N^=WsRDDViHliPs`~qfjK< z*^;B-Nf$vW7BWc5zRDr7^`(-?!^Ua_YndzeqzX`!z;X4C>U98m1aW{Ai5QM$f0p_(C>jX3_AD`Mp(o0Y^8Q#zhATLy-UWe-&cwhYr&JlF3e$E3Ca!H!H zShK@z>*uL?$o{b4jXv|Behz|@sgEd}NCIMA{oGW2h{7qagMC0#rVttXP-ul6Cx}t6 z%h9NEI8YRL-;g{8EXg3C#s73nS6gh(JXDR744Ga3)TtU^r4sl3WsrKs`M<`+9MRIe9_(siq3BOa>`+Ae#ljh4YLV-NwnCcz1*^ zH3VO1P)xt_0mia2aBYANbO>iLhw#ez3zdiSChV@L35QYO0mH3nu)dm4sje0XV@Jdl z!K}VxZ)pnW6887dP;?#@OMt}7qWg(iRBxzxda+VcfsP}p(YQcUwC+ks zyJMcQD3qE&^6)%ws&iaS_$^o~4E|<|fS*&*9ozx(Pgzb15C4+|Up^%B@Szd+9g?tr zxbyP7>}Y}gSvBo)SZ?iaZ?VEDIhIfxb>nzrj1<3eD`hpD*?R^FF7z$i)yI{&e){M*G^THiI5@JhRGsm4AaS0!TtfpqJD5e z<&!o`x_`e^SNOYEWEIAc`H_uvxX~^5u#Y9;7Ts)JqBRvAe2*{rlJ_w zFAO_1LbysKSrbtJ8X(|wv>g=`ZkCj2sSWe6m$(i`n5`U&6?-`zf-=~qlvuUC_-^Ca;~5&(5qyfsHX!i zNx5Bg9X z2+fKRTe$AIoHBq!vel(M$d<$eaH<6Aeu}A6txohkPYU$KK^ux5;1&%`R0jsBKqd5o z3~M5ZqaIqDC|K(8m}$0oN+J%%eaz#>Up~11xIqad zC%vb(bL;|+Kz%|FKvI*8PVq<2QNW<-4A3{NNRA>LWgc34yG+iHrIRB@Y*&S3fS@T$ zWD2LUt`;JtKAnKTlC@8A@--dCqpJ7IQj!6~452e`Pgr|7kq7{k6674B8l^5ZUpgv5 zFY}1)#WC@`v#?31KV#-C>!WkdsEr`62~;JCz;(2r1hSl*Gt0zIs6zouCNjw>mRZb$ za^%arZcL95hw#ZpW8XlD7qSUgp|fFNPI@zDAm(GNI|bAL8H8rLaM@KNxo0gjVGdE zSi}JQ`rO`FFPZW1N)klAfDMYnh%_bvB9W|FTr5Q05Jti#r%7P0Tpk42h;xLIdjFKv z@ZeOZff>!xVp0wQTu40!Z)^STC21dbmY|b@Wt6ZW7znaHhYiL%k_F;+l5gAi_Zmmu zEW{xPyK+Pk-D`UU6Z0m9DYBy|W*Ath*1&>J5NXn2C$!}%>2N6VZssz&$}tv&xeT_TsELt^L6FI7B(S+%%>JhQp7V z#7JHUdxcI!=ZcjZkn-i>$%~!Ccso0Xlg#N(rS8)XVI&~D7bOQ9`qzdQHVgrcX(M<9 zbRhGBrrWgfIHsC^s!a&+A^XZ{BVa;oWEX|Gc7r0Na3Ip=|F*j1>^hyni3wW*FkZG57=o;PCz7? zZ=nj(sUO5kc4BABg2yXCIKC)<)EePu>!SjF7ImP|^zI4F^z}u(aePKuT7sK7Je5O| z%1_`G7B3HFI-?@OUOEbHIZ$7L+sTQWRhu=H*9>JE;}Z{N&`rZXxwze2ynedGxx-JX@Hik-MN(+z#e~d6 z%UOdHy!hnd_V)enKHRP?ds>5Cj0Yv%l-m-xr(W0B6$jO(fEQA10_`8mVub?p50X#J zbZRSzS~O;2VZaWa8e!mf=ES~bzIVD7v$2R;_g4tV9&H@g$f zy$=BdiszF8*#H(V_{>%iJ&zF!Yzh=_#)lW;J-CzlIg6p3Ps`+dr(43)|` z6c!nDH98KLhEvF&115f~P)?~xyM6F-XE&)a?QzWd#85>p6(tT?*s3U2^*3h+U44#T z!!a`Wh&nU*0fNC-MDL-2KqfJRi^SDkA9LOCf++~I$q)@(XRrWwf$N_QKC-KVD;oi$UUNyd1ewRnAS}g^#P^& zyk1+pO?{@mi;U`=lbh;mvfntS@b;WrM*KE&*x3T;PBM%wX-VeSgv=M^k+cu=gJ_~Y zpty7GqB9eua-z4Wu9M0O)So>Xa}kij∾DTZPz};%{O-6Em+*q~|B4BniCKt|w5q zD+C}wQ{ahKY?|Xr`6BuItS8P2x@vX?z9Kt2#rG`qDcOhlO%KSqQm&1wZEJ${s1-^1 zIqa!fU3e^ua)RZkSYu#UGxkv0D=iN-(}ov)QSy(-mo4Z$*;ojTcoS{NS+9Z#_5gk) zEh9||hDOLqZ4ni4ko$PQezzJQFv!3PLZSfi5g2@A(P|<3S(vA1Olp#{!iaMGAvvM= zG0F2+5`*fVu)x%w1WC}$k)5H%;)IGlgKCrJ*bEB=ZGf%f;Y?Z9O#(3z9EmpRHhj&t z^m{2Klo_5k)gnhi=@iUKRDtkbbNc-B-pP1VKZ|ArMIc?&@wgJc0>;F}K$GLYOpI|A zxndt6k|~FPvm#DVE=%!sRlA#jXZ@)o1X;E^v74Ky;s{~R(ACxqEb)#& z%u7NNaS32d^FTh$9YfD~1Cz1ahaA*dk=DV#!qb=CEMt>m6=j2}>+N(RSTD9y793?C zg#$|uR%YlV%OehmIpzG%+Aort=SXyeoWgm+Ot}jSKret>kRAIy6i;HAl{& zY5|ai=&e#HHdndLozv?eWzzXAT_IXU@o5RGOu*D>PPAZbJfRc3Jov=~e6k~zBojRe z!9f3n9+HJ>eK1I+=hc3ZNrKLhL8bSx-LaHl!H`aIPgvs=lA?VRk5T?jx&YIGUn_-= zu4VLCTjEumGEk4qBxl>9V`IuPrL76M_B@OFyqrQ4Bao>QTAG}9<*afnRc;+}OR*-$ zQ=Y=d!_sbu^lK= z<{GXAIh?`-@{XW5i2#ww5iJCis51~V#^TY=*Ggj-KxF+!h3tz`EBn>SNrS9Rasz+0*qS(o`Z_^{xqP~J+0fAsF0Bzh3(wbvx{8opRM{RF% z8?UA5A$S~F&~e>tfrMvlYkpartbpfPPR^k76lW2#AF@Eur^G%wc%1&H{`$6ea*p^R%L2J@N~KT0Q8V#6d8eM03FC0 z`GIZIfhHWcr~(a(lxf;FRSz@puN4ao9Ff4_2gBJ(B?!1Axt_ji`vZd~HL{6*mKsG{ zyB_K?fKrxo&ItqO8Yx5uXno4>&j?B#1vzP1sVzo738E)o+}>noQ+goliEslPNevsS zQ*Jcig%CAJAQZMG{|B(Zq__rOvZMKg?hw{GozH*b4G5aD7Lxu_-{EcLy(CYi1fEQO zeWc99E5IEnUqB>=PqSA0&?P7f=M0q_AWP@sY|uGm2ZlOjuM|?_7C-t7EB(>uj~?8A z{^-juYQLENbYiM_lCsJGsK1;%UHQ;*hL~FH00|d})qJl|M@V?wFPv3i6C|vu0zh}j zS06xO6)BoNX9zJlC`!-D2dmRd6wlYwpSy=Aij}5qzI`DvxF8GlgVU4qgEvhkw(HJx zRZqX(dU1K+No^e4U^vL)o3ou$)3ddExZasYz0l>xk!+XLr|JH@bGci4EscTIy%j;g>$9ADveseH&M_2dCf+?kS>&;E>-sNd) zvtQoeV73HK&(=nGxDBeUlRh3EzdkrSIeu_*da&Mme)7FFsI=a0e;1Lr{guhBhs)F} z%A0$|a?#G-Z(Le^9_ombdd2?UVmRF7lpT<7bHDk{$+B-BI`Fliof z!y2PNry)MRe6w;CDmJnj#H-gk&yY=GXDeJB2ZmVVQ+MSLPu48d+qQDcgM#!fJn*>%;W5d=O46 z(Rh6FdWWBUba8s|?dtX6$;;&@XBQuSF#q`Y#G(MvrxMcs`QD4qE{{$h9PXT-fBE7! z49BP6M!=1?c+0gLt~xsy?zrKr^V9Reix1*#iiUpok9eNLcvo2g=et(QYUhF!enAvo zbN$2DQ2}Mc4F%W4SZ};Ew?11RmV!_>UTBrx_cY<>JM_ADn2qyr>AQ8aOw7;HVA-GR`hR+O@bFERRNpx88R9n*-GYui1~?t+#Dy8teSY$=>D0{o7sti14`S#Xdhd+g%&k@^kNVg5s@L z-{0GNX2LQRMY^qCkVmHnKXbcH4R&awZ;z|6n{T#LUqupbXch7HE3fSIO;Z?gl+}EL zEXXC7r+e8?*KN&umz#D^t|>%>i^#Sy-gb5JbD8}*97lfRRb>~w!*%1{n>}`v3)hU* z_T`K7i-QZo_In4+!K+=Y`r@hAU7u}Q@b!vEU*6Ds`{4NH#x+`7Z<8>`FR8ifyRiM$ z{momm-NOYp_3-KT7Kylt-CGj)!yd5t>SZ#6*e{2OjzPrdaHuDRh3nR4`<&4XQg zIes1As3;#mLagwZ-{k{1?&0ArrQ)TKx#(e>m?tmKwvGKrFNbp5=uPVU9XzjE{gcdYI~=vsv_Qa@lwZAhuNmnV+VsQUER9oyE?C<<#F9r zlPz=26-(pjnu{|2R@hD7GT3hTdNBR2xnN;QUUSXDHdV}WeR}cW@PHF?3soH!hiT?p0P){UUR`>GLZMEWz2bm^YceSv1P3-q2$9aew)^Q8qVPez|Cx9k`*Nkr= zcr?5ER?Cm4%ROtb;`#>t7mFs0-A%JH`?$Y6X6A-RXfgYyZ&VG~%?-P6myJKWKf7<8 zz>FR7IQyn=)GY5!kDM9iyKk3`oBOco$^Dm$ru>#oLp%GpzkCvo^BxZV z&!#uaH=70L@|(qT;;=O#Jb%vOiz`hwKPPRbZ*$nqRr;twyhOQ?tQe-PDMm zyP?7K>7r}ZZQjtL`>HkTT=K5v&EJmD$E;1mO1jSqv@(8H9vv(6dh}q{`kgLpx4a5m zbho#&DX8@6&$8(f8F=(20IHxw`;xe%hq%OC3P(~eb*Z1whgB08gBZo zG>qjlfY6&D*x6m)>B8=odFlu;PsrECd){E(lmcXxctW!>!t z0DI#t7GHJE&KtVFvFVksyXG0hcNpg@mu$FAA{HC_kY~)k?3!LXaI-JECb{9JRXeS> zO;>a`=>j_&d%pRacB9#n=^{$q-QId(xn(3?IShUbW6f@I%~z#a3yjUK?>}o@j$u*^ z&mkt)PuMkIU)Ox;UCmYR>>1-l0W?t21dxy_b`xIQd;RM40PW&<4`e(1kpZ{+$G^<+ zI#tTYOUmUzI0_DO>s0vp*85wp#6WMAV{1P9>U&Qg)r*He>ively88uQ;aaN;lrU<7 zpSjQ7Eq3bTy~pE6TS6bQM11qnM~EN=S5YPt0sitOh(#{o@%F*dSL?HLxh`?kWooQA z@8N3gU83E+ZeO7?dAIooj*{7Z#Wdgh0)yjIgb(fSjZZ=7ZO?W}+SUNt938w_@3~CQ zWC3-KGKAhTAAPX(O0WH<2HW}B?&{)OQO>gqhtph~`Bc7Zh$Vhg_MyHNB5*qOz{Ct~ z9lqASlQ7eMm(kLGN0;3_yEwnN+}}5itJPnA@ND(?(f0Gz%D9{fBn~Adj#TJV!~sAd z$EIcg?_Hdn36EU9+1fqf5g>c)-OAw*n=e9_IX=MSIRhLG;re|C@2ppQmq$n6YBKw4 z0LSK+UcNqB?VTRnQcF6&zA6;bH>+uA$+WU?Q!|`45QqYx9gQ&@x?=V4+qDXH(y*Hy%6zO+$yW`NO$J9nms9B z=lP4TZGvyzuF1dEk4mcMflxT}I}^56_M- zzQPMQ!=u=_I5%&83vVhvR)>cM=)KkZi@)A0TmUt#Ty^W0Zr%E&^Mj+;xAt}pa4df5 z^{xRuJHK^8gxJ~Xm<92o%)$ZkO)Ayx?)8eO3 zHnor()$Ta7kcQMg+0^3BfSs0J4=oD5cKv%`Fi&?JS~#Ng#%D+eZ{H6sY|!?}re4`% z?fapHG9K-dO)VUN)4m^CHD$8?5UetBtN?LVQ3)- zr+u=q#cYO$7H^qB+5giWhZYhbZ+r%#ZTo&`fvw*@+0?5PXuH@mpr3JOy z-g9`yomoFO^{Ssi<=StYj~p=EKjY>ZRC{B#CWc<+u%_$h1EY2J{m|mxjWg&F-Py$yg=BV@=-^1C~?faou zOqKS@re{b)Xx|SlWL>mRHneb($@G0`;jF~zlT9s{T2bEdDDkZkE(CGWt1J zVrY8mhF;lH)AyxUmfG~mrWW(}99qohbW@9*0bbYk&|*HPn_9@s>RK?E+b5e^^wP&s zRyV&#ibb_MmR_kgF@3V(8AEhzW@X@)-@HmZ7^7AD$sC?4~zY0cZMtXfa;}o1WqP+;+#I#ancgN;rLss^K9CgI84D zr1n9Ogvm`}sSLNs_j#z}M#r08*Fs(M(#@$wHHoilk&+u(BvXrO;9l1vg{7A*>V~65Dyblah^)Awbplt7w3+0>#c`1a;bTe-PKT{cq-2cfk$ z+w_dOY^D}fVO;m-RWVL2a*|vf?+wq8A2@wq-dxqO>61+@ZufJpK*r52>V|5)Y6|0; z_qYo&YP}wMRZZsWT0o+@7D>Rq>CLM+YQ2(wd1DL34W{o)uN2mqKG`rxQmecp8SN96nY?LmWT2x1P>QyzFuj^HHqox*Bja}EGnpdrbgE*#sZs?T*s;2MD zd!*7%8}Cgms(Cd%!>PtMwx}lc)S?1G*Sv=U3)3BkURB5Gx)vYxt6gP;=^h*2LlMd8 z`|=DW+@?=9wYc5SdCC#r^yVK|L#DmCnqW7#sHV--qMGH`yt(}A>5jvD#4KNZ{_Osf zhpUHQdf!gs3%>W+vjETMCpB!a`s`P~xc}r4D$wfrlLzevQP&NI z7U-LU%_R?RYxUj7Uw(T3@#@RZKi_`%eD(bPr;i`5w)VH7^gCyd&WB`&k=t32`^q01 zND40>oE)EDJTLUja1j8{4Vn(L?CCPaj!#N4%~aU>s)$Loi zZ{6Jrdc2#gD|N1p0purgb>2v++&hQ3AIn!wH~=DizV%t6B?pJ#Y57_QYCx~gj$qaj zqLTVM938TPV(*o!LH5t&13uG5!GiiGi^xz|Il>k zttTtLzT8_qeDNF4@05v5j_~T@L?iYZJPb3oY~_WqE&?{;iAsd@#gf%*w759+|us$(pu=bpC6hgyZ#D$yZyQo|MpI zeVxU;j=W_wmV=9@4?exWm6NfzpSnxcTxIw?P7dSx7`J%fESGiOLXnF($P^249LT8L zoduw0?dtwOqpEbK8ekbGpe*&e4lIF_%a^Y*{pY6t)DpkgU#_vf#7ixwn3pKI>T1;U`_Dexe)Pmhoepbsb@ol!*BQ!X?;_x`ip9B-gFfVU?j4+$ zg!KE{kDqPrfA;M$HqY)WUyfVjcE2^;GJz*JlqhkF~3dCHf#MG>hQbxS{b z^3Js{i}gir)G6My073yI69u9&yB7z$t7A_bK8(0=>tqLCx%I%m$Yhqx+EU-K+-b=F zEQFkLQmksaC$FX67m1R$f4)9CO-ObtF~SEeQ*a@-NBZj&V%u6Ngm$rWr5l+Ew5^#G zeEiI3N8b*@@SQeMHp7C?S*fSvxClwE_AXwp5(_+18|&qFOC5qO;Zt;)Bww9{s$cU_ zETI{R+?pymR}@WV>JdfsXeb?%RZ24?XTY*7IqJ*V9j9w?yOC+BXUZFpE;0>73YYD! zY`K!JKcp`#7vh(L0xaJz@3HCGBYSTlYDmP?Q`jJFvZ_iT-|`_eYAikLxU87v^HR&> z{DLwZ&KkB?OTzSY(Ve20iX@RRxqA>sz?S07gDl#rdznlbDqa>z>{+|7y3uI@ozfE` z9}SO^+4;_^WkxyKoK!olfyYAKooHPlWfW;t_DiYKNn)R)0F0`pBzZgSTp5AIU}xxL z2S>d7mEmwzxR=EeLQ5{1h(+l^4R2qZoE(-jMIHFO|JVr?+g~xDzJ5Na`AEvWSMmr; zQX|D@gDyI;>FL7$N`9>0oQnGkP20AYMB6Bo(+j+mi=F-O4J5lCpU$TBmRci20g36u zSXxJ`RXQ!NB^mGfer4+q5-3EbCa>=@1JBNCKIWM-%vB&wVT6i4rIIyue@F9!AY_j zWc#342763hmEEt;8RoB0jY47}9F1~n*o=C2HEhXTr+!G5))B>|cuV$Qvm>8<{ygEQ z!GIf>QON;%mzU^SWT)#FhhNk3-+%x4&cR`f9hG5Fc3z%tEo;6k*L}pM0lZ*698Dsx zzC`pb=jGtG6}DT~{hihA_m>NNH6H7ZjAC0Jzh+~`B6;w1ypN?e*5g<{JenhqsE>;& zN&u+oprlSVgK8$Liyy@(h<&I~#K*R%5{@b`cdGMn~rZODE=FAql%H(d=y9s?v2L`hq8ir2^46t5(DEZkQ;+w%N&-WW_wdzK{dbs{Ih29U2KHE9?jE7dF%4xA2 zOYPR(vu{t{UpY=kMbn(4yHXkT&fP4&I_3*wwWem69{jl%wGLLqa+NUqd+|DG`%c;C z&po!iw;5_HUfoVt)zn5aWVkUSly6rj>dvZL0@XTQ%~`XH@yp09McL0ZhjR%watZTx zVMtRKHE>VvglN*Vzi<*@1CXTiVaj8lQ@Bcb8BI7P^1~ng*n~qfVyk)#R%7x^^s}5G7bI8$-4(UTP*8Q zNnhPdTjz&RilwNjV&eGK!8bpudkL|%+f3)idvEpX{G^x+gM(INNI=RI@?$-Wvbe~O zxlSoo_p=c-=`mEVKZ9$BS&fNPrhkTDl=7lmy93qwU#o(H zG1)IpI(ug*k+vM9ETrATuP;DD1=IVU*GrwF_li?6e_(GhX5*jl?v$!~PtIRT;kVQZ zYq(;qRSiD{5p{su{m(vo26Ky<7x@~XrN89K!~5Gmc=qs#f|Cru7?|+t)1jQ22^%!T z;0Sw%Z+4*ahwA@co`S0>`HM5QGt?{9o$jB3xC85u6QkP zSlOc_ied!EF}V{Hd8y6oq2OJ?=f=@$Hjd3bVndS$pLIN80Q2!pKbviv>5BvZWarHM zrUsvQ$=>~WxwoYRouxImsoyy3QA}l!A3c3uRwLEph_WK)N;UYc)y0uooLiIkJwtE0 z75?DM$B*wnfB0@HB`+9(H`BXgs!X-^zCBnUcH7w@ZEtprSVaADG1W%WYdYM!F0(9~ zf~*iU!KQ#KnTWD_i}(HdV82YpyZ8SFVU(vP<-#UrF}yneZfvlG=4wYTkK^Fgmw5W@ z(N`I|)01!NM?*Y|JUuIk`<8C z6%qLvBRwYCgBM?0$6uaad~pJif2e@hb{WV4lZxV-*Yuj!<(M^F2gasrxDfw%=^op{ z3sDg8rSEp1qbq1vygwx&=2<{%v zY%KoA3aDFe)8?qFL2|(@IK5O2cD3}YBhe;_(44$zi-Z7*+t7w=snVhcmRe||r&MJ} z0c_psTEMoS_baAni_Ev^)!v~_bofHi9X52EmJw|_N-fZLGMuZF7Xhjp|HZ}0!6J4U z12F-t{rid~AMZW<^zkph`^~2TpY-T!f;XPQun9|NuTi;i>IUOz!&@d@aBHX?yj-c! z$Bm16CxyM`z`BkFwQ$nv~Sb!4X+~ z@8EE?VhqM~i#xuGyoHZ6@Ttf8-f5x7RTy^hi0w?$W)!WL$5%ybCQ4lK;&SKk{?oxg z?H9~2uajDRr`}AWC<3P-heP~#Ts_NqAp9nGxmbfgeDbU;h$}k3Y5cyM)re3$#9n)J z{v?^YUk#Sl6gSIbvgh`|MIg$Sbg3>KV$c* z4b$nO(RZ3|bVs#XAjBd@XYL(R-Bbhj%`hBZsvMnQDN3s{5Gg z0SK{#N+`MaXuHD`5*x~Pdw&QJm9_S4>-;slXM0wzb21udaojF8Rt4l9C;S}nlc_6L zR|?fqpZ2maFEJEk;sC^JehR}~7q596wz~V`^OLgx15F-&aq{`$&dblwc4^FaQ%?mn zV&-fpDBGa1GL~2PAIx+j9W3)=F!w>jMt}ot9?|eu}VVS17&$d{+04Xbt9RP!O}Q? z{oQdpevUp_h(P^_W>J)&2cpNxS!~x(?{){)La-hxXW73dlX@(&S|LCB{-;0s%FyRn zkGS{Po7p7=S_!d;kCAYH5g89oUI*J!lkJmB z&N%jcEb@^##Z_W{)sg8$T-|F&N?;s>ic*!!rt#oHFXZ8GULL?g$M?R2#<>X1(PCHV z1!iEAukX@}lf&h3R&yxKr`R>CKu#d_V-7d0z4E zt6+TUHLSwei%qt{nj0+Dci$j`@v;Qn$8tVPcYQdvt>Ds^?CzJ(3)kh*@!tB)Vo(cK zT^Iq0xW2Ox8~U-Z&zkDWx-X`oUmjeZZQI9azAxiX?r-E#z9qC=WP7tNveu&hr{G5i znmvE`_~FyL@(M1&KP@1qHmm zV^Nk12O7X`Uw$?V%shTJ=n6}9pJ2vIH;@Di}OOlEVQG+AK_g(7N9sG3k$@itxT{D;oLmVI@uj0(ssGF zU`hGHV=r~B%9=4=P#45PM8$F_frN3==U)y{G~m#cw|7U&^8DK)>L^^BO)DmSDwX1| zSm58BUG-!7J52j+()?Mb+fPF$B}LAc^KsqKEkhBHp58B~>g=yiW?0xJY%uz1EVnsW zOJxQ1z1+ZU$#zYgYNCc$9k6?TSvGQ6yeDS|&I=jDhS3}sies$UkgKy)A38c8ScR3- zHIz<=6^ow2J4-rUPfV#GmML?Bo35-(M^`_=;@6H?{yQ@ z8QB@W!<`>}`)-nXldUwLqkMlg>RitkTCSjX8kA=9oF8wN>~oNjM*L>$`(Hfy^0O~~ z@FY6%i~MKazhaq<)Rm%juk5s(5Vn6Z_4LlIJ4;7pinGz2Y>3)*XwV`0-DSFF7PM&FpP~cF0xp=u>lrRWh2mfMGajZAN(eq z+xV9kh-b)VI^Qa^%3_%c!b-si*$UeA`ODM6g4z21gYC~gFF6p!5O{U)f-P`X?2S4V zmrp+a{Qa#|JU{Pux;7{0W4vmV8;+wv{VnTeAfi|{@RVUjvSVN@N8v9U0sOPUE8(7> zue|`=TdDl1?p&1QNUR>D9y?`$0?$a@RU3{hj{+I_tkAs2^)OdIBNsh}%+hc5sAtaUccDnO&J=8CI z7dtL%XHsUN!|gvhhl-UPmF>9IgXa|+vXS0PAg(jPn;+0`$ivr%3iM-RM>=QS)p4$7 zUBR!*s(b!JX7Zy-APB+K@ZUe)n_B=2d(oD>_O=DxekZA!MYZ4yis_ubYzHMS_u&*A zc}Hq-?jBfZvpy?~juA_WZ4>JMmMq6#Ig__iHW8t5 zvOknj9A!jCq?qAxvE6k;zFUz%)QY?pz}hBWNOs52h5P3@SahbVmM-#jK5B7Lv1bpr zp9Y|K^t8yqG23Qqdp#2DigtNinl;$_7dW2!O6QN{?&L^~W` zxm?o`8++dx0}+F7);nJ>bTXlHad{=1bToh@T#U&PL(Rr%%+5s|7Sowkw421$aA-77 z&z~fh>8pzu0v6?MM$kek+*|_P2Va(?+#y!He z8FWLW&7ffxQ<|-J)%n|KaJ-Ws&dn?GR?Es#ue-0l9 zIVd@Z~&qWtDNOgenHwgp6=kU;bTrB zwq@C)S)vO}Adjh*NBy#D&Ec63K4n}k5hi)<59g-O;%!@&Zm|%?8B zSJei0djj~@Er3PNn7&0m4nM^;hTn|E`s{S;mhi~>*8NW({iPUc!(T6tFK?B@VE+=^ z{Z{_6>|br&BG27#`01(Tn`&s!|5*7h>N5?--%bxNwr)i{Z`~T`fCI!3yVWXOS9pfRkZ9aC69~p8L^2%XRD2*tB!Z7pNzMeePIX?p!Y6r<*@3lTWhrBQWrQZ zllGT)Qipl{CI=~voZed(<+-;m;8?>e49S&SrC;^;-`I7RT$mTv*D^>^e(os#l>gi+ zV=&w-_ZnZg4gt!k``f1FJmp(OZ5{7#{r~ju-*KOR!T)}4yx-~1eNuiq9Y6SY$It7J zTs!~w@A>0j@xR*sZ~WXR{@U958{-GxDSu7>`4^{O%Wv=d@4Wr*{@f?!^WPoY|NG^y z=|6q@&wTZN|H+@6)aU>7=RPSH|8)G|fBLt}pIiUZ@MG)e$Dj4b7k>HI{I9nE?LYTP z`Rcd-T=}u}3*Q}n{>y&k-D~?lKYYCPm7jmg|7!c6{FP72H$V9+<;T{q{YS&k`rm&1 z{u5vP$*lkX{O^2HF8$|!XK3+%j2*B2ug|GJzjoRGGi(3He|KpA$A5Qd{|n;-)BoI~ z_J8MzPsjF8e{ZaDF`82_w4|Ld;(PygVPa>Y;oV7Tyq{r#-Y>0fPEKlyL`GJpP0e*C@i)lYsryy@eA zt6n+$?A!lt*Z!aU&7u82`I|%g-&r}y%-c=>zBBz=e*542H&@j5zw_h2Tt5GuAOGp{bLd6+b>rXvyHDrs z|K8aC?~Uz$I5pka{{QOQ|NLJap8xZI_1&KTXFPoV{9hQ`|H9Zl-_8H^fB%K9{huA% z|Jkwq-tBqoVXw?T`NgjNo8KGy|K|6G{{LrxdilhDJa2dH|LNcV<#PLf`u8K%wzl$q z^MBo+Tc3^X|J1lJ-uuHy6#MWGhxWfXZ|wJe{rtp*Lj_pr}*J*d@+kapC zejh8r%QY*uFkz{JP1n`uB0y z{W> z4(e~PMKN{Nq`ac@lzv<@xJM+%? z{cmfZd)4>wf&df=Pob*>d*giY~NkB^&kGlq5skE^MAEn{Vabk-*EWmuZ`{h@h=T6 z@*e*KVP5-R^>5^R9lN3B@ZVqm{=Z&+`45hkPh9@BwH5WWsr`TPKWA5NZT&&lp!;*{ F{{^KB{~!PW literal 0 HcmV?d00001 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.daidir_complete b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.daidir_complete new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.normal_done b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.normal_done new file mode 100644 index 0000000..e69de29 diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.vcs.timestamp b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.vcs.timestamp new file mode 100644 index 0000000..c36b2d9 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/.vcs.timestamp @@ -0,0 +1,937 @@ +613 +0 uvm_macros.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_macros.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_macros.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_macros.svh +0 macros/uvm_version_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_version_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_version_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_version_defines.svh +0 macros/uvm_global_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_global_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_global_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_global_defines.svh +0 macros/uvm_message_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_message_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_message_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_message_defines.svh +0 macros/uvm_phase_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_phase_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_phase_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_phase_defines.svh +0 macros/uvm_object_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_object_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_object_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_object_defines.svh +0 macros/uvm_printer_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_printer_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_printer_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_printer_defines.svh +0 macros/uvm_tlm_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_tlm_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_tlm_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_tlm_defines.svh +0 tlm1/uvm_tlm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_imps.svh +0 macros/uvm_sequence_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_sequence_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_sequence_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_sequence_defines.svh +0 macros/uvm_callback_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_callback_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_callback_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_callback_defines.svh +0 macros/uvm_reg_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_reg_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_reg_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_reg_defines.svh +0 macros/uvm_deprecated_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_deprecated_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_deprecated_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_deprecated_defines.svh +0 dpi/uvm_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_dpi.svh +0 dpi/uvm_hdl.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_hdl.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_hdl.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_hdl.svh +0 dpi/uvm_svcmd_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_svcmd_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_svcmd_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_svcmd_dpi.svh +0 dpi/uvm_regex.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_regex.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_regex.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_regex.svh +0 base/uvm_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_base.svh +0 base/uvm_coreservice.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_coreservice.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_coreservice.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_coreservice.svh +0 base/uvm_version.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_version.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_version.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_version.svh +0 base/uvm_object_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_object_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_object_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_object_globals.svh +0 base/uvm_misc.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_misc.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_misc.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_misc.svh +0 base/uvm_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_object.svh +0 base/uvm_pool.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_pool.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_pool.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_pool.svh +0 base/uvm_queue.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_queue.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_queue.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_queue.svh +0 base/uvm_factory.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_factory.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_factory.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_factory.svh +0 base/uvm_registry.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_registry.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_registry.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_registry.svh +0 base/uvm_spell_chkr.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_spell_chkr.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_spell_chkr.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_spell_chkr.svh +0 base/uvm_resource.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource.svh +0 base/uvm_resource_specializations.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource_specializations.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource_specializations.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource_specializations.svh +0 base/uvm_resource_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource_db.svh +0 base/uvm_config_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_config_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_config_db.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_config_db.svh +0 base/uvm_printer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_printer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_printer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_printer.svh +0 base/uvm_comparer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_comparer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_comparer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_comparer.svh +0 base/uvm_packer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_packer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_packer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_packer.svh +0 base/uvm_links.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_links.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_links.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_links.svh +0 base/uvm_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_tr_database.svh +0 base/uvm_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_tr_stream.svh +0 base/uvm_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_recorder.svh +0 base/uvm_event_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_event_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_event_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_event_callback.svh +0 base/uvm_event.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_event.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_event.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_event.svh +0 base/uvm_barrier.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_barrier.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_barrier.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_barrier.svh +0 base/uvm_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_callback.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_callback.svh +0 base/uvm_report_message.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_message.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_message.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_message.svh +0 base/uvm_report_catcher.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_catcher.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_catcher.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_catcher.svh +0 base/uvm_report_server.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_server.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_server.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_server.svh +0 base/uvm_report_handler.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_handler.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_handler.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_handler.svh +0 base/uvm_report_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_object.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_object.svh +0 base/uvm_transaction.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_transaction.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_transaction.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_transaction.svh +0 base/uvm_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_phase.svh +0 base/uvm_domain.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_domain.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_domain.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_domain.svh +0 base/uvm_bottomup_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_bottomup_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_bottomup_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_bottomup_phase.svh +0 base/uvm_topdown_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_topdown_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_topdown_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_topdown_phase.svh +0 base/uvm_task_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_task_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_task_phase.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_task_phase.svh +0 base/uvm_common_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_common_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_common_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_common_phases.svh +0 base/uvm_runtime_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_runtime_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_runtime_phases.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_runtime_phases.svh +0 base/uvm_component.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_component.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_component.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_component.svh +0 base/uvm_root.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_root.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_root.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_root.svh +0 base/uvm_objection.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_objection.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_objection.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_objection.svh +0 base/uvm_heartbeat.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_heartbeat.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_heartbeat.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_heartbeat.svh +0 base/uvm_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_globals.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_globals.svh +0 base/uvm_cmdline_processor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_cmdline_processor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_cmdline_processor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_cmdline_processor.svh +0 base/uvm_traversal.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_traversal.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_traversal.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_traversal.svh +0 dap/uvm_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_dap.svh +0 dap/uvm_set_get_dap_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_set_get_dap_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_set_get_dap_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_set_get_dap_base.svh +0 dap/uvm_simple_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_simple_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_simple_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_simple_lock_dap.svh +0 dap/uvm_get_to_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_get_to_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_get_to_lock_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_get_to_lock_dap.svh +0 dap/uvm_set_before_get_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_set_before_get_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_set_before_get_dap.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_set_before_get_dap.svh +0 tlm1/uvm_tlm.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm.svh +0 tlm1/uvm_tlm_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_ifs.svh +0 tlm1/uvm_sqr_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_sqr_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_sqr_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_sqr_ifs.svh +0 base/uvm_port_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_port_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_port_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_port_base.svh +0 tlm1/uvm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_imps.svh +0 tlm1/uvm_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_ports.svh +0 tlm1/uvm_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_exports.svh +0 tlm1/uvm_analysis_port.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_analysis_port.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_analysis_port.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_analysis_port.svh +0 tlm1/uvm_tlm_fifo_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_fifo_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_fifo_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_fifo_base.svh +0 tlm1/uvm_tlm_fifos.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_fifos.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_fifos.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_fifos.svh +0 tlm1/uvm_tlm_req_rsp.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_req_rsp.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_req_rsp.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_req_rsp.svh +0 tlm1/uvm_sqr_connections.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_sqr_connections.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_sqr_connections.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_sqr_connections.svh +0 comps/uvm_comps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_comps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_comps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_comps.svh +0 comps/uvm_pair.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_pair.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_pair.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_pair.svh +0 comps/uvm_policies.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_policies.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_policies.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_policies.svh +0 comps/uvm_in_order_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_in_order_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_in_order_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_in_order_comparator.svh +0 comps/uvm_algorithmic_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_algorithmic_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_algorithmic_comparator.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_algorithmic_comparator.svh +0 comps/uvm_random_stimulus.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_random_stimulus.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_random_stimulus.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_random_stimulus.svh +0 comps/uvm_subscriber.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_subscriber.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_subscriber.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_subscriber.svh +0 comps/uvm_monitor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_monitor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_monitor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_monitor.svh +0 comps/uvm_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_driver.svh +0 comps/uvm_push_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_push_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_push_driver.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_push_driver.svh +0 comps/uvm_scoreboard.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_scoreboard.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_scoreboard.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_scoreboard.svh +0 comps/uvm_agent.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_agent.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_agent.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_agent.svh +0 comps/uvm_env.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_env.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_env.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_env.svh +0 comps/uvm_test.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_test.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_test.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_test.svh +0 seq/uvm_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_seq.svh +0 seq/uvm_sequence_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_item.svh +0 seq/uvm_sequencer_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_base.svh +0 seq/uvm_sequencer_analysis_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_analysis_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_analysis_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_analysis_fifo.svh +0 seq/uvm_sequencer_param_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_param_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_param_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_param_base.svh +0 seq/uvm_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer.svh +0 seq/uvm_push_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_push_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_push_sequencer.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_push_sequencer.svh +0 seq/uvm_sequence_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_base.svh +0 seq/uvm_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence.svh +0 seq/uvm_sequence_library.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_library.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_library.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_library.svh +0 seq/uvm_sequence_builtin.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_builtin.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_builtin.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_builtin.svh +0 tlm2/uvm_tlm2.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2.svh +0 tlm2/uvm_tlm2_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_defines.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_defines.svh +0 tlm2/uvm_tlm2_time.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_time.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_time.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_time.svh +0 tlm2/uvm_tlm2_generic_payload.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_generic_payload.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_generic_payload.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_generic_payload.svh +0 tlm2/uvm_tlm2_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_ifs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_ifs.svh +0 tlm2/uvm_tlm2_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_imps.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_imps.svh +0 tlm2/uvm_tlm2_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_ports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_ports.svh +0 tlm2/uvm_tlm2_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_exports.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_exports.svh +0 tlm2/uvm_tlm2_sockets_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_sockets_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_sockets_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_sockets_base.svh +0 tlm2/uvm_tlm2_sockets.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_sockets.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_sockets.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_sockets.svh +0 reg/uvm_reg_model.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_model.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_model.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_model.svh +0 reg/uvm_reg_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_item.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_item.svh +0 reg/uvm_reg_adapter.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_adapter.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_adapter.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_adapter.svh +0 reg/uvm_reg_predictor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_predictor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_predictor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_predictor.svh +0 reg/uvm_reg_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_sequence.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_sequence.svh +0 reg/uvm_reg_cbs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_cbs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_cbs.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_cbs.svh +0 reg/uvm_reg_backdoor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_backdoor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_backdoor.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_backdoor.svh +0 reg/uvm_reg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_field.svh +0 reg/uvm_vreg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_vreg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_vreg_field.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_vreg_field.svh +0 reg/uvm_reg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg.svh +0 reg/uvm_reg_indirect.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_indirect.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_indirect.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_indirect.svh +0 reg/uvm_reg_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_fifo.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_fifo.svh +0 reg/uvm_reg_file.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_file.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_file.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_file.svh +0 reg/uvm_mem_mam.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_mem_mam.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_mem_mam.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_mem_mam.svh +0 reg/uvm_vreg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_vreg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_vreg.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_vreg.svh +0 reg/uvm_mem.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_mem.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_mem.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_mem.svh +0 reg/uvm_reg_map.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_map.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_map.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_map.svh +0 reg/uvm_reg_block.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_block.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_block.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_block.svh +0 reg/sequences/uvm_reg_hw_reset_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_hw_reset_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_hw_reset_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_hw_reset_seq.svh +0 reg/sequences/uvm_reg_bit_bash_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_bit_bash_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_bit_bash_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_bit_bash_seq.svh +0 reg/sequences/uvm_mem_walk_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_mem_walk_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_mem_walk_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_mem_walk_seq.svh +0 reg/sequences/uvm_mem_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_mem_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_mem_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_mem_access_seq.svh +0 reg/sequences/uvm_reg_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_access_seq.svh +0 reg/sequences/uvm_reg_mem_shared_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_shared_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_shared_access_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_shared_access_seq.svh +0 reg/sequences/uvm_reg_mem_built_in_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_built_in_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_built_in_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_built_in_seq.svh +0 reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +0 reg/snps_uvm_reg_bank.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/snps_uvm_reg_bank.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/snps_uvm_reg_bank.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/snps_uvm_reg_bank.svh +0 msglog.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/msglog.svh +0 uvm_msglog_report_server.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_msglog_report_server.sv +0 uvm_vcs_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_recorder.svh +0 uvm_vcs_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_tr_database.svh +0 uvm_vcs_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_tr_stream.svh +0 uvm_vcs_record_interface.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_record_interface.sv +0 uvm_verdi_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_recorder.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_recorder.svh +0 uvm_verdi_pli_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_pli_base.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_pli_base.svh +0 uvm_verdi_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_tr_database.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_tr_database.svh +0 uvm_verdi_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_tr_stream.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_tr_stream.svh +0 uvm_verdi_reg_map_recording.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_reg_map_recording.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_reg_map_recording.sv +0 uvm_verdi_message_catcher.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_message_catcher.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_message_catcher.svh +0 uvm_verdi_factory.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_factory.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_factory.svh +0 ./dpi/uvm_verdi_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/./dpi/uvm_verdi_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/./dpi/uvm_verdi_dpi.svh +0 uvm_verdi_reg_recording.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_reg_recording.sv +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_reg_recording.sv +0 verdi_trans_recorder_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/verdi_trans_recorder_dpi.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/verdi_trans_recorder_dpi.svh +0 uvm_verdi_pli.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_pli.svh +0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_pli.svh +45 ++define+FSDB ++define+UVM_VCS_RECORD ++define+UVM_VERDI_VIF_RECORD ++incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/ ++incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs ++incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi ++itf+/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab ++vcs+loopreport+1000 ++vcsd1 ++vpi +-Mamsrun= +-Masflags= +-Mcc=gcc +-Mcfl= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include +-Mcplusplus=g++ +-Mcrt0= +-Mcrtn= +-Mcsrc=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp +-Mexternalobj= +-Mldflags= -rdynamic +-Mobjects= /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so +-Mout=simv +-Msaverestoreobj=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o +-Msyslibs=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl +-Mvcsaceobjs= +-Mxcflags= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include +-P +-debug_acc+all +-debug_acc+fsdb +-debug_region+cell+encrypt +-f files.f +-fsdb +-full64 +-gen_obj +-l +-ntb_opts +-picarchive +-sverilog +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv +/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcs1 +/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab +com.log +uvm-1.2 +96 +sysc_uni_pwd=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir +starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1 +XMODIFIERS=@im=ibus +XDG_SESSION_ID=c10 +XDG_RUNTIME_DIR=/run/user/1004 +XDG_MENU_PREFIX=gnome- +XDG_CURRENT_DESKTOP=GNOME +W3264_NO_HOST_CHECK=1 +VTE_VERSION=5204 +VNCDESKTOP=cryo1:4 (yzzhang) +VMR_MODE_FLAG=64 +VERDI_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +VENDOR=unknown +VCS_UVM_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2 +VCS_MX_HOME_INTERNAL=1 +VCS_MODE_FLAG=64 +VCS_LOG_FILE=com.log +VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2 +VCS_DEPTH=0 +VCS_ARG_ADDED_FOR_TMP=1 +VCS_ARCH=linux64 +UNAME=/bin/uname +TXS_HOME=/opt/synopsys/txs/O-2018.06-SP1 +TOOL_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64 +SYN_HOME=/opt/synopsys/syn/O-2018.06-SP1 +SYNOPSYS=/opt/synopsys +SSH_AUTH_SOCK=/run/user/1004/keyring/ssh +SSH_AGENT_PID=5490 +SPYGLASS_HOME=/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME +SPECTRE_HOME=/opt/cadence/SPECTRE181 +SPECTRE_DEFAULTS=-E +SESSION_MANAGER=local/unix:@/tmp/.ICE-unix/4486,unix/unix:/tmp/.ICE-unix/4486 +SCRNAME=vcs +SCRIPT_NAME=vcs +SCL_HOME=/opt/synopsys/scl/2018.06 +QT_IM_MODULE=ibus +QT_GRAPHICSSYSTEM_CHECKED=1 +QTLIB=/usr/lib64/qt-3.3/lib +QTINC=/usr/lib64/qt-3.3/include +QTDIR=/usr/lib64/qt-3.3 +PWR_HOME=/opt/synopsys/pwr/O-2018.06-SP3 +PT_HOME=/opt/synopsys/pts/O-2018.06-SP1 +OVA_UUM=0 +OSTYPE=linux +OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x +NOVAS_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2 +MOZILLA_HOME=/usr/bin/firefox +MGLS_LICENSE_FILE=/opt/mentor/license/license.dat +MGC_PDF_REDER=evince +MGC_LIB_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/lib +MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1 +MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1 +MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1 +MFLAGS= +MENTOR_HOME=/opt/mentor +MAKELEVEL=1 +MAKEFLAGS= +LESSOPEN=||/usr/bin/lesspipe.sh %s +LC_HOME=/opt/synopsys/lc/O-2018.06-SP1 +LC_ALL=C +INNOVUS_HOME=/opt/cadence/INNOVUS152 +IMSETTINGS_MODULE=none +IMSETTINGS_INTEGRATE_DESKTOP=yes +IDQ_HOME=/opt/synopsys/idq/O-2018.06-SP1 +HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2 +HOSTTYPE=x86_64-linux +GROUP=cryo +GNOME_TERMINAL_SERVICE=:1.160 +GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51 +GNOME_SHELL_SESSION_MODE=classic +GNOME_DESKTOP_SESSION_ID=this-is-deprecated +GENUS_HOME=/opt/cadence/GENUS152 +FM_HOME=/opt/synopsys/fm/O-2018.06-SP1 +DBUS_STARTER_BUS_TYPE=session +DBUS_STARTER_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +DBUS_SESSION_BUS_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce +COLORTERM=truecolor +CDS_SPECTRE_FBENABLE=1 +CDS_SPECTRERF_FBENABLE=1 +CDS_ROOT=/opt/cadence/IC618 +CDS_Netlisting_Mode=Analog +CDS_LOAD_ENV=CWD +CDS_LIC_ONLY=1 +CDS_LIC_FILE=/opt/cadence/license/license.dat +CDS_INST_DIR=/opt/cadence/IC618 +CDS_ENABLE_VMS=1 +CDS_AUTO_64BIT=ALL +CDSROOT=/opt/cadence/IC618 +CDSHOME=/opt/cadence/IC618 +CDSDIR=/opt/cadence/IC618 +CDS=/opt/cadence/IC618 +CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11 +CALIBRE_ENABLE_SKILL_PEXBA_MODE=1 +CADHOME=/opt/cadence +CADENCE_DIR=/opt/cadence/IC618 +0 +169 +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh +1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh +1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh +1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh +1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh +1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh +1730131069 ./hilbert_fir_dpi.sv +1730131059 ./hilbert_fir_dpi_pkg.sv +1730017850 ./tb.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv +1730131038 files.f +1527421819 /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab +1551421246 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab +6 +1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc +1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp +1551422344 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so +1551421792 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so +1551421768 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so +1551421789 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so +1730131081 simv.daidir +-1 partitionlib diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/DPIFuncTaskList b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/DPIFuncTaskList new file mode 100644 index 0000000..0524477 --- /dev/null +++ b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/DPIFuncTaskList @@ -0,0 +1,78 @@ +import_DPI uvm_hdl_check_path uvm_pkg +import_DPI uvm_hdl_deposit uvm_pkg +import_DPI uvm_hdl_force uvm_pkg +import_DPI uvm_hdl_release_and_read uvm_pkg +import_DPI uvm_hdl_release uvm_pkg +import_DPI uvm_hdl_read uvm_pkg +import_DPI uvm_hdl_read_string uvm_pkg +import_DPI uvm_memory_load uvm_pkg +import_DPI uvm_dpi_get_next_arg_c uvm_pkg +import_DPI uvm_dpi_get_tool_name_c uvm_pkg +import_DPI uvm_dpi_get_tool_version_c uvm_pkg +import_DPI uvm_dpi_regcomp uvm_pkg +import_DPI uvm_dpi_regexec uvm_pkg +import_DPI uvm_dpi_regfree uvm_pkg +import_DPI uvm_re_match uvm_pkg +import_DPI uvm_dump_re_cache uvm_pkg +import_DPI uvm_glob_to_re uvm_pkg +export_DPI m__uvm_report_dpi uvm_pkg +import_DPI getenv uvm_custom_install_recording +import_DPI parse_rsrc_msg uvm_custom_install_verdi_recording +import_DPI parse_phase_msg uvm_custom_install_verdi_recording +import_DPI find_substr_by_C uvm_custom_install_verdi_recording +import_DPI verdi_dump_resource_value uvm_custom_install_verdi_recording +import_DPI verdi_dump_component_interface uvm_custom_install_verdi_recording +import_DPI verdi_upper_scope uvm_custom_install_verdi_recording +import_DPI verdi_dhier_interface uvm_custom_install_verdi_recording +import_DPI retrieve_reg_def_class uvm_custom_install_verdi_recording +import_DPI retrieve_def_class uvm_custom_install_verdi_recording +import_DPI record_reg_decl_name uvm_custom_install_verdi_recording +import_DPI check_is_sequencer uvm_custom_install_verdi_recording +import_DPI remove_array_index uvm_custom_install_verdi_recording +export_DPI pli_dhier_begin_event uvm_custom_install_verdi_recording +export_DPI pli_trans_add_class_name_attr uvm_custom_install_verdi_recording +export_DPI pli_trans_add_vif_attr uvm_custom_install_verdi_recording +export_DPI pli_dhier_set_label uvm_custom_install_verdi_recording +export_DPI pli_dhier_add_attribute uvm_custom_install_verdi_recording +export_DPI pli_dhier_add_attribute_int uvm_custom_install_verdi_recording +export_DPI pli_dhier_end_event uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_scope_add_logicvec_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_scope_add_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_scope_add_string_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_scope_add_real_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_scope_add_enum_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_create_stream_begin uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_define_logicvec_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_define_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_define_string_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_define_real_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_define_enum_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_stream_add_logicvec_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_stream_add_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_stream_add_string_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_stream_add_real_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_stream_add_enum_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_create_stream_end uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_begin uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_set_label uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_tag uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_logicvec_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_string_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_real_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_enum_int_attribute uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_logicvec_attribute_with_expected_value uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_int_attribute_with_expected_value uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_string_attribute_with_expected_value uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_real_attribute_with_expected_value uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_enum_int_attribute_with_expected_value uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_end uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_add_relation uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_get_enum_id uvm_custom_install_verdi_recording +import_DPI fsdbTransDPI_get_class_str uvm_custom_install_verdi_recording +import_DPI getenv uvm_custom_install_verdi_recording +import_DPI DPI_hilbert_fir_initialize hilbert_fir_dpi_pkg +import_DPI DPI_hilbert_fir_reset hilbert_fir_dpi_pkg +import_DPI DPI_hilbert_fir hilbert_fir_dpi_pkg +import_DPI DPI_hilbert_fir_terminate hilbert_fir_dpi_pkg +DirectC SdisableFork diff --git a/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/binmap.sdb b/hilbert_dpi/codegen/dll/hilbert_fir/simv.daidir/binmap.sdb new file mode 100644 index 0000000000000000000000000000000000000000..869d4ad4182f033f52abff770def3efbc0e75ca6 GIT binary patch literal 513721 zcmeFa2|Sct`#3&hjCC-U>@xNx+1G3%S&~pxM6wP=h_YlI3T02(*ES`xwJG}+WldR1 zwuDL~Yl#23XOMc|=Xsys|NFl0=l}ivpZR>o-0qqCoO7LXoom0Y14A&>!8TsxU8RIe zWJ1oyt@Zy0V6YBB7>s-t21`Oi_q^dYR#sMna2st58J?B`Ia*t%;VyYv5W^uzYg1bX z2R~U`13$7~{}B#3dUvwv>#K-2lUm2!?Pg z$xAgobbzofnj$HP^%hxOD{`3W7cl%>qlotkynMV zyf43vBcPiKG!?wrlsahY2UBh+wS-V~LnFc0s0Glu1JaXU~^rKiRvPf5>CvPJBXIBS@QYRTvg zjaK!Smc>2Du0=JqC$)qId^*m(3F|%pD+>8Ocdq2CpX%=F9gp*L?(G{uBPdZQYj5~} zVFb;D+1BbPx>Q*+*ZY>jrCQpoQ0w55cvBVW_TOgPWgITUwp|0cNtAFKM2T!z40CS^ zUwAVpINupXhaINIQvEw0?(afuK~6v}Lho!2!g^y6a4?AeHwf!}aAakPlnU&k1We?& zLHxVgQB#07+j*02BRwD~hmqH95y)akFN>JaFkU9?9rj=MPKPp8jTKsJXaF18v8daZ zZgqmM=P|=Ey!nA@-of8S_-8FEGlv(zUkCLlVdWIECc2I0Hup?N3l;n{Q|NT%9jVZ1 zsrQptZblWK7O=pZYnTZi`K^b^B#N!O^A^i(vbq4OL$&8`kkyghNhUEtfX%tta;pd} zi3S?m(SUL?B~7#~qF@Nt@<8;NMh9WDl>TDNC_4{?R5-QGGD3=?5GJM1S@)21SOi;+ z@svgzh7>==I}=zT#OL%|m8n2~unsA6^~PLpCG|Vi!Ab1C2pQuTz$U@>QPq?|SQP0( z*^Gm6_wye+wI_@U>0~ZnAWcA7_vpT)KS4hlX|WJ;6NZeck^@eXfJ?eoEy5d|xLm>D?lDM0>zQO@XpEHRXS%&*2R@V-yB$6lu1a z1QIH3kIjvu-wdW!61}HG>YV;Ikw)npXtg?|b3P_N30xiuaj5lCrnnwi_ndOUjNGXLtH-khvHs+F&eNOGmd0 zW-~@v2J5dfHtKj8>&+=@KBxT~63v?f=1~^1!8`)BaVC69L ze7BV>u=3pKkjEHLK6EA`7hd!rC|D=k*$K0(a^)TJAc}MYg@@M(gm60bjoQa-+k@&d zf=Tf~EaBFG@tFM9`(aB!Xe6aI95I}Qnk;1b~)TjCy z8<79%X`3w+(gL%3pS@<(fy6y12nluW44#I*Cj+t{j?Cs1rsB!=nt9S8XdSAm5O+S$JH@=aMva;P79+mhNb?x`3ANInmeMx#Tn@`8IP-z@s(pLDy+uh#S zHm-IFFTF=fdv7x9j%06uV>Zyd5c-CLzU7Cly|+0f zdXxS)`+;g`VXv(3u~+anB&sY4qg?Z%%bAGULB-V>^pdO|0( zLCk*qZn6Qmp&i z8%SrfMUCKH;8_ul#h@kO5Zb0w*IkCl=R1f?G#o|Oaa+{+_caKlRnz-DK9N?xN6eHW zF{CPyUP>b%uk2aURA6m6EpuUqm*R<8j<#UTUwdU{ zfc7Z?MPNh}u>lh{NH(muU7Ji0^M$SsQ$h&Y_!wcpX$%8^lVg7?ea8t^w$s zASN0HXk{kg#BOk@W==GRT`L0+UN~?Yn{X?+JiCYWAIPPClm;ZTRvkv~N!zZnNV)dj zbtN}PwdQ(Lp%stV4!pLAedwa1w|Ug6`e}!tZO*gQ+u47RIh=PslX~i6MH|j0@zELA z-f^G1tL*P^IUOZFK#==KFb$Y~2U9jinHI0Xq}}GdXShwm@}iy?_7wHe^yhk44qH)E z?KTbJ!d#=L5zRmNae3rPQ&U=6pB;`N_M&ly?k=4gW3=25Zc4B9O_5i$-|5{KI%emnc}5Oj|ClNi zEJ}WcYC{);n1&T%I7SSGio~YSg{OM6u_Xn`%Ai9=OnXifdkZJC5yWIXr{E8Q=}Ac< zdZnVuC(uCcGHieHBrP#0@Bjxl4L6 zdtCpdDPI!D{U|LClgnA`Z(i6~J<-Xu`!(1Ngcb9CSk^1k%d%aa@bM$ z?C!hh3*owx4A6FfWHof1rw01KJ^JHaR&;89Js0yWcM1*%QxCbLlOn^}zS(|wceG*+ zu|Vc%b$y_)bDXP;9&ADbu<0n*H(>(Ugch>r-)QvAR!?; z#3+I<-Jttih%At|q8_eR%dclU&4Z8OE2{))g5y zi{!`~3}j>*x-3|gTlS2pK3s5|D9e#==;{OiQxidB*x&}t5I68-vESJ06vVAA`@EX6 z1`DKdHrY!QmpSVqtl;yuGQ14IuTAmqDEiBNXVwHtBquW8CDIq!x@QP2TCa9#U$Nx5IV^45#DORr7Q#GW34B zk|6H-ImX+3t?KOux-)M}zsPvhyk%+FWLz64p8%n}0n_GCnbxTigruayPq8F>N?@lS z2-hOesce_xKAemjF~xstbf438pKx@K(sfUBbZ^mh?{jp|H&WVI2*1lf@WGqB0h+S7CNs1KPp+^@x&3Jd08NaM1F_PyG@Y4z4Ecjt7LaZb$T4GLOy z$uc7o7CvwIZuwQdS?D}5&@WZ|df=&YlgzwCUI-0?E%f1pDXnwU^Pg4VZ!F45qeJ$!zR1}7EnoImmxQ*V<`(FXo|H+Jy zFJA{oTCMT{ZnOc(uL58+gWWo1AP9gHX^6d?b)grD8+&cFbg^W+Cv-w?3V}rN)MpXEv80M46}1+VGarMLe>P6AQ>6G z@KZeUHpQm&w+HA>K(Z%IJtoharEt8aK+;m>+<9)d52N*MNsG|Pm%!TtKmtJpy+8XA zKZ#_@ie%U)4g-NC|9 z0O|MVnt)MkiWDH2&eW-FS6taeJdi~Y1c?WXvb3%J(3t@~S>Q=4SG zCXd>*Ox^yBT?_9XX{+OF@;fB`eI?V1+>pB`+x^d;EXOJcKYXTiVw*p`OJ zhRROkCX>=p{%2(LLmSfU#uvMU+1Ndf4%q9g&CI26hVl=r9Gj1YW_)YUbz0$MpV5E4Dxazi^mPHvf|3)t zKRB0e`v}RLYpy=JGm0a{BjC;(^$#9zssY$G+7cxnNi}U7r0-`MlWE%Gr0=J?NXp{G zHJ^S&YT7bO&nm5PPmU}MNA)(fr#h2?XG&0CD&@%_1l^3=j4J1%j z5-h9-TmTVj<3)m9zv}sVD3^}7#+z{G?~$>AcV)faJMJ8`M=SpUeg5tj;?CHc1awZ1 zPutsC?kd>E)zF+*AR?PrKAIq0vcG@iL#UeLN{{$DoB` z(>`x^4_lo4OD6WNy&iXkOY+r6m_yZ^CJ)WDKHI+oD4em`EEf0Qr1Su2b4R~d?-v61 z60*Hqo_qqgRzzi%hHvH2YT7<8Wh+13X&BnjY&YJM1F#k7w2~n}gVhVAg^)MTe*De) z-qm3{H-$?>McuIn_{_hK&pCeqpIM{{_{@R;_zam!`NLr(%#ct88~{mId4>gyA}Ik?8$%+XJy-nkT+`<>Twwh#BFWQ;|S z=3!CB2peV1Ig-ss%k^pwa5hXAnB6hDq1(ITrZ;?lN4u7+FFB1kSx3)!`yW0k+Xig4 zFktgfUF2J(J~BvBOku8!MckR4$)yD7-6NaCjeGWq4zc%2FM{($_fW&c1mv(C~X}vgn z;@M#YWA!WKW71>z(qOX?;RafaVi1MFyY^W!6q03-jFiRN#?fWGbdf4|a5d07?cCa- zAGbZkHF^2<&W*(R&m7Y_9OQcWrxyMeQ=*jk1@QR|84bGbfB;1s{cQ@hH%U5_K#{gqQ|za?l*q zA|zg11UH~-m>W!CQ-pw{A(5eqA{$5qXHY;9yw*N~Jz10G{`703Y8~G*x?&iG)jUtC z5?f54xQcrW_|_tJjTG-qn_`Z2$E@K;Ogd((`$#U|t>a~ZzkWm-!6|HkT|$`#k)pGf z>G-?P>$s@xFy*k|Zlp@$rs|daU=?6xqjMF}OYPwx&8(E9yxcw#`(-&six!tjO`hw& zv5VIQ7D3GFuexZ-x^$-BA!R^fjSd~6KYiAWMIi2FyV=2{o>XtRV$emYY$+aDSu%#B z927U7eTDVaP&-=hHMHRw*oSL&mi{_9Iy4Z-B2(hg5*Pa3Y{rcHC0RgGcBka5?#xcj zg~j&Md6b$la^`GH&$>?liNsTSHow5*G9c}5aI<=!`%?Wo0zbVhaGibod^wThldx2xqHx7Cf}n{1D2d_b7pft>TrKWJxu0mdftkRB zz_f4Sr{E6pCA7{@FRKDghDke9P}?^0K)ZI~SvcIcV_T0-A>$tUi4}a1I%c=*D#oSi zpc_0vJ~*PV=>DTwPIrmsszk5HN>i<cDLl3}wC9^#ee2Yj&CXtq&YKD2^LqB( zdVlPh5zUuLT(7x5mK;7b(8aOXayzgpfAC4mN~RrmZ#lEuNS{-&+sLuvNIuv4(JCm1CvJA>G@RderX3z?+?A^6zN=qk>VvTZhmJwcG4LCBbechq zo844EFrS2bM(5y|;#-TM7te0Q@Kp|{A*UoGW(HZ*&PNPNEJ{BfgPG0nPw_4^ZHr#n z+os``=;a#hI&`)Ip6=z%Iwvq1z*0s&Kz_WWThlG9di1HzSL0W{w3p_9iQ&(dW7rn5 z5Bv}J^6VB>;n%(F*HKPWgGGf7?OXg3l#!6ZlLY6GPI@#Wt_U;ZzaI4?hi zgdi=Qf{P8T+_6`R7?i20qpbKU&ZU|#i-x1o)p{n62NBM^YS=uaGP1f~0=)u{lTDaU zxVL}4k+Y{XqBg~23@1pJEj5ckRi1vPx$eoM5#@8=YKjada zp+wDd=2c+uzR5?X=JExfKA6PSDGf8<84e#aHJ4HN(Z5>9;u^OrTCtW}fx9Xf&M+1+ zw=-UG_+k3N;qXUIsAz8zhprV|pyYJ@w?wzP{b}|yi9g(jBOZjwaZX2YeUX|pHf-su zqIVj-rPMm2xawbon;Z&%-yBy*|G84NhQ2$=$GKu*yEfx-KV5guX_?lGK4B$_a_a8U z4x?U+eP#D*a~gD4#7`TDyVYejJEtBo^*s>~#8Rs6t~R)1A?I;W_b6wDl!AA6{`ad9 zjNM(OOkR^LXOdnKRZ9r%{<+fguLLEf4W&n}n0I!+c6@}jPUHTN=aA@1w0A>F&z}fN zamutcAX0@ZKm?y+Zq(6vkdM?Nlzo>X;@b5+ddaESHm)QVd#&PP&zo~PhVQ3)wDeBo zY`@!ff$Wg7+{|HLQ?9~J*z4m8ua>ehI@mm&d;6utzG@ypPH+{9jEZLBWeVVTN#;*S z?)*ObDd#D5q+j9HPBXP0f!am#o*lK`EIsFLXndHdc7ebBdQr5~+M10yo@_43{;_gs z|D;)h|1pJumqqsm_-dP{KKBqr%1u4(kENu``*)l_z#2*?A=9wPYM&q`cBPo%xl2vg zZjrY<%va7w8S!!3YxWrkvMa6|~?@D7@jlDe1K53m%b7*baO>ykT z1v|SCKhL%4Fy=e*3|vKNuAI{`>GO5;uJOAKDTWWE9i?y`W_dg^S0;D9*yjLu4i$gE zzA}TQ({+3k`6k4S8SK}ab(Rg+80;9t}#9m&^UY^=u#uJC-@Ym#Lv-%RQI zO^YT2Eqtgsh0ebLV5MBGO7vofs+?__ab-$&G+%$Une@O@q3-Fah}RyBI-I`qg3+dH zU(})>>$M?fq8x7XS9r(By45{W)EPxi4)^rgWiZYl{7!D~{$T9SpRunGEhJ9GgYLY_KU&EWi!q;r#BJj^k-xt!|a8=q~>ft@IIvD2! zQ$kT>83cz>_o%73k9CY#tum6PJYa26i{)$##3*se`eS@GreT*;BeZCl0x_lLrXyBM zm(7Bxf?)6HF#hIW8?OfQa#L6>VGjolQ`~5apwWfFi#eO=j&uOCfYqeF1G!VkXGBwV zUny!oZLb;|t%*NIDarq$qdTN9LUxOFG4hWQ_HV`gzo@v!zJNlxLLZqo`djfEOJF3j zRD$r?EJo5Mw~fVb?hzOGAP{+#$7O_yj>2OlTVVTxfk89UjBk9DPUHmSvG(?f^Ph*%?rYE<% z$X6lbampgc%eL%7YOCr<-(ddh9b&!?ePIg?J0^Frj+vHCanp8f3GH6)=zheE#~mwxitiYCSj0E`S2>B|CYHl7t3<=fMySM$70_jYkFmoVxZ}+6 zI+H5fODnxc*fkOm@Wabr8;@b*5vMi4t{g6PoX3w~R}oEjvOk7=r{h0zK}(z@*HwgB z$>?#=EL$;%t-vlIbngMf8_D59`NwLp+a%SQimabkuT)hVw(-A3jm z)g$RIbA1nbB}hJ}vy^vZ_EdT3@t7s@K8ky9n%DMD@+o(tqSMCMcXIlKHNS7kxQ ziThF#&bLr=hb6j9E5U69nf76D;p;Q%;}6H!qxgK@RV$%ULsI1KR%4%5E%W)WEbO1xz~;nypjYYYY!ec{@fD zeNKNB)Bf#t+W*zIoj=yS{zksPk?%ijSo~w;`&$C}FG?VPTUj99@zbyOx0U73t#Z-8 zkB()6bqA6bmCVCD`)gWdNMBOO_t#o}CFz=f^VXL{?&iE_YomD&c|6Lx*wt9?1PPbh zNyYtZDP7YYM_gvfT4k5YUW9zqaG9mCaGYful3N)x)aQ0Da2?XV{-^_WN&8W0=lF{r zWifVtays@OjY@4_=~k(@OK#8{_hFR@_Tzqc%$41rd*RL{ZP&ql*CmG4)Cs!H{1&qX zbp899LW2fxQrbIexiAFC z!aPP-r;Z2V;N@7k%53MoS+#4}UM=i=ps+7h><_x<(XgYmjOwKB6zX+pWU&{p&|ucq z{$%0^L2^WKdJs0e7KuV(NgFDGUJcSW&Le9aNWQIQH%S%qjIb{9I1^jjnC8n{{9&8Z zYyuPC6wYZelaMsN#k1s%<-`Ov;m0L0WKH!zUDg4@xb=Lx4ct8l%&IPML9^{Jr4kQw z9$;5-@9qGO=^1-XImX_Kz5k%!YGYQZcsF9CjVe?bb;%CV-YQrJ1&Rd*(K`S4P5RZp zC;e|sCt&)iW0zhOS<^gB;}{jtcRy}0nqGP*`2#<%$j8Q2$>}VC__)FFsT)=FQ*L@= zG0S(8B@U0#>!j7GG^d<5C`yxwUYt@*P3BARsHb00jPlTO_{_O;(e5GtY((ZrC|`ib zvYXmz^Jnv&t5=hbrB%9(8B~tMvjJMSw)Slc8HTR6km1eXr}b?}GJFQa9z$U>cMd~g zGa%J$3`?dj&axgRL;RT)a#)cNUDHBZ7kn7X9J?2b;1Qy{`ZJbT98=NoOQfywMx?D5 z1BkTEBx^*AEj`BDWV-c`UoO#*VZ0$r60YG+_qFkKc5hgo1T4maetT)M=}1kMi&BIj zF-T{VP%-~QkP8&443fBp*JFr@=^+`lbk;&}n*U0N6=P;i1Xl{sPU&Vil z+1<;bK}G_Pr9MJua|Aw3i9ys&lIJQR?R`NMU0P_dZ!#NeE4J4>XrjdEq1p~3Ml=OX zs+k5O-$=s(lIflOvyxe|o%dxWV~CU%43H4G01-39updTzIIPeIA6#$bDWeMq@nR4q zhJu*s!37jq1ihuf4d@g44hmup+CngL*1rR+kQ1~`WOxP`%eD=M*XJwP=f17^wmZf} z7CnQQ7Lt|GaF;St;^4#u&$d+ad9lXy>8QBBcAO+tq8U+-^^f@4n7;d$h;D)%wQD`1 zph{L>oHWLQE`?LZC=iM$P(4Wk5*u}%Wp%{%*ill69MhgS7917Jd+H@sFy_S0ya%0K zNLF+pmSsrIown4l|AOmiv)kzVZ@0uQ4PP|T-K(a%_94@?!tNx$QgJIxXYz2V(JO4Nwxf-^s5yN&4P?L_f#|ff!{7!7rw_C0WUA-pX!TJ*GE!M5n z5S>ZM?WHl^BYGRwEiR3pYe8({50x;Y7z|++$#57p4?}BRKuSphmw}Q%dbF^4v_LA^ zfq3nR?d8b+1j#sSYjay*hOQ;R8+s8@i4b42zF&mzk-Vg?=UK_eR&O(!rxqj&y=pYS zA4eAY+Gt*jIg1Pf)2nTP7jctJ?*bu=z5yYQljAnzSO+Q~*ou;2nMGt6+BC|E z&bi@G9T{_GWndjmNhiI-%9!MKx$v70z3!QM815QY8?3pM+)e+YbUb{Y$K_1Eg!k0g zzGM#q{`|>Y67YvOY> zuTB99J4unUxxs;?M7<#?br`Mk3C5ywEl^jc$PZH3hdA2#zZS#LPb^txHxXBPbHbQ0 zmPB{RhHcds4-rn{_k?UKqJ$+%3yHOl*2P+yaBG5CTY&-y^hgp;iLoNLAr>a#0xP?d z=1?u3P_MxHs+AS2h$H(Xk=Fgmglv^je+qX0t#0+B2*pJ92(8jtYE_dUt9eNy&?-L0 zDo%XzSv000GLJ7&$CvR-Jf+1xSKL?Y-<&y9^`hzVH+=6RDyV*u;yb>6tRUtw?(nYR zNPqeFmLaC}Gp*a4CJl7@d@M|*W_H;qv2dL}VerkP&b@{N{b;oBU*Y_(2y$=>f=uC2 zv5FK0=hq#w>qzvMPz1RJMab<5Ngz{ z6C^>wclB>Q;5ArXY35zYUP(X%cH`Yj63 z`2p*=kdGdq%`JQ#lo#M7x2_}s)R&w4GFSsmW=QA9k?cetxHB|V zn>U!N2ahzx?@Tg{DZ+>2WuA~f{rZmPMd8y2SETt=4>ra1B?MY_mXTL(yA3~4Q6^-_ z`JKL1QmNSB*j3)Z8a4r)F0;YLZZ$eN!BdHOokNW|Zh}p5XXO>$pZcT#86arp^E1wc z`^Q$z-5cVLpPo@sD)tap{3bq1^i}=wB*{jD+$$q6=onR6khP*6mbiH2V%O&fU0DxF zWKDP(lnW8mR)~jLMcW_j&UQ2CPq%aVW^aIN?Nc_sc}4q%mZ-a6n^~>0{PF5ed2*95 zyW?t?>7rL2Qoe@#F}Y8A*DiGK;52gx=2N#hTnW4;$Y?)G2P5E6Vb`gUabhg18z3uK z5tifso?h%_sQ67~$v1i3yKDQCg+3y6l@n=B1pur#5#8z?4>aVG3 zCF_nc0&xL9BMN_j#{bOGgOcxFKtBVLuYPn9p@h=)-6r=-x_Q!?4yIMpq}6uh?GzL9 z8|c#@k?`S+;gdSwt_i0<{P@{OVrUwBS=`+_w`2qJPZVq4!)3)~3b5oL2l$T2bT)c9 zJ;{r^+v~VmD)(l{cUIi3y^(vl4Uhq1l>9%(-A!Bq%Tld@)=Av&x3)tyibFAi;i}%A zYh91=2u1u3$26UM^a1afUOS=hUn(Xl(wj!FybQmuD@g91y5dtkq`H`oJ*zwYfy-yO zF~;r5Rld(7C-lAvrCEMx+-1x8{S7XA=|=PAv!jaNMA9_Cy?vbD8}kl*MFn#8Egm?j zs~6b&$Tw}kXLg}NagqZ5=zk}`frx2_o*1Ag`C^5f^bBzBqA@Yyc zB&M8_M1zU!2+PV-8R;W=)nv|lq9XT@%4jMV!gdrQ89z(OOG>(h`cf|sz7KucJN0h# z%E5i-_~T`KT9sXvMaqM_1MJ9dEPjjga~pXi&>YL8)72C)`9mV@003Nu^Lw?WgT7u& zUP(<{!H~YFPFL?>Ba7#$ki^BOgMyPUO&sjoGTcTue@sfO4SE>5D1K9zl+Neu%}|c^ z3(p{$=>7~p+i0q{;-?x>>(~%37}rJHzl@(yLNybzTa5KpPcU}BS+4fF4lbm5hxatP6z$I>j`pxx25d7JlweJ z`{RkgA!h*c$I~OeWe@VfcCCsV8}B_7o##;-=P|pO_>H?w#%Hi`V7&K8v~wGyPQ{)! z?mu7sVFC{XTWu>ZWHx~nv9bbYh@m3X&a*jy4Bvhnq^44AB)TiLRDrzsd!f138U%x2 z;|@v5pkAZRT=%W4ju(?)5>)5_N8Zey;LW;$y7KO~?z)VA>yGR9?xYR`g&)y;U5EjB zOx7o>oNu%LGoEUJkv9q|G{*;r8qNF1@`Eht-#U7D=(mn^HafyGK}Bf}zR!ixXObPN zjRe9UNFOWNko#b4c=$V^lj0F zpsp+kU0wXAUGWpTvc+zK#Y_b2;;&%AegP|;<`Ccse+ex8%jM#vAV{sPxplI&xa*C9 zR&a3ZC7T1`4XVx&JNbDJ2+K043n&bd@S8t|KgzOZWWS($-{e)lP(R-o|3ItEfZCLT zg8_(~ls%OE8QZ-)Q@Ko+anBUEGlryJF!>$$R$O7{!I%|Msr@oc&$YTZbO0 z+UK9lN4^J0JKM3=mXbOsDmzbG`wQyM_@$zrvL`H!&P}CB&T*k?@6JugRdnBU9xJ?~ zKQ^~i;-eZeiS#_n>WhzRQN8gw0d?c1(%E+{)~}w3oTm0G9H=6{tE4nt{gAe{`*OHn zVIz42_|x6GXcb4t{N1WchqCl-bY0ym8T+-+1A?DkUVW?_d9EgObi1t3gpFy+%t&9z zs0G;?LTRm8!I1sfg5(6{&jkR{<~U5WJ&<64&!Ngj3M;ScLZ8B^yPwn>F8fkhPtzJ* zKYhT~?>ZM6Hg3|rcbIwPwW}%QFCD#|WkoM=p+nF2sk3Gwvjv{ojgonlF5|mZ zV~lmH*I1}|c=(Bv;i5*}CU=&nSffqF8XhfOjSENmUe$ixUpq4|WLd1P&3AHM!m?=U z68(#LtH=nY_a>7Vr?8!#v4&>OAwJ)?O+8*~aQQsh?d{U}Ln-5?jK+ubZ)~q|+Wn&$ z{>!A?Jx|u!1DxA}O_v*zwz;~%uXpY#tTU%gsxXL)%3 z`C-rayrtwr;w4Lm=0knVddK zxFK~6IMa4RSX_A$6cG$FWZb_(U4a$*BJjYJ+LMQ3iHuoZEeMnFFgRAQoGws+T+D^%fysIiPufB7lI6J3?aoTc2~cB2|OZiSXe-+EZC!3{lu{J zVp3w9b(w*{_z^5bOWGj~1-Q_XQ-zQ7HUY0@~OLxdwGG!?~cHUoa>26)N zWP$2!mY&q)oOAe7yphS(6??C2%h*d-Sn)BPv+NarSHr%>ytdeM{q%XGM43Q7ltf`s zCt{-hBm7~MejEE8Pt#e4Gm4MaFeEUzXxP|Khmzh1>ngx#Z((L_) z*^99PRa<`5R!7eU6{-dW`x(hIG8&Ok^ne0A+v_Z8K{YK}Oz6ds9?8?hmQatw#TGKD+JwMl(9d8} z;cZmIFu49kNv(Ck{f~{P8vq(0UpDPWSI9$I?f-n}1}B0Gf%CvPd*VYgmJ;*3{G?_M z%B5{tv;xSS{hD@YW|S%B=Ml<5jm zK7J}*O}(^opprZ>{SbMKi9GJfq|3mPi|j{>lU#eF?1;2t=;dX-AZ9# zGQ7`HR44N7S@!Fmj4{2ZR8Bm4!guS7yTCOZ_pr$FljkuFePF)qWUQ~Qf2q(OSNZ5<_j&i=OpSa z+kdI#;hQ=ac$|mtv-%SOKJM7klGKT`4`#29zgQfcH9k?9*X#SO7{~40(kgW~Amz11 z%Iils?xq(h{>5_2ZFx&4JkdF3W>Yt`EbmDzJ4?K0S!SwybG9-wyJowYmp32Z*vP<_ zZQoA$N<}Oddd)s_-=vR#hyUd9txovDF*s772dcDIAT6~?A2)dXx1aP8;_*V{1Rn2) z_2a&ct|Pxw*+pVqJ8}yef<02xz1uR$YFvs;6hH^>g<@IT06r@_))+V*`dxyo>j?&FnldxsDUbS|p zJvfjzUnZpQ?_2xzr25-}HXo5?&z>ByvFYIhWfdV^B+9!Yk6QVA(4OdLVPyN5k+R^x z>U8_2c#(%+nx^LPC&~HqQNc&84!yU{^>GRt@{Rw=^_w@ieonayWolBut%+{QH+zov z3y9NR&n&cdqb-c;bZYsGTtoP9&9r>}Ui~oi9RcHs^Ymxz9=MtS#Hk) zPhVu}M{@U2)5Sf{+qpWnMOZPDap~^SLmiE%=2gSLo4PS3;eUCof56|pwRA1f zV$W=c(gN93i~HwgbIsfk1NP}%JEImmQ}Xun^*_{3|JlH`BiyT_FL|GxL5Ww(5t;2KaR!z}Weel=-@2N=wGO^k@%gaN zviyTTRw7}cLu^XSvL*fzH~2~Gjc7}iu!Z_ERAmbFNLezu|3kznC7b*quBgY6kE|_g z-paN)&~uME3@mT5p?}{75cJAN)`T{d>%8>h`qdfyr^pY=h+3mBc$pj+@Ad}7Cx4IcjrxHbZB9FOnn}y}(s`TM z>(uFrTFvcPcCjS=+{j^n5JhoKYmsFz$G6N0=%p^&IZPT834g z7X#|aghR>VahBrz8o?{k&&Hg+`|%F&&y)o|%!TPSgKRzY7thpW^uY5ZJauSsA_q9@ z#CV=6Pl)1%o=DHFgzxGv*gcUi*-REzYiH7IWbxlCjSEeXyR2X{-c=tpn`Ight;mVw9e^W zN|Nb^z-KG?JO)1d!RJ0&m4tI=h-mP;`+scR;Rg2Pru+Zj+~pQe04N2l{03t)hpjdc zej2{6OamF|n|FOPZBaO{_%!W8lkpKPS#OrH_iOVCPAA5j(%{{Cp zPO5ES#D-u3MESrsJS&~p>Pn-BA~J|tbzx=5KL3APzgxsaQ4DS}sBk|%xSvqaUJCp0 zKM3!?>bC_lqcdrB6hx7d3LI6A)}#RSIkL=eYbvJP^jH+%i@~#q4`I`iUmwj@I`z6j zX14CS!F5ogWMy0bnB$w~_Eh=Cb{$zY8QIae?1h7g201q?9h-M(N_5O7XG^_4r5)3; z^81pzAYRsUp;!HYyIoZHZQ=!dHv98cFa_yB8CLX(F=*d_DO84DOt|{zLsDlP^B*NA`iSbhmmUd z59-`d8t5DnX+~gvjSkMW)&chM+Gb$39(5yFuSY>i*v->OAk}R{SQjOnF2RkY3c!If z-3*CvhT~bzhyT;<14Q0J9e`fmPX`4`9KrUMfI`e0Grx)KfKo>+@vQ8`R@WQNvj9z5 zz{nFiisP@eu?5zn7|U)t>*Fvgm(XGb87WC;{O@g44A4O*ZCogYR*fYHRC`}Xf~`aG zvjB&ItX#(ib3ae8##y0*ivM~uTSjAn323LYK0<=b#P(VMYr^j~b}`H<7%iBUHO)Vq z74>DFl@@IEaU)N8z`tCDmqEGAUuWeH+SoD&G^5eJGS?)W08>4kf4H6G=em|ckr1!WV%P+xFNs1{WTwl}V$mX*nd&E}z=GPI!$ zXF&16y^^vEfLi9fQ=tiMSg~_dD6w+Xe`t8o)0kY-!;nXFS#>`+h2e7cyXKH=sRyVp zYu!Ldfo#iSZTqQ$VW8BO!Yk4poo*d+N9P^xx(u5g^`+9dGp=5=U&zX~wx$7h@Zj_1 zS4wAZ^{aez*d<^na_Feeiw6%L4w!y^5i+Ocf4|>;_qiu2YYCPoh1kwDP|q=?aIkwM zWRKy_Rku@r5!HMIfPu>22c&)^*DSdI6!k++h9Yz{BM0?G!J}ZHSqPMxe98t&DINtv zjxcMAubT91?IasHs3%GUPw?%nJx}k1WS8c|X8*_#WMwyQYInH>=H+PU{MzUBg!+FC z4SIY1TDIt&y`nRnJ|FMhdmwL1rvHpH`OB99Ct9zL@*vm78;|D5Bg^SzL>s!&q&!nj zOFbM7E>bw%b^}O3^*twU)%OV9de$@W_?f?l!&-_mDBmp6FrQD}(E5nEz9&Vf!JtH} zp{q>NGv$!f!{sumc_GhLun7ou{9kJ5(xy{bbHk}ZHF|TKQK%s!PaY%d34C)@UX9E6 z1zf~Xhs-gjQw@dTEg7@4nRJt5m^uM9TFmh~F zjwLc3XBp{lH_5up;k#txyr3fKIcdgZ>y!biNf)!4&(!psI9%UTF&0&%KAo|td2OKr zqL>V6`kDYFQyP_h0ggtF0tz)*f58{mFPb3pk{DY}p$NT{X!^k``%9xU9k{yL=@u9@I(N)lub8Wq z$>s=>KSaD`yNsBkQvLe&+~WJ=&Y=C|%ZR7sp2Qdcu%9;y^yA2f0ksB4oT$N=P-8ZL zT2BE=9v)N-BQYRw1C39QNM46PJjntwjX{xRw?a7pUjUX)j0bjN%E$vdUo}C}A?X3W z-K@Vmys@sMNKnJGe-~SgI2qNb8+3+Bi6jEp<9;{D^{aC=+l8+mg{90AK1BlDj5vKP z$%dmgc?JazIAKPL`qA3A!A_76=7)enTh=NOyJENNd^wVzV%;nVy3vnq0j_Jb_L2C- zm>#^2YZ1j=(`vHwL6+s`rtKInCS)D{&_uGbJsEGdI9RkiYTLtm^S%+8Ubd{>>-m)r z2XjpN@G;Mye4e5kHL1pb?0t@J8;uM`be6;;eA^TiB~>5H;{&^7C~5WEv{efi^g|Gx z@gJ%x+Qh(DQJg)#qu?Q>6Wl!uW@O|Q!V*ef4=Gnh%}CyiIeqNY0f(E512xSL-3OoI zl;4-*xEmB&)S_g#uWu9&-((R$G&5$=TwK5hcY*@bK-6S2nupG8f@m(nMuO<3s!?W^ zk%X0^KymBKPW5~W$l-D^!a)a=;Xg{j={-FV z8ko0*#{Rd~5U3F#q017(44L@Xvyfy#iOqu=oEWSplf0~DRo7aLvvmB*yTqm!6VB2l zA;rd*-Hn$T)V}H&KR8m1h4iO;NFiTRYFQEV^5d&f3?Q7 zx(^n&XWJB%aVWH!)2_@YopQ5E<0o$oR3Rg8D1DA658U8;!y92B;1nlNo!y+u+LeUr zl4frW;4BPA50yBKFqRKVc>~CEL%9iJu8xlg0ula$Dpwl@kvC)=83=87C~OqPb48US z*OFqdDv*U|L_tWx53XEoR_K|7wPYYpu(nTv7@=?)iW0Pia>*ciy~*APM+YIn(LwzW zm};WQi#5QhM&E;2fmA(-7*4D5g8 zUIOc09paK7#;EB)F&%KU)N!Mu>r&2K>mG>2co+;CKxQ)QBX& z3hHJx9J9VsQU(7%)NcO+FHkJ|JvsDr4kii@Y0zs7cVLSAzyn00{)P`Kl) zjcCrLBr{MgcIk5a$mS@C5C6eL1nca*lVzpHcXWp$_hg6|imj%M#^+8lQWtZ9Ep0w9 z^OHblNKYe+TXvkQW3knd@3eH2+tl1M&osL)$OX)l>`&Cx=N=CD&N(UjO{yFRD~h}8 z)82T*@9ycqrB>^^iFX4#8WU$-7p^b7;ad27$9J*4k$S0MnYr5z?OQs}vU0s_UeHzU z8^dLUSM|&U(Fh0J{@_?T5GQtFh;dp&vM2n(po_(Y&qefN7w&%~rS2XKp%7h1J@R21 zePUH1?t5YF%~*LM^csSyW~85|=`{R<8m7rV_}8dvQX5)9iWX`+?&ED$un}oV%@4PV zc}EPcH-QEL8UlB%Cq|7J?}tB;)VEK?olQS!sU~PQMkWWOR6=Ehk{H8oY4CKVCB21i=EXM;mUu%&dm?s5Mh4s;TZBPp5&j!!{M3#txNWQidcbl9A0 z`F}arh~MWr?N8>qpKN`uEs$WYm2f6XXa)A2`l{jJv|AtO7(3(J?3Tb6>nUnN6YfvG z=}DK3c}fGqaFE=bnI(mdw}U3s(t^Ta2ar<8b~u}o5j8x&=MFZ_9R`tkZCXIGk0B2s zY)ZhP0X?u5(xROpTs<$_*^3#&{oDXioS!w}gnhX4iht-;d^P+z4rgms7*?Db3S$JbNmABujy=AIPNR+kKgkqQTnT%JSQkb6w^+BK>z`raV(kPG6gkL3#Qs>s zW@J*A-)3Y|9FoTYc?I$Fz)ncGgAWTuf+XR(D(bo;v{=B?<#q3+GxB&rEfLXP3FEmX z{firv&rxyD`PwKYttnQ6%aq=(q%I51X1GRxces`~nP|>45<3`NemQr#v0OV|^Pxn_ zw%7WxoXr(K9NjU#)M!T0^)wq&v`&ydFTd+ zr;&v5fhWYlKZHBbUGVZIe!vc_^UF<-4gCLM?JdBfTD!1eV1S`RT51RZrCX$i20;WB z1Oe$GB?cv=8>PXZMN}{l2_>YvhOiK%aR5;yq^1666UKSZ`~Khc{r_AC^|1Hscw)u9 z?zI;1icn*RO>XF-EP=|pkbnTj#UHC%wMS9AK_AcvT-5@@8jyVXZDC|LZ_;Mu97{qR zg)$2F76_Du0X0g<`rT%2zdrr>;PoTCTt-_I7szD+J5!eQDdayr1)V);nzC>Ya=k$R zfVZ9es+Np|3$4Rs;+STOmNv$Y#Nu-<4)ivn13!z-2bX6=Qwu;F2(o!Hp$!y`_aTEY zjo7Lu=;>i@i9nYUi8>D8d(~3UiEviV7{id8}BUUdYmNA@?$P-oNaU8o^&} z;r8qNpASxnPT#xJ9`Ja0Cpm(@@1)w>bsA5fF>ku=`A__tm(~1 z*-)oP{OHl(r_@LQeA+1i8zToPi9(7i%`*M&C@YtAhOZIi)Z9z;63^EcaOIukgg1wa zC03CSQWd3tsSb)Idl5F6Q>u0BVQb)iMt7MO_Lu(B(Zd4Pig&RQ;v{6pA$4?~` z7*ticrP3K==%^D#HsDQl-1LNCQjKEb|MQV%asD1^orG%s2usH1`1$#GPQvsbcT}BK zkBc#m(CYI=$G93++m=AfBYgi#5tM%{4^!N~ucR6WMMJ2q*e=UyyfnQz44wuI|7yJHlX8vP2`;h))IlrmaR)%_7xH_ySF&R42 zlB14SA5m6UyB~F2^&bGQDT1CFP)7H<1RkVfK0yw(^bpb4!VDTCfQ8;20!(_fU`K2a z@SnxA4>B{MP1C~D@U_MGE?8V=0{gU3X>2b};4n$LjX3!WH+&Rq!A$ z{Ew^XB!Xg=mb4M^^RDV?#l?%Tem+Oe9D(;brya2T_x%L*NucF?K4^cY=>Hhs|5!Xp zvbrGJ1j=G^by!o0oCpVWN-3A1i0%$s#^_8PxY5~(6PBNU&uL`}ZUcyXz%evO7xB4R#i$G?P zEr;VVU0{p^5I=nJLwVfB;GAtLO>5=1afK$2IQ0wt{8H516eP|w@FI_Q<1sl zAIG$xNd_$OHd2UirVz4EI18cy*^U4Eeo#K`zkl!l<$nL;S^lrz0bCQX*rE3ufZne% z_(t%3mJT7%vv%*@|J$+udB6Yle&E<$WK||*!w4Rr1MUwut{zS%&OYNleI2o-g{<$= zTSf0v07J{QkX8S;#~_sz;6e<|PfgIl{1ESDpK>D(=dbj0B*eP=zR$Yj3wi=~Pu@Q! zwwEOsh(l5kT%0E&F5(zG_9I**zvV1h#e^ zl-Y?<>JLVgtsui4{`SZO)ec*Bw!|&}m>a=LgdHRGWEb%9p)rF^+(7ynvow?fxmZqU z`%PS2#5A(F2ev<+VBU3E{+xFpKP@fx=N3u@2Mdr+uapl&a8C0L-U$!3l>B|{(O#PP zH4yUxY2udwnE2s;T~i*ibU5|ggl}!b{^(~c0D3%vp37n5`qsDjZmKmMIj4J5lYxG$ zK07;sr3yQH>{DnS3k>2PLuCE5Q}D8m74x&!Rd5Yb0yMP(7D2awHEgrLp@1(FO;YKvIh*BEpL#~?gZIVHc3UO<&2brnRV>`P1`KSP5 zBY=!?{1$OuF%c2m0gPS+3vi318CJ&z0^R8e6{Z9`wU1qC3wwxF`MElu_QPTN{EQ{T zx~=p{ltv%-To@&`P1ieHZh&s{mHa&|yUIp}&2-I+mDuyro7D*&w_D09%uYYwBpm(j z-@>(YfaXjR{%UJ_Fgv>ceRdM$DLZz^Y#Nu@EC`R{|6=M+97Z2Nd*Bm@%V0iSa7hZnif@DY zFjN`{My_7s+r&+%rs(*Ksx}6nFxVe{{$Ind4u-#s9y$%Imi={b8DR)z3PULgpd1F# zLDQFChXW8&P#WODVc6l}16=6v$^L6xB-)sf5gIdymSuCWsG)_Oyt2y2N?7} z-G)Od^kLzveN^W%BH-_g(RUDNhV##Y5}pX5gH?Ulv1r5!n;q74#<1&n!sEhTa1D(RADY`~Tog z_6Hn=K>f-Ac?0~@ZsurHvI_;25OmL|DNcn*Cw_M~0(8-Zd30I=Uz7pG!%NzxI*a;g z0p6sdme%gOT0xn~KXNl89hzn9oaIL6zMWIt_LvIEGakx%os|Z%#I=*dLk%-8; z$_K9!F%iGWn~_DLB3og_{6VvddZlo9uPI+8T^mKv*XN({U)vEkk;|Bpk?H7pvL|$r z*gCn3i?|^N@{}kJHRm6+w_(DE+INvnr7(id>{%0}r_8OchNe0_V%yCUMnI$dK8|c7 z$euCjypdpS8uD}=!Jr&RcSb0QnWGi=_MwLXZ4c14(;E_Qe1pm9?hhq29O^*DnT=jD3L--w`{3f|W9ZIPpimSblSUDfNz*T~Qjft}wB8C$ zWr_sI={z22Bc*VK;(~bMwlu>T3K>k8j6PsBTcCKr%BTpBBrU7)mXN`^8OQN}Z5umO z-eohb^!u^)Ck>?6{{qW0$Y@+DxaH9N3vKO1V^Zgl2%-}TC)_PbJ;wqoXei12F!F9^ zk2|Pdf5`2TH2;96qhTbBAeVDEK%~c!qA0MWq-~jaJ74(K9Pf>*r60X#GEoS#p|U4e zU&W_hEva31arKz7{J8FLF?_i=uYAxof~8?$a(8NsPUgFn;?&e9-3l4ZL9p8Df3jwD zdMh3Gl|lmk1Tj#+du2GBzlQVzLEM^8RKco=M)Z=F z&Zx(xGz`ivr}QZH1p|N&wGb|LgolCws`S$1jlFJ!h~P+PBBaF|Xl;)(5h9EqVv|Eh zu#|V{sQuol`y)z>F#N55y5VQym>%;0)CKIQL&_s0Gyb4F{s9JXBlh15pd4(7I|t(H zaKoc={#hynh&U8J5ElD=O#$q3>;ZI&FYbVWUMVF%OojZGe-^nt)vq{&TyN3oMm^x| z23p@beZuN|r3{fj0eiN|C+?T)oZn$DpY>{dF_rcZWUG)cyzqPA_FoLNLdV~$ssQ9^ zK_(Mt)ESo({JEz1dPFuE^vt;|f7=DnvLD+sUL3Z@XpR zwr5>?RFb*+FxqVFnY_-UfZU5))y+lTmtZG~7ea2zj#FEzxt2Gb^;OmzJU=vKKAyfr z=`oUidCITfd@5$;P%$6EFQCj8Ct|0Rts#q?c8kJR8o-NNLB-Lj!8e0~$XQ#tyjbH+ znVB0f9`>lCg(m=zV7tEEfgkA%U$}utZ)Rc&ENpEb1dzhqVCrU!bC?yzc`ep)`9)+j~ z|Bpg|QvY7O3xqN*x&Tpkp06?_JXq;wgge9rrtrX6 z#|`6H_JCETGynnHi+Y}-;y{@d;)U?o;a3!kh~Ig!oT0#u5FrR<7KK!qBiNDX zqbIlENtHkzqflfNwV`1j#}YkSCv^3^LtZ*-B!`AGX$mDl%>)G4K-<9DP;B4c4&7JF z`vw5$*ZhxGe|uHXpn(JcGb@Is^R#um;kUeN91esqzU&B|XJz;5B*SF9TXuprm!=)K zuVE@{S+t+Ioq2d=-Ri{i#>#V_!sd^}^QqfjtJx-!{_$N{`nb7_%O*ip=ysQWqJv_I zH*1aEJ*h2Z%I;(;|K`>v`_Kx(zNzr%_yQ>LC2m6&C2a)FN$V@DnwORh138 z5y%gx!u7IE8*%Z`cJ3rMjOqfN?}`penthP1Tzb9hxyu=*cbs%mRqJI<>$J-=ul}4G z@s^5nrMJt-d{O<6>6auvGI_QYYDaV#sg7^YXIN53UU}F!7fNwc@yzK(8c#H1Kto3X z+f8r!cYe2b1^TL1(=ZZ|+dS6v*r^-B_HW@Jc}AfikL+2Y%=7s9>c;$e-TMvSo*glN zZ0XhQUhIpxLo9Cocu~$;v0rF$79_Xr$)ElC68iVXZ6P`JmEJ$TGOv-7ZBBllwLF5O zIS_ok-Q)AtTGY5?U1*a`LiT z2h$qq+ zMV!XcMwZ}@ki3Q@6ZhD~je-*X4oAm=V1ifRKpmGaipp8wy~Q9ggiUrqM?_@K3hc#! z3$ojT-`8PmtO!8yQDb%t<^(9_FFtAwOh9ehRtcKidHOV#Vw0OE^;bALU!lkEq_@VJ z%pFZ*L8q();Q=biHiZW-jc_gtCUC8&t$z8@56h46o3HJtj+1R7(S&*$bwdyW@`u7O zL|oo4EeqAWfWp$rP|b@V=y8BV*`q-1=_xqSQwp#5^c0WVfu7?1hn|8&(7vSrJWWr; zfhGbPdKQX$bb)4|u-lcPP`$4GCukWWV?i_W2n*kMDEbl+EKh6jUA9BuL+duK@B_tH zk9?L}<6e>lPTcLUdi|F3r@`6R>b1@3+ss}+Mes)J6=3tT@1l|5YzL3fo6 z*$s`efuj8?_T6QHX6l4;{<$T%wcm7(vwtv`91r{z6P$nysC~EzIEw*SarOxZ48Und zfbbbJm7*+;BfdewxDSC9_G?aovul9F5H$%Px3+M? zy2xxou_HL5LKVOb1ZP2~g<@atbxA>8CSbzDZ~JM1I#q1ZQI9bV23BfMD0x|p5tw3s z86ZYiC9Ob@Lm06Wk~Mx7o&eO7B4ore2n0mVL^pB5-?kDoodHh$7tkXjF2q)E68RHp zfk$5!Gz$x^{0|kR@b4Zc6)Ry=71EFJ(1rwP+`kh)sDIf-6TejW{vKCA7(#30fV=|r zhy4n4- zX!^5-(2YDvt6b@k<=HbPO%JwR*V|3MM5SEQB@Zaw9b^)<3oPfi8Ziwq&nQWX_m+I& zrBRhGJf7y0&70#g@^K-oh3iof+?_a+_et$z%Nw2#Yp&70$T^+#LkyJ|fOW0+UG?IV zatTZy;O$~q2=*vQ^>5grU1IxW9#XPpVpLC(=6_Qo@kg`mLp{gUGtHG>__Vf3s?RJ5 zZKz#8S!5nTm;b`pe2e#8eHwGgH_&4w-zp*PrycF~CARx(cAK>Ei0|h4!zb3sx)hq7 zzHn)6Td%*%(A({l!~XOi>iHUNP^%kv^4aczXYzkOr~8)te-GUL|0{(x37r<8tZVa|5g3uU(v|^|?A;m*AKWT*7S>*kYh{WK zEIKeZ_aFMduRzZvBg-7#>?zKxcN{IPzGN?x?A%-6ZJZrc^pQ>7o(fzVnRR}^cQZKW zQU9&$nz7&YSnR6|>eO`}-WqnG*lc5T$|!MmcJetn zu=Z$n<7HM?&)0#AEpNqr$K4*j^!jLA`V6!E91k^GPP4gw^_$?+S$O3T-T0*;+19GI z6yG2-d@qpZS`za}MzKUTajNRnEn~Ex$h0YbjE7}yI+y&zHZOLOO&;8(fU~%bt>0YS zgw`!y;Fipv`Z8Q&S-0T6olA0S4Q{fN>>oi{P4KFa{Urax7biF{Z686o}v{d#%A*#0R5jT5i zv42L$8Q-%iFIHZpc${#R3A~lOBka?<@eTjN?02pHSNE{~vYFve&2CYC?_sjvDEJw& zGgDPYRMuTPnY$Eu%wG0I{_6bK4!gPb7mXFeNy4+6u>m{!@27tiV3`2m281m^xVXT6 zC|fN!0*7pE8R8Y|KJ+GUGsMAeMOa#{f#aBz+hI=ElNg^1HkkZj5cuJYh z0)s2xqfS#Ga0$zh)T6++KzS~50S^S56h?(sut$HlDxXwnM_^xvF|i>A*UnLt4XN9P znVv}V|0oG{H9}?eH3+V$!#OU3$TrsZKs)V;R14Ve5-z8~*Y`!L2c8)6molenvkL3g zyBW;X78^k%I@ADZ@)Aqs5Abs&;^H(mL{t{y9V(D3Es~)er zf|BQTkx_TKAY-#D2wan;dxDft6>JojKPSq@Xv8Hll%>uuw9zem?)%6cWYVodWI!=6 zUlJw?nu*_~R=TaS>PyO2@!T7G`Ez0?oysf9fy~WjaB%MGE#z$#&*{^0X!FH3pVK*M zH)iRrj{|z0i4?HDhK}GiA$~@Xfjda@*&q%S=nfTx2{Az@sSo0ZqK5l64fE z;5GN5POwa$^gP8>WXY+==r))3H_f*Ix^$k>fC5XOl6u1%`;bg;e7ett*5!ok|1E$W>fI5JdN=!5!GV?`&;INOPJH5^ zASQ%>>`P`awiJlCf7m8>ApDe{@Hjr6^G^k6oWpQM+jLy%fzvJGk2nQY1ETBi$P{^V>MtX$DPV z_iXzfJYOi)Cv(2OU^BJ0T=L^8G1bL@^CVS#fsaP9#LNpqJ#U$09N`MK4@lPU44XE^ z`>EPZN^J8-vLbaA@+^h(J>E92bjna#Bs2IqC(@7ysdP)>>B>yOOJChRS$(VrKZsT4 ztnY`wLHzgaiHNb}X?v$DTAyCXX~VG>#Hnr#3)U4}i?BpI9I}V4-Zp>di;i%)7~i=* z&z|?(L;Fp{b+%JoPw1u`yFSW2KW50_tJ8Y&9h*<4xcpcxX6Q1ZY5TLQFRtfy1S|N& z&fT5=f-9qsOV+`9yDp)sLDntbm1idEhunPQ{U0vfSB-q0(J&@XI|YfaWb{7hsC5iJ zKgrQ8|I{z7=0dl;rOrkqL%Cj!QBUlT{bG~exN<#vu3XqSjpSE?O6MlC{!hpI2L>IqWjR?8-L~{yQnE*G9`k;# zs%JBGUaku>Xp`abZ3n*D#-osY^5c~M_uYz!Q0eZ{q>FQgB29YTqozkxPj!F&eri*y z-zu_TbLRbkJRvYl5MID_>rlh2HkPHf(mUyXL1#%e0qX3{%&qmGRGW<$qlSLoMyu?>|@nkjpiC*h;LV6t2j1c*1M#E#fjntF8MZTfWyCP0q-n1im z@F{*;nf1jBhr;R&J&iS`d(HLCS(Ya!6f!6Y8fBNsYBJUWM>OH_pT=L1c|M<2`Z7XZ z`eat~3maK!{_NQ=X;vCPYMdGTf~1@3z0EHZsE~aap{k6V9)kYxqa~Ah@{zYgK}E+* zB*5IZ4(_e}>^{fuf=Bye*YMxP_fmQpQ&9heQC7Qv+Ok>nb4km<>j8RCYcVB1+rv+9 zJFsD!LN6)!*<-&`KF=WRZGTX4cWGg}?EpUcGx`cLVY{1&P@@E(_%6d<@!eNQIT|Rh z2NHwOClAO;NIXI!(QRdC4;bDTfdFoz*>jJ&@R~fz8S4RtKO~EQ-DRQqt;?xUA-yqF zK$&Z5s0_R^!dhjc*MN`u(-QTx2uW)xE)KN~#5{*0nGUv%5a>YqPkASV05IlZ7{G;q zt)kN#Yih(XAbo$lr5B7~kO|5IJqsI%%${%phzk^e0HY5`x|#q!-3x<%Z02wVVeo>x z1RP$ZXI$9%mFJ|=hR8j`hU6t=q;++EN4}vi5=`&|5cJQA0#KR<;U%Z|n&fw?QwW_#tLF^r?*UEWLm22YE9w zL=jNK+s$km1*hw7B$&Y7w?J^}GZz?_9C-c+#%3;8Mn#!YddVS!jH4i<|2xsR(9hk|5boj+e2 zm;t&7Da*ee_%>t(3_>{-^ZaQn6N<>HuxM(GE+L6fXz@H+L?l0?cozWdk~WKP)= z@#Tksauh;n|J6ZUCywSJPUO%rKyu$*Xmco@=qrl^+}J}a2QW+ajeQiZl`FzJ4>Gw9 z1w42pU?TjT-QWulpoj6SQD>lBm~7BLSCWabPkCUX3K<%7IQarGZ^)6L6vx@HF;%te z3y;Xm_%zWMCd}D)Fl{bk0~KDv>E}-~J?$4BoUy?7@DH_q9QM$ag013&(9lnX@kE-b zmgi@0z&Xn3ztZnt^3cdK0OI3Ng6IGV0{we`j{&L)DCkIDX37tDsh|Otk&OE{?LXRS z?5_wHgbUtZY!i+9R&8j6KMQiU6+cn+4#2U(bre}Ey{xWZkqyn+i*T# zHX2x?24BD^vG%&zQoTfPsK0-Ba$F6gn4r$s`M|n31)9s_$6Nvq1`wo6fC@Xb&5Pn$ z9Gs~Z?4I{O=s%OVWOdZHH{I|G4O_T$b;qf#_@Rn+t$+=5ZF2f)$HcIQ`;dFwU)ppX z;m4w-i{ExHjN7YSz8Teto=hDZGVk`R!9db#}};lpg_nUN-sz z^XytVQ$3Vcb(|TPXDsEDM=Ge%Joc#E1q2rJ1{<S=S zG-A4O`8%yzn@`R_nZXf`=~$k!^Mo90q+K=sXyA#hUNKZ}BY2QnVMfleM%jgtKMH<{ zy7`R@%c!Q;7(Rf{aW@Jz>MI_`!k<nIOp$UMarTULsYaV^&Oqy27_j`_wSEpc z0Xl#Aoeg6V{#&-<{x;dzi2h-+eUR0LDN(@}v~M94^r*S?7lSj1)Rmgcvf`sejfjrq zBt?xPVe}!f4;e_A`O_bp*Nf#CwFmd#T&KgEfG_lQ)?vE4*}}*-Y*Ev-UT)N_?&x|A zv&c7Yv0>5Y8S*zit+|HMIhH=(VKmjtGyLLnY4@zUhjsC!fTx4#3#B<9t0H*xcgYU< zc>%*$Mo(|7HrZ`Ei=6zngSr&ib3HG7Fkn4de6)jn?u~xBb6MKB%&_OBJhCYPr`)M9 zCk;PHQ~JjJCaGN#dYErNPq}r)Glp3%^de#CwqKW9 z&FsnVPso1w+eh4cbes56q@dJs6Wi0JOAe0S-CxM0QC@8RcohA=?_Vlaeg68>QIEqZS#IE}6qZucE-7B;@g5hc-B_O**^K2rlgu&k z0kQd^whYeZ6zy19U^#E^=)3BVP(#l(HQcRDPsQC^)T&b8$ZX+H zuH|)%(D0G=jrQxcW~f+rU(4njY1(To?AFui9bZx~;ju-(=Emb`(%+DeQ4Soyw?;xDzVPHDs_Cl zZ{$%0>*xljK)|*I{a{JeTkE?eG2>|Z&13SOvGTIZgI%)&zxF3TiLyS}pV$03Zz4<= zsr?2s!$0KKa~nRZpJ04>sfigw(TdvNqw}+n{^cR1njb^i?8mDJns|^DI&*w_6iuK~ z8cfWsoz(69892OX+5-{d z(_@LxCK{j5)3C`{1`PeYg-?YpOBliazt(R$28fZ z2=9>lZH{oeO4UsJJTZRk(mYo)T=jH-w|ObT@?FRzMQE1X=QQ~yA0bBdEQZKLyUzaa z3s$*pB^X^2-xC5)I}e_#-PGXua5^RieQPQ>=;qeZwS;9Zt>34 z5mG$JcSk)cq=M@t+8M7=p-9^`EQ=B(uYSZCUJo!nkFpD&Br)N;Cf z_lfd$6e?^fS8V$FIs)d2BV=(str@Sb&lWA6O{SP_7I{nV-b*`quJ1b&A#2NtVI`3n z3M;JEo2Z}uzzEq>-~f&QEFC38Kr0}I9kdm)N6-^YywFp!LF<1)i)amoCzDp3EN^e_ zYFF#fYJa1qZ|Yt1E!BMc>pQ!i-LlcOjonO~p&<$vUaw=8?BJ|j_AavXEe_5;d3wZPiU-bk$Gjbu^TQ2Sbn!fgNRAG@@Jr#*bNFGCwKs!d8&1Mh z47Ev=%^S9LDL=d>>x!x>@lp~flW2bEvx^9g>JjVQU})p*;C>dQwSgoT5!}@(wHt4_B7BxGiAOOQBa#Xgu>BlVXMTG`6r;!Pc)TFmH>iHW+uq3;lDzv0IXRei4Vm zoyYEF^QH6RMt55{=JZi9jXV|WsXMhApXFUT85K`7itcQRDj2l%cj@w^NEg`g4p)`9 z3sOe4DY~sL7qeY+{;IIr)$f?JbwH1i=$0XYQa;AnE;d=#P133hag{Ttr8zjeI9g1w zVlvNQA>|16QgjU3dzMZ683>i|$h=tn=#z&M)N^9vIB;b|K%E1uB;}rtu_;>?_ zf-5Quk)1Iw6j3fCp`Oo&!Vf^7^CYSwLK z#bN0aj5v};J$kV$6;wZ~-e8s|RN8K5&yZ57wr$*>=~N<@&R?3WDKN2vX7 zS3=6Rg=SR!bLcvey4n(N|4qYB1NPK-MKlsh&ARx^Mbxhhh_tAEweF%0q7@k@mmfrA0*$J~nH>0N>J|M7D-hhy?OE zOWK%RnTllkv}+*i++vNAeGp^Shp#fbE6MH5YWGpz<<8e@C08i5*9K12M5Hy?lYibW zjoTC;du@DT5;dv<+AOU`Jcy~1zz@A!Rgo>6dxh2RowdIDwSKA?U!RMNJ_DG3(TV~Y zYTJi{_hQ68-K#vWum1XcxKiXbLw9kmj1WI$_hVRJeZ!AF#&^SgS#ZSIeVNBd zd6_+afNHzde~zr&zlKCz)N=RS`%J44Th-yks8^w^L@m~RtiE`!LmsGGXs~&Hvy{t8{t9xI-{RP?&BKC1Jko7Hp8v%$juHlvf+QeJeAav&(y|6 z_+m5M!aK66inzs^E!w}!T8iNFN;>r@9a~9`NXI~4gPVE|MahJ3Q}qQ}Le^4qF`Xz3 zsga>&?|6UeMMl4nOg^$xFZGOP&U~p$61hU?Qy+6GNbpqm*+Sl;>Erh-9$3wv1N9OJ zHV<|awg*m zn{fvQKp^hE0q}9p0N}x4CG{YE%>v|6Tq?r{9RrRbG`$s3g(FDGL-N)Q7pt&vv=T_r z04AN+P0g`8n_(J4+DFQ2T;$NvkEni@Cj#351#E-)h6Xh%5SRTkjQ^)`@K*I7#=$Ll zNH9bQ2dG+aHAJ00cgfgzkTh4!jLOO(@$Km_39F+V;jy(!TkuPyt4CzDl?scCXy5yn zGY<@6Fm=W7o|^gY?%6BHI*VNxRjp6l!_y%X!(gn+j zjRcWJQzB25BcvQzqLm)~(T%4{N~h~A%P5?>2eQl}&17d~E#Go|EjHkvhd;RRD=Zr5cRp6O@sc3Yv%T5S<@u>A3u z9d}8}WUq@?3%$Z`xWtEZoMfQ>RzMpOXWnz2*P>6h-gV%(RMPg&AL1EoIpFjD8&6N= z24kANpMmzLhl|2SWUTg9B23|3j^aOt%tyYS(fxsK_dPo&&nxsK{ieSA)6?I1tf*aI zX>Pn!2o)+HUO|*5zOQ+)Yn>ay@%`ZB@hV!C6+9szA@1^&X66j0P_s)H%K9@`AmH zY<7qK{}yq^BW0e2bn&s>0@&Th3Bs+LZR@jiULQ~o~v|sxUQpkQ( zF6!aRf(kgdwbzO6K7P+hd+Cv(lE)G9b~=UNj;+iasl%z6Hm)UWgS)d;a+MVVovZfC zZ^~zK*o#f=I$k>zyusnOR^lBc&kDx)~Dr3T-3;RBtO&QqR;4bUj3?r z?9hkJSGrR>D3NaeE68sN?3>Qq{r(~T_&P0UvBy2I&qkMFJl3h~H?Iv1YJ?W`+z#n$ z-}xT5KKt@Z$vpnExaLy@(;n@18lM$pGZu`U-rBXEPit53V~TMq75)BgguLbTbEfCX z+k>eq#=b%*zuh~XqMxs`F~(f$3ThwHru%+AAk+>wS;kb@o|W<5$4l>ZvM!q3WcoPU zZLwZ(Uw90sxOQeu@k`wa!cdBy53lR9VU;3`R||6&1C-&+&G&6sNKwnUr2~Vh$5hNL z%IXJ0Eh{!ipOUeTJgL2W)tUyp<>M+cHHc$tr{tZS&eRbNM?v_39qD@j#Mh+bXsPvf_b zuAHK27##PdR4YpR$%Yweq+s$WiZm?m58aSqTEcUkOOiInb{+1lzg63vF>Cx1kZ5`A zu)V>*tF~{8qI;wZ#1x2~K=nJwK}~#1^n4JRDO$&wNQM_|m1?x+Pg6j~z&QdRkJbcU zZ2%rCe#v|oQ6nrHU0(B|s(kV?DJM4W!AvE0OaiI$57{=s>zw72-m31Muf`HhZfdwh zGB#i=xXavdhKotQxTlPA-5=jiJli+!|I}nsVAt=509$cw>Yxx{HAuq;CB`Mytz-1h z5wauVEnF=*9663eJ)*R*wdT4xrv31&t!-k1VId8zvjD!*54_{~O)_(AshOFwuy*o| zsf>;G5v=hY)=ttlCVbshCyUi&G$yCDBQtN!z7zQ}BV$jil{KB(_(euCChM7%S8NOS zmnQ?E3_G=dYjVEQ%-LO985PbPp zbH|FDGz0LYL`s}`BB#t{%0{|Qr^yrK4?q1D@q_N?5NSW0UZ{Q`G2ZO6oMUHt7-~JX zZjM}q;+sF*+3C!YV_%+JtHkx4zi{n!&%2dV*7tfMX0B(tn6(bfv#9s%s2k+Tk4k+b zTVvgh^L3Dtj#;w2eKCAC(9Yru!R#B$6x{fZnvMDU6tkU{@2_y_htwwa4WA-AB{%n^ zlK9T+^l}ooEl1zx0hjy0RQxY`E9-vL4MwJo=a})jg&JuA*>vr%V`S6bVXbPbpT)lPFK8o z1*7u1bc&%$T=C0ry6REFhuDuN!bCNNNTI~GIkLUPEFH_j`u?t%22QxR z2Q}aanNXl{1aa_eyc(9>-K{$6m$jfgy?2cOeTU<{vfqJ)lWMXPnW`m+Zm@ED(LAIg zvY1o7v)Oa=1f0|ni)4dej~8G<}~ z$0Oh!_UKvk_)tQ@A?x#S1pbMo1Un>T5K&cve3E*uGTQhdAHoYII2}99n7Tr$jyO9@ z3nP5nK)hlGm4O$=OpJGs16H$0SkU3ktPV-XC>R*ktZp!~t*`;a;o&`_K#3}RT)F`H z)e;?_h^2oplWeQ@Mdj|hMlQRCh~6^%zkT9A0O;;t0t4a2g7%Zxj^Y=WAqS3wNaEn%b2ici+!J^Z ze(>S_|G&=J!Q(*F&Zmp_cG^&79T%Z=@OprF#fI||#~M(uj{S2%;5^2W2MwV_z=Qlj z&BA4b9t?c0K*Xv$kVmB}gJUU7NRqYy)$xShPb9S16>lIHR8AI$h05GwZN$3(IbO}+ z&A@rNHx9?4EeJYqX74-|9`Gzsy60Y;eD4k*O=kaZoCfG#WMn74C{=|&No|?RCFBW- znwrveoYgX|Gpld&Sif6!+WlGwC&a-|^q;Q3`@rvmTUy7g}_4wF6k1L(o zn6%+V21$MSsradGxf&7G+xOD*CV4${gklG_W_Iu?5XwDeB!oVAABPIVU|^lZnw4 zj3+?RS!Z9T$;S-8&xY-J(KzylOeEv=y-=4>5^LGeW!BEyZne`M6+3l>M*nbcDwPQ{W+ad+cx|)f$^xhR#*m4T8$7>SO$GsMk1baoIdRb z%!3m|GEUhFHnqFwG}=UAAT^}=qblGy+C?iUchhxY&T)mY$fl(l^FT2$A#sg1_xm&7 zO2HX@tq!rkTJeZ}Dz7Yre`YR^OF0hSRpZ+Y#lvn~=fvW_S>FDk44pU|eH;#!-{F$7 z_(S?!jyg0~4j4=CwY5Q+6`V1q*dw)?0ks;EQeC+?tsnTsme|Z07UcP523$OK)c^>M6tHwJRe1cK7d2HHlYU z5*XlZ{cfD^QeLu>t5GfEnFdFSnZ3JaDCl`N$KCtmjJr$WH+a{A!aZJZmZ}~Fo(iSz&&M54@Xm_M3J%VbNy;@& z3P?ZxD5gQ)Qz+X*e*MP7C*#-2LzSw}MYN1xw<8_OzPy&>ypr!c$s##q=bXyCY}`k- zW^6X@zA&m#;2xThdJS9+b8#>`VpG5c9hxE8<#uo0=V;`2k7(v)bnTh%|3`tZ8=<#q z>-3K+p2vO+Z&c-^FvX4qmYfq=zR0_Hu;WGJWPQpsD+9ew4 zVFLYz<`VO5c>{&~?9+8wTMi?4wOJN@;avtlyXlsKr9M{ZJ(=@txPQHNgRfMSz4N%w z*oS}R{9zt47w{Fnw{}slXo|jneD+qu?bjt_U*CsLF|QCaynnhm?Dnk2 zrY3oq&AvBx)bB-&Avx}f0=wK3#T0XF;&uDCs1I952l2D@{d0*&-p6CQzK$4rUt||4 zd#p$}t|DK;T7w;-AaWGHvSU~~7bdk*RIolDlVZK=;pw$ip_3MPZQd=u`l07G?&0PK zeSh3(o5qd%1QYpG$Iq2JRfijDJpXt?;)3$Cvge-~_cnjf2Z6m98V%Li&vy9lKn}K( zbNS@fN%u|z^yfS8uqtgcH$d0S0n(Cr-lQQ;i82uq#4^+U84nuuw&%+4*3OV|*ahYG zAW1mvLU{5{gr0zt97&N)#ZsX+duP)T@d>gqJPa?Q5@bVocprr%h^u&X8ea<=a**&Z zdqsu5WB+sRTXqM>=6DgV^4zJkRQ6bEw3Iz6KvM0tiq!df5{@;hE**K3unhUMRP|Lz zKA>bcuq^rMTEV>%>v{x0QHkbV}nEjkIQqJI+x+-iRl$o<~S zYl3hid?7F5LJqwxqmB6yTdi<9f8qhSzh)SPnjZC;U;=Vo$*}WXu}b70XlY+xv<~g1 ziX))ALf$pW>EpwCa(cvT4(9W9e!Hh)x+YJmQN*xA*q!B5S4o!~Q``@q@4MAzethix zeO)hNuCzBv>McENs3Jo5-^r|Eb(pVyqs%`=uv5f)}D80gEH8dH2`Z>i_;?DmvfS5iy&CkKiiDvGCs+XcrOw*yYuQw+NE zx-xS(dJB%y6FO;KdzbMAzBVtKe^l;XS#?##rnl_;v>@yK2s<}W>|5N1yHUJ~;tk74 z&pXSJ39hQ@m>+kT^CIk`)C@wI*ucScUT{T^n6f5X*UfiTbaod)H%BD3$$RdWh@|w0 zYj~_HtWWza2`+dZXS%G-t?SWXA!yvb5D*~nmZfwe$+1w_m3ZWO())h^e*Yzoet%Y= zB~4M(S21KoCua-)QNlO2HLkq5k#F+D^FDJ+Rn*j(k13wG^lDD6ea~!ll*;mhukQe=oPlFy))F+e3P`U zIY-#so5w46e45JaDdNj@c0zpaq()CkuD>-6iJrPnVIpQ;$3OMWB|<-jl=I`{M5?nKHE4@TQ+Mbz(e9cqxD^@{nn6Q^SC6Q0f;V4&5+RzEZyhM{A!-GFcA-jX=nj%%ze>gDOZ8&ty*DKC92lZ4fhOLiu*?bablxRYtmjn zMNXG#h$ep2q{exvxNcL-zbuLWbvd-`9-HpvD~>@Ng?aepWL|G zG2Fw{R|y&nHnzZLGrU5jHqrey&3$I%1G9scqy{El)S@et?3bCi-+M9c6v@eRhN`xG zIrizXsXzo7tMdt~tw(p;hTV+9nYktG9y>ABaBaBv>jY+rr7pEBWM*hY1Qyyw8j!ob zxuOvxxti?rgeNqtM%HPTcPSv`eq&aoy`lm98E?1jsnVt&l&FnXPvf0>fnAF`fg+uX z{Tbuzvsp^YYdV|ZlQfN7MxBBq~C^*+9hA_YOB@rnkn>(l*}n%nlfd&opU6g zn#1YTY_sL7BHeO+$l* zWsbe&_-^bj#}L*{v3tv_rb4`oj#|*5c`0_vSpT^1wqsMX2t7!D9eo{mF8ZWbtzmph zrQ#9x)t!%V&0cfy(wuW$c|#bk>aFSv@_dac4wq71OkS5TpUFBqJR^Xc{T zEBRz{ckkZUF#k^7vXVm<^X)^-x2~9P!x;qyKW0MQ{rO;d+MR|%j34ici4;6y`dG&F zFeC593{NGx36kjDq{ng~@LP^ImuBrpy=-biZy{<=au`z1HPTiSgE$TAmm(bkLen zd<&>cfw@++Pcd~iCwbjao_%5>Of|w8sr8o#c^bWf#Y-yh1h+3JjAITFV2A~&1lb!N z@?84%+(#PlBs?vNX-_ZmRjJ)Cf5}xc z0ptfs?JYBJ*3PC&JG!stZewdxxlDeZ{dCtS)9+r*tFt|;l!LpLaLQ-mJ#8%4r&^S{ zgcfK`xRQ0MdzWtNh+bD$?`iwuqmql#?2(mg{-Tx3^mZxu@b??4sL51W%o4%bBAITE zVzoROxS09QSB__CvK%dJoYs_yMUhfs8d>ee)7@Vy`CE*WS3>N5ecPDRmTw*=K{pKsnN+{BmtQf$li z(v9`mM>&=+*@5(ZRazo12I7p2mK+|Jq1rw%dCKHpqhwl5w48qMdde)qz^VFm@7;I! z9_DN`n4T|^^`>h~qH8f`rbI7VV_BE7)&3v$-UJZJzUv>qW`?mZMV5%Mgd&kG*@h$) znl>$Di=h%4Wy!uPEs8=R+V?~ycO_eeY^B9SD$y#GB1`o<-)m+x?&Z0k=lwtb|NFkr z|93r4chfA_^jvq^(F(oZmmiwvc2!#r}rGH+7?Ilnw0nP zUX}g2=v3<>ohMV~?KFESwC>1gw*HCH_-PjIwTm-9OZ>^pHOq#KH=UchO*|;aUHwR7 z(=&QYSmL89`6c~R-&^)dL(x%;kBXP@3aGIH=|k$}_AA4NAVx;F~gxKGpVO zF!$M-*b+Z8j~|h~t~t-o=^BXX{KNmt=X?%qU^V7^a%tiw?^T+W?~hzOT{20xSFdGd z?0xS75nZwH4eMNQypF%LVv%z9#tjt<7b$y&FFUEVU(|8U#7lm6dZtY|cUjeA?KAd^ zXE&ZLo3m>Df@?j}Y!#9Pw5|VL&=TZ@qo5@SNY7S2!c(QZ@S*Zk>j29D%X0nLU6#p< z!uc+VhZE#Bb(DnMk^Po6Gs7hKNB?3hZ`Zv_uP5Y{hCc>3CK@f4Za?pmALwwCH#0T( z-GU*eP{Vg8oY zUju|&(%!s_C~124*kWRvi1xJ!yD(&uinP%=^Rh-{#%0 zI(+)gA@hutS%X$RZ?ar#m*fuZH?4Ai!Z>&+#fLsqul-c+2kZ=Euk6wH3v`d_-Lf+Y z`?l2O-uuog*Sl`MlJD2)Z@;&mey)ah*wXJRadYXt;gL*DHSf2-ZEJr!KQue^u%|_T zY4t$V#%j{&EeFs^2*p|tp6t9|SEYGWP}63Q4U zE#kw!@yM3b%Q_EW29osRSjV8nKbRkdFP4`ngg`zvQTGhc*-OmOEJ#Af>?lx_DY;5wC$K*wt=jUCzB4p_+Rdq-IeuFDz z&C?xD$L*wAZ1aFUBx#?f;+{q*25wS*wAs<_#LmZaTc6jCL@SgHd~y>m zIqa6@X8I;3(?q80oJOzUjri;^!OGDxZTZJREyrK#b%qDE>WvB<5K7GMQ(yI{Pj=NacafwV83pTx6P0ukF{>v) zU2==~?C8tI1?wxl-8Ln-_qZ*ByV`91G%_T_na@o=PgZ~tY1ZO0+Mx1Ll z`-KX+1#grkQQ(r=&Zi3&PtRd~6xg>R`(?C$$VbCciNwfP((*Znmn>{p_9LoQ^>p8j zgu#Xo72jbx~W^E{B`_3)rs6uztds5Ci>LqM>*HNn4i1&f_69Tv5hu| zC*c+~cyTl|lYJ*?gLQdLJOc z2C`sZP6fw}qA-(IZ~b_Xi1-RgCVmnA=x#%sUpyYlyIj*qlS93PDso0kPcZ(n`%FY{@G` zPh6COwm%o;u%p4Cq0nRQ>E{fiHfj)>6kwcHrX281EJ%oseLx$~r#O*oAG;HQ_z8Xq zjlpLQD2G)kj+PlIonTynPOU4Cl&{|{}gzwiL*iL z>cq$D6A41N_wOq;d;vi@lIPUx$>|YfpVwP8uJ6ipzr9qS* z`Ix%}pWu(g!sm!^EvC>yM-N7f|ig7@(rAu#;_=emy4_8uk`0&{Wfj+2m9)g8Oz)@x6< z7U4cU@#-Na>s{X{K_3idF4EK z3OJrMRuL`Z*(RjLm_RF;Ekz;myU}BPGTXM9GJ^Z6vkGE&Rc)XX6-op`Iu$zhN_bXo za-FD95(&HnpQ;5ey}H^6t42$K0lVWqF{PCc@+8++#E~AEdq(7Eqa85V)S22GFC~4# zJk^QX9?4@bT%b=V6!TQGb(k`Ln5QNO7zCQtcO4*ejw`lY@}vB?%dg5=q>w5Yh-yTk z`ZvWoqX~sYK&tv`AU`G^Q&ZJcI0>4Qmzwg zdw2^q^SftIgACH2mDiTh_`IZng7o2B=E;^AGKqT-SepkC1-8%NbN@i%6{9j2aC;v; z6k)?E3ZX(kcwT?Am*peALL=d1OTU^*m10QBTmb2eUv(@_6%dW7z;K%B^ciO3*@Ffs z*TLxk>lA>!Q88|`ix8tE8tq^LJVe2POcu2P_sq@p;ZQ$=(|i4fn@~F$i@%@p(@OIE zyr~YIlP|cf+w{`rg8M7}109%4pRl~C)i+zgGT3E&9yW9e`98JoEPsBCm0L-IEhF5P zAx{mJRW&x&FZoV0R?C~ix2H`wbzKa0$mzn%y=mT+=Nl#VIEyJ5D+Vpsv$UKbQbqAj zXSmhgrgrrpU%AQc?M|+tNx1jyO=2)N>m#X(p)w>BN8hPzSS0C2gCvQYkG|{YpUDt~aB-tXO0SSs0ai)vCvm7XX zZsW(q!3~WG(ZdDn)Qdc|kS^z;}$pRNVUr}`$Z zrMwk?rusoGH2ue(6MQ5KbGpkHkl#ppqd(kC6)5L58$LTcv07a97f~o{-Dy)IS)8)? zQ3Shu8Jp4Y!CgYtyj75gP2KNwGV+<<&-N5TdP#ChiO9-}5#L{URYrm%;ATB>#`S%q zrvo`XE!T;2P3LKU@%nl_T;=TZqDQ^0@5Ow-CS4s?G5NA6DkAjut|6O9o3g6XLW?V6 z-HqJ_hXTVEs}Cf&wA?iDw1d2DoS1=T_2h40}l<%pgO$$$=aGONZ~ z-eY=`lsulq#Fo$oW&s;|xW@JLteAYPcEHWuO(V9jKc?FQGCEUBSFz`gbHHgrb~*|bHt&WkWl+m9P@x}bTeAOr>xHz>QHZf=4vwADY?o>7pQ;Y>#^eoGaw|)yOHtZcClHe9(t2m)ljTIJ zvZ?ZSLPU))!ds6ic$c|{4Q*BL`#D*LTwPQ>X1q` zv8>n&=hmN(0XzT#hzFqB z+?)bY{Y#UU+gb&6GBv~9vY6_lg)vSg*{xwW3NC)r?z(^L{Gvs@fj0_^61Vhc(HE@=v$!K?vWs|PQLVpdRCU%*!Ji$L znHKB@>Nl97Jm-X$al$sMV&b=LJ8xA_*0P@K&9`m)okrVg;e>dbjX{>@%0zFZ83(4N znU*~N?&o(*=0Nq_7Vs<1r;_dbL=LuvLw@>WU;Yw%pJ7p@noWw}`LAYZ`+!G(Ev$i} zMndNV3U!cFU7EG3Q#rbWQnC?7tH;ibcREJ&rAN+7gTMiz9I)fc*X6XrmZ>O8ol;!t z3O8_neK+RUG0IvpE?`WJF+pf6>}gwW%9#JDdeS8-6xMPz)ATDz3h9(&27J)0N}j6a zef#s9+X2-lwG*rEamvon-%I|NsU2<`?Lou3a%1z5IMsDVw#&Fkj39OU0Ig?PmoBkQr8nZ6a*JEGsf4`Yz$=@aeb5UjrC1}4*F($e5HA6+f039V6ieb z(AV4BRdK%)eF1Ek0Bjcg(S^t$b3z;D4}V(`lCf=j4ul=bY^@gNqQ4W%$vJiBc||F=d7(4t=%hK9(0|! zDIPZ?%)b39-yydr?R)!!=Cv0st1s|hT*EhT#<@VfgLe5=*S@uWF+Kc?qk6N~C(IqZ z_c6fpN$0XKhm@SwEQf0aMFJYFil2|?e2CQ?u`a#3?qpnzy=I36jQf z$3u8OiRMxpmC9{+H%`);sG1PF-)TmRah%Fxh0K`40{x%bsWNl<-KzyWtgJf~_Umno zDt%NkSzR=+#=*i+y!C4N@&STcF20O1^Hp z?88U{Q7C9?n1P$o`*1_|;7$I}A7JWg!D`?I(q7pYyu-Ti0@PzR6CKFkSj6BsN!M%< zWO9lj&QEtO@k+2f-s+sn;_It^SJd(NZe5~NgXA7(DTNX<*qnJimtRzEa@9U4uc6`g zvZE>i)(xLf#ueC#FO}=!fRy9{Y&a4m(F*ef+?(35_Yfkpx0qmwSnpTH!|S9s@LjsL zRK+H>qdMTa&#FCgt!wseT371Fdms!_j;g@k-`uLSd%YSo3dE?1`}*xGCjt+fVr*Zv z0noCISUQs**>#3gBL=p(1TDXaW~5NRQ%XXQC+v|wYKlnNs(@c3;f*rq6y~{%A%8K~ z=)gOy>jzo}I)>lfcS^{-X*ln=i- zj7{A&Y_}}ye4wWS-1iT-Nok$XINYRzV-<6S z_1Q-8h!+t*N$8JI+jLH43rj`ll(cdH3gBGJ1DQFyJ(BY=Nm!62-xqqZo?okTxSW)*EGl zDBELBK{b%O{&_j2g+w$pKYuQrD^1gvo}|PS%1Ucdl-$W5mVdlhNn_2L&)XH=mXQ>5 z0~7m45@O7!9*f^E;-%UDCQm4+TWD<$^SED2@vTl$*l9k|kG?b0yR*YRFV=dEIGbNh zn=t!QaM!Z18G_ye4B-wXg~*>nDl6L>H$=LW2sc`R>}e{J!=uudDZYeLB7xFxnB2ijyzue-`7Nr!DFx_msj zAf)Kc$sf<9yMhNV>4&!rem=RjdV~9%DeN%@W;?+OOnlz`Loy;&ihkt{=a1Q+bXzxl z-l(dzaE(6J`}J95Rz)Q;^BEvS;r}z;+r~~{~~o?YogklyAX0qvTxWwMX6ZY zzDH_bo4J0;GkN}qk^7zROT-yTO`X}H6Byo2ozB4U<$b^NL_e_B=hqDJQ#!Se)ZB#7 zy|wewG^K5-*UnArpHx1>XcENk1aFDZ?0b7}!o18-Qhn(PnsI8@TSnNYx0+IOGed>y z>*6NzmxTE+T>8>}l!@@f2sw1dl$p$op1mr^}I=1+^+)Zd&Orvm+=CP99hH#f{i; z9O=}wpqPn3MBFuaNx5?eFuS*ik1Z5BWq?}}g~%dyVJE3%vhOhcC<>Rhtnc)i_b5s0 zNz(ia$-qoJHKfz8V{bG4uD%}eeZzbNZdfD-=GVr=3P@=q0I)(YTMlH!^TE$AAe0G0 zimvvGD+2knxn?9#C62`NY`!=3<=#U(=l7@rZMDg>c-lu&O?g`hkG&`}XJ19;u$z0t zBp{ozTQ^~OL^VAzIlorvdcO!FlGN=0KBfA$1fbPEaf1g$%&iR2=Y|@WLT2E8q~3KL z3;1A?tj715q*ec6;nDYV2V5s8l*|Kp7ip9+*Fb{VI|aTLnVfp}yuTcJx}GErA|q#% zshm8Od`65ZW&j_r?+{1e=IiP1!0zXLV&}La1!G!`QYxm*$)g8dQJ^&zN_T2mb}y$G zcEu&1#U359WR6hD?Ck_Y&5<*JzG+dUUd9z%kBL1(GX$fW$iFH^=7d6(HqnDi2yw&$ zXj2d(4Qx>?P$K4?4nqz7Qv>0l3Uw-%mBVjXU7ExeO%mDiLN z$WH&ESe^K8YX?Ht;C{HA>G&gFMr7kS=`tGdnR;p=6CI<4s@n_ezyFjft%@pkTs<7kfwc z%y&qc(rmuU)Ef8~kMK$rdxKi}AbuL(nXKyJ?Af{?te`}((n*2M+FW%tSmrW-VvXZq znn2uKHUxYyOptbygkzP18 z_PcUICpe}OIu-!e3P8A)3)yp}71KMWdxJ)pAk-6?l79Z~oidsj)Y~D6kcr~Ffu!Ud zp{hD`JXkb|Xwk09HHn;~4J@MPss2Ll04Ks>FNF5{?I+>*bsbgP4$AQIR9%mOlBZgE z2T8Q6`pB+E80W4(!8qBnjNqY$Y(;UAs+C<7U$CpClh>to9;iNwFhpQ=vs2W>p)=ev zqW^-Lz<6vMH{zDheSUVjl zrCb1}8V+DiHf00>fcddfWe|$k2(n6I7v9wvtkEK}Q>hyZwP<7clDdlwKIFBV{-4VThgL&7EJ=DHk z!Dr5=Eq~&mKuoFN@>97RjJ}7So#!!gazU}T{p^{&ue#79f_i(A_2FYpBvTYRqEokV+@HevaI^N*i}vLAHo*^YbtrbbOt0Qigt4e9qT zuCBYd|K+uNKZr)&T^+ymi$2{NEWMJ?=s0_A5<5z`&!=M6`2@tMjN3j8@6^tPO`Q*B zix1Z}buJweXME*wxwC%_bnU-8rDw8EY1Zc~Q7t-7R3E}cHB5~>Ed0aMg}|cyp+g~O zF?Y__PMWkrv05-QVkQ%W&p=inF!F!F=P{#dyVLVc+6esi@q_d!A8pR9lg z_~u|qs3m1O?;AQwNDai^^UI&GIp<*iD$tou=C z*IrI}-unI7vRlJj=DgWLT%fdIZ}gVx^OLVH>i65zSGk*fctCy4k%g)y5+#f8T)Fn# zZqc%lc<%w}#*f=<*0gN8aXBf(Yq0Ra(_E;3aL4KT-n5{g%!~^UG>1NV3V z;~UwT_Ds?IH&<;&^e|XtsBz;9V4*#2`fQKFi@j-H z;8B3mO416(Gk=E zkW4sH8$6TnSLrUGd|WK%Nx%#2t%TwuOC`uvlvfu#_NGMFh8AJ6h>P61vh($ey(Zh| zJM5k0tLms1@u~Vz$6k}9`8|pX#!-fCey3qrdH1wMnKIUNXAYEiC<)q&O3o;_W(HYs zQpG3aPtlb>1a?%V!)WeOOUhQOvfM7o@Ae7QHtxmcN1>zggWME~8+L;g#)>Gy z0UQOD2NaYC}ZM%(?b3#eV01=Y_i_g#;n zA5Pb(u-jt1_LO|J-IkKOwv6JYI{kF&x0ebBozJLR^-MVEyi4DzhZj-nztdU-RDu)j zFDw&P8WgOrGYpMy+sO8AAg~XRo;qkhH!cv(?vEhq53;D#*cO#1%c4r0ubl!Gm2pU? zcE{djY>UbXx2XC!7S&>8Q8gdNEvjO$sFro!o`Ir=vnLS&PwP zJD*+#jJ}JPPFx05sPgDcnmcWI1jVB4L65T*qu}xg1b?LJgJQn6@uS1HoIN^c(CGYU zU_ZzJ$Q3~bKvFaq0N2y@$K;FF9-yytJC#&uf;+a8V@n8C4ZI$7uvM-rz9ma(w2Hh% z9@eRPw23(2j2}E)u6w8*P2qF*Ch;m-G4(Q|CsNV4;a>v5kE{d@9I_s_^Z;#UU7=`j zo9_y+3-CB?N0RR_FD#EJ@S?RXjh^pEQYiTVjxP|rx_E^tW{ytrLs%x)Z%2zP1Lo2o zN+j7p_?ca5w%P$5;LC-|ZNLa-PPoht)CXvM3oQNuLKzkd59LC6$*HVH5Kf?0{4MVE zp9X(}&hm@>7m|DFj)?^qG2Yer-3fNW!rin1S;`?&?QXCC82Fo`A$C|m{}4s9ak>(a zxc1CWC8Pmiei7?)P|N>+34f3EUW#({cXdkFAS~ri@FO`0@rr9%P1PbUCwT;u9wl2b z+i3l(_rja=ph_IP3HnvA8z@#DMT9B$1SVM!Jp&;(UyHgxBbq7Hp+@-RmFG&OVDAml zPQ-P64i@zfw<8x8_5T!$HJgvt+?==XRSet4$9*pWm>ztG`wT`{J-SBG z&nog5d-|%+qoQj%dMtXS}McUlDZC&(J3QmwFKoE&^5$xTN7&rv4Rl&%fI% zf6_y6E27?^c5^N^5Q6Fbx+QF{zgS>@()+)=<+cpZk4p;)ir?g~1!Mx#gw2_w#7Cz# zjrOle$;kAwOO)c=AD_;I*kiz(wEf(xop-Q_k<7F+XeD45g&Tp-VZ3pND)vv9#R7xC-D$t&+!R#{+QFT@m{#2)!a7w&?f)<1P@RIwZz z65!alf`<)5RHqR-3vbAsQm7K;eHsSTJG`GICCeVhU0XnH6I@Or5@-f!Vf<@a^?wsu zQ1lW<3w9v1fQK6`;ON-U5w63Hr-`-X2{;n;pQb+J`oRB<9>J&h|3;7e#1*x1hd8{$ zy71_c|5WuE&QXq?A1QF`;%BRGPSjW`>NvQ^^Z`SYf&aB&LHKq-=^`i=a-5ies5aKM z3>}T&N1`f$+?6{vs5H|$d?Kw^MI+33xfyNp1%)aHV#hu3Op0R+OdS1Y9L(^?$5#%?zH%CEiFJ-Mt^R`|k(A z4KidxFnjhu%=o(&f$pmV2)#NRVdt_nI1eV^VS)ed3HaMlGnJhSYVPc+}YNDm2EQLht%>DM`A1v49Xt@6224byIJXWOiHtrr{$BI^ak_r>@6A1L!kxVV8YyheTfU)0pU9jbqEHxo~hd5F|RjDWD8=#~}KQGOuz%oSMP zFH`%2>}e5G*o)Oi33}ZtAWr%fU<4s8c)SSY7-mp!CsYh!W*hO^LQeJ?m_)vx^>o>4exxj4)%ImAK1-(Sx(0pGqgsIF_gX}5;QL3Q@ z6b4KM%lj_~I2|bmIAw0P$fSzwODR)%5;MOco7Y3;??+G(A3@FkuSaklYXnvQ)e($o z5r;)_1T6}D)FI|$w=RTBOIqJj^I2EWZ!TpxdC_+71^nd=68vjgWpy?Q-ai^5`5c^x zHfab_!8bE2072^auIwTd)WlA7SQ_~EWB0#o^+n@jhi@p(Kya=yN|MkKXXM1a*^dE}KhT4vLv?vswn>_>bx(AMKeknMiAVtoK85ZMf ztkEj>RyS0`X`k5J01C7kpTA$ZS3JiSou_yd#l&{ef=B?l@TRY=Ly!ySnAIC(_Lw^^@2=|PIm)H*vl#c1+|*Rom+U7E36>TxR8%y-1S#~HjluN%}+Oyy2y znaz<*f##Jv=lkg@lu$%bAbGG5@;EwVLrvr#aV1{53dYh1LyfWrBNRGOBe5EL>Mz;A!pgKM?W}SQ5TqxsqUTp)$cfw^Q!iwJ zhc-2b*Q>vP0H;rKY?gqBI$`q!n7Vpl{UVv#3)pHwo*PiyQOx5F@skUR$26zaM{0~n zHi_`%bqCf_7f?{cf3IHb8d4gaL9t|iMtBHX7&2>?WBQQ5!}z-q5af!BujP)5-yhPs z!BQ|9J0V6_oJrH-PjNy=*jU^q99?s9D_|6JhDLF%3yA1sU5#jj(M!}~{k=CGi0+cs z7n3y-cURm|Z>oq*j#p4ozEsd$ku2usP1qja*F2UdJN}?krQ{a#RET0wz8Ak6BqXhY z1-b__McWjmqDR0B&Nh9shP_Q$E0!C%M#dew2Q;IH#cB@4DE6c%I5!8~6JNE8)k6hY`Zu-kftbk6xy z{gt6ym%WSre6nABo4o#r-^;yW5Ilo7iPbfL{M}HtuSRRyp_hbY5lP~`;{}vXhna~5 z?u@S#TP1O~X6fCiTf2FSOGCkrT~wJD1Sw??fn0nNprUnRR^6vimB)Y=2P8VI_me^e z=!%-s@ImQx2v!)`P_pDJ=U7FCHe|7j&o1ZB&zB@6C)P~W&Ht534WEK}jo6^ad#0$Y zks{zum=wS+mGisjpW|NX9G@GV1QZbMvt_y0K?|(%PRs+d9h=J_iuUg!joVRdt{#TR zT?-;#p)zn4(D{T?F=8h2Y@iw3`3D~d$Lz;_93!-=271;g2O6zpQA-A;ey zPyr{ejk`)DLK9Xyg$NwifR)@aLCecs3C2Q=GQi?chvV+TL0RQw`wMB4mT40;cxL%2 zN*N1rul8S)4-_R2#KxuCpk?}EtcNy~-DtI99&wnS_+3mlIcf?D$CPAEXM!4QQNq_* z6GEqO_~ZrcDm%B735r7{R(+Ji0H5O#5EqCKG^H)S9g2=Uo98P;Cnr9l1qsXjRpVR= zVP*113nGmamqcg_qOG@zYYQ%4%X$xehB9#Ha79=eMY6l+^`{ z5;_r2DRZMSCS=*trBD>xVu_uMIV$#?Yh)9fc{qJO}}{tInR8=~hEDpPNj ztjvad-#`eM-lj34B2Ai0O0OqfF59yUs%eW(a3Lp(o(d67eJ0>_i%@tyuX~j_IT8G= z>K3HAV(H)KN5_;)Z*WM{Y0{xU$5}n+p0j~oY&=m4TDGk2x$$@i81mQics>aNY6zOK ztY5q{i6(lCtY(xVt@K1-T#e>btRv$b+e0yO9YW4eIrA7<`OTrR3wDE}&4yx#Xe@q> z)$>@`!@YA*=+TN)B|Q8t$#Tf_97VR9AqOk|PFeS%JLJb?BMD|s1=`R9+hIdrhqyXl zS6y|;1R2S^h7#B`@2a3^TxMycAE+rGNA^G{p7uM_YhO1}Q3|WjO!%s?B>@sqf*(Vi zKc}k2}VEWOZ=pqa_f>iRv@nB+kMW!?cu_3%F5xc zkdkc!9prYb$WYf2tvm+VveMQj6%xkH@GEPC)Wej^lqWxh-OiQUS-B%aW6j zcFkrt0BNmFLZA=y2IB$;wozP)`73e-B%Q^)dVwQ_$_$JaG+GU37EgZnM8`ZU%GfQr zB|~ZJKbGe0jo?bes>lR7WjDb?{IEb3ftV?uygT~y@m17W{J*a>L8nC4ut2KY9?{{c z*-AjXq{N5ehvNe>*H8nl?E#9?3hY--k^;q%&I6L;IMh1oMv3}UIaxTM;n)KYsaBqN zx5@y{5ZmXJqIOqUGKJi??6uRWC8F<*eAWvM^X!`Ng&-L3LO%K=KU+`#$mAo58}1W8 zqlj!1`y@O5cp1VXHE<-ANWJUG}@ z{va|Xe*WZ5C+LBVo(TWoY6*v#fKY;3^7rfTE#~*z4pJm(rfD5rMq0*+bnl9&W7go0 z*1~;ye7hMR$`||)d8ri@ajkj;yYQL8V-)Ijx99UVqFAUz#ja8G|fv6d}(`&di#moy$Yh;glUKndo68>|LvVSNwkADPs#m5(QO(FHM9ZpJ9z zgsfk(%Zk5btq+57gumn&CzA-wKNKFoEi?k)-{>+JXJAQD9N2&(r^uQp64Mea0W3a?Ov_Tuyd=ivocXJD1FuJfofl-Im)2D<1@sPH2QUWhN;pD82bXvvJB z4y@4_A*9|Etwkpq9sZyTAe5=F)@R_BI9TIjqT;_!mB>C(A)>iS%pEc{4pW)V6b>Q) z-Z*u5)*tLELN&V&A5kChTM*Gv-VQ(7sRP3ol)BGW;>qQpB$07~u30h8Va`gi%xAy3Y4OxhkR0JDz zP4D&p3T6^rA8wUxa3G8x%Aa#ns42TNDmGFqEDO_>vh z9S}ucmRR6P5}#EM&%rjjYROs}J0KDVTUdYympCBEuqPn|-xZw)Aa{z_Sc<>tnE(Uw zsa6S|ZF4YXSbVAuEqrNMB(=v`jn6Ma?^E@&N)`1x+c&6bWFCph6iRl@yRB%eO`d-8 z9*-xKE~-N}g>{>UWf35H9HdYnyg_0=3jQLmV!bBpMvuiJ|F}9x+3ar|M&EFhA}7*e z)+cdiOLB}vsO)sbOgs{^6=&;txHJ#JY+$7uj;(GrO8fZiZap>=&;&^QO7j3FAVACE znXo~Y{~;ceozuXI$Aq?AqylJar2)g!=Wj&piNvmAKqbIv(UKwrJbJp{2yy}E_LHHyPvx3 zLj#73rE~s;Z4S@oIv7EZV_mWMH2$%O6+R*G6+AQ+B=R4H06GiM^#t94Ek{6>JrP6t zykBBr3SjqFsZ9Uq?(?*(v+u8x%`IE?P1G^IspVj5%O_E_?7c&3S@q0A%%Pqhy2_F0 z;s7b5=vQ$fxBT>|CbPY&HaRP+D5NP`Yu(oS_OO4@&YBr+)tEJu>~?#Uyt4kgJg>%{ z=G7gGx9xl2Jh=E;S@2j-u#mHotXIBmkpcO^O-5C0ZQa6Q=j4HM~~8SbUMRHt*w zqyF-)r~M6)RlA0q_>Qj44iXRkWG4G5+f1=;*}(cNG3LwrH<}hx!)AX?e$;PgbK}U# zO}Y1*b&cN1UV0Oy5cz3TsOR9uFN1Ya0nbN|X3X6P-N#p*0WOkFvFSu{0$Pe(BTc-9 z1_@TeHZ)G`Bayd5(+(YplWlb~wZqVHc*IzvRr8Z9j{3YYyJX$`~~<85)S{e|@t)J8;qIsX3FkX+);n z`%=2k?PBz$oJE`7$?Al6#@ip-rcseyFt_ZzaiBTvVMJ&5f`rWqTPqC7{iTP>Z}hIy z37_(A^22u{M7e?`quq}dy1K2Ke6pZrPI*tJN~ybocM(skTFWuH#*)Pav&!2Ag6K5C z=h8oK1$d5WIpu^ye&B=4XxqTeL1t?1_j%|PMsbpS^YY$aBa+MAu2N}##c#WL|d+jNI|!RWs!}o#+OAr<_J2GE&dv5 ztDSgO4jd(%i&({aMYw}nQ5}_XY|kQMzL@IZqy>tK2c5NrtY=g^6ZA{yj`0yzV|o%| z#+nTR>J+vJe$FML$ENfgx}68kD%oKRM0_Ju#s@%d=t-7cC!*!aJUSz(U4CQ68X#wc zHY^zQFJt&K1ABoBEM86{;SrpbY>)1nzNu31A#0)IM}Wt2f27K1>} zzpbapsU3*l4dH=*RZkJdG7OH-J@6+TpkU2}ZiD*fkfgBi*QPGYIj!f9Kgd2Dp_QhcGnlbx<$&$+^h@{7 z{s`XIccp)G_&TxWP|KXQOTTx}_2I(chP2JKuV~G#jWNMlS|%}1rhU8ZM+v!-(Ravf zVX<-8q+6}ez6`8C*_UBvxzauN&hv)WxAV6@?=^p^(|hbJ@lDEX59#|(mm*^Cb(?SF z50(n}=1ZP`Gi}F0-4WwE!N$(tFD8{$+D$Qu2^$iS7~uCSkluVWV)vnLu{Ph}Cij$^ z5~Bs#EUScT6-Ejj(^RF5rco>@tf7YZHZ@`!VKJn@J-RiM#}cu*_eg)xnopZ%eZC}D z)B1esuFg^3GmG9j{g6#`C6#MuxFScgf$T>8a;~A{o&ouHM zxO+%*-jd6`y9I*v)t=Q{d2ni^ciEua)dlAkb?<6f7@BVT`Q-zL++HO&zLFU$c5F`0 z*s8#5*VC)!HZfyD%GsdOK#$dBo-_6zGy&e4#?&B7Ut6uLqW-Vjue|Kk{yCF!S)P%H95Pav0%2$inVf zwB8n1@j(ZzS?{GbuefOV^`^gsiQ0gr@7qV$8wNce>OT(M_)x#Q>FoNQ?uX~Q_HUTH z?ps6C;gAzg7~T)nIwF&As`U_`=tv)|+uoR;A(q&k+oFDnCo1^B6Q%>7YSEU4g?wOQ(f3#&}y4doh^!}2Sp3i0uucKcbknZjFv!Bx< zA>X9X)5K9Om!x<_-DEZfEu3LmWFwdhvV{%Mo{WlL0x z2Vu;r;fN+=uyj&Jb?A8zcM2DX3{Y)AWsHQJpyfzD;Zcxqju9wW4nY!|o2KbG|E)<) zSs&(UR5ybu&tD?p!x&8M46*Ng>sd< zkim0Ug{|s>B-AH~W7h~Y%nQ9HlwpY=ZW9T~5NnEIFr1uA(3ACwh~MyceM-e>_?aAn zEdeb*5PD`v@WF0E|NdkE*k1+*aXx_3`|%&>V3*hA_BtY2yBH8#y#2%?%W9oR;PlLd;rGm30 zRKw*EM5X{S4~UY=&5s}(JSoMQ=OGZ<&X2}kJBW*<9f||4F$Lp!js<&H)qlCIvNC0U zkGw)jR7mG2GvNAhVD*_~%J})Idi|w!Px%%~O1@~yndxnk67kz%5eEl(mDg51(`C!NY4O6CtDo2dtTKR99 zl0Rn&12t8hJuO@S2FaE^EqH(_Qi=X#+qGaD@$q48yL8x_|FgE74|e1~Y5x9vOZ_wR zSF?g3TDm29R> zvN*2#L#;x;U~f&PMV&&$p~Nl1Vp%son|a^a^e%n)vdY?}7k_(Tj6~slGh7tPx}+7W z{d1!5KWtxh8{_PIzyWbmV8WQF`)B6me~zfr!cx#y0eh7WClvb>;*tl@8`g!#(ESe= zX`pHZ|52pzWU_idFhnO!)vp*(K)M%$&ZG$+bN3iWTj4z%8p8FgRBQprIMv^MuMGZ; zF1&huS)XF96j^XIuJBEaDwDF|bs@&2mI+qr=vj78rG#W|f0yxjy&0IK z&j1e`>mcHGo3=j{9_Kclhzxk~AAIb<5i9_s!i-FZpK`bO6lw-s8&C(AJCKfH{OG9h z!oOJH9=a(o$~+8ob$Injzd;RFK2%q)N(a@~xVitYqQH6H8!#}@A1Nal)u zfg!Ymp_(X8Ut}& zh^$1M5L2lMiPW!@fhFPuo;p0<621E&=KZdi_mI_(@(33v_=)E-K?Ww%`j5@QCv2=aFn){1PZ)|BqZy{s$sIBp&mmmd&Xo_si%Kgw zT*Yra&7op|ncWn%4o%9gi5&PQnUoc?kBXiHj*oDH3P`8j__T-ME0%}V0`y-VE!JzI zG`bmkpt+DY-gMUI1eQ;RVA|LSL4Cjk+4v1Ojz3`vR2Qn@XIm|;bKP{WxE!N`3Ht;L z1XoX+{BxFL?Xqubu6_~4t|wc~-oz7*Wr|DCpVpoJ+@E{9$X6~}U3#cb`PRF$Z7EoA zi6pN=;bIFf6Y`DD$n5%%Z(pMl%SiMpqZqS>CjA$DhM~Jw6t@H`ziA1co-y>(?t*%B zNt-=Uhv=cWwZEe@3=n8&u`3}6ma-B~6DVWbowbT$hTHw01|ZE%WBKj=KR!|4=9F9_X$IGi#MU8cuE0V@5?M<|1CC z;8Y!g`)F;)&O=}P_nMl*UE5WCm=FbcMiKR*{$0ybpEMc`Tgm@>)c zQIdQvBFydZ&*k@vczxs)o9be$JA~iYv)hl{)vfS3$E1Up$$5t2kw!F~?CmcJwtf<+ z8ZkSHfi*APiYcNcEbK)K5|u;g1ykxoA9B(QP!$`_458P*pCM!lqOB{aC;?p zNEA5eFYbhpsH!ras%Bq2I#7-#uF6-@%xW71o!U^$fwhxWm+d~SbPlS^rcJJ$IX{i` zE-6d)9`Qj!QjV@9sX5Na2Ejq# zaQ~HbqD|P-7REX1LfAKRC?bp(&FFajlbhiYz2*(9;H&kSZNXzVLhFKkvmYKJ^jX%H z?DB%(N$pd z26*z?i)mK{mZL0UwC}ASEYPTedY^rFSKZO7qwI{3m6)~9cfqcdrLU{X z_gPs93K+LMxhE_lnoI~!ySWgR{#<$VzK?U1vc`_sgUJVN`Ut*S%VfnZ%ax~Dd!FC) z)c`*$(5>y7OhgGwpj<_ql1q)S^294PmS-=KE|Get`m`igY$Qyca^#anypQShB`BU; zic(t78S(5XkXHe;eBwrvlbKX~DJW!nZT7Wldk4|l&Wf!LOBtP=9Ue7$p_v!khrfP_ z{P5GC$IWZrrJd)@9-n#hWQ%SiMfrsDC==!j^Y_f6Y*Y-2_Hv7Au{Vv%4tHn_s1Nu- zJ(RBA=Rz;pf3;R=&XR%8E{4XotzOHtQdRx$Py0M0XZpxD9nqnkxsPN^Lk8u$ZmUsN zD&+Tf`RLI!W;Qtt0 z_Rc3;_I!TnoVT*HmNeX%Pt0>IJt}>X&#^`O3en(1n#; zA^;?KS%JnA7=k{-jFJhAGd_$4Q+{K6ed7fXY87F{Zycx}vG)kSu}L2A%zvyBXY^2w zQ%Bq*SE=ky?YGh^T0m5Kv+KmnWfPTF@(D}RWXkJCXY5K%q3Q*m5Vq2uXz$=6Roteo zS~6Qd;(4d|a7PTI`bXMQYW38-nh2}3xdW9e=f#(<(f>JvW(6Gk7hPqQGGbphtUbeD z;;dgX$DoaI%Rrow;4>VwzT-gH>0POsHq9%~#-(Z|{K{xRQLa258#yQAU^f!SZSz%2 z=KC--nc~B_yuRm%N2JOZ7F;R#MCi7rjZV9~Pk-MX8}Fu=K=t6BGJ4U-v%sFZF5l@p zj)?sB+uJz{?~AQyeLkb(uKjPB29mD~Hva6s9`}Qm?_k<%;*=HQcYJMPuF;N9107ib zbC+K`9I`v;y0DqV?WUra?j5UZujQUHdAr{0h~~g=!eOUFTjtDobAO+?)05@GVaz>i zr|7-wnydRRVb9RnXOEOL3j`+JdS!K0!fn!ifrnTqU+fYR6uEXq zsQY5`jr8Ij`ERc76?lAedSZn3*&7rS;evJhr#`%$d!ujg?#*l=aqpQl+e;jq{Yk;mrCAcQy@3xrs?!?eTa(|2pkZedi`efr5h`A-T-h z4>fiTfln$`50|WVTKQGy{_!`y&q|WE`)zH1oU<+St&5cJ+S6}m)m7zqAY_rF!QiJ` z&$@AiHX{(*)RQW~`_cA?1?0{^Wi-w6(N?d7y({b;K6f={9s0SOK&iVX6SscWv(YCi zqG62NB44`r%u<_kZ;6^cH1&49^J-o8$fn>-U6ZRtH%@lmsUOJ7^=Tg{Lz( z9C9%Fa=wf2JtOf#4d1r>9|l;ufl+MP!hUQ1RVJ^Gtk`-$|M@8Mql5p$(1T@;4y(%? zN}Iw;CJlYm+fC%Fua^l(Puu)LRr+nw=#iXAO1WZXKbhSnu9&9Dl-zYB_vZw`hPXm? zU0t2Jq{97KvNA`qP~Fg!0v#Q#y`3%5qqm?gMk&O=Wvre+_aoQF)REj%OFbxrB7e<; z*@h-o+S@^mDKyu0h;P%@kC@@iUt;Ftx4pxr`s2K2^~RJ`lVmtr6(F=OzEs6$_=i%> zl(}iGQoY2Pj4a)ND8y_hQr->s)iYq$^ z5>Gpasqz%+ffT}LONKf!uD&94bFJvH;J&$4OJib9^1BUCxy%=2R;Fd78J=l*i%{z# zN-@93IhopmO&MgnQ^T1tZ9YJV{*EiDE`G;PQsL3OjzFf2jMUuQjTloWFtbHg=78nx zNwcHLW5=R(BRUqbgqKk^GX9qcR~+q+(Cjw~xd>fKVkS&#iCri;Q8}JJVZQyVWWCL4 zY2n7k)Y%7N(vo3O{2F&Y4-(4wLaKW4CK;J%XB~3QG|2gS0PBHr?|7kdLG%{)Cxhb1 z<`+vXpZ#S;5mX;(8=48~2DQQ74VC-UKTX-N z&enml$#ujPD9;aVw+@cc`x*o7q^BdPKqMyC56=)*uoD(GqkU?v6BhnI?7atARLQm| z+<_!nR6r!OiUdVKL69g(#6TEPK|zoVibN$y&L}8Rkc<%n5|toPK(e5s2uhABQKEpr ztJ>YoG>*>9x$m5F|M&f~{Wjh9u3cf(s#U92bkmp-!nt4~&zF^zzNz6|u#|{BNiGy9 zT%O|YdM4X(-v(i8l_FO^xviZRlG%sdd;P|^VorWudMROyx#QSJBPu#^wcznWNCDf^ z2k#}zRkpt0$2&XKNZhY_2wXaDfDEJz0ck}twt9M-YUJ!*2U3QtpeZZ_x(vJ(Zvo~@ z2I!P{!7~zmi755P+Y?7E+n9cNoBlCD%1l?JE|ki)#+QA&N60PH0}@;!QhwLs5=^){ z`_7i7ay>n4lj1cN>q5&pLBsH*cf31gfQx-J(w@ERU|5Du7f(zk*EiMfeBI=?0|^a)>7B%G60(&8w8tASSm7G-#l~yzPFncK-NLi=Iwls#~{S9TjHOJ++CYkMq{&k1zd0U1%e*F$`~Lc4uQ%N{3y% z@2N+x+dPX=KRGA#h1W%A%QRRkzuu;2J9T%n!}yLZ`>)== zKJQ26?Zf9TZ?i5XZNvJ(Yei(%#dW}a-L%%$=!;KHd;jyD(X~N3R`e@}E7vA{KE>bI zDaUtCn$>q!mwn%KHPytje0S^%r_wl4m(9*$jxIQUb?&b{`$uZRX9R_g3a_nYK4Ws` z2CYd!7MhNDT697)5f>{n84&JSRxucqP!Rom@ni_G!EvX!1O1$@;^c8)I#DoMImn=s zZ1-J2j)JjtYIB`)cbKNpexm!lD2S_ZA9VnnvxI;M%p5H4t*9;_*acRx@OAvPA_Kk! z4@AD*ol5K1Z6mvN@Q~20fXvKECNT+5ZI_t%@(;Dj!HjQD?tUg*S2ZlFM_qqCEPT7k zDsEy|rW~1p9Y`p)eKrP&IFudQ>=?kNHuJnq!He_6uO9cvYzCw*fdC}oh9 zmL4n|bJ<%($K6`dl6PLVg}uGtf)CBLewG2vx!ZF`me?rMqUzk`r7P-MwQ%x!A_e^_ z81CAnmz;AI@!ZRqSGnsBU+%i@p--OV`Vq^oRz)AWeJrktomcUh>nA^2i9T!zyV~V6 zDI(6B7ZsiX`o^F`;{-Bh^NCx7?52-m|tImWYn zEtvZkb*U~+q~_}-3SCKCXdjO6@8S`CByxgw-G}9rqvpqp*}W<4)HfDtn%!sr5Lxoj z^I(6_fJ6VI>#sJaYdu$VPGc*xJ4YuSzV+!Veunq*(cd3)a@H+LjaPg<{VB?RQ`dt+ z19zU~v%zUY7T)|L*)Q83HQdWrFYcsWoJd<##7?}3q3HLUF<&Z|zT;Yey*KS@&8oNE zqr-yh!RbLT*~I0KHy?)1Y*ygh?1&}TxoLR-cvg$?xzL5Sqe1=;VPPeB*8aRmZtN)k zA_WB6y`Y%0(TY?+v50(!Y zy9{NX7s=pp8xyD0d6nqltbN$wOUXN$46zYsja0>i8Lza%?IngXSkEpcC67_0FI-E&<4H@u@?g*y$Z`#e$IlEA& zv2W3o;VgO;9o2^lRX7Xz8#3K5HpmRl7F--XztA=|7VpwH7OTqBM7wFfCHF+jWsZTi z{$*+hMeWLPnooAmUE5sA^B%8rkiT)4F?~)>dz%M(_?3)e%bD-T>ocD(TWvSxb&$KJ z6HjM#j-q$Abb+1UU|-ATf#dTws1hqAlmnF^Zh*r zJJU|Jb%;_7UHJG_H!5RZQd+zd7uS+Bqx`PRMf(k_>wz3oX%>+iEd^4(&N^>nh4l{^ zWi(j(T%J7tw06(_!|P6rRoFL+wH5kuoTHstyquvLEy>kKFJ)7(bvSulIUL0R-iA?1U5!aK)AKdCvQ&9m6;f0 zM`Kgl5nziuuoXt=Uw40CSES4a^MQ4I1^(ouUIp;%jEJz{QglDZVBm-$@04%V^a>xl zIn2hKyk%u4R>lcp))GAy`8=eUB|>F+a3`>9iZ;Y2b z@QgJyI`hgWi^W!0_>*EQmzU*@SY|;AiuJFAZ^Y~yW@VKd@Df(B;@zPz3YjsXBMq!I z_4~}{<2|qW#moVY%&>f_y=Q;*V!&$N3*BQ67-%Gd?ZuJJmdT9T4FPnUQv!IzBRDjP z9@s}KKw9C}o#0#*+Fn+A873BG3t<4s-G?NQKgg90<7Tb(3p5C)QZqJ8NC5mp{-eLW+YrgOWpV2+Ajccoa~WCLozS z0aQ7$*m`scu*KE~1=oO^a8U)~zmOrevjkNR$u>?w(DGq);5NQCM0YdM_zc=zLrLpi z6c=FgV^H5wM*%mWN*#~x2j3Mzwa9S*U;SEw#t7RFtHHq^k!=HS4y}wch4R=X0|Zw+ z6k0XGDcfDXbq~%@j#v`UJ2K-=K=*tSpJXwj;WqaEH)*S6a`*yLH_;aw`j+|04I48U z42tX$&QoYj_p}t}Tfm86eWW5EH&m8(p-rp@&zu?e&1&7d_N*xgcjP4h0tpL2-b0s4 zK!mQ4x`SXCnY5Q#Jbc$PVMW=MH?3uw$7GfifV zJY9|f`aXP1zbk}K{2D}HzJ$eXu{(cf5POUW!~z7}_mg8c!UL&75ddkJ3;~kjmdK)n z>t37J)H(nlsrYB5pj(Ca#Ol)0@@v?dStVn8LWnSm7=|#4UjYtLC4SOKeyHPun`NK%AJwT8Cp2Fa%Vfys6-eEg+%0Q5R~rCn_$c(y6bxJIuaM zVGoSK1XF55ivr>ea%V$`;4gsx$c3hXXa`Df0XH{tuX0X7vl3p2h2?(7Avk*ohde{R zJL;ptH?)A9JPsBG5#}xcz^Ts(=<1z7VeK+J z1i%D{U_H^~V8=HFw*lDww}YD>_KZ|32u@PUcxlS-4%j9Cm2rtXIhiH0DE@bUMnK?5o1QVpkk~JPhb#LDa9p6?LQtpe(kPaJ>NNU$ zFbM0B&Xo|XphJS7Ly*7`FQPVF5YE;%WCm6V7XZn_Ux+dWbOyg4Vt|W1y}5S=hdf3O zJ_qy?!hsu4kdb)?L)wN6=?wp$4N36q|J9K24FbI9!8xGu*|tHB7kq&Tf3SeyDqcN>eXs<$!eOm=i~%8+_QFh>@_EnJD2OQbTPgO- zeL4HO@2J<6GxJ|w^>t*(_sPwlN+xKW!C?Q?gZhPKUcVqwI)PwW@E;V1-|?M5A-kVv zOVZ7=@P{8yJlwcuEAI@foVOhQDITqmEtmt$6esun$Ib~z z^t9x3Ut>r_A(&5SWq^WODDFSAoI&iI{(3St8Jlj4l-X!*x*>9r%XrQD(kX8c@jo67 zBmUzK5Q40&mN5Rm86FOXs&SWn~^K)^6vT+}Dej3!U- za1F72Fkv*T3t-X{U@vxzz>k0>+ZznS%$R07$eEksxO@|m?QqU&g!FWfLx8qbRs;?K zatQ;Dgb-FB2&5t7>45#fD?4``!5|Ay2*CCzhKnK`MTk)kOo>aG0jHvIbj?+II&%3? zMMcr~daop$IENTmJaieB2W7RgQB0|_C!#KveP|t;Pk0$F)50+Pq4z>-gRke6c6QXv zNR6<~8Szhw3j!GSR=-dBONp`$9%2;r2gdI?f3WR(yZ9UZv#upG>O32dORV=ld|sPu zx-Z2U9nvA>bii%kWM{vXy3mpl%TqGW;-f-BLfH@NtBva44hzpFM;MUL*6lyxB~ra} zKx>3@;Qg?ekWTKSwvYacWv9vK7)B5AR#J~f?^)hq7OGfSVq|^EJuNFb`nrd)@`v>1m&D2^&rb64hzDpiFA)Jyrs2meE-FBm>U&4NQVt0vhf=j4W zy}(3n)L>q&|H3-g(Yr+oLK2#Tv|6J>%MRz0>;qI6f{HV9^MiE?lsj7`1fQCyzm_lN z9K=bN5GI?6$b2%x=nTxCy)(G5ViFP}#O+`YAzhQef33zMk zoV=TnF^;aNRLEG<5-5b5@mhbP*~jS0nImusGB*nte@X6@VvaIxjgb&O6fR5cB;C`soMKP}>mTYvuP7b~jH<1Vo2aD$&o_D8fRp&SxgVWUH`yc}x1cN~ME z8T#ur`oKJqSKkwWiYpZB3CqE5OTVexp=1kO^n@zU71qQ(58Xz`mdU!w)Lw+sCsu$p zb2I;@myvwi(zU5~m~4&o6Idh%#y6UePo$^MKWr3biaSnA`!tZ8e@}ZLKC z`#(hVA0qny6cGi>awp&o9RDGr|9>W;=nT|DaO}8EZ?e z>-oadJ6Wyy1#DD? zf6YFFLcipQ;g$;i!HV$W&_CgVrMGWdj1!gD(Y%xKR3*t%WUrP?M*fhMe|ZNlD%51Z zHhL&wk86ud`9O7pl2_*Go4gME6h}rXH;$Vxzfqr2Wp~O+UsoE$!5uOuw)n-Jhg_8D z+iU6TqT}7}BkbnSmak(?N3Cf}+pJEbXbN=u$fZ9Bwf)wq)7)v?+0?V_pdV7IY3S$d zTzdAansZHk!eXW4rxq>OfHb$7b{B{11p#xCj_owa*PIp$Z`Z8buBF4puxK z1BMwlWL-d@dNF$=kgai1DFQpkFhJ?T2~F$gfC<)8L>JJ%hx@cf`-8}`10Vppu}I2z zx7Z{zFzH}(#d+!^<=`H&3s}AIDwE#(hF4{DTeX24>L>$7*bQeENIV2n-<#x~muTehi(t$5@kyacLs1g61thC@g~dY>!>pj`Uj(0or-y$(oA;k% z@%|sAPQ*x}zYdr9-=$8(Aff-9)&Hw!b^MC_br|gbl{37dDG0I>3H%&>8P)n9*7U!^ zn*P@bKT&k_cZmOr*2xO{<^>1ik}J?N0BLtL&@-^rE|Eqx2QuZ=LE-aH2@-?C&&E-1 zhGL9AvZf@P)h{E!1C{!2gh9r;CX-6+i7w2D0+GmU>X9&;n`{VTO}tzL3RH;~l#{>< z2|I#_P@z!}UM@l+tOZOn{yGj>WQEqo7%w(Y_zRG^!nibeo&PTI1L=-@AC>?Y;)+Sw zu}Le1+Cq3C-sV4f-i16xp$rj@e?>}!Xv+YH;HnFvoPNJ8=gn0cWng-S(K8Fi?Eb$2 zz{*7YA{h7YrvXGu`qK#CpbipM*3V;$uL2-=fdu>in6Uv42p%VXmTLh%gHp+#noOVl;0{D>>ABl>q z$8g$+(~(0kM1wsVw*DkZSj*DLsMCD1UV^yH&5EroFXo4THd}6n4?z9UXW0;YMbxq3X^&n^vZG5%VK+1 zpmv?u+Bcf~Ifz-VdJeNlN$o+X3^~_!QT8Yi{ac!a{9gh8mO1S{(h@gf^vA1D8&rYR z7(fi1+r|X4mSo@dV(nu-34(&)fa{{}9uVw0Nq7Mqr@r{aY^?U-2U&M$;2~?BUfcXU zbVk`Y+1;Fr0;UE@68o&&vVr^Z;*t)PCRhCt76Xt9r85r1Y%=u@ULaFd(x6Ukkh9yp z_YF=(a7{=XwX~g`!2MArhq_bJb{6KJf3Vze#})q`FAD17n_&EUsEp6uh`5G`O2}5z zzNJN$D{a(cFa2o>QvjsBqv*{o&$H6z_})#S-w zn8+e<4F`40F@j7h+hVl?&ur5x?WKYqxFCP)&FP2>neWR~s zf4$>N?$_=|b~mnVw?BzaeZcjQEPO(sNHF9Cdeg-DJsJGb%^1yRRr-{}_s2w01ryjJ z-UDo2ZgU-!?A1c5@z+ONXY5s^ze_u^3`jK3sy(x&nGcJ|5#YR5|1xgpazk+I1ecwH zvwL`)_{TJz8I--$?8nW^uM)CC3h1lp+qXG7d0I)_pd;(oTw*Ai*xJs^YwzWrFTp@n zGpKh)j(+?Adt}l6($F?VsR?_2VFBLSd`IUXsjIc(7mi%Bacr8a0|d97z67k5=vlr zbsfwYl+qEdHLUnAWEUZlq)L6z7U22H!m52$`S$Z>*6&!SkY~<`3*3_NQ};>=8{HZu z##E&SDJ)g*B^++G4tQLRG9JF08{R8YU9OPXgv#0B&_wsK z{+W2EeS9moREA_u2Ia-GNks>HWw{m%s>1V6sIa|1O<$ICr}6z}ZPncj0TGok(_2yn zFHRa|*k_Kd(N-KbqGk?j1#!tK#8{ptE}nE*s4Zizu=?D=@?!hx`#XYO4lL(JqjI<} znmluS>h^5bVb8?ud#xD8isqAFwfV;6+f}x#vd=kNZE5vpP>5@7OIouPU2ow%>p*;J2OC zQs*Nki#bdOUp@_xF^n^PGb!VswDHS#6V^h@=;8Civ>nb0GqS7{LLXVCOC3JR*JsS4 z&&^Z_51*fvYsr3Z@|yuAefDg%8S6wdWq;uge*>;-0p*DaT1KoBcKod$8q`?dWtZT8O6wd1tuv_6jeHoNSIFz`QR&Od0;{W5vXyv6dp zLCe;OTH4!^m$&&%E{*M?)l=R(*4Ms0^;U*|S@R9faE8S0BL>_27Wqy!9g+?2|Eob9^xfszl-zjEfC>Cb>B z97tsP6-hc(DLM!INJ5*L`0y(Ly8vfSDSlf7)fFbljwpY z{S-10aq%94NfOx!`0j($358&1+Yfd%VYDvz4)VjJ%~9o`+a&4+a##E?Fl}%cpLOak zhZ^a6KODocC|uj13jF&wSD}eSKI0@0b_-9SYjV@ObUeYy)Z+T(L9sHW*{i~@UuM73T<&}aTyJ~b z?{8h#=;nJ+=tZ(#uE>$jx_06Ax*h4WM}k5x^Xf0>$w$7>YM^O-TOZWS!Pauy>t%hR zd7~LtD#m#Z9O95X#wK7$=fRLTu>v3{rwwX1_dVIe&7>$$0w3$ z|9aaYY#vo&s1J+UH`~1YaX0jHCix zH!^g$T5-vLS-7m5w`@^X*}z`B7$h;o>O9F?Yp3<9t%u<{wlzR7`pSVMN(L(t335ie+}FdsYb$nE3HgQ= z_}sJR36B?g<&iv2s=@hd?vlN}o=n<~o*hW;Uu@-t2L64+!0|Z$Za9r5utjp@P^Irv zzacf}EIj?ZUKeDAG`)usvT|Do(^?jR@KM=9*BPMabUSeJo2MqX8A7sKrXOR>E#3qZ zrI_+xdB9+5x(;xoT=dB`&+FG?*k;$neoJ02?dIKQY;)&ys4f#{{syPMK5dOf&*7-2 zPJM4)O~6Av!Y$%eKmR(HN#yBGp-U@0$Q;InruLU_eOOOR+pXcSc?VW;b+R$Y(tQK> z&yt9(aG!t!B`TvFew{CDwI?F5B|3#MP^YA(uoD51S7?A|eW3gc4|FS&M$TFqn3UoS z8OW8x7`vuAF_X27g4(M;zJ<|F`~$yxj_<#qV1ky3&GFlxVM)bNP>=WF%ACyFA$($Qp5{5I?5-IP4M9tgZQYRvHeEaNdDS1lsV_*v><3XqHMhb+(cQFg726YA{4H)zq$f$$)+(TsELI0@meT09BfgiE!VSn*#>*^9KtZFq< z0z5=^X;MFc)ft)*IX9GVOQU|s!i)%@p&)v-1gs1EA6|$M`fp}hCSW}Q?MZ>KN@8U_g$kqf;OqSt>Gx=ah6a_P|?E*{u1cWdmSiwV? zq^A@F;)+3*%6|{KfIQ1#RZwrB2_6(25TH!bGX{cw1{(=sh}SEwk}iNM@E4+7!XqKk zRlt-SY&AcoB_=g{BMP_)YmIb^xlgDTaYg=<6vJ0H5VBzdI?jdM_$`J6Q&w!qk-CUu zASr+%Hiqa$|AidGfFAK8G)V>ZhdjcdFWkt?uU`49^tQjuC9Fk~$nt-lOBip!xoW$m zaKghCZ?yS0^9LhdJW$;Y&HUkg4E2W`!C<(X2{$)DC+PD`GMy_^kOa|abtYi2RPY%u zq5mZ-z#s&2_%z9+G;@^(kT^7w{bohddlRDQYJs5Y3G@!J89_YBp{FS65~?PY z7=!4IcfDD)w9!PD8(vsor3w;ajwTRuyt4hTtN2+WQv#_(--nI-lgN}XCn!J?@fVWF z6n^~!JGMHBDY9)?0y(ubEQ)3Y75stZp*0AVIwCW=3LOB$fxrGu$x4aaA_>N=Z0%{V zc^JfaSQpnpa|~;!j``9~X(ANx&`ka)gQ3sxDKhXQh#N2DmDTFy-~~Gdhfiubpe0x6 zDC4`x#!ZrOj}z^Q%0^RxTz~`yfMic5Mr%ObfsF&f>OE^pqQOZQN)<#I2?jj>4+c)y zcsxwd)bOQUM}z_sXe#Vr*k$fyJ!d`_iDNP};g=Yt)&%-_os}C#k+_ZZ5Uue+@Cz+D zfm}3c>I2Bt0nNli0CcyX^_9SAqC$S!S9B>z686WwB1}8z?|1kT&i^xc<|EI%jlj`1 zk@D?FjEOqx%lUw;_nDY&UY=M4_}sWO@`vb5tlTv;vM(YaUe)ck!qwe|S%aNW@^}!# zIRV`e0C>g+Zhp`-3A|BVc+jETV0U`S49!4tOkh4?3L}XAhNJOBwabPUPn+A}_834t zc@>7+6Lk--ImAqXEb$d6HBbY|hKLJ z38c|zC`$3~V-c@^)ONHb3g#n|1UE0}Kg_~GLfbID_|j-T*ct(!NaPF@efZ}XBu)eo z37yd4L;yx%fh1f_0%ed5g3Gsz!!Y1FyG4Yx6hLYl?y$Q@ zOgV}d>4ai?n2~UxbY;!1vqMK!1da>K|sn~_Ii9}uD-mI4P#u8=m2v|Y-0^{(BD z0%fL2yE!HHiPoD&8J9)Zj5eyTIa5z|&zPC^3uAk>uGqKx9%iKTxjtB=e}~z?jF02=r|S)M_5tZ2Fa{_9*4J0mP?@d@3i!L4z?D&O ze|Rt1gidJRSuZ=>BE*e%0#t5$G{A~LNLV0(W$NF4)}tfI|=h zEMScz@1BQGV-QaPvrB6`ZTYBm<-jO^EPIyQ&D8s*-hF3gMwB8HbJVFKg+Y`U9iCf+ zxRVrQXjO2?xw*QNRF-fEz*%(^b`tA@jeJ>OS$c-SL>%4fpfR)EA^Eu+d=6L(h{Z6N z3ovK{86i)s!d{pMaFqmvq7?ieTv$YEf(G9}4eL=)5$wfdFv$vB!QeHp7f9@Nd3UEk z=<=RYg#n4k#TFiZtu9gq;}VM9@a{+`NZYUo)}xC-H~({g5P8Z z1?C5ahpD-Sv&y$bs5J3En%tjKcr!c4%=OXD`4XYV8Xpel)bbm$7LxrNUMJWYeyyOJ z2yD15)1CN6ucvmYE4yf5&}xQ5f5bq%$57p6y@);n4zNiOjWY+3oHKqAYwJmp&Vc2G zEYNQ_X^w-}u+>Sv+~Qn(ly4Z`P=-rYd%qHA0E-=gt1#*j7_U6|4+;8VlEMGsHT)y` zso|OxnMug`3A2YNFxhrJ1T8A__aFo_8hu(=e$#&A&7pd8wxP)Ti|~B z^@%tMDP|m+EJGNCGT#)^#wBf6CAZeE{D_tqG0GF=Ui1}!^pF~n^kYR>K@a<3tjzqf zZ!Esb?EqIv$>BG<+V~F#*j!WZR`uI|@4ywcj|qn*NA@I~bgI)=k6XVm<9nnvGe9=@ zbGTtuL*UqxO>GXn6fSaud!&03?VYX(bqf^-XdVB&p`+U@Pd|dQ+cv>)00>Xu@@66u zH)go0>DIhhy}Xh1Mld=YNcR&hr`rG?TchzIK{-ia>_|_5F+x?3fsjUBfNueKMSwR6 z6-Kuq&_xGRna?VUEN=*GkfkJ^gSfokUp+9& zz?pB9n)mX8i6T+W-vz>ybOC7~V4TpbAe_Z_)G3TGDg<oC1zcz(k1t(^(Ws-D z+CWVG%bJ(l=v0*Lvbc-fXB=PDJv%lsTjhU&K3etj`n2(Fg_Bz}L*H5y*sG)z-L+re zG5NS^S#4p)d;M^W=Dr*B2c>3Wzo`|}omaQY;JqMQCNi4ur}d4qxJS#WDZIEFyX0GS z{xe5n!Hqev>=8;!kmJKp$$-Cj{&MwH7~*9n-*Dd<4_@PdXg;T(YG*jO}8aeOE&o6!D6E%un5kzi40J< z9312;97vS^78uYil<3=NV!`R&ebVW-uzTIA*MG4H3IpSQATYnL0y`!ZyDf702SEt; zLW~Ce9j-eJ+>W8-w-~s28Hn+2?|`Lk1JHlf@&-g5e^tS;0+Z8t8BVvSQ04CcgSb)T z?!FzCqC4E>&#_(LpcqOOUmgbqvgS#dPtOOI0 zm0*Ufgk@RzY6R~gvJwN7u8d*|;p@mIcsJLaMEA?h%g@W%QJ!R+7r03XpA7iPc>v@e zXgSc3AQxKdM;zb$XE;uU`I|WYr?h5GGKTh#I1ch|AQvVMb}5nr#NeYM+agCRvaTWx zV;CBP6XVseMr+6fj?ll2V=xK8P7f%wn#ehDMlRA;3;Jdao!rQJD0%p*oWrij^QD!g z8e}F1GO%79yntkgeS@%5zLo>5bZw0WIG3eypf^Gt+SLNZM#minLf)R2JoY}fHnoy?gA>!XS15Wk`C}VsIK_D>bCyt%XcJe!hDa^q=qd>_1iYRt{NLFd_t2;^F}Pb$L-rm z<1mY`)Fy|)c(n!j!7b7~=_!Y@++2?E47a#(Rf-8?aYr^_K= zJM?|O?K7YRI(a%#Y25~Xzf%IDW{DXEx5J9MA5hc)&kXY|GKKeIA$nfKlAz}^VsvkK z)B!6#mw=)q1I4N!5JaJsAq@lR|5t%qehaTR;!ISA%=S zeI5x^mORYLoUDLjL>u0S!8vRI!9>Y2CA==pV&3_*jLH?cBoEspcbwL6*ce*038dCD z9`ixal3t;8lh-g-BL}?+Tkg&$<;`I(jBGN0L`#9^RjUE{J4J<9DNqR>_vPSfE~EN+ zhjwhr!LRhAC&wsl64`&-Ze{n1KR^;ep7#=f$*5_JtA5&Q6<0e z^Us%W-JQbQ7V_dg5C5eyO(qwAO^1SP#k{KeIGHm^K{C&^7J|5DQnwe4aJaX&$4)&7 zxJaRiw|iV|2&E<01_4ES{OTbpQb5$wXt}mB@c7_0F321>Vu{QF;DH@Ei2T`i5JmDn zO74${xw1j^Kp?{77y8d==J?;`3;vX5qDxT$peuU-f5wBs^JQd&xdb0%HweH-GPxhG zFFw#P#*;F4Cts$>S&8-_V9a=?`4$Sg`Y$rE6z$91GCGsTVVg~(+e*1}w_CWR$wjdX zwJwsKGhxwO65aXu99Od*2=}!NBVYl4oll)hWIy&=)6qFguryS zGA@@7{c0``R%k-cD!%M{Ovn!u7SEUgzKn^;m#rm&9^uQ-M82#AoDBcSmk|lV1_*c3 zOYF)Rr4VjJ z3CS`43b)`DYcRi_$Swe?+xrvH6U9&4{ue;M#vJ|nkD#X^pgiUb>{7M;x9BO+^{&$M z8xjtkjqttGcSJd%Z_K;O8F0j%8!R@C9>2Rkuk_LQ?aD18+$Jd_4Z9L%5*iLdjyO7U zVdnBwYvy~|;EkbnRmDu57P)!n8|h|(8&2=-O;r8no!c$cP?r1b0&U6oX6f@P&U>wo z)_(^p4wCP1;*mQF9=TJumaYao$*Iwmab|yb1PTJ*4@0sSDiHTVz^c6mu>TdS#`+`d z5B?e1QTewV3&C;Z{vTn#avTwdU6OkBAhq1uc=RC8+(cuWy}HbnTJFskcW<3NG%*`f z_1hlVOZ!Z=@XTxq88HwuJj-CWK8E?AW9^5zGbygdgH6TbkMAkJDK6v@m$dU)sCM_tv$vKL__KPh=ub_~qPSNX)En8h?&<=GQr}QL> zged2x20yo3w0_w5G9gMcUqty@kM-k#N6U>mIbW4*DkqqR3|;5HPK`c^uQzIMvLmBp z_(~sTZEN;$xH5<GxzM`q$TC3>xZV7nh(SK?F274*Xq3Erjd$ST6 zLXFzI)=jueY6^mMg1fMu*%S1Vhpl>P%<69Xc!}5vj)WF`j>t0NW4vqK)4eACg!(4> zzUq#xo|<-kxiKMJtBlw+k~>5@rZU!)noKLq zhsW<*%NM5e$*{GNi!Z$3(<8exD4YFy60O7Ow-3KNjaAKU%6&)k>0FlEc8$#}uZucg zTC>@lmN_S>!J}0#Dw3J)X*V6!T1waImKO8iw)I1y*sA5b>@3EncArwdj7*(&))ux= z*E=%X@z_7@7?)`06mGuw(&p3KTzpaQ?1WEmtr9|=?Qiyzix}Q;)*exNnft*EY)gteiRcU?{QQ;xu8$t zaw$uznnzUjNU39f&=L>tc}n+ck8t3NwtJ(@@7bwH*e5MHcHU|NncKuz{?@Za$ZIb( zP_}enj$={2Yp&nzP%)w2dC$UYzaPu~n|`kj-MJfX66dh>!A`B++j0W0?~FX!q7ZlQ zNkU1?XBU^R`&i2NPS!8DckZ*lFtwZ3=!Wwohas!jWJjUM3?-}3+eW7`zSsOBQpG1`bhre0UBwCcsn{-K~0$yzS(zLsZjzhz89K9&-0ec z=sa)G7~K~0p~k*gdtXdd{{1k+{f;bjh*}@3m$0}6^9R8JlUe2`(P-{9*s_G==Qncy!gGSfg*19QgHm3 zz{tc^+Q#Vh_ZzPVM>r4O&u%S~Y`fP`m9K84IcT(l_Dy3DeUywuw2J?r)FJ;QeW$<+ zCz|F=L%a9fQ1(waX?(*)!9U;8_y$c}a;LrI#)0kCHXTniJ{PK(B^~xppsdN5WZgJ$ zs#^HHnty(3h1I8})?LcE>p>9@3UocLyU3D$s_&=ve|bgDK1ik84Q~49s!$7JPd6?j+~M4-plT)s+$5g|55f zwUqqx9d_4W3^T9_S1d7mxjXtnBhS0enK2K+X%3sKv{DOg_Y%+D%}zfhIN7p0!bERV zza4<%#f*T+bKK>+B_H3~&+?n;Y@%J@rThyLs^Sap{Z9>*WS&ryXAQT$z&LHHA-g3Iry#m!KRK6w)cv{u z7VlUU>j^(<)7hhx#e;1w4Svi1u1lTvV-mBon@sQBz5DK2qvmL~borvqj>QsvipRyECvy$V=nk`(J&)C-{dlHDusn@= za(Sv~f%6$f9+y?O?sw^;#ci~WCr2qqnfqUr{k+r)n@ti1PYQE!WS=sW+ym=pW`QjnHeu=^Wl+6g+SUv{oXA|lDn7-i7u_5bTvP5mph{zZ zOvLSy`4s0}UxP7n5hwb`%B(Yb%RG$+V~cBV+s{OO$^XsTw!CAgW5D0+fdI|bOJe}s zkCSTb%@_Q--FfSr>0N~4Hn2_0-rFK7IVfJ1G25G6&i<}VoLBUr#J%uM&EH>SlsT5M z7v-L#8xpg$JgyzlF}uM(VVA%zt@M+;v}0qo73QXNlTNDjFO#^~`qS2wpVZQtWIq)m z+?GCnI&o5YVPu2<9xkQeQR{6i2C%EkpYv5d1P_9qIbN_;I6KF~Ni68M`(jh}SD zo^0mNCk$HX%dJ?&&hP4E7!1$k7X5PbIP1)KfpBW;uu$F3Ww#QWW=CIxmur^%>}c#o zhvL96#av76wMC3Jic1gXuDu-_0v_9W@Z}l~vUk%^-HwghqP+O{WE#*%1=~eveaav6 zGhldpQ98ik5Nktf|JKCvf+9ZJb2D!z**`2Df41$!(23&4@bo9qL&DCfUXpK5a~vuSo)d%NWEwu5?2gVkREitO0eFX75_O*#0| zw_Xt$t=0-Um%J^sjag4)2FC?*LC2P^N(Y>nb~YD{ZWdCwz0AVC)_-u=yjwzg@M+-d zdQSHTgC|n*x)=MO`YR8n?y|IqODs5}tyYjIWvTsThs^Vnj~Pr4-6-gQ9x%0g02IJ?`5Da?ZEtQ(FQC&uqd=WD3kw}O&@o0VYUep6PR4_CcNP9n41?@*6jfnvh4#l1%SVT<_&y!_96anRN$h}(C zNf7N|k)YcU5%I`Kq75alfUpJ2trJ%Bdl8bB$O;KXoPTJEv`hw}3=&o0_iCu{Kf}Ld zIQ(~v7WT(J`5s4_EV4M~TweS{)=U}u~_dQDht z6_Er>1Am#~`^!lvLIN4A6TSp`1Qvnzh4@-X8p3=c6mfw?z;kx+e^Lr4O?Vy#pA%B& zpbf2YMk#xJWv*`I!&|6Ap%PwP2bRsBJ%)7aZxs0(4H5cE0#__Ip|2?bH-e1v6|nWQhy|=kYxo)cdj<Qr%uJWaLyyl)rz@f%a%7b67(Mbf*t)h5S|>Rt01I&Z zr2$*_>3ax+Zk(pvh#_m4s>x2S^?F;{W=OM}mFmn&T5dypybLv*m;o6RG1)1OqUJix znf}oaSecLQh-LqG4FdN44+DYTQxJ?l8wi4b{|ton4>R%~MJ?#2_p2E>`fo-ph!XHX z>j*7~!4ic`FAf}JRlxu7&w>H6Lr)NVQg!H#OG1cN>?<2#zm@?L2s{PBKj^6c^U9?E zMrFtj``4ARgNAXO;VU7{j)%ywnRzS?G->x3qq~?#4H^O8+g%Q}o;A z*D7VcSx=stoE)favE4iH;;n0~JHBgW75CMhoa?W5up2FI;*F?LHA=afAUorgSs$D! z`M8rYWqMQZ6ZgaM&DK|&=Fi)nO4^Vz-3mU|rA$lpJaIRRZyv0)aMJ@HRThhBdmndl zq)fYj2iEb;V?j;xinjAhnf2vHGWw@HxYdS2DlHZ>_dXU1Zkq44J>{`QZ78Vc$&zt= zGfS0)+rjweXz={O-pBhuB__6~^f^>V89GoZ-JNvn{;>J_(3QNlLG$;$kbQOH8 zPnmv+yg6+K`d}H~oCNyYy!Wy7rV&Ta8QsK?rg<9h9Q1*u_et?qwIQkACrd};n;ld-91Yhmm``5wCQ%6R<-HxK9XmIYVrvVwKmV8ZL` z$_h6%!d$HK2OqjLzK2wS74r(e#V zs)zoH|Ij+RSw+#duX9T-r*Cza(R{axHqTRg?UZ!;R(JTW<)TRQJo(poET_%IZF!Z- z+c(ff=T}?xI0X3@2(gVunCCrXUoJX#tGhU`vgnF#!Q~-FI`J)RR)^cHdQO2RS?IL# zTC92mL6e)=(k@(XuSj5~6X#rS!GS73l)qQKn2#-~Xd7dl)D^tN`DFL=L9nm)N*EUjz z7H2OhmAf%tb)h`bs;UbHANC1fN{=xw^E{iF&UaV*3wE-N*&h*Y zYw%s}FnMh=rqrufa|-lqE#2%m;DR2vRNG!ZJU{1a^bku zT?vZ!Qxse-z_E=!HqZNh)d9}`tgfi8fQ7j20RRnVy4lmBZHW&&0`HetTL=2bF9v-qCk> z)-AUPL$84y{0vHbb+zCZ{DlaIz;+S*agC?&`WIhrdn@ksI~TYlagF98uh}kn1}7Y& z-QjcmCjRu>MQzsI8G00UH8f2>L&&=1YwzSshE|kwdXn;h#A)?0kJIWNpieIQO< zH45+x{!+(}g231^NOl!1?=K$OKX)g9GzRP>Ur}i$Tw@3M>tqf`^Qs zw2rrU;^9kNb4T8jJP=eqV;72J%)snA!d`*YOe~Q@xy#dYEmGnLC;_1iCIB#5@z{gx z75Cacad+mZoen+@p-O5g4JQjp3nW=hCmf~3rjF&GQ$&$ zSn4x9xKX?Nklh795=L|`B+C>0g1->+#FY*x^ox#WnaQ%8%t3M?TdW~m8>00*0F0rwAd*Xr&U@ck!6UCy+nx& zMovP=zP$G{i*!2Q^ZmW=@1OVSGtFb3=iaXS+VAVWZfKal&L9{vl+y~*^*6${3j7US zfxo~u0CmU-xK*l{uhR~d?I>=*&EVepm~PGgifCM*9is%|t~5aDjeYPT*GET3-VFW= z1c+QH`2Q;e+`#eRbhpSepwQ3yKZL;l7p>Dn6iZJuLove8s5n3qAC03hC@#F3FY=@K z&mG|wEEf>1&j`7e5JVJ;^Kne^-AGTQ6BAYfFfkZ- z4hVXZ2{1zjtWXvrvH2pNWQt{zezZNMn`q&=S@xL{k0_`es>(7&Z&&nQK1Oeg&ClcJ zmEh9SJk>k+_}I9W_$0Tp{;gSvg2~M?5dk5X}SIu{? ztS?ee2M}T30qp7!Jl#U>rui@y8Ls29~EK(5vYnk<7LD)yCu@UoF1p65iM|46uj}6N%XDQ9H$d90;~d2_f@L3vwRRL zb;1!wx=f7mUwhMrIFFsuY>S48)i!M(34E@}bYe#9Z01787x2w?tG)Bf34z@Oh3%b6 zS9Vwz6bfJ6u}0_4FlBpYB0Mi}q^$gy$O=z3hwkFzt{uzG5-TEJEI*ihw52YNyZ3xq zxOczf3)5#$)A7IhR>n#t4FUtdAN_(`H_n(+~x#o|4Z|#&5@z;JI2dSkW zFGo~qU~IQ#HQvit|GjRY8Dm*x*}JbED`CFZ;pXLX6LATRRMc{J~e4uMX zI#jYdnb?W(Y^0Y3^2Y>sBE6T-Edgc8iNDAQy*ERBAG$99ALs&81EDo}=E2c%C{uM< zO)hMG1v&`q=%qa;A+Y9PdgiIq0}(cP`qRo)=zWFi;@@$9latlQ?KmP$6p-!u;qV4YHo zu}xBs_#-`2%1|N3W63B+sssmAeo-Ad9>B~z`pUCDRMfGfESLB$1;K2&J(WFg>>S?I z5u%MCZn_7Kuo)975)np;-Ug`{V0ctMBVHfFzK;=W6mEQbzGKWC5k#JyCxPyMQ*s?~dv75EOUF`a{Fv!>&88Y|YuAz!=pGv&V zhrgE-UoS`1pa8)!5}$%`71Kewivv5q`*uKGWga>U+S=!<-H|Wjy;TWSxW|F2j~{Q} z^{0%;4Wy%4C$D|AOt|34mL-RQTeIe$CccK*P9{v?@4pGZtce)l9OoPdA%`K8ffW&a ze!UAv#&hP4)p!RCg6{6j>^Sf|*}rx=)4yMBKPlq1HSrebDmTF{h--X8#Ux43F-|P7 zk`?X1>Zbfsa-=2Ct14_n?u^ewFZg{G0E|549nGvTXMn2j-|z6Ve{=F`-1kci$FFZ@ zY2;|AXLydVl>}%7V2Y#%>mWc+5I~LF(>ZG*dQfF1s2x{b;8V_iQ0|F8)XLnzX@_Cv z;DnAJIos1ry8;FGa31Y9g?@a2iA6naSfw#Ts6Mb-!h493aR;iI3!f}#(oL{I3kJmW zYHFcnf_MxrC(1uMmlGFeV>5mGgxg;U=~c`ppKrlGfF&=oaZdETzxhs3ydqAZd_%K zc?X|yQ}lN*>1}$fH5k6w0rh7OJ=bTmolxD#@^I!hj0sUd zPOACJ0a+m`*K$Gj7d4nhVLbde7_KeZ(NDCg|{)!9>OV%CTKN*t@eQrLnrBGcw-I zqx<_ZI!j;b6ml&%Sxro}XRih+xt(i{_;T1Vt8?@`I;S$TbChB$g1X!FIAP90u>+FI zTVi)hvDdFWX!rQz#ubn4yFF`JH_j03lp8Y#u@*=r(;{8oRNaJTHh!kPYCoK$igek) zpZ0Ve^z`>I?EAT}ftWf-FnPj?boIcKFxVDO8Y4_HW{t)m>E-(Z_R0$FwM*NbOXQl$ ze_0zCJo~xsm*ym>&5d2LMEW8bfX(n8Q)m1E=+Xh4Z=pqdGVC_WWC@h%C_LtVGdmw0 zT!73o!T4G~aYSK|+Xt$*!|L+dxW#+UA5WPd%BpN*y;3aSw^OCjjnh$^O_W=`>)qSB zX~SjtiP0Hp_nV!P!Rm#FgHKt#8Z!4^R5Z%yGzxaN@?IS1%U1bdl-`-P>}fTE7W7~I zbES9dL{s*UPj}HdBfW&tnR0Z_s#;-ZAZ^5N^;Aur#a@3eIgqHbQP%TTb$p<^=ieRle69!jpI1=>Mk7APO(IGDC838K|T#Kg(YKv=_L%A zd%)VH^)l%^u-%cS1#e$jwKcO6*&mSLEkrrFlxW2mLy2E{$wupCU_Pan{+b9D6y08+ z+1m1KX^8@4+ltGqJqNy3W6#l8lVuWc4q%Xo!$vKoU4>4(UCvpv&Wzf{OapOJF{i;i zHI{Qkf`POTTVpWZS|-A0wx8wBv7r;z>BxO@RVg`l(}}wu-yP>xTh6PBW_A|=gyaG z924w6bM5q{!Z!bo|Lg9Mc`v#Qh&xuD?(zBBUi4{Z_N9w!g%7(uhIfF{hmIUe_{p2~!V9>*DoxB>YW3X6y z{JNY)^0&5Fk&o*z>HVIj3Hcc$o5Jn1g|5Oq3TdJprl`-#vlGPdlBfkYimEiMAB4A=stwz-=h8w z1_i03^jI0%NognZJ>813c`tLN(j0e`cRG|TlNXD_=7@T?F4IOs2>T(^2LhN8^ar@8 zUl3J*!IIB+@2evZ7B{Mfl9ZhjPtI1?v=F2`hp5=z!;;P;MjB#WQc}^ z`npX)20V3Wrl{KU95u5}a8|M}2*4H~M+<};e5UP%1zVCk>`bopE9+|G1TCb7 z{TOd;k{0l+V(k*r<&|@vo9W43x%H4V=8lhj?0h!9qEM1Frn92u-lXdPY+2jA=`Pw9 zM8#5p{*(U#pbo-xC_n{@AYi|m8%7Y$gr$S=Qczo06DT03nNsQDhk!=F^oT#7CnAq3#b@L z!&E>u5Jp0PAu$rI0hvuAAY(-U%b2J^cJQsOecez8bpH=n{gcz!P+b7-RQUtEgwTpG zfW`Vnt`__yPW9q#EF8_!0C}DE+W5Lr)M-c_>zTfz$>R-71B)C?mW{Dz|7p;|>U}md zG9t^F?_3kz$&rx5x~^|*C`Qx+gYMESi(1Y*J+cUHJs<(|3y`%snn`= zV?b47K!YLDdPSlmj#^^i%rRsqfH|Ds^FVyULxvI^oYB+h8>x$dIQ|9zK3wPc0a!Rd zMapbg;m(n#Bf!Sj3e3mS=C`4=j_J1>Dc~W6wKd0oHO;6zqYfqh;;Wf3Uv!<5&t^d2 zsm&^;Kzhx+>pS^WHhg!{6rCI)m?@g`WhBZXP2^mLne( z&Ng407%Ok7lrK$4;JjmFfGoakGul`>`6DM{jX|Z(z*8GP_cY=5e1YNU#8qzPh4AQ6 zZjbv@jjG!OA0EtI-qQNsPhyH=nMI?~HhthgD6=82Bx>GHpV2WPqWT(9QY#OFp-uV=w&C^q3l^29bM>$0 zZMAM$%GQMb)3L7H-x3u(^=SDy{FLyO{_PJMAEwJYDi)r~)xYcry?a#C+Uk{8 z?()5Cj_IM9EK;NQUlUpKh5g#r;rrHT@?qn4b3T-*JyT5|0bi95JHA_8Q_TZR5JmFH zq54`W%=kolHj4#}v+RD*kbvf3*Xz0{+i^_^w85dV#qP+Oa^HEFtUInY2UVt|?>C^k zOxID$lia&U->)}l`7=lqwpE~vIbRRswU?0_6Dbja8c@^s+cxHO+9!-QtJD@ZqYSHm zdcF?p`{fXj9NjaZM;nv`GSQMp#0 zH=E-_7(K!{x!tVhciyS(%p2h5gyr4Q5^euHwk(9bcDI|hLgO_2#jZq&$_npu61i_B z8ztta_SkxU-oKLG{P}5D!Pm=^wdLB6wI@e%E4!m^N^Avs+u5dK{=`PRD zJF^ao&ih7OJME;OrR@{s6B94k`hY_$SCGPOw3_ueqacm9>_&%8F^eK=snc%LFGrBc zCX-j%fa49C1hR|`XQfG~F+CgKLx2KpSrrVgK`e#iW_2X5Ma@i9w>ajz@*+Ds>Y0G% z5f+4CstEF10iRi3c<0j)949xKungm|ka&F0)_a%*CCoP))Pzs6Uk zupZB&FVW2ZZ=(#)hDK>1cqa}4M2j*P-zzASZaJ)HZyXqFfOgww4~25Uh9q2xDPV-e z{IPP3HnR_0onU|p;CrhANslF2o0p75g7|P|t;YgyNbWG8el)PBqfsvesnQyBg0k!g zT!+x2LR^+qOvR-{Ts7j&D!!)bGj-^6MC=YmMihKd!IY6v4wWUmrIf1y)`-J{q)+RF z19&M|VhJYaI&G%MOT;0yL8j9}aQa$fK@(-PfERP3k|^<&P|DLJmeO8nEwo#H2Vlw> zjc_6zj9`h>VN5Xg8$U9HS$rO;%YQxt$|ox0@k>KMrwl-aH?3=<(DAvN$DvSXfYV9s zz(i*^E4Uw?c#iY4L{f*a-F?y7TD^v929+@VjuaklQ4J}EU^lG;+lLyb&IkJqn!i2g zk-JQi*cM!AFcLUDq`KmqtKX4FYTP>KNNRjBqHTS3UAg)RFDy3@NA4GZSJ+b?we*bJ zYV@29|taUW>>sX^q-Y`*xd8@rMmm8 zGP7aH|Or7m*gi1J<-ct6cQ#{IaKL&@Q9u3*D|gex#AV^ zuntq-GpG9)#!XsZCJr-JbnyDlSXtM#8yN7KTh9Z#bZLzvY`PL@{%U5QSVg;{?@ZO) zDc_lzr5$2nZMMEM7Hd?R{uPvQe7v;?Wcm3-oH64YLzt_$R*({bzUSNFnX)q>v$xJH zwLMPfpGr2EDDC(bxZJ4w1aw{aBd*>p08N7=I;Mp5aHVnz^K*Hsj1{Jc>L3R#;T)S?jV2%ksf#u-KZW!AQ&lnJtK~)ZH@_09H5`r_}zB^ z%gu%Ao|8I|Ee>poRZjj9=x1l*OTa&$X&x}x2yF7089?IY!H1wDYz?bS$0uCiyWr6J z?e8t+*pS`fA+Q74!cf&<>BE>@^*vCvwq}DG$_ar@uRzTz7NH?-4O*kP^ysR{$*DJ* z3ss;S&}H?Tx$qyxWn@}+6msLH>nZ{P(9EvE4lQ}QsV1zjp5%layWePz$p7A&WwSab zIy62HE}2e;p?S`78${+8Ex7?Sa0z57@DRmjc`Y^M^(T zwXW6N%z=SU`wr&v^*!T%FdE*L%JU>2#*vWM7G3a9_~ZFMw;nB9n^@q+d}i%kb@!Gp zL>#w4USlMFuwlm^v8-pNuT~2cypu1|=Y!VI%zf}w`%mF_XSS-|Xn9g^6*2tSXHhCI zzH`x7EjM15YN7#&-5O#&;Thdc{&`G<8}xO!R$W%Y*v0-Ht;`K8wmmF`eNf zNUQ#*5$uKE3Vl9=a~3{)K|3CGBa0Kp)bAE#UQz|%7$;4Q5@67%`6RX6 zgEA&3`x61?`W6+)(ACt00hcrtY#Wk05e-q%t%#acnCrA@&o%;PCyEXdtQDLvW4;~k z+~+pYf8@Tn>xLsw#KmsBqQc8)bDJQhO$=9Zvu^%S51APs3iPyfhR|L+8UyAU> z+kV{7A@-eJz9pMi@^gtV!z*m)qn=}GiVj2_AH2N(UpSe*ff-+Xg+9}}n=5*C?%A-U zpt{`a-??W&Mu)dk_aZGeJ?WPTFLsZT8Q0X?+E-;5QRC9&J)3)|*gX4KAE)2vhQ z3^?Wyi|!0aWEkZ01<{E9eCcD9c}t9NkuY=HiaaYHZ`E}!+4qTZ>&hWemw*-{hYBbB zqQ!JVmWdJsY;{(!mSwu6?Byk0l%Nwh%a~hVUH3^Q9mC3UQ(r>(gESVCwaAmgscc<2 z95Ow`A5I8rh}TX#-EeK$GdJ{&Dyi{lKOs%iH%0t@e}kl?SvYl?i8MA(LJ&V;;s2#iLt~hAu7tm~lnV zA2&N=fxFz$!gN?_YRHJ~xyU7X$x+d1*B`diL-I=%!6l2)!BaUa?fOUc(!d{&gQqg| z&Igw)pUhQyl_4Kd?7t%2(7$|_YP)RI>P1j8QbIL-Rgf5@ETq{uut!e0hCQteEeu#$ zdTJYz=f6)=apBFvuCS-vt7pR6+pp-qy*F__X%)YU(_Iuct84^e@tTmOExDl1Oy(n6&1jc@<_A@T29acA4_lp z`C6b)A@HO^-+)E{M%y5Wbr;y#G59JtzPtbhxtaxeZ$pw6n_?IyUQp@A?-htFj@Sc|*Kh);?==P$kP zJQF2BiWXHOPz}!NyB#*qS+-~ou(I=eMw#QzyX4vkfHkLav2V`LfYMHlXYpQ)rMxRp#xfZ|0bbQS!v%rYWWGMBIAcn15!_l ze}LK{^wDo{B5q_pwV3)iUFLEjg<|eEU9K>Sx&v8O3`8DE^usDY z6D9gV8&$t4kUO~-yl~D2rd<7GyC`A1?Yb*Ua*Z^{5=LL{`B|aF82C=(=S2isV+z& z7F7@z3n?FL4Gsca+^<;q{@(ha)xQ+qhZ@`k9*Z|r0%MzLmqT>PM(vfj4`r$WB9k~> zcN_PNfVG;N*T+tyfH&k<@YEXp8ol&)@EDM*2qBLz_xJG?X#Hz(869%*5zqr%>ca2E zU98~$89e(?F1x^E@rGRT_^hI#RoV?+|GlU|kAE-jO)lQY1c7Hf{;10$EEEaq>Y_{0l z*x!cw4nH>1J?&dLdwS^X!rtj2*n;}>P`9LxwaRE2FR5|U57*|jTI)>txl<8ufUiFMgMOK`kxOo< zl+mf&mnuf?xuFlHM+j-E!z0aUT*K3(#`gVc)=9Yx(?j+@_?puSBHH!Qi`NL?rcNJS zFlWEjdbP`6hmf{3CDZsrb3brrUeNBn12kyafR!uYHQ5Cp?EEitb#@H2|Vwlnk{QB3x5lK$IY=lZn zeLp?0vAcH)MvZu1uZIOif($}RKtl_Aaxc#YrQ@e72WySW&E^1^6?#xWVF?AHoCs{c z6VNbSo$0?HaWJvT#w`@HaXCn2t)L@Wgj2G$cv`Y=su-24np~6YJv^h{Ubt+bbt-kd z;hO2eeAJ&!j+KvD>{oqNO|P|v7P8tqyzn-?_A0E9)86p-t6tbbtzJ1eL!n1>ydh%d z?n+~+*K8Q$lUdb@$9X%J6Q`*$D;+f3xC=(Cz{CY_iV2f!cotc*kS-*oblsB$O4&{a z33C8e9~fJev|r6W;h=9a+dxfpbmcCg3#o8qX|n)Rg_4c7qYv4PgC>d~~E0VU!#+ec}#s?{Rz!PDRAPz$I zKtZxvOEaST=v|B78_&N6c{gx)cBh->i@!-u+f4SlLOJ3Ao2kO@Htb~ z-q}EZfJYznhlBUG?x-+mv}j@GJ8@%=ZDxVjJ=!C*(2;h zl&LRc%!=T;vk}7X|5_oz^4}`-llz}mNRIVOrGzEf@)ez!wFFE0A&hS=56NytX%nC= zL6jcT*q9q5=&?(^CG(Q11(KQa_lOY2g2Ar;>k4nSEBzY?A_V>p zf}bNG@}UNig23`$5`kRD6ytRwk`Doshb9a-t;vg7E8<&J5+GOhTbdJj0|5aOq98;d z#y}LzTQ51dWDd+5Xao2)+)#bB6t+-@jSNlc4cx$gDXiWL^QH5+ zSVbRhX;It8`kLvt=pUBiE6aMdLAQTP;~?OV3E17qnBa*IRkI^5V>g z5YufdB`apz#BIHI73Ou>cAV(<-d(u=mwYpdMP4264uaVO9bO;CnZ$bhx%ZM?Vbglw2^s&Xdx9@Y1=BRp@uS1VI0mfS0| z0bpd164g)-{s+F+Tb3M-&!zj?xy#)z~ffaxU|5{Gy6|Z8>Pv6L zDXS5KK+>7jyb5&KJMkpajCr_5q2p9vcIB5`cmK1SY0F_HG^^to1F;aZW2+88DcjUU z+;!GBoD3y<4x7An1uOZK!T+aaF+PRx3t5n{M_U=01O5bB3=wqS1vwbN1z_FsCpX*} zIDQCVn-B&>dVgR0)?jt7_F{-m7nZ^6Ub9^gPLSEIC1&%j%UhqFJ07rIJjY6Msy@3f z=)wt?>D{5*#Z#*nomWuniwO^UK_MEFxXYu<5vVEsxfLhZ}&6jR=q_e>~{LRlbzjCKRO}t zVB5v5ZIeMW562fQwPI3?x7+M}dp?rav`pTJAYYfpHu=~xC^fJv?W%-dR<-WY%kHTo zU3t9L0eOPf0gg!U;j_;D(3=`GRZY5DmnKM3BsQL!KKR|W^feVkO=`RfU0cT z7?lIUfh?5#)Im+Zv;N~`SwiO2*4O_c2a&zkRnN-kI<9cTM&|joOAJW$iw?*eOOnQ3pD`<2Wc9 zm(~;LUWAI~keiM6J9OU&Jp>o%0h!t;u>Q}~)Kc>r@`=IL7ydSC8NrzP2nVpLJnJl% zuPYhT%*^wT0RNw^hmgZ!|0(c$GiFDF^DnDD&?o?q7{DQ@m@#3St=SeQF`nrec0gYV z(1e7L7b>oY0Xt{9?pNF3(g1$59omwBxj;*}Qi9MD?g*x*8q`$5BG0nGEB)aP5ta!hv!%9&=b>lDOKvKnXL2WKHr$4_Az;IqlK5g)*nrqi zrNopX>Xah|=V9f}jA!$&-SBYJ&NzBeKLvy*SnjAv3!J+cKp1|U+}JYyDj?Z zoQXL@&wub(a~dVcM})P?=Dz$q9-%4YKEXGdqPq4`DOdmOnsTn#N^+3jJlKp%;r!Gf zd0t0m7LnfQD_O;lHE!Dsy6n7dDc}79`KZ+O(XN+py|iYh;v<9|cdu1{<`=q`>pit3 z|4Pn!x>??Obp$;kE1%Wq`l0jWPQ|Q46<&)e$tA8e$VyR%&Bod|pb#ZfiC=>^^#$G;^J#l-{ZC-k$y7#9Aua{<3OT=i#YLaP)zrdZFml zLH}*JBbn%x{FOia7xz|rSw)PZwFslu-}==G2lcZ^GxtfJ8J#*)r~S8uzRpfUmy^zn z^=lRmE=*)~ey`*tjCM!3TIGEWPi`Ka_sUN1eD&hte*bNi-tOQ%@665tO7ui8AXOR% zg6<2~1#l|W8bV!VIo4LBV8*qd;5l<3mskeNt51`tJbCZv*PUD=Ve%5;#7aS~wHpyg zuEIjSrJj%(OX7gcvblb?!Q-HL(FqmefX?z33B!U2UapY{N~?f#8^UzyAaC|Y3$B66 zy~){a2P|O4n&SJ@T>s*W)dTQW>WNbAWCB3gA3Ym%lY*Ms0?CQX&xXU;|bR*k?0%;{g-*VS}JK z`AU=JwB(u3Y|B+v#v*4?H;YcBnwibqy0h=4CQgpaTQXe6V`?(DQk$zEU8v4wG-^9t zJmok&d1awRKXk~!tKHztFw^v8mj90F;@MR~?Hg;-z}C4IlJl_Obn!Ax@z|iDpT@*} za$(L&2GLmDZvyB`a`Hj1T7cf81{R;cKm6Qs$5ZNbchvo3k&30H8hW|OhGE$hiw=jv zyR}<5pOI#I{I@*XTFAA8v~rwP&sLe;oV~g{E@oA_rZh0~ZRwQm%7;>)#Ya@oPtqL& z{<7N|5XT?}A%)JEZt^#)Jd#>DH4PD@JCNmB5*%dMV1c$={S46f?|C5p z7o~j|1fI2U%~i3mFDwX=toGf!eD0;l_evfAp+drLE5{mJ(hU0LX{!ibHKUxDXE?(+ zCNeu;P3EHSuY~!(xKM`hKjt4#dh$}E&|5vw)#|IEi~l13l04~*_*@3qpOk^VU*_+B ztP(gWc7rdC!arJ{qVF%gCC$iGo^r7=sx2kW=!Cjky{erfJ(&d>>6X=x?b%(cX=r7d zb-_bZN(5j)2G%znM$USpmN+iwG~=sKvJI1THyNTnwl&!F;%t4CMV`YE`}=WgHJgL# z1_rxs-5I@ZRjAo*<)~-+ZEU90wmk0R=EC=bGJcE6Odq#?uxjoccJW(OnI80eL6RRj zvv4_kwK4YuI!rY0Qs-0mLsswSTAD_e#*SX@9Nz7>C^OyUcUJ1v$8*`?$q(O*HqK{e zkJrB+p0N!zwd%*~49}Q`?zZB>mkys%t&}Ouo31GLTii4q;Bh3g@ zVV*{WLZRfKx!;TBBUbNodC~UMg??vMCj8|Jn_7owy!%E>tRh~k4xeduY#d!Qni&E& zO`}s00A(OSu#ZL}haf9+a6q29oPOPL04Sux`hTU+{9|E?`bq8pq%G1|BXob5${NA8 z0~F+f+I-*c!Ywv#ySV#K?$@y{17f-ed7s+W*J@?kYf#J`0XzXFdVw(p40_{VL@N}M zR@-(Hzl3)<%w7i4{*2|rgo00ZiC^?bP7%L|Rj4Gjbchna0FNP`d7f@RkNHyWGu_2T z199Ic{f`xs0wfa(T*igZ74*+s(p@wGE65LDu9=C2eO^8ww(@z|QY`0sYhAn1_|b%d zxfu&F@%C#(k37f3g0OJqr175I$17L9@J&3sBs_Dz|HHY0S2H=fAJ)DZQNc_mNw8)K zwz=GNUx}Zrh>Y^jA3LU2=eR-!w)te|wHmmq z#Ap0t<~D?_gS4&jQ+>^Jgl0s2q%Ic zqm{kE2EO~GnG=TYS!m@6wL(Yi>YpVC+6;W^Yd(?l3Tq`vn!cCWSsL7ui4IGh{z{rTxEP1N@2}lFnyequl)Y-zuU$B-M>-P{ zKK=lGf58BdJ+LiIf3@?)2o2;sw4f;gCZZFn zHscC6K?PA_pFj2$^Gx)zIG+6gMe_$yv^!C5ZtGT-N}RBCg31UhpWF6MiVXzeB(%fn z3;6g`5tRA`SrM*~Afbk58W`(3y5IaWtS8oq<&cxBFQZ(5^Jh*%4fA;;NnSgR$9<~b z9bzJ`IO;Cmeoy?;7?$zP*s<5OC;#wSnWWV(_jS(}1QNgayj1LqTWeWCB_)M%o9Fc= z6Td`LHf>LU9?-}Iy5DHZt8+$S{*l}Qf3fx`W+RZ=n*rW!lu#BBB7$o(WY$3$M!>#j zD8nXMsIo{wZT$qkNn|!EmAJe+WSgXYVj0{=#{penn#7&Q$4e=;2NN(Xs)EN*u#McYL z1en^s~vy2pEu%se-nBdAAVzfb(08QOc&z$IaKxQJa zn)85`m?;Rxh_?d!54zcozb;WzV=h+Hr%TKm#w6s8(68^0gB>GORaGJI7ceh{5>7?3 zcQG@ENTf6~9$#ZB>A60(V9CxdX7fy56M>K?8^&O`M__nC;F}l1X{BI;ggK!giQTw) zqtsp3kmtCHR#VK&MweM#W#x zVCi(nM{Q>63=}*sW|;?9^a``{O!rd@V{042tkTDBZJ1~Uqj%;=J%wcgQRiYRYMO;E zm!cQ0UqzHwBK{5tAh}=0a$C^BE@Pb-OA2s8vLkgrw@&Vb2 z(*Ouh7(ocP)<}8Uf_U{2n*i3775E#l_VOyGBu^8u6;{0u6$hqwjujdzM*|!cnZa_y z*((EQK5ig^Mhg^QI?_A?ws+FH24J1QNaV+ao?jo5OQeu&VHGqaIi?%6;E%(J=3cx+ zXi2Av1+NC;ZpBliFrWf3I4E-rjU_<$%TxypxLyApa(h5K2B*L?h;c!$j8pHa*{L^j z0w}-;y2O5xFu*<)l*4PX4;t+H>VcA>KXwH?lbj4adgvsW$dgWPR&bCLTJ7iVAM)6+ zUPw1G+ODTGqa^fNVgk|LP&?!qqIo_+P)`A-Ue1VFFL7gtD-rB;|LF{;Y@Ef_LC$b7 zQC)-vnpxe})r%<<{jSYj)k|7LvnL*nnxV}`pcQ&5NCoxKD^qtQ6+2_>Jfsi znE6UEE<@Z>FUL%7+x=bf-?x5qvQRP`SR)2C1~2eSrx+qvljKU-wlHb%+K)?0pd|!i zY%z(Coma$H#X(gN7bmyeJ5cOk`0t`z&||alo&nEh0fw=_C?*I0Gvx*c;8oHYki)PYLqqrTph2ij z=raKFnL%g|J%e0lv*174GkcJb1-geY>?d7Pc&-3S1wwP`Uj8IuY34M9FJL)0AJXZJ z^qK5Seqv!v%9mKn9>`j$4h-qODltp1Gs|l9O*hqHmT}}#-}Q)3!-L9=5#Bx~Ye@^A z8Tvl*8+LQ7-1<~F?U6j$X!X6Pk9gkTi^buPbBZCPkV@*5XVUnMJ|ZCl$9{?VSH0Y< ztzs9#83?#UFV;aW4+2Mb_q}S#;v%sWEan>94zPI~*2ARnm~RV{AJ+>*xqRt#J?s3Q z5pbS0&mJ$C1Dvj{C$!KAftU<;a76e|HVN>o4ThDZY&wGDU*AA^<|CsekWF-(Fn%vF zcF5=yMW!kKOEbe@tJ2~2Axt=I!I=f=jUO;d>2=XUr-Qvk+FF58Lzr3I5GP1}`LPg3 zVsb5`*Z1rE-e6xZBaerlK_W2~@MI%^Ka&klX}SG^V4-OSR&cPvlPSf?oyd6a3%ir- zppb~l>~JB#wY$QJ(YDxS|^%GPK|1YwKVEFb5At~QD_^qAXoQ@#)*Wj*8 zCUv$)g@m+C>KKj+3q8a1EPG;VBcX~QydhHM3yMUKm6Ky+{6ms?X>-bb*l|@CH>b9k zl6OZb-0L%f7zkwT1&oM=dXT23KHZ}XAidthTqEaEFYB31YKQPgk&B@?h#~kXUiU+V ziGurI0un#d6FUh|6`3pvTf?CFInol(AXOMEN1-dAdKjXf(ZV&(2&HhOHq}8?#_*HM zC~VmZr2-vi0Z^NkchL&UBd||V2ISPfo?5S>UER_pTFh$(+?mvGdkppC4kV> z^LFe9#_1rH<0+~c6X_{unWv+8hq5h&0)4QMYK01?#8=RyK_^7rbTq2BT9&*hlw~<} zJT2!b9lT!cYDS{mik)Bk8{SWimk=|4^Ff;^|UD5hqG!{6~tyshbfwPIu>;e_X-| z_2>g?Y80FSz*$F2=$^(Sf?z!4)`1KWfD&W?=>!xn8a?)&i7GZGqQD_}PJX5BLvN2F zd4UGk&ja%KhOwa7+6XbDA1}x;`Rx}v@J&P@MJ2{|9YZOi!VRQuWuS=)FA-5QWLEYz zy*pwJ_dJAzp5%|&W1dM|zW?S;l~WyvvUW}45k*9U4OYoHxN77ZfFQP}@49`})Y6UX zCg^%dHUT1SbczZ~f`T>%mp?T$S2=-5yFq8C;@Fbt`A7jcq_9CK#zU5|(2(drQ2$^h zSIqrZeAOf!v|uc0((?)P%m{2rD2?Kma)+8NiiO?wW)wAPp)2A#+L9_R<(}Y1Vv$9k z%FjUQR1++RF<&$hf0=?raA)aBO#WJ$i04SZLmqy2dYRFuw92$3VzN0hd%0xMwnZE9 z14&0bO#x)g3XsBdWgsmwd+Id3hLfLlsL*4^&Gc~3#ce=foUDlya+Nbu1PfH@hDdRc z^$%^Ug-%gdTe8A|oNAVmC3;t$s|`CXx`EaBg$ZSdo(p@^-D+lfrZ%j3QaBh4W%x6N z3Z&cdLS{Um=5}Xj-v1L8Y*@g<>Y>(YX9w!RhRnASTu{@JM~0jhmC`YC(gga=9MMyb zIHkxEV=XG|v9yU-p(F>c0vUV^EmY32$VP7wM96#)u5sW5p?SvS(7X&B(E$Tu|Ir67 zB2)i!=d@a=vGvf-JjyTw0Al^hsNwBH>&QoW#|;JYp-_+ys}9l#dBHQqEcSquNB~Lx z?loYCSO~bFEDtpH4RM%J@EM|-1rUdsEd9x00M#-PgO#X)$GzMXWcVu^9b+yl^Y9Zv z+KMYkzz-m>O==r9K<%R~@E(F=|3D4i){JR>4QYplWN_$3o}7sUOP0!RAWjQ(GvzEl zh`N%u`ThelyI)}mp0dLJ#?8gqi8=C)Bh2+x)H${i4YikXCHEu8bZi1tQdT712)HAT z!J)EbLLldJB_~2*f;Qqv(l+7@q(d8VQo_XEBmsEp!j8WZ`kO>$BF@p6fY=OCsU@Eb_KBfS`t*x*m zp7M#|_~8--rVg%79l@RB?9`KhDA)&BH5E27wqYnO%>xfu8X_Bk*2eM(HS{9V591=_ zv`C^1Q{tb72?UBFUd_|Zt5!z7HLgNzgKs&N7QuKG0fy{St{J0D0Qdo6WhNCvK__TP z%Z zY9>UoGG$v<=LRH->|>$6EP@ZM&(J+hc~Vn5W7;Ej zkDa~3W)_Yr=Xyf|noc_1akgTFGVG@bMVogL0|cb2TvQ_BCKFLb0vGg|Dxs(k6p$d{M0Q9NM>#oyR0JSf`A@wCnEcKtP8w4I z9w&i4Ny0^PA_>Neb{H{KA&WLc3zg{e>C@7g-8J-;n#DIBB9MM+y{u0V$I33TlHdA z#S&rbgL%TIn?3P!f@gG3CaR_8>>uYjc%@k5Yg5F~liWF@AA8>lXQ0krmNcG21>(FH z0`h#U-iajU_|?wc8`O;swL1G!u{6w$@pagnYkjJNL5C})@9^`4m0g#6YDv<}xIZPT zImK{d>f%)Pgd^V9E%<8XxN+ZH28US4kjqr|AV~c@dW@ZErl<1?+8B7Wdc65xrgq5CI~g_*lF?BS*-s1!_8ZVGz=0k z4J@$XzrZ$U(kWTAVE%K4RKp32gl!N!At@jF*4`p*FUXE#9{)+_E9(A1^b?+w$tJG3MqzcBXaS%PQf;)$z!u?qG(RYT4^7wlFz z?#NmDKBc~Wj1(QF%ie!?M!ea{u)E%Q-s={dynI;A2X2=p`~IC1JeMj{yT^)K#TzyJ zYTP&r1iL$&NlVG;Kl~m{?}TNU6BhCB&yybOMRSPl$a}xfR=TEVl(&mX!6a^C$07`T zaXo`8n9LT1?Y?s>UP?y(=~SiWk-oq#ep@~CC_~qURhe?l?x>5>V|Aes+#dnXkwMe7 z+v31pA*RWUH`3}H^GedgX8zJGEnfyJJ6ziB7xaN`cpOTlCXxCgB#MEys1J<09Vn33 zEdX!ajq*jV#>tlQ?it@^1G5AKMNtRjP~$&!a1eV+-#M3$vS+ZS(_M z2Vw>NxTAt@@EZDjeq9#5)JyMk3x7GrPcpucls7WfAaQcu(>iVPr1{i&1B;xcaFM=V zrm61m=aE*alYxg9cy5n>T*MPNhXeI;aa?+-BLRwRd9%h2-LJ)zzU`7YG+VIzqu;Ui z!|ElS*_(7Y`&YR8_T{nO<;P-jJ}DS`ObkDm`0LZCZUrw5OaKIA&rUAfRq0&xjnSw4VE%Zxhp*J6j={3|UEAV74Tk-Jo*G2jPS;mDS)%Iem5^cQ>^njx<0_eUaEY>Qf7|T`BZ|3! z8CF=@Ef&MU2XD%$ktt;^5-v29E%Wwp>#LT5;`R^SXvDpZF>YSID92O+cXMM`^wPWr z+|{GJZngIx!hzKwsi)@^HtgZo5I%oFE`BWD(yP}^#XQYadzwQ6y;I_V=A|EX#7j#! zbE|V)?<`%7v_R=wiKjpTFK&UV({3C94KhaBhfJ?f<)QDz!6WEX4GY&IM6 zQth@FA&2a^OWhLnC)d@M7N9I={Hbuxwx1I+S9-BUiz%N{Rg!-3AOiDu2PyyxC#1th z(`h1K)2S!)N6Jy1j40w<{z=)Cw~^gTkwha%GusaQ+j%wtl92!ND)3|Iw$y<2aW^jD z7L_cTM;`*20xdv-9FQr{b%KeU07#WQ(Lu1xdaAQrpCdsMCVXQU`Am@4hK_j#Cj?S` zud?rBU)S0wDlYK^ruWzMGv()~9b4tYdMkH#2eDf_opu@Bq~sa;dEV)>A|s;%XVDRj ztJ%X|4G$w$)5X!vCdP)g?N=l@`HuI;roP-_eAKWTv%NCd=1M;-$nO}FV_z(?;l143 zp)j_Zh*xYJ3;d@0D`neKb;3NF+L7C){ORo%wBjuKtWZ~z_kU?@5|-8Q7it)KOA`gUs~cl*0^6st77 zSsSZTHGIa415NS}zWP}`=m~q%q*%#rX2hU#dTYe%z0x;49qcb9own_GwY7Aq{IY~6 zX7+2fR*!LQoNJ&YDb2w22xj)8lT=1V)ehHdo0X(Pgu2tmL~7k!x5WyVw6bc;D{2=r z^aQh=Z@eS!xpTI~iREZz{4Li-W?NhTrPA`dov+?eCx^_*7>Gw`oU9is1vVD<9uSDh z*30Y-f}MG5WO3OSh#I}Mn@59l3vV4gan|A5*(*|73fj6SqK|yO5t9{F9u%!Sfc)^5 z!8|M4N}4EZCi~>c<=xwaWOd`Rz`EH=?huDxf9o7>WWo34#E+GgpwB82=j-Zg?Ip$! z91V(546j^vJR!45d2!Y3dBIbSvoUOfVcG0~Pg)Y<^td^6?6`fNtF+)b4tIsNo6M-kJ6F=K z-7DDc)BHZ{a7eAT-J_e^UpcOtvhL~fy=vN?o^fjSQ=G^1w|n|G9rLWcwS6$V>Ba!3 zS?!+Qskx8b9Hssr1HoZWxqaV1g|z$ZxnF6^$1!)OIdHa{DKxC$!$Kg_)cSQG2kHVgy^y;o^L6alG*BGN)f1q2I%p!A|3 zNKlH@(3^DWRa7j16s1U&-a$nL0gvl^ulWxL*OybF*8n#aH;y+3Db>@nVE1o>yXQ#?YN7)zlO zN(e56!sC$n=nbiuVB7rzxzw?X;`n`(*ST2(^^HI}g+PNX4AYbO5Cg@rm-TQ~88PIj z9JzQw{EMSkrC%&vQAE2@WzKIBR}W-RQO%VKxQ)2@tE2p}pzX zTDR!askD$MD^S(y@%`Fp?AhTe5-rong+Wo3?i#V^sssD)J%vZaI7d$%l{@=<+x0k& zLY-+){zlkl-J6@7p3^!Pmb#p&_pUynqge1nx7Q}kX39pIwJSuL57s7jt~`IR9bIGm zMs#K6>B^JE$>34hs{0#NGLvn!H`7+0CHjBywD znsotA-uut3tj&r7!sR?^hS4PQWR-91*5};m(N2An+~kPK+MM;pnM|64(;RD4KoI1vcbkM98gYkeI87#ET`w533C3`2kYaDhSY*umh6< zvUee*0S%D_J)+T!K9Gy(7jlCRygA%MG%k(EZRee}SBZzEP`{LkW;V|n*-J`lV4_FW|;2&LV6B@dJL+DG=ZJ;&5*_ z@&JA7F4zme{{4TBaO_+%E{ssb3z6D^U;mjyWkH0c0b4vI0%Rs`-&Ag*Efp8v3@OPf zCEDM~J6<^lQakkGv9WKWm-N7Y))T=F{Aci&Z|VUXwGBP?cJ8u&uQ?^Q_30fhtqK)*uY=TRCo;6l0$-k5n7O8TAN zuKM>h)~4o`W>sOJpPV4IBBQJCB7Sa;qB>Lxa$NzV9v!RAs67h;PG)A~KWVmdro{iw z`=3D>Y$pM*knLTDV^G_L%jUE!oeX3u8!8jiN#k-%cW2&Rzc{F71{@k1SL6fd>!C0v z?oq)D#)c@NH~#e(S6or{uWe)o(TWhM1r*uK2ZG24=s4IYJ}4WDpKmQ}5Et+nW4AC~ zG3f_zF;qY;t)m(b`xuf&ev*J#9EtZL{EFnQ{-z=4BS)1efzgT0WO+ zvjF~OyT4f*#; zrc4cIu2sKtzi^U*`jZJ#rh`k-E-t|OieZmHh|OA_Y+{JCa>SSP?mnT?{h9DC&6}F# z4H9cFrODb&%Tzo)#81z5D8RE#E30Tot==eC zh{(}A<5~-;JW)D!y&|t#lwfu03H1`nQAQ~tE0w1`e%f62Eiqq79y@m@h9$IZ+rWMA zBc}lUN*O!b*B2v@t(rHRpfwNC1`Baw(mQ^bKOHXkcK2~ee4eD zh#HQ8!vPikf@+dvEVpckly0b)4L+ot2 zb+ekOW$v5g?zfDqWgqtEj}y;L&gX7emNqxG+?PAur8{zo;fT_;9INDjr!YC;ta+;Z znEd@ZPKz(i)%&*+`ByqwB(4hDN-R@!rz^q_@)^hTzqTAYbhV16>*|ZH`Q_^oT8y*K zNoeKKnp(1lx4tDZRUKRDHOoUv#8+(z8zQ^sI)ZC%l_sR-%9s|u(>?!s$Sd>RG0Lyf zwnbU$>pjN#r zsJziM@M3HkxpQs+aqZ@drtN`~PB|R6dM>~{wOI@tl7;o67sv$;OoCE zX*T_ts+i|Ss{g*%03Qojoun|p7wRe z$v!pDYV)ZuU$!#JK4>VKVKHf-LzN;Gx8I`N+-io7&PpBWxKNJ3zlc==uZPC~v&QM2J+N`tY^MLjf z`-gASC$>`Ndt_bB-p08MPxnXZ3>}O(nsvU?M%N*5z}Nyt=%(WxEa!2zo$8ra`A4Kl zS@Ku*RNLvMb79mB5nXO?`fU%NGfVASdSSUvHA^`nJCZ3zV{#DrpYt~|)h@)cBVN++)TH{UliX&L!*A)AcrJ6e~6*q^87>BudH)(`z zA*2mLqFL{?mW3In4!kBcSw2$C+7#YcFuR=fEZ^)v4>iMvdVW6n8*N5U9bu(#dyP(2z=ODveau{Cfvw7~9JC2?_8Q!O2(EUn8E z2PD%Gv0L>lTurWF11OH3^M?cHk;Qo--RbfVxw)SuaP0ePl0V-{CFj zMP+;jUiM|;Zo3zTaUhN*{(>WeobQW#!OFyaWmLrnnl|%>rM|gv$zM)QpS9 zxsdMov2>v4nuY!GN}WaIuyyL!l;Y%S)w6CBCV8#t?2}J@zb3ysehdDl+E(J@ol4f3 zVEGk1)fi4s`G^|c<)Q`{fR9j#P2f9%-6s#|5FrL(%49{W%3k+LZNn?Go_?Bz5zOVa zvVXOJtNy(OJm9wr_!Z~>%mQvmXuW6-(O=;Q@gJ0smQU_~NG<$T3Hkhs5@PgQ8J33K z$*BKS3E3qYZ^kMiNUbNvR~iO#qZCNcq9rA;3%ZCt65(F#+?RNznc068x%0 z3H@Gzl)sYTpHrhyKunyR_@E8|I|`zLO^E)W145x!$WaREfDlyeR{^H7e(HgK1PU4; zbYtj)Ww z|5leR|3wDiR3{#{#Oku%ME?<8_D=<1M``F21&;Lodu2TKw*p+b^p6YRgEKqvSS2L3 z>HcaMbP@mQK>oECUHZKMp#A<6*2G^4kn{HhQ2wm|ORtIlVkY|M#_^J0iRS=2n|#ik z7Y!+?lYm?Vqa6VqZgB5_4IlA?j(=j0ej$7wFF*zR2nUL}2Bsg-qq)t4pBA7P6XE!I z?OlZ6hgNJIMa^uY&n-} z1KIG<5tbjBEqDi?d~w^euh%dj7A5V?y5g-%O3ETo6_|!mChO28IkyCVuqxJe2!Rs@ zc=8+YmOM%5B8)wMiq2ICWC?I2h;)H7G}cPQB}UnE?grWXKfh9656xVcz}~sLczglJ z_t$rLAlCtO&K~TZ-~jp`n&H+$amUcPtp+}~MCvmOrA*Q zsg~9I#@pHMe5-?Z=xn$;jm@YLhy<(n9{!#{1ULO>RUTV94B{wxJ|B*lZ48H!~ zZj?a~mH$iS5@_kanTrkOO!XW3Q6W&TfkTTvg0u9Obw;wUibh$PiKOqw>~)hzC;dBk z1enzF7{hp$sD*AEdw7=q5%kc|{wuhC&*aZ(8u)Wa$9KB$$QcQcpHYlaF{@M+Cae6_ zT*rayF}Rg-|BsdORdze(YhzbB@gMAUua^*cFdf1B<=!!Of;$@SuyYh)8gyX~6$t2^ z>i?DT0}nYM0A;yp5rL#PoA~JW>h}rlSM>L`?A>?3NLCh^;>}4wpBOS#bm@Xw>b9qb z*kmE?2bh@K^s&{+IFfwH(iIr&n~QXjew~if6t%)@ZC--NNm7M`Q_5y}YeF?ia$l9p zRO*~#X)!X71QGNT-mk{YitBLyaTQ>g69=C)`dER&|G$pOAUS4<> zH}lxE(B(i`y45?sbTbW?a;=d5ofqb7Es;^1Hie;^R)zP5%|wwebGt{vH0;lQTb`dp~ zh<&swqk@v&d#Wp@HrMFTwtZhmP;qh*`{0sM<`m*6v#|zYO7nnCnmD8~1(HRw@}U+w z-wque(-29mv%tt*$(2u5o;|y4rP@}Un^Fl-^&hTY8TQ}QYmDk^4ZHh@L2$bQgGLZ6 zdmcK;`581K1GR9Md(Izp9W#<~ZhRPKpB2RKY9dCdqRXP=D9T+)dr(h6J@=$LVa}O* z{o}I9g=G?$;6yPNAgEX|U;=p|s#oh&O@_-No9+fEj1gub`( zYm?|tX+mYE^5a})=8Pgg$!*wh4jwNib);hJH+~nQS6pM^)~p!LMY-VQA(VJfW5RvM zh9!6Ve9-5&TZq(n<6DzucN?$VzjL@efw4fOhpjwF`~mI6k@3hJb8kCibNLz6oV}w< z>vmH*ytyNBD{O{vLN}^5_lcTQ9k#)6)+NvY`4OT&lc%6@TF?sNK8c#-ilCu}pJ1Wm zpk03?1g*h;4jrSw4sEz0_8wam7|Mb1fYd9nt$-bWJ*>G71`>vXP&!K4bCz8wLIijm ztfux$zOV%ypQm(S2K*OqY#f-cJ8JN`JkWzcagZ6XuR@Oow%v#O5eET|-Dy(5^rRYq zfhc+)t|td9>BB5hvnc^HD6Nd6JDCiae4X3=LtV$Y7ZG=vraOOM67z|IH` zAc3Jh>&#D>5v~aU5Y8AOy&G<-#{tvT<1Ilz=DRR`aWXo841{a48H9oarGdA#!N5o z#hD>^MT!wiZ~yCBDMuls7?FbECGB`eZgl`PSRhPAMXmP@oliy8uJ`#7%2g=gd=Kek zJU^K`;TN!0<6a|_yQT3_h-?T@3*=CB)RI{xsSZBxBKv#|<;n;MoMPT(q0G#dK=9oL zLHa@)Wl~GgKuUp`>N283pRq&d=T}S>cAT%@%L=Q%_x zYNPw44@g9*dYR7nN;`(LtCkd0u-@naL8SH|5M`=8}-r zJ5xT7C3ZgJD`$xwhRLHpZC1=jD95X=cm@-IK#_YzH~@95p7jSPi>U?joIg-8k-^4g zQJbl|@eFZUeg0we1@}pc&us-L(A&?^13JfYuV$#ZmkA+Q^nytke~800T=;ThSG^g5 zH4o{rJt~729H^)?^j6eeNl7gQp8$Rg;%RT{c8_;LihWI5=v)?ZgoA%G>(k3eL-Rw}C-myCA#czFNHNyBYXMa6sd~)pdHre3F3ymR6G(?Yxltpn zEIguaf}&eI`XyX`K^BvQ@~Jk_+TKUW+jV)wLC4s&gzup!-nNIPNa*+{AP|xYi=0{@ zJd_xu{QWH`gel;6pU^oM6^p@s!t-y^sPCJRVY*xg{_xC6ouP)nurrlu>&sq~QPEbM`+^swoOCQ|a+ z`tz>_a`4)Q^Cxvj#2{7UH=06XkecxinNV#;+3gZ!ypzJuC*KCqqYd=2h=xA(r*rhSXuyZf zDJlr32;PH-Y9i1k$|i^hj{(h_yBp0W?f}LHJr-0j4x~}Z{%ac5k7*$jZZ)~4{GDB! zifT=-Oy-~-uIqxtn|+WMkZ8in7gtO!lmt^jcT|+|s4khnn@Es4zV84bF%;1TKlg|B zH-NC+KcRp;H-H2usBY7d6@9eF>$L9mAmKa`B~KWt?nm_wO(yq~90nwZ{7|5wXHSFi@YZ<+FXVd9|ZfgP}W?nIK;|6$2D2{42n%AO;9mygCZ1 z=dnhovm@1D(%vHOldnNOhgm?0FKiG2H4^mNByV8Z?$qJW)ff+7ucu*>ec7^tf}KY3 z8u|s%9X1M-O1>gF_G3N~MQs0EouJgDQs}3CBpTxVGazkeHwP0304{_G5=M=&nq?xv zcq6Li(;!`$C@4d;M__|0riP_so671@KnXkaNDO!@n1yJ39@WBmfoy{&Mu8Q8H7(2x ziLGq`Rj6=qi4I6xO+*cvV&?AX8TqC9q4tEDPx}pMVy1`T4+x9nlQG1*!x!8U4`l+J zDPgFVTZ42f&InJ5gv)>)sff7a9o$MRpycc!z;Xb!8f56)0j-X2HFwd4`Hl|I@^)CyNZ-6^JyK1gD_R8$nKVU zJxYkAV%BW>inw^c>fp{{phsd9=o*J%77?(KmqiSFx7jDP5Lbw?C8KHes%b$=KMl## zQl5?l!V;j$#|cCAmUdh53;va@5LwXWUSY=Ba~#-YifE$dPRPI+P{PbH2p9u(Uir#? zwGzI`Pk!qt8{mQgs^>$^xKj9oV&WsjlPq+OhGBg(WRr`8dx+xG2ohk$KkPLy^%oJ2 zKM~$lW7W^9fQ)?;DGn7~BAvoW81LDZ?j-`yDTe&jMTvymr~u@|?B#(reXSjE$2?E{I7Ya+u_! zP-qszaY80dM5VgjAHXTVaN4doSB;j@;O4zA<|YBE>pD0egt{4UIjbxaZ(1g67)|B{qHuPMs7 zCkWVt5S0ZLB5F7N81Hk80`3n0n4V%%roa%~r8O!9Rb*vE2qX{F=e<9D48<}b;`U+t zOZjLYtcn$;x}g$1XTZ)j#B<$(CEEgF1}e>6pxcnvtFh)&GYJ96I*JZmcu7Id+(Fce zltC~Y@r}c8+9asNjeuk>`_cv2;EG<^UO89*ETJZu!(3OW&EiM%kK>zvnrNH`m@3m6 zWS&wnBShA%pfc64jkP-g)UTob{HweCkT32tY;fg|q&ZLyB((jJDnkQt0k-!Ce?jdo z_NF5%m>*q8E%e*d%Q2e8@8;>pINw_=4 zfoOj+b26BLaf??%fzcM)to(fqs>TlP4}Rd!zo^!yaNHj%YK>QT{uE=5SKav$h32IA zOxdUmuDzi;J;c!_s^2}6{rpk5-vjrk1=hI@3*TqA0QQ9v&ICT5I1VT-Jdh2<)+FS9#&tL_k9!ucBDYkBV@zghFlCKfd#iZu>%53&@Eqen0#$l&z9BFUaqTWu-iyel1 ztvPHe6s{8iEBG3!s{t5+e)KB9>3}U0-W~wTgbTC6fOBiTZ}LYoV;AG`SE%7e+~oje zIV>%Yu3Kuu-3x0KLHQ(6L4T4@0^ZTDI)EDR*%LxcO#eSRB#Q+hzC-rJQiBe;Ky|?J zuR3JN(yBNi$q#4KA3Ez_o%@$(#ex^Hl~Cd1w7~EcM&TdZ710C#gTXqR0~xH|pgw9l zaje>50_I;eI;_TE15xR#?juBfNB(9{}9}U z`ZUzXq5ao6_I>8hKJMq&9f_@aM%-i(MkftA%KtXN@h=3>xbpV|DEhqsj{kiDbpDD~sQn?T{byasWLE&FYeAbfxDdJ)nnJC2&p}H#eulF6M=`R0B|!7v z6X4Ka2q5=g6=3o22|)H20?_}j3-A|%IQS&P-b0i;N>QW^Mn^q*T9COYiIz~8s;KUQgbN42t$fUV-F3& zeCLgU#lgay38p|Hhha^5bh#m&4Vvg1h5#37WFfHtwE`q~aP{7B>T?BH+pzxW=%2At ztkw&xaGTxAAy_N?qTRGP<~wnsw6sCE#pHe-<&u(O$w9-S;=H+pZTwBZU+DNh#j1hX zN7vXzSWJz%Bzi>xpki_{b2X@Mpr|~;lP95@yx)_c!;z$fR%K8hSXLo9b7}b~9TZM( zcfw!TL&h(oNM||8jN`*F7-}f%u2gl9unVFzbtR?*l%@$aVKgdJ_%A|MK@4mhh4)Pj zOrszGyQ-dSj66tyMP~2)VL8YmGTW$dCX8PN^zV&ldNO9V0uUH>IhJX#S+r#l#+$ci8 zMr272)%@Am6H~+1F>PgGwqyd+U#4tZ2`-5TR_12*+(kg>lrZ0Lo9AxyP0BMgR*Kr9 zad6%|A}Pk*`$6*2!N!j}V4Y7qp-LjasYmnz;$!mc42#)-CBW_nv`c}+dT+4-=gy<( z_lXhBe0eQl#-{Atl~eAM7l50oC1}@H#VJdm&=MO$u^yiUH*7DAHb*@{c%!uu=gGXg zP_9tHDFa(`cY;H$em5{<`%laBv?cn5E0LCrsx;pLU^zw9pgqPx@Lp<_2@2+ilikcL znXJhahy_SymFNz(paxL9!2u|*1d;|@q7u*CEt_Dr;BIYdmSOZA_sPWZ*s2?vg`vzZ zYss?d+7=;){N}>5U}IA`DE@8fdK%=ojn%iBS2Q-Ep2rn8C5Ggv=&Ww?e=h*?I+VS z$(?SjDjw%yCzjvv3-@O!7;3>koNBqU6(1&m8=j{0=!A1KwARg>6MbSb)NQyA`{ z+g2nx5R-A)e}EV*!7S*p)d{H^L@5D*51<2_FjsH;jLl2MwBJEZCHq-?K*u?du?(*d z8##C0oQU0M2!23orO@U3d=3OScDOV^lwgX%L{Trm4|JF$j1^X0k-b~+Zi5o#fNaS zj%N+lLQpoSBS=(COW7Ab)J1KFMj*|dXT>lNXa{R8Phw>{3XqMP?=et}e6Kt@8qk%_ zFULK*Jc<@ZKSxbvKi;5>0Bi__CHH>HjT@Ru2o}6=T~b>YuzKUEJ9}@CoDwA!dgBjX zG7sTR&j|ICr(jm)%FQ=Hv%Jl502KjPPIn6T#lNZ!-axGo3v6)4DA0ElzE`W5k!{mQ;d(ciNdLsshEG_8Vm@#b2pz8S z0I$C(T^rp#Z^Raqcj!Wq${9+DT!!dCQY^5g99<|wqd?j3ly)eBei`CTWo@PCry_0x z)M#7)z3?*WS@3;Yem4-t*bm4jF1kkv-P|0V?8kdAyG%3{y$!o zD`Exj9|na&#X+Iav|(FeG(Bnx0YWLh(FS%-Zv&J|b5sM5*omoTTc}B~vLBX}rXzW8 zDH0}URHLGLKhB-t=D8UzX`zf(J$LdFXQ1UMqo^7LboyUIkf6wdt#sfBIpE42e5XxC<)i1y7c)<5Jp|&A#HhhlKZqzl zJ?1c(OLn_d38HIKEq>O&1LBQGtu)LH0XqDXu-)5Ybr=xTjKs3N)|RrfCHp}@u=8CvjZGoUQq@??v_mBRjn}p1Dt+ z4Qk{OQ?debAo{2DD)$rAkCauat9lh7`=okPd_;Tpy(zD86{pRQGG)^f1# zg91USPQqR_RY?aGGKxEMFuR~A3VlkIXSIQW0{b=yC~~Iucl9o`_hfXX9G%Xb-0bmH z?8!JK7;d5Ib;iqpb9Po(UA>{6j?CJWxI`J>_J{DnVre*VrCEMMlU4mn?}x_gwXTE~ z?1+h_V`QGZG2X--iB?2rv9Pd!C5ZQvSsZx~6%0aN#%Ab;0M9qS5eQKIS+bN5QgA;5 zEfEl?whHV=p?|=(`v>UGP`nE8)OjEb@Px;DD~blBq;B_8^H60#@lUvcdB)L^+0}ys zpg>(#2=5ISB?4c}_2CW_X#gggKM~eMyXki+iV$FdkNeF{=&cAMHi#3ph#r6pLTo3} z?)T25L_qiw?7vVq)gl>p0Kce#=q7GTT;_!+5&;%`A5Hv0v(|z~3_3JMrYx)~qxPa8 zQ0Zw7G8V)jpyoGcK>otZPimz?h&Dv<2# z?-xG6{4=Ew4W0!X1aO54`B=U>hHniLJC=lkW(rBRAE-VCyt2vy8#h8}ym24{%k&7f zwTrlfc>0WmJHg3ZDyvnM=23z{Z@rb1Hl)EmAjbt8mjH$Z0%tfi)RaQP>JkAy$Zt9A z=lwefpCG^Dm#p>Q3UVK1?5OJXi zYXRXwv>O0Ibo&HdXt`x9>?5q*`4B*<0bbDCC&+eMI_hJnn0F|dv@j*TFzTTDwlmgd zS|QXD2Kh zMUrSwqY^cA7Mg|3 z;NyF2c4wgj6@bznLg_ooQmQO4?ee)o?mUQERaDy){;!w;*M9{oAtAnD2p&!kyaux^ ze0cKv?>h0k8)e2iXXb}$z)sk>+~6_FP;^&VVE=xTz{Wh^vy%@-Vx1C`x8}7^=`G8r z1@l!2^9+qx-y0G`zj0$+dmVK)do1JZTGMviiTN&}^udiUl?tanQf|mm>TF!*I=3PW zzwB8;nB-bSUc7bCbP(?Gq0(5hc12$BUTMbL7shjT9P2CY@P)Zvf`$34y;O6nblh`C ziKACqMdgQb6}*dj0Coa;gOYv4x&Yft`rb6Bfq;;Sm;Qmd9p@6EAs3lEj73PSUdul3 zMSC;r7`v7ij9Q|QSf!9or|<#;@7YLxH##AB3GDm=1-JL?9XgxD>=dYO2Pp0lbz)Ds zB0YPciC}8V!I;U8zDY&pDbz|%XK}~gF14hz(0ym4Y^Isz(PBkyKgI}XNj<>x3P9i3 z_2g_PM9r2?4tK0H;|(0XEa~lFlR5_IkANB1v*K~6azM;7w#4W4oIJ}}W{!C;wnYBEfjmJ^;ggp8TK)Zn? zxHx6t!g-NLt@HqtaA3PPY%M9PcP=m}FBSnH@s(EcXvU?sjAp)7z?eHods*e4qw-3? zJ@E&eCD;NY-II*UuYiG$hMWX<)b&A}B&5;lXqlgk+ixvvcrroX zq`h^ijU=4!tAgrF)&rRHJwzWeeX?QZ3&M{8GyVc`dp8Wwxij0LXP4S;mY}|3zTTRB zXr|sKRRpc3m_gf?;23+gcsUyC6EW*T*~X|b3jF*A^=sI;)~@ED)B$M@qFg|8*wTo5 zN!kJbf|~SuTP%5Q=)s-+Qsgx^ENsyQfgV9e69-c&ttzkdc6TmFlwXY7$Gk^<&mfa` zUu=}_jx8w4`QesLZ%n)B9ke>tJz6V9MpswIMDZtSvPW z9^88tSmp&rnA6-w$1r(NKN5L-+=FJfZN2*C zj>DAc;7d(1ao@F3h;ZK(Do#1u z5O+6qlRYF}ZJuBA<|0XJt(pi%OER`&XD?RpDR@6tUXVUC<9ua%k-92!3pPw%70jJ5 z@->FD(=%jB!}amea@S90hQq7T8RW^ao*!Ah zExsu2h-bujc)X<&ZsR*ze`_u`X;S9tc?BBEq6gI&$5RV;jHezjnVpwwyNq&@6iV?5pRq%I0p~5%k|zWeNdaF2stw5GJABrU zz7rtIoZ7Z{ukM<-)H=3Cf}06`vDC(sP#VXW1Gzwrh!_fMdjNa9tvu+TPepYtAF%I! zQt+GGBaoJL1Cztj!9IOfT-?ttMlpu-AXqD4=7Aca;70##wv!LBNnP>?7=KbQe>qLG zi6EsKkCxce+Cse|@qutt6df_ge1C^ZzKFwPhG$IvN8%3`Rh2KBR>&>*bScSsR^Di} zC}uvw{~`HNF5?aN`I9x_BCpH)sPoB3*XM;p9wCk=xZ}6uGOmyKx88Q7G<CAgM4El9wY%G|aD?BJ#WwM!D;LM2kppw9m8k{g z`bV|KRh=2kO~3O*8^qGmX1&dGVqcTLu1+Kmj!tK1wDT_taE7Pmf1@rEGaHP|tfZPF z8z``xe%eJdRA*beYTw#*t^LNpwYxebPYVbsF(ZToMMCT2AT=vJutWxe%7c&vrG+o?xTi3c1|ks@aznImLUr8QNPpMml~SG2zS{SVPz5Lu8!=FUe9KybB(tH)oCs zv9Uiv0mBZQQ(9Q(lrh0Q8w!I!6Kc>yWA-F_+W=nabCQ)4ph5)|Sc(?FNWiJu;O;R5 z7OL2oNH=ZMEUq)!0yb*?Y)(c!PX1NkK}UeJkP`RFeqgV91E!i3s7?uT!VnHXM4oEmLCDLQ}XBz?TT+6W3dk#cn=Utiqc1z z5bJU7V{M7N$fFJFyEN2`lXUnrP)OoS4&qA}fb@~W;MpP17=8=fJ+OlkM`Yqb9Ut%k zaE2cy-~;(eLg1fYy?OZDKON|5TGSjF$d`w1fCEP1=UOoR;@v70yHhb(39vRB$iK27 zJp07U(+_^&==aR&{m0QZ?^hrr`nkA^YQNQt7d<;f=EN7Ddw*xsDx+QDpo1 z@0FVFXQj60yiWKuZG7RE`?|(Dzl6oRqQRmB<&r~h4?4cF`x@N|KS5R-sQHUnfB}AM z2L_nA7|~DdR6D-+ct0o}_~^!+t;B7NbK1kiLReUgvw&2baE^{jXWL^G>}(uNh(e_E z-uZ~Vw}bi`&aAr;P!p!Wt}>|Z?bD7)Ljg8QiyR+<_K$>H1o6fslVa*$VDLC&6xzjz ze&r@_CCNS+%64DzUB4)_oH~PD9qiCULd$MvF76mq7>JdiXh1Qky-{O2=w!pJ{G7vZ zFqDlxU%@Y=h&kGfUSI98UYel?^-l8Z^Uedu+)f~`&W^vNQH2{{VS6g|*_k2qWAXQs3fiSrej-sTJ;ozC^>1m8Z|S`HBC(2`Q`x7)Wpp2I(ZK)o#>Z_2d*V59 zv-03OgZoWib+JdX2hOu=B%kiQV}4}1D&+)MspIsi*(k2WOBXpbM7mz&x_9>9GHUFP zyN+6YU&K_Z)K<<_6yGJccht5%>W~xF$l3aqbhjoY6}R_A{iE0Fr;&@H$!7`WkVssK zcAPy9(jYD%URz<4)dBuu4&pEv{AG#&vkqEkf+i_OEfr}GhP4|@3ibW8fnttZar5?Iani$z))-eCWrCC z*|c~}4sgRv673=V;cSQlIvciICK8WZAS~UO1GgC3-odQP!9+e6P_jt$Gv`UIPx+XN zKJb~JT0bPkV@E6TID3$Oy)1jMwG$IFYh~^3Hyf)Rb3j6 z!H{bqVG>#kByC4F`8D5V|kjR1|GoMAhmL`T_ zNsGJwBEae(*0r0RwDy1(sv@Wx0OQRg`-9fj2OC$!Yi*#i0?fJ5Z-6D#6bbyCP-8?8 zvHPnouyD&N8w{_fe@?rkmaZytFPxhI=@zxdH$1dQXU$Yst-ovZApNyv1N$cGEvwCB zkwoh1uFaIXVi(ca__Fke?dOw_v#g`Vwp9e328_*X@|laXwKEDcnNOFim%TDwdRBcF zy%#p3By~#dEIV2v=r=6?Wr6!cxN3Pco_=e3CvPulcgSV><(}$M-Q-!KtaE3-y+B>P zEvB1Q(ZJeUxpTFhHSbZ%AFcs{a6w{AkY@Y7=O?PIu1)2%+;zQLiO+Xm^ogaTl;KhP?O^@&@rNHsQpP22kAGzGqEeIE^V;&ZW3If6bHL{1_2%=#8+&EPFT1yIhF&Wl zJ~i>~r76PhnL=*QjD4(_!8Ugt^T8bvgu%94>6f$xeV_FX--*mFC7^LY2BCK1WxLnb zOf61BmY&1Y4yWFfSrr%!auVy4rFKtc~Fr-_Z{b5>Cpd1{&l@ zf4z3}$liB;Oy#$P3^gB5+*`WXxtcEj>;=K`eZ(c3FR3~9%e9EM`nHkx$`mse7Zzzp ztr%;uua$$(;gCUgD)WZB~+csERrc;Iwmwp{7cJLYe> zGVL3$W|{G2F)m(_G3p_7m5Q8E?>==&K#$g z5^Z|&-A-9q`R(~tbv-&_%FFi)#&w_HkQBI@I{*)5qm#OQk}UN-)w|BM=jT(ut*k{- z548G;T$}T(Pmn3=;Vu!d7jk+bkr|tKlfrY+@c#1g`u@eU^|xK*)P?tEAfs*`;W;yK zp!NINEgw?tBdwaog0OQ5<#J{nY1i`m*YX=`j~IM%kfyTNuj3+&@2Md?^)B7=PS089 zvT4Knr80@L=`_h#7ig2OiXJxjw5exq$r1A8-e`~C{n1)K!9}zBgz`!7n=TD{-DK6_S1+Uk#D! zU@lh|KMAD)kJi&J@x}Q(!n5$s^=BnM#zMI3X%oKW zLk^LF=ZEFnVuHo`(^J`Oz7tjL5&trMS)ROBrX3U=)aj-zYwbR9&g>xJscxy(dtqXe zTvuunq;i)}NjX)x=y@#3as*ZfJ7+m}kn-ML8|xlQY|4MLU(KVG*_GFlyc_Svn9(ciC31vv-ImM2_>sDI3$ov8*k#eC-RJZ`p_Q1v zOKrpTXH{WYljk2488fqNNuTLs}ftcYnz}A+o{hG}Qa>MU+BwE^665 z=|uJHrjXeDV6^+FBlB&WxQRrC=A$!kp2IvWcT_hh>%JFIDt>KS<8+%-5uB%8Dqf~v zc)M6(8|J%BH73yw(di<$n8RQ0JC&aOaeb-9UniKv6+Q#Z(g+F%?HCLdLVGkldEE@{^0_Uek#D9Us8w4YE%UjJ+<8J8 zNfSLxB9DG9U(vl8si_;rT3%}>k3RUh&AWjwuP0=vRz)67xzr~31zbuNJyg z=Qj1y_pfE>qtg!^1U58CT;_HeOH6CMA;?O|L=<%FHs|Mk@5N=u6HC7<5Bu-ctoBRC zq#f9VEs0HbW=U6msDsnW=6!P5j;o+n=PF*=V^dJk?O70(tF=;t*~B6UMjT~EO6-&HFRbTf7kSUh~n^d#_|_Kr}CM7$(1hGCZv2# z7R&8jdgTA@d;&;sJWSi{MxW%KnQ`SS!iQSWCTFSH*qXs!|GP!^>0(lK@6Quj3Zc<^ zn@0p*hg;4+MS3}ZU(FngphYw*2rPshj!rC1`cC4lcZ*Uz>bvX4YUA?&!$mb`o(F2j z7?u21PM+GNIr%|BJ<6Twe0NOqh_Hjy8|0AmGWX&5qnZh&rH?<%lnQpc^((DhR;?z*h={qou-d1%l*WcF*lQ<|+y;rz7V z8NpYFeYw42Wlv?iXr3Nf;#^*p8m4_zpeS=k*@Z{m>YZNF$1(SJr660o70;~wtDJV#~+D7>B z!QJ1;Qu~wXkzZ zQLCQoFT6FJd|u;thxTm_hNA;2)Wl~+h$t`7(_d0yVHYu}{6Mr|d^I<1i;iAqbE zp@^8i^Jf1S5o14>mJM0WkhYOobu-4M9$r)OYB!s2l?DflN*h+5 z+1?NJxLtJSp7N*Hlm$u5`l`mU);uKk!e#jy?&Jp@Ow6fi9lDOtBX1YIE&2L15#3oE zzGrW}0g2~M-={ro5pt>mH`mB(D%Fl8)$j%@oF|kL=fLwTlu$?@fxd&_SvMP^`kf8NpTGb2J?EZ#pYxqO z#tbB6uRVMA%$l{{^}hUFIR=C0bFzJ8M3~~^(s_MP8x<7O&UQO6y6IZ3_F7-8AXD|A z^l4Gct}9H3H75tLps&EOj)_pSKhhgu_lYuH#3d{d)VwJK57Xi)m`mxKt8OM~=j zd>7g1O{9>I2=lPJyA|Kc3!3xKZ3U`G^~+Eo1Vt~{G@FV1qltwG*7ca?Qm zthLX)jd@KBl_L9H1z};d-&e5#s*mQY@JMP$*T5o5_R6>X7GmIxzm6X_;YDGh1$w3n zzcWi=I{c-J?b9oTr~P1)-r+H1%fwjkb2UfGcoy=k6>^IiD{{Iy7Q(EJco$Ya`(Y}x zj^Kwfyloj*9RsV82FKc-UVOL~v7>cNhPtmUO=vL0+Mag&+E{|Y&u(EWu2ru(iPTlM z?{C7V6_D;PI+cS|?~$2Eq8|>k$@IsN(TtL9SirQ%Gu@;6H8vu)D~O|}Ke@kKA03SC z$|@NPhapFCU0L~CL*3N&$lT)cuCsM|hXwXCor zoo*Vmh^49iIc0~z^>WYk@|wQMney%~k?UF7hK7{VrA_ zCX#MIq&3efrWY$h)jO#*UP9T|asA ze5EIAm%A=phn9Q_T=K)r+>YYVRYR^k^nLs$P4*U`;E7uG zAv5=RWEpkUEJmh#N_+Yk`6GsDB;ekw9M>*x)U)k@FKE`yax7RnCtsQy z82?y&n;7$Ew_MEhO6uBF4{@fd>Wg{F;!#*xO&1oKHjN$aKGUH`w%Xtm`AJuHmDp?^ z(=bg66903za&7&C^UW6hZ3~W$yG5rNZKZoFCAiXRwXW)RsVn`|O_3e0-9py(q|EKk z?pe7P>lUI}lLS<^PWji=eG29fJnudaZ@E?M+S>Q?jhGRp^2YU}aim>VSKVk-citM0 zalA)Adr`N>GWaFn9dckx8C`z$_H_34eRpGG?xU77vZ}$ObJzDkLT5j4kX$9Lt-;c= z{ORZAo(RcpxTat-Rb-w<;ot&4Cu24P=><{2G0I2=)1uP_sC<39o23`#gjfXcnM6{| z`+6=Xq)&F8#V+A-%Q?#`)3~M}#L1+h#F$IH!8*@WLXl+x^qozebQ#FpD1G(q)#tvB zY5hsaZD|;oYWMuv{tJB>+CF$~QR2)fI z1zCzpxZPT0=S0ddB0OF>7W1$|R&z;3pAN4Fj<(;;`v5xF#3c~4zP48s0tDcyZLhf{$^Vj(%Y&ZS{!%C&X_o{#tK4Zu4*{LzNG3aUhPzrz!u*S!#9I{({O;U zUMz2gnx4i8mUpGCgnDvd2G9adtCKN~Y`!_++F1}enSxBHlQa(0UCI!2_6xoEddj!J zwNnz61Q%+zLy$EuN^lq+K(Ocm1Zy*_xhS6tQow_gg0KA6wsB-)V4Wh){0Wn@-|)9K z)jvdSFyF+I(*P0@wtN;VY1M0nGA|~nMk4zqK=CY!S3S{P2 zg;P7FyRW%_w4E5Sa>=j#;2-Y!X~ye?g3ocblFr>bp2IU6<*f@_ukEBKJ+>6bReq9; zYut={&(tF7b2d*ZNv>?9^_Ci&&<0GcF8w=~8_!F18QavIj3K%qUT>oTpUfXJTa36t zq?)@H(rBHqb zB4{or0sL<|7imIvKw&E%M+cpyC4dl@R+)0J%jP*k`5s5nD(*Him^j2K9iNU^vj#+& z%!sfdQY#CRBW(p z3DE~4Sk1F)sTrp4XFD=3FTK^hZG>F-P<-jsv>U7FOqk`=(y!OeXNxc6$K-2Yl)=_c zdgRc$t{R@{FFxNWwW)<8?eMlXk!R!eJjT8dc}tXz)Q3zt9{D6e>}B^&wEEW)sK?9=FSu46Q-TVcB|aSp}2rDkatq(797vfa{EPRu&f zYoRx>oz5NQ6cm&6>NDCh73Bf`mbj_?D1!pf&a4PJ#FrWDNBW+mKb87-Y6VKeUIfqgzf`fK4g(xfuqE*pgXU-^1)L_%=H}6XnA##U`!ZI<&XET<*OX_ zkOLzOU0thBacjt-tJRr5 zrzgDwvwWI=Fpt6`neD2G^$?xj2(^v*PKBbIp-q^_Fh>+PWcWZ*>?)lC-qMA~W1@{Y z0~uRIv|uEI>!ef{y561t zRegMu(nI7{%d24SBYql-=o9tvhi6P#Y@6SY7~X2hh@;X{XMAChMZP35Sc)F$@w1}0 zVZSinz)?-MMV6Oe5^HzCdOc|8cj5zDLjZC88N@UizE31Y;J&jd0R)t$FjqB(V4^o_ z@guj2X*3UHDWEJNRN4X!1_BQ-^gHMQvV!}G%n4@H_MJam(v*NpTBBi?`VR&DeiBiT zh?hu(NSsKJ2(kY&7?ywIKOpo7^pJz_AA7IC5xBPYc%e~8_4{c5iMfM5!wEhE{hsg{ z0$vZmh0NdKQP&&tpqS!li$E)7DUfhlWDEx|25J+G z*#K=qau{k847xA+KWq?W3_CCeIw--IUw;_`wV}@t46=6S|5IB4d?PTX{$p$b{v^=* z|K0dH4qcJ|t;@y+41x|!Fz7$!N+5$+!Dk3YO?v%bFbXn)U=W1oAzUt~4HH?KMC_!L&v7XM+4ru$5qtfcXEgLEwPUL7`4z1f2r437rCJ z4+(`nQltcftgV>-*LU21f4A+==KouF8&Dg$FV5VM1N+k{i-b-AuTZ-US`7Y-A#2b7 z7lZy!Oaage$3&?2XC<28M_6Cu3vmJ}9zZc-m)0gY072-WNz?xe_JE?l;6Yi`PwGIx z%ku=G6#%U7NP zlF$Sfy+0cE_Le}KaGOJI6roEdz*j&gDVhy?pb(TskMC|~%I2nq5&@gxZA%yLF3{9C0VNB^je zV!WCfV&L}$$!Pz*iBVyWNDrh5rTBN{j#B;aw|$8tCIe{ZWhj)RLj&u1I;JtCr-|!N zfg)e>5_;kfRm7mkHyVJ6o%KDW;}_}nF9LKSDu7D{y&iN`8d($fK2GXzhhnHhMFCg0A6LgT8gO;fUL%JMIpjHB0TAm~`fEN2AMRwy?vA=*SjR4) zz%C~3xY|SalIBkVuUxkVRS=3j?2Ggvxe(EBBxAbf_)pZYUEj%HpSyHf=Ym&EUepi& zUp@5FYDk>S-3O|Rf2u;kwPPT$Qq>{b7ml3R8DdaC8pZ}wR*dN7@u$^j>9+u(CGtc! z5RaInhg)4m>_+M8Wl-`*I`f{)B~yOL)utA24%kV4Uyc3YL}EpU!2APbv_pU!+8k^} zZ7SIjyn-J|5|=dr3Oq9K3w?5RTzcRa>16Kqmb!2B9W`BapbC-_MS~=Ne2;A8QFrjM zbb$}}0>6h2`7cykI3B|brkK8ojJ~q{o+X-HamHWG>*Eq~j-zI(Pke;6PkH3a`CmaF zztQ{b{x;`tM)WOIOQSi3a%g0rr4Tg$g}7mjoCuf+iQBa#k1%r$gGXsL4G zf}yB#W=tR-XGbjB7Hww@aXY=BZNr7nRdvUj#b&}G2s~U5u!pB#G>3E$SXH3^&475$ z-0GKh@MsI9f`hby%LV{eaBaY_-cFa2`q{-qDM~c@bleU6 z60g2UY}?@{Wmt_POr?5Hr9A6^@~?NCylzdOujZs??b`=4N!)mk4-1{ujhvpYc59P3 zgXuReuNV>%EFY>|o2FdvFjGPEZiJoD^edo_6z15~R=dBKT=t!-ViY-EdqQKhl&XYanjJ-L3ONsxnI5_=+xvUI>0u|=Echfq|1oIZ$u`mOC;lRyP=Toz~V z#rWakAx{qW$FK2+19F;#*xJJ4eZr?}4S_Dv0)-!M?+??-gsP6f-gS<1N9zDZ+_=&&y8PCloYWFVd-Z-ppvUL(n%PCx`6BjC7s5&DIL z#_3$d7E7KbptU%WR0Wmsne+BaA`TO-)?$Y}i*ijHp#)G} z4jIK`3L_Y)6Nx?{m~kag4Z4*)#E9Xahf^U1i6x`%nTUpWJbZs|w%jUjrU3iQSD#6& z>K(@#mHq>o(UTiY@3qOdZbl1S{k61!ifXw2aDldr!{f{snySa&pDA^fWm^xhtvMXd zlgWKM>A%neyDwdQsptgboL<~6vTCd3>TOTr{*q&-O}Kw_4VH&ru{>!huQ-vE;Z*mU z*Kv{k*6w&D_P*dVkce6nwdvRUeABki_qB4`d6RhzaoG7mTkx@k_URlBoXKySKN(C>NQ|KZX^ z%a!Wgn9gL+m0hvixq^kl;oY{b#M0-|<~GwOO+Sj@A9ASf=wx|cRN71%e8)W@5s&5B z(L92CR>ftbdc}3O+uh-~mH19{MSrRQqw*YW&4%+P&2oY9#_rY}od@eF#YbydFWlV~ zXZldO!<92v+~=OrxWCNSReIx2n6_mJZm|NX6+NP{oT_1r-bNWU^!4mt(hahZZ$$!p zD+LlOMnvOtss=jPKjjpCgi@6O;)yFL9rB?b;-;Q|t1aFjwsIDRDywN9u=fGXGX`PC z0qK^>RNkF>jAeR8QTYJ{RrJ){QV20W4%HEH9L$!Ws74-EN8|-l(PyG|>UV#CRm}3y z6KPwoD^qce-_X^9USoBZ)7*!mYiBxcPU+UIrO2TY!n!W6s=*bmJolj&zv)q?)N>q( z3svIfZrMeTla}o`MSNi{C(mS7$Vu>S$tv~I|8_dJqJ;_G zkMG@T2LoV$fk6qosL{(4PC}B+^q-#K=|wd}HQ6*aHG-i7<$z}gaDop3%^Ij33LP0d zz-E9j$Z*7hThu@0jC-cvq*Svr$mPv$ckcQ3 z2O93|>z21WTmnkW3b$$1a^IFPy7GB*@SImG3*(o&Qy90M`H3w{h*#*g!iK(2le?}2 zXYM@@waM%&B)!7sZtb=!ZU87|;?yFas`A+s-xifsknwu6O{CZlKX2mHd{>}?hTrF- z#CP_ct#spEniuD5x=rKe?0o|(lXspPIVbF8a7=N0Urv;+4Puks&Hi*p-CAT_c1GlF znxZGbU<(~n5*QO14>>ayJZU7CU7TVuI+%-PHo0fS)5E1c*8U==?mCgN`STsefWk-H z)W?P*eY42UzpnL7gH!9F)cI_e;KDdx_!b#n+GMfr zlDP5W(%!^(-De&X8E1Bbx6;nkEL-)mnk$yNXwiOAI#Uz3j zCfLqy1eoH5eo618`bJ*4wC%Ly#JloUnwRf(&gBjMk!Dk@96t}nH@_AxiP4fwOQ$xm^_BRyQjD$ zZPA@WNZiQv0NH^HETLZ(SsBL~g*Z}465<((*b-(%=S~z{nEfI8it5$n^MwqlMqey2 zJ$gpdnRqv1QjJ>ypEx`O0Dp|CM&fXkun5U3`UfsY_NO1 zwM5eQXAf>dXgqd;=ik)(F~NV|R5CUlu@z0g!Oc>Zw zFTPn{7hI-17Vb6svX70IM!6roE^G2;h6Sk=iin%hh<|-d|swvMMaeF zkLk8LN`Gvo+q4Wm&h8Uqkm<<;(=(i+qLQwl&`NQjH*=*Hi+KRe$o{AZKyqzmEm@ zT}C-l9nwy@ql}f6VL=UTpgfcfyDuTCh>t8aHP`7=UbBS_UD97WkElBQFpMp~hNbO! z=$-K?YxHbT#vDJiJ!_PXi$X{@70YaJX|)MEyOIfDt9dU$UMiy5 zY+EWTb2h9r6+R>*ui}UbMePq-Xv{*-VelU`r$W=Q>%T%Jy)Y}MgO$DE2wOwsKGb;V zBg7;&O|61$Fi!&jmMcI|~F z?C>8hA3{ZN78TI%z2b#8PrvZYR$N5^w3_;q4p4n$ZwrPX<$&6*3`9GtBNggn11!Ju|>mp@~~ z{xR1{-ZvvoT$?rl*Kb2SR__48&zXINpaWr+lzTv`sjf?f3K0Ip{|xL(hQZ`wmFYAt zu$-vqVIDudnyh&*Sw#m;qI48?7R7==lQBj9D+F~QtLe9ia-;@>2ja!LwB+4>7Aapg z(DD<>J@~CV!wyM)(lZlfLKGb#C*3UJ+HOrDum%>4flo)qc9)u%5rGewo5Ce!QP^%FY>`H1aB9FDk2qWcH&{_ii2Q)TsMI zE?%P7Qx5Ls+hMy8E1#nf#@@OJO#Oj z=xv?lWHw!|Ew{PR4)Iyl@9;PJJo&2M?~0-g=PUY8bhOUGvF);-^WI9gWR4fmi?5qe za^3Bpwf?TP`Px^V^*G>)pf>)kyw_X06np2dcRxommM>NTSIbi(j6LWGy zQJ06}QxCL1XD#H?WTm?FU&7iSxuG$ZOBZe5z1>|WH1#fhOy2Vy^ViDL#)Ts9Xy3Qp zbT8tnIP~kjKfT`-Z#{)xghdxj_`_;)O~FVt?Q4P5-WaSY4?VdqS*N1KG@FWD(ZW%- z6<^hqD*LNMswu`B#J4xhrc=uT#S}x>>Y0D$KFqF^d>J<#+sFNN&K>pw-|10#Bcvp& zs=+tz=iT|t@lwqp7mpS5@b(vTH@s|~s{d?? z@+{9#W7UMf$CBM#Uz}&h6<_^FwPBu`FTU%&Ij{GHV>$KA9IP^QW<6=ZeWjGkkf(7~ zS+Jr{9nW(0O(T{?8pl|f#&g%l-qxAwnCkPl**Wor-fJRLZ%)=ID=OSsHk2~M#e7$P zxuaL~ZOvw-Yx9V@R!?HTQAxri*st$Tc-nDCuM=A`gxWGkiXRyqd_Xz)un#_X*~EnO z;)4M=3Fmn<&Ebm=nB1wwN#WvRPR|UQI77_&PKo`B+4AS|s^X2SSjB8%faG~Q-@F+h zxKN6OU9n)6ZaN!(i&IYBd_s3{CPKJEpMh^CM0ouoJKs84clJ1>QY+nm$Vfv$Ah z<^ZCA(%p|Up|43m&a+12&iY3?Z1+V5pl-JjExdk`@yqz09Ts+k!jGm-2EIX-0ei)p-mto4c{CIM3w`9QnukjnTago*CI2T7js%0rRMCd5lza+N>_Z zMs2=}^i0}jA}4lk`c4{kFekS7LIB4|e5Z`DdL4~(TfL@%1@^wVwoHc~V>H$}=zl?BF}vnH-`+qC`+X zX2d=JeCYY0PrV)e-Ib+`SF0lm&rMu|l-Fh6In}Z#r`ybU?d4F1*%GUETtS028SAGO zhZNzhnCn+p*_RhxnmgErrUvm#%p9as^Ik(9V3*1oq3jXxwRcnpXDz6^jmz5=#_k){ zKi0KSZSnc>y6t(pWbL4nGBe%Q~$Vv`;O%J;)_$2es^w)ro8%Sku*@LE0eJt-TKSBh9Me< zd@-WV`=M)O`Pk)7ZqSd<2AfacK8Nr9UZzoTlPc^L;-gGsu0WJ%ihL*TU3&hsW&I6I zv2)xzBz<9~({5VevW!=^RQ+SjS@R$M9q-&?GKzxU?OvtSnc-k_`G|LT!Vo>c#7MJj zZE|LT>-M>hyWY__p?>WgZR`FS&8=WRgJ*K=Cr08ld{9ew&?eLi_gn311X^x|?tbY) z%a=^YamexZ$Ww-?ot;*(#Y}N6Tesa*8Y@KS9y;^=E$_<4CJU$Wf^TX1dm8)YojaEl z#{!GPS?g!J!Y&FwVxFOX^v1~{V>njaXv$k8-qj)sK9LXo33OLd@w&;V-=Y8masSL*qV_$r{%d4a$sMLU+J zlZ=IZTgJAz=%%P2b@v^6{d4}zkRJ2l8 zgR(7<$eCknzf|tUyFNEhQ%!KqX%twI->_Y|vbzxXrDU6@nt6qOn}3Y0$6tG>aN8)I z>0uaE#H!wQrw_8{bqB55ITl$QEj=jN8Cl+rtUW8c$9pw$Z?KG2{hRdigo5Y82^g2G z*f!to`R221p;9xPYaf%kYCmM_{+|2g;;vA^ELp9l283P0ocZ4OBlX}XCd zUwd6jsvsjOW^7cqimj>0nM<#5!FdWRl(8Bj!eT-M z+vsw5M#PfEtE%sHsz=wb5<&{kb+2R?ujgg5_iNSNAX7LNrik_*TcRI+47>H^gTQDr zyO)mQa#J3?salh7N-5*aPy4ZV?3?v(XOhy^xfH~E@>U(SyL|K_y+3_ z<$f9ic!4}!e-t1Lw3FbGjrfILJZf{_xrQP&;L_fJPv~IC$e2Y7Fb^c>czVNA#{9RE zap)qZi1tBGu}qp)Qpy_Vg0_^5BCcpZ`%7!r=vrzX3vcPLYUR$lXmxPy9QC<1IQ*5* z!i_h>rM>LcjHC$t@Q0}kTly-6v%Iz;V~vm7;u!~k$(A?aU@9+IEV4(D2C<@cj))bvJ4fCVOj)>_s z2|0H%YdO8sMz-fvtYwYjI*z+i@YF-Bsam_}x@q5sB_Na=;P_iB6R>#pC_`KN@Rc3` zvf${ZtwTn2#E5Cq31>vGk3xu39Sx9n`O}SrI|@UtIXi`{eq_{Poac@h5wg&7*;{Tk zPrh+=hq0&H!l;{UiBt`%{v|zk@BKn5S&xiG4JNiPq&V}f{wXZQ%+%)4@Y1+T`fbl# zl@R`lit+1jG>GT*9vDES_PW&7$e7o-slz z2$0oS^F9i{S!|ydo=2daTN~+CO*1$;Jn5j3(}{19LMsk7l$;AmC~Q~d2vr;;LTp5v z8}M|r+5T|oIK#nV4Zz}+%L>*D43=GbOS|EVt4fIG>uSUp7oBd~kfZR0LzZ21g%`C; z{nrMHo$HP_E(mRi3UqEPtZ7(a)&-AYVV$PxgG%UaeD^2p6nz!h>PF?27Ml0Z*naX= z_RU@25r&m#PCE z=h_~kk@St$%&$2E13Q1h=OBKVIUtWo;9PZRfCxNC$W^dd2~=<(QRW0KswLl)p&SXM zpj{y@=@nVT7JKpzQJWg&H6K}|OjZET^vsItvd?tp3q}6xZNzq^XCd(t~xa^wH;e^V@zm|UtQ5)X+7P?b**EAX8kkq zI|c8E$HqB)m$y!?bqoz(y_EVW(ulL&8$XWcw(Ypq(|`GuuG*Jd-bE9e2>|5f?E#!k`JD)$Bkqr{kzz`4=1oZmAPH654Yp(xP^wqJ(JWI z62c=>t}AAmcUEV%PS01UYSI5x(Y-pkc{FRe+`LuUdk(O9RYWK+9E%drS@?K{|MVKY z$~70W!zH!CHIFMoq^qu)v${6(v^tF;>w1l^NKdNF2-PgzVwMKHfI-8f%5A}%Zaar@ zW5&N?=h++tmOlC2WIVK`g4;Rd+w&9mVH=}%`@^RQ^5@IuY%6VQradk>$j`0M*Pq9E zS@nE&-I@-Ic;sPk-Gr?2H)sm+gPs3$yFy!~V%#Oh%TgCkfA{C_2zv)%#=wzJcp$7- zR4B}XK(?APS(UBrD%rKIVi_5U@KP-k4t66T88a9_MnrJZJD^+$gb~M)OdLVn$MS_# z2S9|u4P9Dx*z!_}%0@fv#2t#t$$vBRw2@ETjNYfzkDAed;^pGZA@mQ}Q}WtBzz@~` zkkm>lw9#mj)J<}*Inh=W@LP@>ioHNEq4Y{yy*N(lpuA`niv*N3-?(b5yM|6lAtHrHpqaxZ@?Zi7)?aWeE z#Cp} zd2qF;a-L{WO>t#kccXief!n!#+Ms*pL~(Utk=SXOs4i|KF*$hy3F>8 zKhg5A?TjNENr?T3!zU3KUyFE;Gh@0_19`(W2Oi~bgE6f&Dk+WwA1|&ACbTZ8tSuJb zl*o_Ba(-eFHJHV zfD-Lxwy^y~J7KW|8@(WX25oi5Gn|d264e3k`l$7pn4W!h^tjsezhW;cl_nn2wY&v* z)b+HQ0Z+bx{+g>%Ub?<~+)w}+{9uTVuV3(bdfrOL&?3{~>lt#Luez&d)ky&*x5l?G zsHfFprR~p@*N@84%+Sz4)34Fdm1Pa?bOh*6BXak@Qk`Ir>S@N>%U5hZV*kJI(v|wQZ@nt@Q6(2 zh;fZ((}0&7RECw5C|ZOnLXrA0fYSIydf_pa3DocmICe%%1*<%SDz~g~;RA4+uC6FA zeCcu5`OGNYC5a3!(KNK{`;O4-!YAoibsvG)!la$>v8RBdvx^=GCfl#%ebw^lJOl~I z4}QD%RjXV&p8gV0WTNngfEq4V+{Y+ zr~yd@L?ChdTU&b6y^Kh%oTL&!v9-Yfh@Z2+=h47P5JwqM3jIK`sH^J<_!>(Lg6=5w z(Al&a0q9Gagl^0a1DP~gP9v%6WX%uZ3+lA?fc|;!t|cT#BnT9r(xa{c87Wrq1!s9o zA=NHu^g^=?lud*#5ez(`$L}k({|X`H?|~=F?_Uz$gZx*3B_u?cS;;0gP&y-y6vHV1 z*TU1G2P1w}bcSAFxd0$UzS*8KRquUQhrfwQA{;Oy*w3_2ood7(t9 z2=oJZ?7slCr80bIvyAWhkpM?)Q6KVnC*o`2#N9`OOSixVRU+R}ku?v7s-tg`S=Dfq z%)5Fb?=XWIbhQqQW+1gBB>azHw4g}15K#Gp0S%XZ);+)t_na+m#8d@qdiF6!7G4R0 z;iWFeSONbz7xE+@L){4E+!H3&@5RCT@gnn|Efs?5ZjfKF(k|La@Y`&FOOL6H&usbf z=9uQ4xqrgiX#ePdpZO#x#v}VoT_bRKGT6YHCd1Cx*h;`IKUB<-M2JB$=o}&@g3wDx z2#$ahQ2d@;Hm9g!5v2G-*ms(cb=D)cA{9o!NHkG2%;Y5w7NC!yZi4b57>k28z@zl- zZ&_Ma#G4$Nlw}!1FBhgf)|S4N-DieuDeSYCZy+`a(*AL;7}nD9sbK&o*k$fd1oGlY!+GQo3IE2y}a-`T|iT8_* z`T{$-Eg63Wc1YUV3jH{w^fnPlFL}r!;aZZ(+#SS!=_Mo*dL)H-;y$XSd5FP-38_!C ze{cH={T4hp7y|L+6Wse4fegxsW=QEVf(QVj{eNq$fV2*;pm9A-qQ<{C_`!_|?k@5c0lQ3#~#=sX$yJ%-qUh8t- z>daKU&xxhd&Qlb_jbgLqN%nR>t19pjJ{S_>B~Sd-b#XSn>mTtj<;=h|?phMcDna_8 z+NlRSi?RZpT{>s{scCE^$_+Ao(sJ)s?lKSVRI^a43E zKpJfR-Ua7`?DrvZ*s}`zSZw05^cEeaY{6+qH*9l%NS0OHWTDy0xlUzFH)+Ty=>4}E zE^4y%HB*__y2$P^y|$_JFRE^r?di-KtaMo&?2(>0(*>OTjNWi}d9P);7RKuZbGBUg z_h^mOgEfg)K_str7d2OndMr{SA3gm zUyt?^>H2oqUqa!71J4Ul_UL0g1&S(TmCb7DUw#%f+dWcXUk>Epae32|8EiXoQD)YC z%bw2D`Do*+FEfpjf$OJ8xAh7WD^{t|p@EU0_P8U=Ad$|AJ-*_Q*>;E`WPy)N`pss)o zjt|DafvOYH7&YA_Ed>L%CK$0J#wZ^MjC%izBbKnJLz`&P9GK0^o}h2*NI2;qHw#Rd z2Rm#sKz4c`%NkNm*Y-TD)E24HL>L%}w)&N-&nOfr^SDmZp4CgDBWT}YNnM*8>>Pzg{&{cnH)&@E#)-n1`kNjNY!d2(pr7rx|bc$+`Tz8dn|0I(T^m*!8H1(MZC(=7E3i zfr$Nw2~RJT6v)h4lVR@%vOhRarVW(s%xU83nQ(wT_eo&-MgqJIsGk8vozbkn7mm52*mYa)1Q9@vx{eF97sklLmkiVv-S1Ho@E!OZ?Rd z{uEXs2}q&y7d#ME)E(2rUl&758v#wgX5PYSKodZzU#<*jp|ydqLvWy_Y;rXxAPpd# zB@-c(#7Z*p3KfQ61~8N1bRb#WNyDE*Vza6_^yf@@5LY`1_>~cH6|5d$w4a(x@CgE> z7aSGoOJ4ZPU}XmphiS5jYj%K_9H=OcLA1_@+Tb5gCT@tFlwtw9$eMi#TUG5Ot@87v z-Slk`9idPe5jP2m{)@UvdOYqF5HT{T|5W@{yiVX)IxQeD^ccaO=6Z}J*!&xss)6u7 z(0?RsrurcfTcXLp>ka#5T>A2aKal9HrV4}sr2g>UdD&lkHgYBl7!HYt(ldFY7ivV? z(Zv@JM$o?7qK5l3xf}(POA;h)0*aRUT8Wz+Zp^~lkKUU*xO4t=%qfK0@4Eu%-i`RE zV3oQUXa|1~ms;md2m^mgJ%6GzVBz>qkp}?>i*jM!zpgu)%<7Ml2u21d50z+4Ahx+rISc=}gmehKzZ5PY z&vO7sAx& z3bp@NF*T?k2=nxx^Z5VC2u?h47%?d&0PbTmhLc{L8cjt3j=Z8(K;w5 zg~p7?x=h7+ix|5Vr*e89Z@UVM{obIHix1zB_;}~WUAT}>^e6#={@2i{LbZHCQz*yW z4YX8E_Tz2SLt}tWrCUx+;6Zl*(s?qE-?C}f>KQUPj(FZB=Q9o$UOz#^_c2hIO(-Zn zlO!1q(pZ%L+AN~UC(faQ7*8T$D=BD-ZkoHw4T15)U{Q}Nm`Ip! z74W2vGiRlC-twPJD7)5Nvd3+20O0WHG*`SN<)OWX`Z@&ZwfP&eGHsee zWdV+gqFYMwlJwV(5pzExx~BULDI=;eWERT7o}9lhXGP(LgAZNTcV%=XBfBXIbVrLw zug#ny;->o(?vU$Mq|<-_7`3%mm`aSiNqC}BzM+^?pq_M!CG9}o`@=b3(rptzDotc% zj^I1HEk+r<@Sq+kkjRv`tH#U=FAKJeNB3hnef*8FVj%Ec2o~QV>at}H9z`}iLuA6w zyFdy(PFF9O^TSyi^|wHlZZ(*m9*`_ms7yCYK5C6KdrlNLq5&J(Bqnj~lm{P1gqV$+ zTaI9DSK8X6CI(W4!pxjic`9eT@b1kQx*^jE4kZXLI3xTIbV9*mz$F4;o>VH>)s?oD zqmv5#EO8@DfN>XNi7p00=tM(w`fD0SWMm?uRY5?hmb3$7ZHvAUT6y%7Z9RV5lMl3>>XE0ZNgDQk|QWx7-1$mO#qAP!6x_-S`{P>12#a-l<>A3 zv|^qlv!Vq#{(WUZ*5-YV8qaJ}zL@~wia=VvnIK`?me_bVt)#68!I?=<60d(M0$smAU1xqyXQXt=WQuZAg zIatc}?TY8(WY_|FIxPYfWN{AWY^A< zlzM6o6=l)T_~b8Klp+h;0UlDD6$1L3{R?SLSRH|nK6!y5B%AzC@jM_LAZ!glE2*xM$ISmykLH7r#3IZGTDHMKKx-sHj*szn=q zwC(mM$29Cw{Yp(xKj$NmhwTJ;g>FM~4jK}fJrMf97(sFKDSBvLxj|Q)a097g6!6wrV4q{3Qv)Q@n0_&ecLfKB`1to)+J%hE;{P&x%X$Dlk%d4)VnR2^xPOclzJF;B6hg<(Y>VaDCzPi^CX z8e#-)&1L;^wO-#VqRNzQ1Hq+n#6x8I9*29!Qc)FwT^2+(nOe=0fzHJNrCNg=?9cKR z8o5xRC_)$i-H8m7j~GL)kbo{`+}B{9PePnCj8Q z4eFE5!a#onNah$r-QLwaK_0&ciY`E(r;CL&(j)v1dEu8nCk)57E^jzOnRvx#mP@{Y zfYVLS(}R$^_~QsVBh=dd_(h!mivE{+{vDXN`fF(eUXirw|Atre`X{6Y%0(X!ON3kU zKzXBoI^i#;=sP>us0<=p8Fpg@Q{(8Tb~ zAD)M^f11*oy|s6dSIMI`@sUESOc^J3eCwl_m+Omm_+NS8yZzzB-c$Or-o(s!Q$eD!;O_x^GJ zxaa+x_l!)O*lVx7;#tqy`lh7V@AD~ON_OoEo5n&aRc%ds`47vXsRB=zvzA|#l8-Jt zq)S_1_qH9&nKQ3F-`!Z~bAG41SNs<4_Fiovq+t%U40NRWW9vZP+n)DNWTVB3{!N2L ztDs;mT+FI2e86@}IF(Uf=Sx=I?SLr?Cab>hV8=$rGpV27nailr@f|Jc_Jjc-VUkVCN2>ye=U`(WZAP zxvJX%wfwq4xB62}IzLo|a%8ojUfT<9RzDGf%LiK>664DA3V-6ek{cECQeA1e&Mu-@j127Fx6Pwv>?d0#(wBG;%wu_y5-l*Vwbd|5H)ik^5QZ2Pk^lXBrp*)vQI+#Mzo zKxts@LKpf8Qa1-*{UZzY)HXj!Ret+qu&iD%A`PE1sN|9Fvp^ku&hTK?8X?EIP!p5} zu$EQGb3jqGNPteWf(G4oS0A6eK)ruOR(p%`-V~%G`p<7+S|U_VYdz+Oj2BA}(Y(uT zfWTr~9qigB5>1h*2wX(*B8tn88nD@=-Nykk{ZZD(fOz4S-&)!1AJ-Eo_5|2CLU%7* zKjjVeR9#?BfG3|vC!POKH2~#Lj*_wZ*T4A)Qo28Jfrp6TGJeQ_j(9|cFLt3v#0lXb zA*`F7$*^{MPBOPMibP>D#=k2({pxaJ&r~&{c1n0V@zO;a%hI2BO;1{#wKo|Y!JkjJ zcDcQr=OH?JXT@Bs{u?{9LnrfVKJJsk3HRChQmbyA?U}2uT?q_wrjkfG`%{Z%-Nf2^ z8gbrRFJk#YC-Q{<{=*tSh(k;hTe$<*mqq}9oIC^*I2|bH1K>6lYOT9~JtY*~dKeT9 zy($>j4BUIJ7Vz;fJoF5p1dkr1ATX7kfn9;O9PfXm`q?HL7uxhYL^CT3bMW7P)_`s(gn!_!)-st1n64!J=6vQQ$&SD z5E<{=#-H6#KlrynvNYAx*vPBl(y)KkPyZ2eJlW${%EAZ)^96-4 zK=8nJ>@%rNy9$m8JjEpg4?!eCjVNWCzC%B_e55*%2L5N3FdRrf6LOq}4guCV76BTo z2-ViigccP%QT|CvD0Y;6SS#m*r3I|)S76IEV8f2<@;L52e<*Vf#qOMd4u;e)v~OC9!E7Bc#u;f1A`GP%41(fd3N`yF>_y5mtBSz196d%Yz^N%a$aJIP_%fNXJ{z z`?CT_8ZguU*OsiANEB}5s{$6fHxV7ld0H!b#0OVm5LzpQeF%XG?}tW^AhP6~0Z}6C zExv!PBG{pjADkIM!QeFeZ$b}@@EW?P|HB1>%Ys|Eqb3ltp`w2wRzO4YZas=%*rArC zfOXM3^r9_cB%dBVUz;Totxf2rx;W7b>b_`>)yEuuOh@)Akj0KU@(Qg~1@;iHKj-5r zjxK=yc?zH;hLYSJ#ld%&;oU{y<=krfZpz$Wmjb=c`!AgO=U$LD_!B`tBr{l8Jk)R_ z0O+%d#xXdfTlXz3yi5?_$i?)6>J#^a=7OL`UINCJkZFeAqIe2_L~EkY(G9|!Tnd=Y zrH;BrUS_zvj!+hN!uOFon#G9jfIrXZz&qW@gNfjv(M^>-3CT}2Wk207k~ zBBi@QbX=FCB|ORv6%{hXbZ8$H+*7!_p6V!`Ugh8&tpVO&RDE0H~!w z3Mhyy;8rt-K?W{Cl%{1D=o4l{iZ#))C58!2qbtU`?^y{TCEvjx*AsQ?0)N_IfdepU zmS=+6XiSoU3LuB#Mq`GXNFKS;a{0}Eg|roPBexRE$UzVJ)0f2Qh|kfLkuiR6XkpTQ zfkc#$Gy0Sgoo}Rh5f`mXMZLXP3rLVTe^cQndhuh=4bRA56U;sGbj|6B;h0XT?INsP zF(1E;maNOi&!A;=>*V@;xgUG+C48r}?X^d+Q4z*rch{USt2n?2^TM`ZCU6#*Ei4A6 zL;jQK2$&f%;NNEeUlgAwQ9Q_5nkIBhwenqx)YK=A=iULRGFy_{3}mJ2e(I%Xo=j2~ zeNZh5RR|0Viw&EZ#Ws9ZX088(`;>C$o}e0cN-B0n8NAE8VGteLF z7ot*=x*TTc%DFh2TQr*LwzkcmbSxo=IL~5EmE76I{{)XTncIpimah(Lz4&wKM&UY@ zh~n&)9-CDnVooy13I%vkwR#x&;lv$w!9V5TWx|YFz)rK_)+(lq=Fqx(f^M<(!6@I_ zQVr!>o1dPgk9gMlpA~&i=yxjXB~zlj24Wxg96+nT=88{Iqij453w zGQC@$AL)>sbszNT-2KHjv850)M)^3gf2PwlwCaWv)$+n?*H`))y|OutZp91iN{Rg| z)a^?-&&-Cu~X|u+GU90P(&oL>L<#2!7ek62wl|&qWA~DV5&5`GL);QLr6!n1L zlnbMwo<9}v&P9v$p$I~vRK2^hTf)o&GN{jTEK;wBG~SGptNNnx zWK*C=$S8(dFOF4^i8?hI2~c29L*Xs4aI1v+Lr`GS2Vf6>mX!|l3OC>6XkRM4?#~f3 z&{V~gW>V0i`knhm5?NuvD?y5LaV$bNe>_V`RX0@i7m0o$pvkPsj9j}pVCFsX($()< ze}$*tn>uB4ZUg6|y>Y$z!z8(kyEL!9b~TTyCK5WyzHw zNg^RAA11-?6aD4#;>HQuXRf&RBk#?{$pn!YMy%+V96g4|;2#b!I{3Jz$i7Xfwn9Md zumTP+QoWvV1W#2|*jIQ5RYv{89I|S4DZhtiuclsw(PMrAychDvJK`;c`wWM89SeTb zGq(P`6Pe399BP3l5pF~p%%>;?hh1c&q_nmVh|PcbAT9qltS3K2QeM8IR4&PU$1NGh z2dYuBN~23F{JnxctOZioi3LWUC3%`jV*3nW{ITKXRdAeJ0lo@dDIp7-)X(N3-PYgk zUu8*uH~qk_d|LZgH^ZxQ@u^KOHlBEE_{)x6Yr8_~c6Re)+SS!pe0AR?cZT1I*Y46m$~Qtno2SbP_%}Sj|2e=jY!5FP!qq8k@+Y~BAQtRP4hrDmm}p0jZFx&&=BTH zuQF65+P=ioVkdj0%?JaM@lR0W*jn^x8w6{#1GIV|;ibCp`xsa& zn8m6z$oGKgC*qXI7w4Y0YkqCmz=%;02sm~8TU`N*Cml<_;M+KQva5~PZ-o{256~AP5pPy4t+CtysS1j>|tGo_3%d@F&eF3zgJLEztfrl6%J|q_0WD|xk0H*N>2eLh@`S)?{i@%e5-+!Gk{1{FvOqwjd zFXe^@@oyuVhH3a|G16mI>=3Bi7E&tW0O(+r zVM>t^2>MbVv)sECLM0_Zg#vGr8$G(h-IPak2=vsJLnop4J_2ENfx7`Yql6CGqubm~ zq){QI3I;?1Lm>i%u>$Q7#hD$+I1P%=Njda+D^y}AKrp}8(5;}w$-dtVjwbup4KDjY zb%XCYpsaTS$UYzjm_hjL1j26m9DlWvl%)7Q5MNlQ1vnuAa3zF$1zG|GPY7L4)^;PH zt|uVasf$+9U4VnI$A0`h6;KlGIU##G8ibRW0LT45UCU4ZiRFQ-0^5!K3xf_2DKvD1 z_IR*E8?du_yCFNPbxC>R8LL9@2OG;0XZxd+v=&oWeuy|Sg^v15Ro}WAI!gafl=}yK zT#FzGpr%!zI2iIl9nqv(G@(cTj2vACvVesp(II2>CjW7|WY)<01y&27b2{nQCxDI@ zegEq?YV~viYTs)F1)ewBJ)O9jQ5?(b;>ejbh8pZ1QXYN+FE(t$O+IWFDv;I@I;H>Sit(8yn19^6VD~v3Q zsp;vKU8N@0^i#eIRPX$d&65=}y03X8J`0O;H)jO7cTX&yU-#M~)7{N#|8>YYFaD~r znuYH}=5MYJX}8=~u^r`(U$YBI29b}ba$La|@a)6;N*5As5J`apP8$FQ+0X1TQ>xPm zv{OxLfDY|r93qgKxdaiKN=Pb~63Id9p2>nVyG!+T{Hv*NayQ;~Pq&C(Y7aB*iDAD; zQxifh2qRHIvppas&Iyb$0icn5ELDNZ8O!N|*c{2Y-=3T+EGdU}Q`v+}vIQ|nRIh7c z&_dz5k2%B>6e;xx(+0?u5o(9>pS4(_Ah-j}H8y8DYH&1IJ%?g{v__dg{U;(dzMu6J zR3zyrtqhHnlK==lz({NfVl>kEY-*qypeBu63l>BMMQ@;G5uAqW|Ah7c4GjW~iH8T# z($OHmgI`3i8VYV60=1TarHA3s*C_!y?8E~eEvUTMBpZbf(C$_ zPzcq0A@VCcQjr;8_;Nz-(15LMW;K-s`JK(qo z7XfwyJcL$3FPMb_&r6IFRQb3Z&oTq?c!=La4vY-cb*R%1on(5)?h*WbE^4!HYILcC z6+umkgAaI~1?MgIGlK8}SOBjYtOAka(+Zeg9KDMP^{+ic57K$HVE+u_KG39u!awea zz2n{#{{kPku_NePq89dDze;0()<)+ikgMLGM?_uK+)q>7j4_~=@aw?rfc%)%aY-V_ zN5on3{}Z;fNBLt9(qSC3j86|zR=z39I>1_PJ$t|H4E;S{o9njMj_b4{j89>v2cMW1 zjyz{axIfHqIa5QH9EqPPP&m80tr+;;|E+9|1feyO8P)$_oU9I`^damQ?s4 zWLC-Vpae=LYqVxh8fR6#nF8jG+>Lo*3L8%q90eA2o`q#kDFc1fUnkyt4)}fdFGLNc z=*uLhvuM9yh`J?_`5@$h$SKw>L{c$Uigf8H*}YJytH~7CBZIVgRn>qUK?*8*nrObR zz;Si}vCKgxrz2JU(2PtD01BT4B*`1$n=`&}8uZ|83^83${O@M>B=|gP=ieeC zHz>Rh@oFCwjm&^SJ%~3#ueqR+2AdcB1hiBTB$Z@53=IjxEZ9lF`_Mwta-ByD&jzdkGs|vJ^}{)O$GGJW z`Xqj9&ty%WAM34gvskN|ZYQna^j5;g7m0Pb<#{rz*=^g53N0fr8C&@|EzQfM5p0Rp zdUp4hMdIGt<|IfuGySZ%?%Z_7u#t6ChhzHT<$3#gMN1iN5>`*~OxhC*H@Aeuzlg7M zZk{>c81U)hhL7^g9hS9E={k6KRAKd|`};ga^RM+0-&|$0I6I?9>rgXGWeWYy9=yt5 z%IlNAHuk(fdn11Z72=vYXDM*{7o`IJp~44CkNep3g}BrWwR1f}=3kO(I}vG9n=Tci z)eIA=B1)DV-*-QK66$tjLsnq!4wze-dvaG?-j;Fe{UW_iEiUZVK8pDkkuma`!``KS zFLmh!rvSrE7%LjmaXz$0JRJn-0`Wr2Pu!7SR0(xlUH&vSo@yV=tSl{tVTbMQZ7qh0 zZD$a;?30sbXb%aMpFyK5v$6<8!dnueZ?feBp5c4C;7axH0WSmMcT`1$3^tgwUWn{BM`PKAK=J9lXKb>qH9)7e#bQY8S z0~BgBGun(@lk$8-#K$rn5#q4At(hbr@u(N@P%jE*)r)|nRqiatu_2U*^60JV%l@I6 z_Wk$?I`xiRbcMJX8ILD}9$-Wo72>a^%O4>wxJijQi+ul2wuTIp%pHi|CsmNGA@C4z z39HlaSFY2B{>ij~Cq+k0uw`gbkr&VSQ0g@H^faygY|Cc%w6`#J3Gl9vOvZ^G(H;m% z|LSz(W8Y#@O6fvFXV#4@yZ7AMX(xeITTGMzXpxH6pvZ_JejHP>@^G$U!5o-VdZTp9Cw`S{(h zhc9<^ch)v~L(=aSy0?eV)tmK(Sw8~JHL~$j<`&Mf56@;7AInTcUmHc?=+xWgdIcBKuTP2kKe9 zzgt-S97o$yN!4-biK#e2_1G=)iaI-6UH;{?sMb>SO>Mj8rU7nLbZ3+P4g!a5>M``z zG5O67Vi(ynEh1>=P3|T;(sJ*hlXu9QW)S7y`zIewB%UW>gPmZ^uD900Tnd9qJ{s|+ zM}mAm)IrS$Nxr1AxNkSUA(mwh$V=bGnpy4DD=G zS{{>144%rw05w?+bw@pwZLg)3#VZP1;N_I4;NpQn!SI-koF9N{wPJaIH40iK>>J@y zJ#rKvOda-B)}{YT#^W{O5#B&-WNrC;`K3G$bG^nG zKcU`_+{+a+6}b{KI~Ve*7AHyM&otlLJ|>P!)vZuYPye(wchm?!&t0`s(vqSIzr3 zEXFz_JdV+7uBMp*90z8HdwtmNBK;-;SL=WKjaU6sI0COb!E}<0kwtlD?W0u)T zZq;H|y#*3w)rCr+_!8D7B%?{_0XO7^O&A>}*FnZ;H4l!ok({BzehL+rTqCvf)X`4* zneet_m6)kz?1<3U6`le7J&VE1EmuA%fQ*{;sgN&ga}tNHPC7;ewAy$r9?r(M>)fG z#F$QLXm`GtXyx_)t)m$YYTEW6Z%@b)qzopA#}UAxngyYWON}QULsq&mmKcW|xU>h_ zAeiXT^aY#N-T_8v5JhsikIGhZMN;C0Dy|Lf!Jblw?5G!Q`2n+eRE0vQAuntRC43^c zHD@3_Lv&zGs=wc7w3z@L(dVZhTW6jBc;Qt7nx*B&xhPQ#eM;Y>hFDZFra&S)|Kba> zYv*5-hKW*q)TjXqkC0`#-f+H&+B-c=7O%ARjfMo46tIoRTGS-+9tFvXeDiaW89!^4qe zD!rDToT)J@B~XW7?+V1$ z<>pNLd!*Z2Zyu;JzqskY>IUDmvsxDb=}`NlC&w$4^XH6XCljb%aE_`!M5RREVx%8F zaZ4z~bmaY`(+|Epy@)+VWG(Yji1?;u^?8ZQ#86b_KvhGwgJ2$!jo|l)zZ28I#yc<$ z7i7OU9@SHPx(>$cf33q*blZa|y47=_(6`gTg9wCz4+(BECMX{6sO)t=s&yZM~jv zOk1R1q>cYprX&@cfK`)>x~?D-I2}72Vk|7;%Bt$7iPyr$X}J-1OO^?j8T|}cxfU5~ zd6j2%>`V*8&Gp{k>C@tgH9CwE5yR2LTH^OcUKDtvJa~G1s?z#dd4Ro?N1!^{Ld`UBHi85Uf zU{=-Vb|i$VaEr+xb+olZ1OPVy*3~RT@t;6}t13R<6jTRbtBGD?=>6uPIBD>-v?x@Bslmtgf5( zUpP*1=^#d6j#~Yn+GT+Pz>6EIGR2@m5d@l*n8d}C)a1w-{ARp>fVw&!<5&%v)c;aS z%by1D2n7O)CLcv14R$Xk_ z!u;(^qH)PeEuTnyT^4T1DMxPGc*SkfXBM=7(mz`>TH9Tuw(!Zt!>s2=vHrU6d%RN< zldp3C79aYpYDwFh#WTd>gW|q z8NS|%Km+l0{z$$_{iJIpo53L`&xA{2&OY%ci&(Fb#O^9?F4l+Jd#7)5%=|XuYWDUf zeRDn_RJ!MhQs~T7gqO8N+Y>F^E3Et6kTKFg>5 zYe(>=EYZwUiDxPnmu(k%lYCP*D@4Yob`DAPGAXs(eM&oSzU*X{)c&pVo7|S^FBa~p zrwX0zRRZZtiQ9?tOXl7Jn7v5(_{YGO?E@G6w=o@tk1K#12>5kqO=_awh8QUeP zcfUTU4V|A9nK884-CjS}bMNY}o1>_9r{8=_AYepL@CQm;20m_xy1y(Rs>lg|MdiJq z5!wZS4>Aq}Qvz)NyMV-^+eYrvRU*O4ArGQx3EN!5^Q}}i79RDZ6_WB5>?hh{3OGGj zL+;M0WNXH6(|shqOx^v_3H#!K?G4N842st(x?Z22PCH@ZDeQd4_Oozurg@jM9mU%7 zXz59Hf$x_yyC1wv!C|G^*qfGllRbE+%TXfa@;|QAZOhqm(>MuNtk~FbF4L-kgzV$gBrRqS^Cbwpz7%~11FiY z9_~&v8_hE+&z@S0vCRXwD`O58^NxAamPc%vyYSv$C7q5v*hP$Nq)W_ITq^(Inyz$TlqXi4e->#h9c@>)HWMh}E>|!NXOmfIC6NMDruY2~I zI^qL|QCq}-o&Qtps+YxBmsmy6FW$xl#2MWVbNR86lQHYQc`u%phL-#7ij;{x!H+8I zykK53%P@)Yb}M*z;Zt(yixZO;Et~aylWa{(Zv=)6w%YxH<+R7B^S@mGVPtzjp1t5s zAJR&Uprk=SohlS~K*Ly2@F)p8f%c`7QBR6SEXSUt-x$|mwtCBSZpNoxc%^tIhccqQ zws&mNY*B6v`(g@Ht;tZwkj+q3*{mrh-m4vzq<-8F*Tq%nY-l;V!M}5+)QZy?+kf%w zkrNj^bu1_6(;naLb1z+_=i63`ddpybukJ;;yQXX#Pv@DbPD!sp{f|WprG|}zdUAM| zTVnXAkITEyUykH0o^tm1Qo5O2=`x?w?IAvlUu_kkRP;K1b?l_Nrakq2q-8ThkwHZM zvyPg~P#e}$#*$VA3qzCJw;Y)iOmT_~9RtJl=SH4x`QaXOJAadkYge?Sj`%KDRFh%M zHF7j>T~cO~^Mhi}(bw|!6{lEc$bBA4EKIvc^~@@S(8%OV+zMfk4ojq6m9p}k+@aR0 zm01uivU*Ru+G42}cC)?jyPybus=A+XHtjHz!mf~rmrTsnrj>|hjTAY01!j&_oTY3m zmyP(F7_QLNm}=r|w_(i)QC7cLd!BFegZ`|T;o4@$HV*!=nCALZ`1t0lIn353*XED* z8oaS@bG8*<i&Gn`Cj{=G-u`aQcTJB>ehG`laHD1OV%wG z6Er>(rPL9Jf2+zYE5359prx4?9C>{%qArGoFQ!`O4R+OD(Gq)Q_-RvL*=eVq<|4UX z86$q<0u3H+ys2t=jBlyqvA$csB9{_tRN1FuaTJ?2SP|p?48_vx5g>fGwyzWRO({my z)jj_EgGAX16on1kqmq9FEtw77#H>Wb68|}4dufRCC`CXvMj&i~)CLrqCq%kH;!FUA z&{Bqh?us}XUAmbAG9j`lCpj_#mB+o~Teqa{WZ4VCJN0b@~lR5!s6T9TpdzY-+%em&vPbD;A5 z?cTKklYkzT_OBmw+5*&+&NqVUcTd0w_U{P=P-W ze7p)E3`^kz1*IUNr9^vpMw0#S ze);&bgkl$P8p0JH1dTy{Q6Cio;2`iw;J3xu21o7^4cs(Xg&G&C3vN;w90x-R1(i3z z-uqXE0qTDPJ!WtV{J?T&fsSCDqD0c~2q4Fs@Dmnrr;vF-D21m4?wL$Q{Nan-1OS-lt6Y_H2 zpWZ~jf_@$ZWguz%yUdv8_;@pzo*o4`9OO`0mOuiD1L!bu1*ArB?4&KI zsvLVb0~+S`r_aV-R{4Jc$A1;C?E+M%3@ia=3V0hNp)r9!fQ=Bw210y-e*<@igbdET zF9CmnKS>kzL;+q0S!_=9HDP=X{0!7H2@Z#jt$~hLd=zY*O#Ck6d`sYSg!#7jd4%)c zk%$=j-u^Hj0K7q|wg`$Zo4iSh_yOcl0wI7kz-$N?1PP25xJWOU4mj@hg8l1yV2}5H z7v>B7E_RCw^pUXmmwkoQ$^&)vKLQV^#@^?kM-u=`o&vil*ri}NC#dMrH2Cmev~9XQ z5IsFe*g6?#sb4?z{=#{O3+igNh)?1wSUiYA}9>vDn0XeRZ6a2gtC0j*t~xQ1vS_aKL)~)ViY%-59pzP;fbU#u zO5WKgD7Q-2Ufr1s*Or9i~W9=MDX@ zfbOaJJu{D~0WrI<=)G8qZ~DYKq9os2>TL)PkSEINPy@WZ&kHA3)%{f!99Ac75U z14-8wDDZ>iWlt@V?!5*5pXle_Yq$>dG5R-MEe$9#TOXqCL*eD~L3Vdkac_d0wFO6L z_dHApkT9Sp*nE=(`C~C-E%x|;S?xpYd}A#~MtZrx6n})APQMu^M`Q{HrJWqI>_K;g z74t&|`&J{TrL_@fOA}YWyZWib|JR)AcSNR826Y)gP5ybZbfn|giuSRD@%Dgq6=g~p zDH%wWVI~Q6bYbT&ByahJrUpszYC1odm4}oc>LE%}1$v|w8?PbIWG*DU_H7hWEMy6v zh~8ZSX!!l#_kFK;mPmz#_=~)9;|ijz@v~}w*Eb5amaO12x>Ce1q-3nF7l}NNjY^Fr zj|zQb5zAT4L}416ni{tF?c7SOf-R+Sg5-3@mLW|v<}p#)q-MqUaaY%v{Fgvtm2sPHg!S?CA?Qif(02@WMLeb_eyU7<_!1yH>}*QANt) zBQL`pViJTNUj({eAf+pbiJpaC)le$y^l6J|-RpG1PfkZW_OGwywtIeUif{H?tMj29 zVDJcg#K?mCrH+H_bVe5TvufK&iSw2W}nIA}XmF zjbga_Cj&oz+4u%Heek*wLX{RJ&Ep|Z<+9Bl@6w_MPhrW z_^hPT+wNeODilp$ey?{n_0eNnv@N+8d)u2lX06;jRnEmTjM=XY zy-pqrJf*rOZ$bG?)D`n#PGZ+)UUrcKh>|BuR_x&hRY?9>QcUy%<;uKYf4GD zkGFl+sG67EA^GmChc_>BR_o_*p#FODLQ)|n$YniYwPV{m@Y2ph`_x_x95HcoSpXwr z*5y33wRD@dPE0;yMIgZGr`N3F2RYhJOu}cwv-F;97yR;+(&A?shL(<0^j@AKAG0i*8%M^@jQ4vW-&6%sNm`gsFXi zA!I^~lcJh=DVyv7tz&6$xjhk1#-LIXn4F?1*PAHb6YrN$0Bvw!7&E~^d&LlG_NEVP;Q z`dzi=BDOisnRhMC^5jtI70k3{VH0T+?|^5KxBhFQO@>PC+o7X^uFUKvn*+RlXfb*t zzAGvV7mama2aiUVQV;M=a)ln&x|C3Jc$E*Ibc@PKiISc2S;CHGcU-C195*J)zBr(C zF~(&)fXnFD#u~6tp|RRWMjc13?t^F!(9pO0{?~xfMpYhEA_4;j0RcTMm_5b+2B^4%za#N?8lVO$zvyTT_uq#BU^E=m&HDs>yxO6v(dU)j+5VV3GX{}B%$d- zFx~MV_fF7iT}gSY!kTzQB}A3^YGgu+prOaPVHeVjL4{P<&=dqsIqK+GWl z0m1=oU%`G5i-lhAWDpT|G@k#iZGkLYDXNZ|XQ!=MmNOgUztrE2;k)a;EU!=!tCrKF zH0`mF+$b+KRv+ zunc804sYI+i|4%9RWqzpJSf|DvCA6w)^Td^^vh-TTlLG&D}vaj?#@Yf2@?e-ca6*v zO-juVB2jXZJGMC*GqKHN16B zK=}%8io-9zw3GC?mh9v`^L@GGAv$79kmlsks&>T8R2K1<;97C|SAxt(;OUc-Hu*Yv zcZtkWHO~jR$SG0JCYla>vR~@43F0U`nOodF_{%7-)%nWUM1|e_YxBxt?v6p}faKjF z(;?ba(;;@S$ETPM9qD>LUkG-qsli{8U}pk*K4$)Q1!jID4D6}SSKg#OpO-Cn|DMzO z+W%Q?!ZuCkm&KpCX4vAY`78B!&+HuaH$zz%4@D7k%OUQ`d}9lB8tcOQ<+Spg$wz17 zmtmXR{9{&c%qP!yoDxeHMG8#nu5Mm*v3@f)$&FvDF-xJ=?Miq1J;vYL<94^|Hnq<5 z?|r4{2szSFe#nIckWTXzQ8nqq{_A0!)zi?>fM|(9D{w~65V4+^qLX1}M1+W>bT`D% zt-;Et^2f7{1G55A6p;X8Ib4831OeShn8AhzHH=0u(455j8a!0At)-!qs>{O`BvONT z0sb*$I63Is5YdOg;bru%`Fwl?diA!TDaT_^1R)38+5}jwc8(|1u^#)}Oz{^9sQQ48 zaEoO`(IP*y>VilTH*e4#f0!caO7kR9f>@+qHTpH>H|2y{JtclBG~j&m)4&w+8PH6> z(a$%Nxe!O&VI-uMN@)}ELk08A0i%dkNsvRy`AJm^9uLitOEP0N_X?bguv1dQD- zEWdYe{MW7LdP6=J=lGs?)l@BCG4^b5AugIJsA;@~4Vx35H0_J1sG~`~>`y*yAAiXD z&cbhNIkjs#122`XaIR6LoN7F^P)5rs@LGq4@k%E?x~J-+4B^Rg&!wm(p#+ z{NSc`87-q}!xxrwf}|C4+TXu+MXcU5FP7KIyE3`CeXjVKbnnaJsh5GzX@`g4HHS(Y z<5x}%4NmIzkZo^INz~F>aBgFA7Zx!M)4yh3KZnap1cF+C@kh?m(FL+T_2AZF@`-1n<1w3R2b?L{g$dU zusnQq!uqmj{_Drhc|6nl?QWsl@6+ec#Fa$41T^%J6!mxo=Foo|ot3~#50``&cs{Yc zvxMxvoMR&Py1Pe&+2fVW5iOm&A*D`sJ@Z`C*>bc3iX76qL*5^;-rb}$Lg`5#czbnf zB;STnW9+I+xxx4FE2r`nX`62??#k=lE^(i(oy=w}Ee`xejOQMw^-8-cy_3Io+ev8g z%9`x6f{q7oLc)0-{ge&I8oe)nRK~(sfyCQKXxBfYuhyR#v7atnC`iIePMW%8#NiaO zW}dM&NRi-W@MFscR*6z0;k+O>-k_ zU6f_TRARcy0_)nCF&2FM4T-fsW!n~0%>UOn=Bs{Jm8Xc6woL|13~(;O)BL&97+gaa zLISC8`Z6k!UQr&;wh%^m>HY~!jtv7Zon`Z$ znxrmibYG@=XJ7NyvRqu2Q^5X3oY%1p`9+C-QT{xNPix=_34?PeN$ajD#rzhyRYU!5 z6Y~jtmNPl|r08Vhz`7;S>KW>PSlw`6Y zqeIPyWa2<@%5IweFK!@oRQ7oIDAT#cUBv=>_`Na3f*FiFwa6sA?v|P+<_Mx_w9m;~ z*LBn;==o^Awd_y*xVgG)*vSsXjoEOe&MA%?9%|;3^nUKiNEb|MeN6%Pa*F?JA((iz z+M6k!Pd`g$;}^)UysI;vTsdjJzg+ysoJs@&x)c70P(QYgdZcX$k@kMz6lMWC0b+hG zFf54lK`}os*x98Hld>IiZ>^N4eyvJx1*| z07+Pc=7JDcH66|9>P2hN+Ts}UVXrMjFS7#T?u5&LkHUP3bYatvEfRy1pO!dvASft0sR56#$)u-ja#-SY`a zP=ovQj}4$Ump)RjZ!#mus^6gcj1Ge~0Nm+KnXha{tr!ON?#rq zcv#qvnEIwV%}6RE@xz4c8hx2l^x%tPuX>#O2bIMEX9w(VPfd3&Rjk}k+Uw_&3XW$} zT|b{(aJ-NzMNP&{JSm4it3LVPs-!Q-aUu;+!$>sY5I{;QfXZf#4C|jfbx`L-2%|!w z)RVAC@rgcUl4MiCSt7xRx49@evxSrvYi=!?Py4u75Fr)=pF0Wyt!Tm#l$V1jm^hpT zb`d-+=%)5Jgw5UyxCiv~45*PQxou4iAU^)K9Q2bwLqyFNh)}L0;Ev4m1f6Fl_?m_ z15%quQgm+%Kwbeb*dZ%uADpEEqyeC2!1=HFwg>SrLH%z_3S1yWvkO9PJ;6fAcYv#$ z=7BO*q>7#YKN*`|w8jbc&~B1LS|R0%fp{ul;3njfLskj2-v`P8(ht!7a(%C=0{T0& z1^wloz5?$-pMggHkN;(davw}nW*hDYf?WKP!$PZ-F(K& z)Qx|USV3$&6AhN7=G#WPtzg+RCSH<^V2syU6hY1;BHQpBW# za6=k=9K^lF#6uMUxSof$tG*fAkU(oYR3Smy<)-!vE=1}fRc!#k>>RhMsT4NM@>hef zlj@Qc%*Q~n@f8<1m!F#n${&{58D=-F$PaPTw-=H5)jB`{JG5QM=tHWOCg)ebgl?iH zL;J9`@%%@F1=g4VoJq-FKQ!~N+$fOD1o(J}E)*_i3tjPoD4ks7C{>GLdioiMc`ijl zv`urRUk1~|Ky9BqRb*-q%ctB949)?-4qr|jcT)z1dn|yjXjQ0`h#ZAp14Tr%P||~z z-I(3T?=AH8)*D|2tDx>QcM(Tj{F495Q0&bMR+P+s#QskZ0Qy>WFo7&;270ZEV<;k9 zdJZ_sBVos}9CX>N4m6Smijh}nPH>Q=0CsWGmNeL%Xc%xcbON2v3D%G~l(3|5B3>~6 z3HAKtuK>_sh$#|~uGp-gapyAwlL&&gJ6CR%ex8(KG0+h`giLZ$tJuTX9f-{s5EsS& zqu7vvVy1sc8pkP6A<0n6a+|Khzk`DQZV#wkCF`muwowKkJ*B3<>Q~kn<8!DPwU|Bp zOt7z}{3oEk_a#AiRYB8KY6lqIV{p5=bTu%CN5WtQ0UZ@QLdqdIJD4_UB;zD#jar&e zKbj8x(DH`>0hyGKw?oOZc2!z~8RdTvtIWuu2fUzQen?|q{R%7ll9nB&8D72(GA(&% z95s5?O>l(Ep@CAc23#?;RB(RiRc52e zECB&fm=^f6;b)?0FJOfQJl#x1uYTEnNt6%PTma;6FqzTS595hGpyoN7vntchYjWU6 zM|T6?8b!R>Nx|hHm}%DRzj++M{Gg92w%k)qw^$NrlS~itb$@gh7wRri_gY}NIih7|S}94}KpIjUvS}8x;WgQkxHS`HXUCG1!~753FW?(Ee-L*ssD=7K z6q5^*B_Y0&NmY{*${>Y+rn?lnH`gu({$_j6n*mDR-t>(|iL^(y>$?55s_bcKrN0Rv zk1AjsbsukJ1VK&MGQFumGXlU|-~uv(Dv`2q+!KSPHM9p?N?jZ^&kj>ZPvD)ka!Cmr zpl#&>)AswQflNm4TMCtPEF@ptN9P24Esmwget8f|cbxa^m&3Z$nJhU^PW&KFNx39( zt{9>xV=j8ttxprwO&F?es^^v;g1996ie7n2P^iJK_y03O@#AI5{Cv$^2Hy}Koqt{)#y{zUT zqYw8byl(t0$FZN=GYYG24s3UK7Whwg6U#wwAo-KUA*Q?TRj1&mS3knfvUHOpV$zyKg7Sit`KHpXAecr0bY+)3BoxD;~V=Pa1VJdTK^h}1> zhs#L3Pj9pduRNu2pki$QZHKf>X1{H7Bbi4fzu$_r`Txp^mJ@EeI%?I^M?;gwC>dFu z8Sd{m(^wD~35OP8H!M%LCU|F_EmA2H1 z{BH=Ti$NafVt@_D+4le-u?fV9?GNZRt86L?vZC;5ArLJl-E_-ySK!%Tb5U8y|BJf6 z4vVUN`$yrSI|L=9hLDhMM`{2O5EK*@kdP82L>QEi97#bM=`t}%6-Ge1OF|l{0Yrx` z>3uE2oBR9wKF@o+`;Wbky*%b--7{;}T^My`TZdFwCKi#x%)p8vM5jE+S5^a9lbENp0x_3AbPi3&_a zW*@pfHVX*~OOrT;1kw%8nL^H2xiXj5CB)QL`m30JCv|7p3HER2 zZgfqTMUK|E8tq`JTkEuDGR|ndrD>yf;GHP?Dt+UIc}C^CnFl4cU;B)2*p%6GekhE+ zae)PgDrwKIO2J`1yl7c%VzLd}e)%cR-ghKyqOYm{LVmQ0!3sVyW}% z)V035u_vdJ5``|Vc}Z53exbj$ z<}NPhOq%smZ}RmrH*fa9$W`LhQJJ-cp8A6KO|o+6`|IX&HA#*cnmdxXv_Dw`kIiGZ zKTnM*t-@DlZpu-Fl^&m54fAHXwKgg#(fv@@r8J~%a#f|<`Bj~8g?M&PeuVStiS7kV z-CJ!dT9L0;RMRUW8*)!ChmAM9?QgAin~D9C&ZZT#HZxsROTD>{Hqo+qvT7#r=9AI_ zJE{H|-%;whkMn)$2;7()VU}dKX)*a+fqwh0k6ic;O|PIcI<5K3@%|L%wM>(6ZtwHr z!Q9yywdymoB?+OplPEcJ8ot6U?sS78Hst9$!G}4GuW+EPOYjM3V*B^Z;U+tA^F2hVN(G#`k%co5Z?quHxy@9=^Mr=2I zngM=-imgH?Mb$;D8*w`j6k$AaRS66sHAgk2$(;8#7Vrbf#tB|t~sn7QrJZJo1O zILB;UGT8QMwQS9BEfthfhFhDiEIoubY+aPev23bb`@@=3NRgVxHIOli`zYQu@a1<{ zs>!o2;aaKpUw=8SH|&_)D^wUCG}lh=T2rEFb>~g%gV!SJ6RZ-}F3)U)n!e|~6xMbS z2pgxuIJ8PyJt*a~o2!Z|&}k*xrRlt{AUOEI^6J{zwm!YLO-(dZeZ8k^a;XQ9=-=y) zyFEp%Bjo+2Q<-bB9aGSXo+O`=k(;VdIHwTSRq~?A_^EHQ z$Bf>l=S!hZgD^6yGRuU7;-!H5EpFYKuA-IJ)wzm2+Yzs|uGtLT=`I)+x9WR}<25X1 z>b5LC9pqy6`-EiOQpO$Gu>KW{<8{g&JnJbU#6Xv}(-4*T&DLY!#c1(0HMoF&O6ba( zWGmvF^;)Px3H{Qk)bXUQ+wqjfz^drBzE^IeWjc%rDy^F-09!jSaB ziFAvc;?^tt!-Dn?cq1B1Zgk#%aDLg-I5_yq)IgP%_%M4!eQCLy$P>iE(leLV(9r_+ z=}7kaE2+PK_5P}kioH|Fgv#Fz`MG{x;oSp)rqlk1((udeH2pTW z&vF(;)DGMhy&OhMr)6y%iZ2ZA5c4-_ii0lB0(V{saHDTaSK2j= zdH(uxUi@a8(8}h7;!NpvN>7^s!JyItw&PVl`XqXapr5QK>-2nW`a8QFKH+de_0ne- zi~0uFivYOprjtRolQ-?Fn#Gn{ zHrmn))_hy5YpbhqZjni|&K^OYDDKtarmlB|oaP>+#pV+?0#;7CmVd9P6DZJa&o<=5 znX|TSA74G2K1FWrl5+fde7#FnU`^Y$Qt;B{ad!6)61b6%ROgtwt8#AM%J&=(`gDGL zsc0q3Y4enjRK`@d(8oLI%|F;>!m6o93V5D^LUp|viiLW;ROZ}4qh1yw^pyrmW&;e& zp6t@*EtPil>2-CU^ne&x*_68}rIaY1lvdO>F2Xnjm*;qmiUgow+rN1+&zJoOAu}HVqm|Erb zH)@q|)R({n#=FSHRm~W}`<;Z#&tj*}r_4REwkW!DFUlu)=tR8QgOix^=0icFvhV~y zjYVTJXm6{unbm30Kt7OyHOGp1E#BpO7 z%1>(QRPln>70tVq{S6A#*EKd6y15*_iTNtFMWvr_F*nzVFA^;}hT)rcd;J3>D026D zn=4z=Y#68I+G%%(*JI2KQ#2wg9t0BA%SX#`HvdxEBF2W+$QK9PkJ(Lpy`DID*StqV zsr&~;6MW4AdonR!J2`nZ{uxRBycGbA|%{6&9 zo!-RUx8F-9GjpBIH@g>;xhr`Ch*V3g-{tz4a|Fy7v_%#QW(;_k`&XWpT}-x3dDgOa zC(!(BWPd>TorvsdmkQxQ$Ga2VdEo;=_>Q9F7^)M_6md>+BJb4=uGrqY=$n0$JWMdG zb$!X>lEEEB+tBgV)0I0wf!kBWdoDtXIPr*mY-dLDbq2lZIJHjgRmsjXwt@+opQ7O8 zCh;|J$n9c{KV}E7LNZmZ;*ssCtI*dE6B0D9p2M6!5Kz=q|bS?A`M6s=BRVCCOpgOE7xGozzRMB4a<_gTy9FKg-iLx5M-JC|_ewj?;g< z>7%XRaLLC=IN_W0qUy$bFG1Ebd?eF1)}z?Yi0aGvQJ@69HCsbrB2CWG<=57wP55jU zk$4^&m$Yq_mPhVf15`mo}E#ht1k-L z{#I)$;iEGAME$2LCU)EDX11BP9F}C6dtJ7_wEj)!htyB^nA>)I+wQ%QKbH|>f(u6>(z2rjr#n$J+ZWdF=KKDrzvY5n zTZC^bQ|Id_He7zcY2zVl-_Iwi0egrN-BzQ1{}JW$V)KMj!x!HK%b{`_jNGw50yeyO z{)=KWJv;9V>?Pf6ETyG)YWue*`W{;v$mNners*uW=9*pS|p{sXl2Kxm%u}>*-X`X zl{xVXFG0*Aakr4yK;j86xIu5oHLlxP4+DD*Ljo;M^}b%XMuh+D8K>G+Z2_6hPy#! zPrl;4^nU8c%g^i%)rJ|pk|(?MS+WAFSW{ksRcj*7P(6|)I4R=vgWK76NMLS)kAhwaDI?feW;+n>*6G*vHs8kWLdEpGCBbEB;w zOsEO30hJPT_7k6!)n%6FoMHX*76X!>WZZl!x6-N33SMaa4PC7RzLo_}SnNKh+UZGQ z{nu03ggT>BC-Yx> zKU$V=vEf){y6=;da~|jKX>B)Ds4?_mLT1xEfns=iN@~!?j>C54nnO^Kcl(zVL0tda z6W6a>FBHrjQ|0xFD$v#{`12*+^)~{(H1q0dWWV^y2X9TDnJa#pye5;;FL-jX!mAZ$ z*M#6l6x-Inx&DkZt6$(G>g}z?c)dFh<5!mveBC!%pT|gc+A2ggc@eO@pAG1(5Gjz< zl$h!9{P^9$;MW(==0=MIZW+3~^qKCZhs%Q;d7e_n+#fty-)t6Gx+>sS)C}jQE;XS} zCHfBUgn?q%Q+7j|Cs%uo+^hL&=5sIj>XcBoJzhRrzKU`Clh`{se@grJkC6L|+rg%H zYkm!RPNW%p{{G-AWAW%$!Hnuqw(D7|_80H4nAogddobD4^O=#Qh4AG7EEG%+9F9ik04^JzLLV{{g;i8JpcEiD z*3O}vQhSj?T!Zlvt+3rFm#>T(Ir&3|@6X^QHz=;8l-H{fb$dJ^kX%b&Q*fH~iyU^F zEu9+olH_b#I@>&W+uAN1lX&^_#%N%(O;%EFmSWXzs%$}jv!eOU0BJKkN!)`P1P9qB zkAt0X8-@pW6%qOSn2gAKiDsAdWAXGd#Sv2_nDl{4Vn^X#GfCr3r;CaDmrn-YQ5Js6 zTlqv;Rk#ABDEMPd>)K1_nr_keRF7Jm6jZN;4>++XyihdfrY<(yp$>~V88CE0M(F+H zE%LqFd8rbQ~n|{;Fo6`??dD4AwP|>l0VDPQwpa{9=PqAX(aOd;_ z{oY~1NKbG6+peW^)CF^r{Y;Mwx;+yINX%!{J&dtTg^`+$edB{SItPPN9$F7KrBN1g z2KTL9@#SK&nPC)X)hALPKF9h#ep#tEHCMUAg?7!bm!Kn()-B#E;o%TtJbd^i?b-!{ z$IIN+3dgRa2`VUkb5xAWa#7$?H?3a$Y4wm|bQp8CXA<8wDW{2%e&vNR-HhC+O7hCe z2zDD68H(c5LUUq9#Ww>l#(aG=#nQ{{<(lGh-eApWn>k_2 zC*+40eb^b@mPv|Dci@ptQS5#zBC!Rm*Wpvr@25#`&IRX+qguJAp5@lPAc4eVt=3Jibw=Rx1c0Vl#A+%^)pG_h4rBIm+}xf2H6Zt=UoE4R0q?*}5N;a^(_4|yI_ zdG}Hf@5!Tj7V6WiE2Jdx`3X@_@d;~QI3AIhGp#J_#bNRUMizTUq* zU=9V z`|X2EC80~3SV87R4hVY=3e*I>q2XP_0|iRVmi#&SToF<8_N=4$F$LA5N>PKTz1a6q z?J*I!WRKZ`9Dg$sRhCze-lC4_tORc&a`EAT?BK6@F1|KGF>{dSHSs;b4MPOn!ZE+q z4l<_zltY*(7f_4ADPCGWqa^!{4h{|De>^zgR~Roo8BiJp%4n0sd7ps7 zY+8Bv2i|RhF%uAM1JD2s@sICRZv#M#0|bb9#Oszo#MwjiPaSD!?;;qRe!N$>diXmN zQ=%UPpSdZIQbq>uCuhe%f&3v*`m!^t6<^}mckRTlC$B@?M0_CdDO<5sUICRdnnkc9 zD5wWF0CFR2;@o#=JspuTitrx?!E;dcm|Gr2q+PVqQqAo~89De6FbO;Z6TCVbb`1IV zK@R{I{4LFdR!BUM^h^bqeD<8s015LErVm~=U`g`=u-Qe}|2R_tWCp;->>%h2{$ZXk zNFK2`h>J7eTR6kVOHT%DQv(9l!{3(wRuPK6%v!WO1nQOVJq9#WX27ckOc7|7K=}c* zfEF713t}75rPO%JH1ep>=As#`O75O8_1P22tRXB^)tOFtmdc+112@5w-$w%F`|t?{ zc=mrV$3U?oh&g5u31~9%5e7_5%};SC_yB&RqxCH)Ato|UT&!26Z_dv_j=wl84PC+B z7%_=|mT)gy7ix=Cb355Xr{guF&yxVjp$q*PZBm9eT2d<3w9DywQfiV?enm)vUcEA< zG!fQ6Mf0Hj-;T`w4+pdV5M%~b{nF;hH^yT}7%~iQ&@I%jj6|nYgxc}eKS;oh@ zI|Ct5kvD5l;IJOGbOa)@zqcsE726JJT~p!o0HMN)+CmCHYwle^W3$ICz*T!8pKbyP z_6sV19%>_%;rrtNP=&%kU=4brH0|l4Q;n4i*ViAlxw2}Nnv8@Ejm2(Pm`g0qQL;}n zzYuV5Y8mQQdHaWIljTR>-IBtob7y-aUtS#$9qg^UYBz&YV_pJM5$YehL-0ETY|I^C z(zI6~HKp7a2o~nL?&@A&z+k{G`FmVFIJ*i7%xFuC ziVu+)Ckh8$3$^LqAxi~P& za@CN)zu;t_^Xdp)flAEtF{F_batGmK6AbEh5M~%c6`>D4+p$7~I999^x9DQyBLd|s| z2AZ;VteN#9-gll6QpPAK1`XgO2=R|j$}*DVVSsBD?ya6%j~*lCVXP+R@q;nYz$MK$ zK4&&m4C0e#tKFWa1Vu4YIYI~se_B7p#%BUXcmz@Ku<{07`;4=Z-aYDx!apLmN40Esp}s_s~k&laaB-7gUvLgCa5R;kQSHxeN?L zZs}?~4FQFVLTRg6zWR+&kje;DSNc5x8`z;xu(rmR$b|7yj}iMFKkz^Skq_m0AB~ct`HAFQZ8mSzC8Yw&r#xlMH2K15@hKh*}mDXkI3VCkuOSxmUkeTZsG|`2$7irES z99&IBntR)H#==5}8(xs{Ww1;->*Q^kpa!Lz$Fo9MP=f^6RBc>MoA|DP$Bp$slEtAU zY>Bz(nqi5iw;TDo5eolqlcc-Kx-@P_XMxUjI65J>-K~(ZSRH%bRVS9izK;KmxsMyM zWXa2~o0JhTb21wY4bH5wbqg;RwUVY1$MnvKtV!x{RXe}gO6Y6EzL*rqyp~v}&`P<4 zn-F>0^KgsAH#(yoL{{8eZMLrbDCgWI^u8(hBfM<74`po-=Q}co3UK=*6gif$s9(Yb z(f|yfSQ<)ARTr82tnm!1a>#wrDQF^T{1TSri(K&8I%is?Ga)`ngD6|b98+lC)x7^U zsZK{+cKLo=dtsW_8pVcb?6&VcldqaB)aw$`ck54-1g~|i*#y7w)mpf)>YerH^w$sW zie*Cz9z<@9r6sW<>y^g}HWvv7h4sbnxqsf_r$X!=D2qoD$H!!mwOodza*0W3^HUH6 z>CXeWCsCX?S-mOIHA{f?%RSk+Lt&MJ&gTn~(5Mn3KIYjeLT6iPX2m<<-8qnYH~nc< z!=0sRm)1?}>JM#F&b*Z_HkAYuZ+wCFT z1Lny~3icL;srrm5>cK$<612r-VJ20IW_qqWg(uc#6}9l#29qszSmvfa2l*t+1>R{$ zpRyQSQfr>F%h0E{oYi}b5fz_gaN@YvO6Op7c76xr+*VADQ5TTd3Ap?JL z^-JAmXU6j}Gq0W17sK_sADeV<1N_$RxN%qZ|*{QI@|S#S&Ah) zh^@=y;b`OyQ&yR4@dWuQG|6r=xg^1Ct5edpMBAxxKktclY<|qhz45Fk*)7(rIlg2q zRZ$8MSO^LQ@C6am-T4*bNrGLf`O)RIM5#qs{cik>uIz#Zltv#=l~ z18aA`?`*3-#m7^S1u^q=4(2U{RdNnB^NPH<-g%PWO!q5;=SQj3C3TmC5}d~Hk450lD%UF)_?+eZ+8^KaR=m&haW+q_ z1udRV+CLOGlClx>X!)BBzps3n!xazIl0%zB0ASi-u>|eM0Le8gp>Izn_BwF{o~^Knk#Rj<4N`D0G$L?o>3Ems8xjOPIf&iDN{5hR3C~w3fs1 zZ*kz~t37ofqb4Q>Iv&Z`rNgPP%yh^ewnQjlh8mQomC?>-IZZP5ys4$?IX2D^unv{~ z-8i7l0Mw%Jfy*4`67P}rH>t#05{Ig(PPAG?hoKt<{DG#LpCq=)n>%8EooB^?lJceQ zLKt#Y3TkgL1V{1rSosi5~5iVFZ- zqp|1Ou_b`~q57bj{9eWZWC$}JQTHDKED>bR?AwgGgce4JV>IRtQA@;InyEff{XEA7 z=yALqaj!R!tChIoK=oEXf@^=L6Br+W7Ci=MILHSF=?1MQBaLO)F(&R%HxI{X$6~V{ZQC`0E8KLfXl+s)8{&4l#vdGx65~7zRy0QFfAt5SnkmmyE2~_EI>8iV}tj)yyL+c3o?&vye z0)Ut+{{UtE?8AI217;?q0FOBTqgvAo-K{O7-5+jFZGKtXi6k0H8mPVF?1@9}j{o9j z%Qv@Q3?X3RZ&QM)Vx|etFZL5Xo~Yp#i>7xRF_}vJiCJu=r@g9}#n>pxZYH4r^T}3C z@Q)pxQW(pG`U63ZlJZNf>wdm{ze!eQNzTwR=9IKKrb?f3axU?7WVNKZ>y_n<_IZ+Z z?~zTid_zp*B8SP7@hyXdu;oJe=PamxvaR~duDx->uDvld%Q>u*mII4?a?|yKUj#Gy zRCThQT?<4GW`9<6)-ApR^4QF&RT^B6bIpaj)$U?_%TxchTGyKr>nLKkyQCz z4+Gm5q)&S1;j?BgI+s+$ldQh3&o-CVN!Rk+k~RTm0e!yAlQt3cyRI8mD$%|k$HYBF zvpuxm6vnPaJ?Us%t-eu>^Bqri%>03V@Y*^q?aixiA{ z`<=Xz%e=RW4uoi*zy3jQ*T^4E!n{&Z@SXrc+3U|5RE@7Q%yPL!CRaS~NzRV|1GdE! z@-Q3gUBjR)YwQQkGK2?p^Mi#I(}U;R!|j5K%Rsh(`b~smz-^I9YcPu+!^)VPDoCNi zA$o|&ezjLPd5{|TDBxPoiH5=Ij%@4*;p>Ce)}zsK4M9d3q;if3MzGfaN|g%kwG)x7 zqKuB9#4>kb3d=PP{u^etDzPMb)bYF<->^~RLuoWXe>Ns#$NYB3p7|r*@)$;re zu}l`@8Lpm9r+$)f+6YX0JoU2#(?$^DPeI8qV0bjzzVcWhT=+LswU(Q&3J*o*S|~N5 zK@Z>T2JHxoH#Kvn=vX6GPlh$TSp-QIet{H1X=p4t@bq;sYXBM;P&@tm?P*AM>@C8- z2IE1U4B#p`CXdQlsMi!9mFZ-OG(N$O`Rj2>o?hk$d7}SEWfW6GGJwFQcE;#liE%@sPNh`?F z0o9#)aU}m}erJ~hk`f1o@KM$KfMN;8dZ2pm%K|{;beNme4sw&SSs)6%St-aPLj0t_ z9A5&I*yGJNCI$&8?1|nN0VHj_BYG(@L5n(`p}rzJxsRx|7A=x(n`z2HQ{D>7Kx^`n>@NL ziXdIiD1*$Q8_4Xly4#muyUXZ~5XO0@oZu%ra6cW4669j|Z=>YenjdTb&)bt{?3Mq|4a~ zcU{t@6=>vh>1WTo9=~9@>Cd`MAQ0Vq3-0n6wnuFOxcnsdStHLFu&MZ0E4%Z>7?UQa z60oS;lt?xfjxj!+JV@ml@Rc%p-0b{)3dqR^FDo>Ml#p#Oi9KZTLl9`D1mLW<6xu+J zlEwVCAOJL%?A>sTsynu_x1vAySHV=duq<J+iesy>5hm1Yj`{`UiXWq zilB)A^P3|%JBGy_>uvxzt;5u_K_;!JJPKg>JLE+DosSdGX9<^LK7J4T@tfg8hlHlk z7iMkZul~7Al*D-_SyE`qRfM?cG`Z>ME*QV#^$)3iCGzMSo=zvpF1BQSqJ-~m>!wm2 zX=b#!851+J;)R7|{h#U{1BCJomiLi{vxWF=i;t;(ijQP(q>*pG-HKpykDWT{7iYIR zturyzcTSDn0YB!g;fu03Hz|T)6nggB#RUItUONTfZ@eCDWXo@AC-9pa6K;oZ8iGEc7x`JowfjKeXa7k$-L4De9iyfx2h@>TLqUVHj*-XDK zIYDf(s7&}XN)lj3^Y7i*P01?0Cdo`Nw#b_nb>~irjYsIE2DP)oQ)dy{>=C5mz zRkETOu0=YHs4ps{B{2S3G;o}1O|7R{ev|r+q!sHd=_DfB&WP&3H*S2l{6yZKh#Zyl zHQo*5spb(!Z^Hf!@pu|3Sb7v5P`lK1eMh+pXjR&S(ml03m*&A}y7qq(6~R;I-B?0zDBB=*xfW zPp?O9{fd^+5fcUkv-PEs+7ELwV51s7<)I5)wq`zUV3x15S!ZyFzE#9JB}J-VN~6)^ zXm0KSmycRhMS{2&AKVYHt}hV-wNde+vbMJvrVM0)l8<)x2t76uF(xb=5I=Izka=)p zws9<;BB3hI|7F1h_)*(wPVjYuu*T=fk663gLA``gkCTz9G5Bwj>Njr8*OyjvlA!ch zZ!nWd((?qH`fxQ}Bmkj=C?-;Pli?V@lJX6Sh5GFZZnwY_4pi4SQN2!0AF8>hnj?&c zP32Lg2ESms$*}cEHgz^wOmT#|2(1Eo|Xk$F_6e=HnrRbs>)_sLhE zzn0X+*75u9Zp)=uodm7te=6ClqRDOXl?Ir-^aU=CJw0tpKHO_Kcv*PGsi#Om)yKYV z%s5<1zj1~&qUQod(QVPOnFqFHzWt@C!{6b;u_VWAiEj3L-&4fFe5EhEyGQ)|3cJmW z^ww>@pLNME?k_$rMbpd(dvyq{bVh#Gki({|iumNMIept0PAa6SpPT7O@g2}?)&8hS zbhZaLkqaZ8PxGdYSruQc-dtt&$!%Le4suld{?B!pO(@|Y1>brA3>YK+0T2_bpl^ji1WPyX+#KjZ1z}m=Vav_ zYhiZGJ9lhzk#h=$ITE_Rt9(&Qa}~E63}k@IqZ~_$W(2FbWkIH|(2o1MQ_6IZx+5_= z=D9l-`^R};DqM|vvK82E283eZH;aL}7OzM|ba@4x?=D5_zj%j*0mJlq@PrgX7eOFd zaE`Pd4hE)MY{310% zzMxvSg|MNb(uD*pTlec>&wr`L7yE-BRysyDxUmINh&0E#697R36+0%y9UJ$;X<#Z+ z-BFw!vw38r{JZ9ej;uL-Xw4;S!->PD&wZgJ{&lvCIplr9V;qBFV#BLAr6G8ja`oo4 z;_RE@oE`;*H7})%;*b~C=^+{2ucf;5)>F%?TruY_^b?2++?pFXcP?^tv+mQC{!L7g zlttM%^X8jNCqK>oe(AjVN;x@Kl;x$sW{GC-TD_v}x4v%#Hr3}`h|?blUW0UOp~ z4iR*Im_r0+=wWP(*d6cQH*xApG0H&m1OUN#%ED&Q%k)#=i&IP}K~*;zFAqLH+#7SN zf>ydEVJ-P%h{p5ruOHgNTkE{j7z^|zx`!f)hY=6hIc0+{y$@iWOzTB3PgUJFIBp3@+HQOex1ZbeFIto+=prN+uV1pRJBr^Gi(CVtI40})0T)UF>AYG_=sc6>TJcFyJ^g^ma zW9rc9RHAFGpFi<*db?+dO{iw2cTI!*RsRXkDz}MapMO_#=Ta@;3FV4q{DBPx{(;Hx z6%hZ9_IMar+uUVb9zkWulN+NelF)LjwnsqvP`8r5x|x>$^lovMSjm%z*yIxN zTuJlX5oVL-5)OlbXL3xqLut6bC`A4{a)UWJNg&CfxZslO_DqiN&F&vEu#{W2?DSdh zdj>iPC};}4lEN5Q?3G7O-~E}g^pPO2fk!uAafjjv<#vjh(5ax4H>RtKMN(UWc zv?0*eHTXDB9dTR=;Z<0tK6MI!rm1z!`8CVS|4gU@bCHi$X|ZTC)OClE^_5d6XnDQ3R+_w6x{8 z{XPP>76U)QgBJpPss9S3o-!){@ zg-jfC36kQV3m_tpLm390|2G+iz64i|LlT3+Iv5^#6h7cJxIYq2F{jxhS|xj>Mpn(iVwc$;Ki%}V zANYZa&2R-cMuH*WtK(rb8jKJU{MZp|0=%<- zAP=mG{qC@auO3o#1KJrG4M%)X7vUn|)k~BB5*W3DZnh$^5IN%r}neB7~b z_jCd`sz_FjWR9pIiy(CoVEz(NeB(yKC>X6IWyhkM%xgZ(NgvWZmBXC>-5|X3g9bT6 z4N{S(i#qW!eW*43Zg&&kEH-ok&d908%e%`q6}O{Ohk2pYM7Djob%BQ_armZESTi-I zw%BX*qR*iD^dqCV22Il{`&4bq^W5e9{5mv$!+0?~>xkhrtPF(ZVI6D?}TuFkqiD$fJJG*ALZlXFaS-OLxa! z0205Pdy4FW6w;5?S8TVzIrD&T62yBn!Al!I07QlU)c``u{RZICK@Hd@YosQ=;DgRD zHS{A#`?2lOa7y}3mdFN$toc(C?9CHMi}^>ALFb3b>cCWNEvE8AQWEHL{9WEYhv6AT zi6d1e=nviE`wlFEF=ntkLZm>xpcaK0eg~2>*O(d5gYTOlAXamvxJ1n}w1X?$hwQ|; zc;LWd9&1ksg-TW6{fkWsd_@Mn`p@>3eKK zNL*6vxDyNk1`gWij}BPK!GOJk`U^1M(Gy=AXu^jWxxoj}^n$!Dz+^Iof88$u0gCXk zl7J$7l2MS?&D(Kr_t66!A=XNljVtJ`#^IEkMBbN^;;Klyw9zAH6eGlCuA@UdyjeE0vk{D1!5p&anfSg>w zBeZf8A(v@wb9pAktu`|}h!u@b7qE`;)ZtZo`jMM&_^?Qdl`o^Q%hAnS1P6FbNV8oA zuk9mf)t&8K4hUxmIuP2WRTjimg(|HxnAtH_@+fMdqL#rBb&=o`g^`+j=>6R$*C>+=3K{y39rI8u0reD6+FED^xauHl<*FI_8+0FN-fIII-N609I$B%iMIsb92b=m% z1P>q85eToJAI;-~ngC;yV9VdXi*bj-B^BlURrLSws^8!4X>~0getS$Cie}cRL-xG^ zXkTe_UCbG)HRV1TZA!|5r#L<2ss+DXDuB~a#oejHZ$DhQN5#PzFgx}M3%Nnd_QD*{ zgMwpg{|_t)>bd{2@M+If9~N67f{LvKtBa&CA4Hy^h!n&L2gS4>Ztq?`UJFvi7KIkLx2S0QF94`{;FKw7Tn2em@ z1$FC@%ZQK%mtBS~lc*3+5Or!SKS!?Gpuw!cys6~z<81Nush)v?p0l21cb(6@crE`n z@oj75?UqPck1z0NHJ47Dm+CVq>Qk(+l`}csZZ10Fy2)JFS7z6I`eh7ek;FIC9=q!y zRygpZM!_{+@y4yI`TC|-clov__~g%Yj2vSxo>{6NyMPI*PpeMdJL~Orj{6Mz6vgp4=tWi@M;!P7{+E_!g+ex zwRJ2t`-~4!x!(=Fn>n0b;k`;T`>FHPv4kITtt6;hN+b53F$t-891G!T-H5j3KNay6kQ^MJbE)+Y`ncA zTQ85T@(;DJKiaJaW8twP*Bjj>j}A<3rmDUaKJk)6czHl&mVqK05pgTyBgWvGonuvY zb!g0U?+}vaeU zUJe&xZ`)3G;vv8^J)#Z}%pXi!cWB!FFFPCt-Af#{Ylcb!^2kPA zb;a=MI$m`+y79xgSb{*BdTn+%wTdLYVK)0kYHJRSo3La63qqncLC}3rfU-IQlpmTU zZ1@0RvKXQ`(?5P^U@gL8ZV#~xV7vFL(T)Nb*Xq1#f@Z}Ny7DUKlgM1c*w``r)K|UXqUcIJ+7QonkhVh*$fq%T$W{w&7C=cABuIsg{`(;by$&8y z33|w0PqbY+M181_o|F&Fmj(8(ynp`Pd~q@P;QV)e%uJ>#eKdYJecjIt-{=zEk4J4Y zoc76Jxh?j08=*V24FOtc2w7{RMOvKt2wf5TXcSLli%ycjpCoRZq<)9^+ISrxGGuGq zq2AwCXz|7#0SUgBA0nR@kel=rg%BdeWZg#P7#u^jeZv|6AW>U8;Go1pn4iwzM)aSb z8!{-aW-mZosVx#PXe5Q10HKyuHgqEz=l_rN1Um;Ukp%_A9*BdodRXX~LzJ=f)d;fs zoe18LYv6txNPsB5hh&8#W$Ag`@BzIfhnyh9IT;4!nFJP$cDoS+!b_UsKB!*rCzr8! z!BLeXyWL*Z-OF%>|Hz%l2e-E4%0xB71Cg+Ax?HFD3-Lb!_m2M!rS%q_#qyo{M{Zx# zm>&2GCDr_rVOT2SCnY{IH^&=c?M^8QQja`SGY>};fa`)khP zaNoL|-x{nBr*FRIv+8f~Zs%Cs0NVTBUIDi8eN_T~j13v|jyxc(k@5&1zEB$i6afPj z$adFR{V%SXX^)aVBOLP^xgzv>pV#v$RMiJ9m`X6c?GiO z_u9C>m-E71_e07DRGk5lhou7dH3Xn%1IV;BC^!al#}le+qC)Dz&b50u2z8lHFL|Zk zK1YALJ&^q#SKieyLF<^5RI->0xEAHLtys!SRoYa`=G%XBH5;$lPkzFTXHPLToD_ zKL;$Vt)P52z?`^+&i6;4fph4fla46yz-6Y|Lsz*&@zx2Xu!~_Im?$kXb*4!N{4LDcuW8w_;`=&>xfSPWE<`u$&di(3>ISa zQ+MQL$9RJ$Gl#HpG^i|oiuzNp9nlS^#-hhcCr+@wg;0@(P_N5~~Bf7S3z&+`-MftuN z6cJVYU@L+t&kqkWfA;%ZXW7NSXXBE#=6j#1dsnrrEnWPzGhkM*&@&uJlL`|F`_Yh!Y{96 zj5@CbYjXYm=O*#FB+1do2(wE)Reh{W&TJl9I@0vwzP!FaF(s2G6qp)WUX<$G#=+DX zJ6vXy_+WInyHEaWbi{ym`4M&z3bBEiFhXpB*f*0aHM?n(nd^y1LEb7I$!T+Ui@?*e zWWlVWx_5+PlGHSS6lz5RpOx_WSkJ15xjBRvFNIQZ>WA{wkw{IQjxn-p$0kQLzgLaz zv{FknjO2Br*38Dcm`&aw9R7EVbzv@`^PyREaDXA%KU|>B-*3{M!PWX7Ou4QA+cZt^ zrlF3Dq=O`805ZGhs5J0sGY3fMTy5~QDkcEIrXLeeLNg#w4xuU>WR^#1;(AvW@B?ou zvyr;+H4DQr?ovvAST4Urnvlq+>uiRzlI#c;d28OW=-)M5B;=A&My})0P34e3d+SW) zNuENYNMrmP2K$;W{%rtm{a4$;JNw6;k7<8JLeh=@|Ajn^@Y)miSYC1$1%~vq{kd2o z*^nYHm(=VlBVIr(5EYPGA(fY~8Btg2yQ?RaQvW>Pkk?I+^2&WW&q2wXnW0~Oskb@b zo_{uXDd}c@XWICaty+7Jaw?Yf%3`B+wB=-&_3vT7vWo*T1Xd+3`aIqvw|*BA83pBIT6gtr#r_i6Y!NdQ;`-1GGX_^*-i~Jq!4}{qRo&C&?|;=b^^Cvm(_+fZoM0 z2jATWr3gdaqKt`Y95=1Uqc?-pFGVtb-!qnXcL_9L-~Ro);GO;B8}z&rXuD)q_*j@9 zPK1B+Oq4=2q|mgu!9DTghDfDsB;ra>)Ta~P(jfpa7U*mLxfu%}&%Y!Hm?=NH8Cx9q z$-#1DfVWx@f}L(ZI|$_CegL2r=PEy@7rd}YV-RV61Hgv>Snk&k`K)E>b&t^^pZM7( zeg*GediI~*l>ecY=Z78owlplyOGBdh}h+o3Ht5YO7{)xDx=B#GY; zk$Dax?s{4e+E2-=#_ZxI zl8v6;%ER$+gl$8{7*QU?ik{@bmxWb2>S*GMBVUL%lJW0vHTx9ybHJd59|4r7 zm+o1(kZEhZ9~3a#Fhe;Y#g$k$kSG9M?H-9P4pNVxi8N}SuRk>&?P7+vPF=h!bLjsE zDF>M-ANc3$F{Q*oJSn$_MVWsHren{!P-sve_l0Am{!LR1lomYr=YN3bvoJtj@PASF z-eFC2@49djN^rj+UP!Q=IMd{LuSinkEAs|R6bOfbH0EGae zNEf7^H56ap{oCi;=Q`)FZ@gkAVJ0(c&06be_j5m>NHA2;FNaTXw~|qg#*yGZL&W|- zUn3e5MumQ$tIH;FkkJ_2NDWF*uOVrW{B__~fTBvq`Jz{md|f7^2*LBsGc zn5ertArtY~s=7`DeHce0@Pv{$x&x#-E~3PpXb@|%at0vPm4!00fVK<{6NOaqbn>XB zObB+L$t|KO&SfZ0EsQj!$OG6}ajO4XG+G1YWcQ~wtPxkNB?%`M3&!SHJXAwu47K76 zTy_Vo9y{Kv}=1pFlpEe@6fhGUJXxQ}TW=H&^Sq zFX~Z0JP}l+2ys-zAclb6u!>NdMBID_48hCJ~9G97^qw6_&Q zHwqp;E^A$s7FmZUhuoi^9zx6FrUzpzUQXUX#&+ddseNnhOxqtC1t>Q88x{Z*I%%+q zn}MJD@3g61L@>CE8rVq?oRQppL5|OV9SuKNwLe04QX~BS_5CH<0fH*R3wt|Qi}Uc8 zB#W4GLWURS{xyam z%x$@Gjhn#vsAcP`4o*cQ@yECZPKBsdN@I(3F^5k=a~Az4a$wnAMO7zBOY$W;D~vV( z@u&z9b%~b4j`)c1k>h-P=Ypu;<~ksrqDViyFTw$i^p<)WQ$|Q=7 zkD)zQ%eGgV;WINC@5*|KsaLdAKd(;Oo~7D*WMhA^lzV_Ia#1-lUxBzRD#td!KS9tO z^aB&x$Ha?8gh)#@X^Rvm9#qm_Bqhn9IY>Q2N!e(!qV&f!`+^)RJ+QygOcNH5S)C z!g@#O^N{632X|`Sq@PuE)i0`4k9=@1yG+OEYkSvoCiYsVqg2JJ6()K${c*g~y18h~ zv|LHLz`e{PF*9HXeFQBhgVho5dDo4rG<(r$G-_V3v>B(P>e-8vE1Asf#$D>XUJ(E3 z^(Deb1Hut==c^xZ(=VS{@?Pmwy_Y$8z%eGaGW9>S^Vu|eEY`a zokR}*)y&eWD8kd$+k9rlxWSez-`Iy$Je9}$!a2Gdtoo>$ls#YHc*wMDtPFK0o(@ zo9pRkH|~n*UJfsAG; zfxio^tM_f=L#IVZ`6*FBjdUf|5ViTbd@uqTsY>c*fG$_n02CogJO80A-S|^->7Z!H zbI5a37i2~iH9U{Yg0mtpC}=r{*2cf%2;u5FbRZk9z`@Q&D54|5&!|(=+Vm;_K|ENEpgyy)cY_BS0ThJLfr4~k zJJ1&P>?fe1Xrs3450=2xOc(_;DSQI**zb|Qt6~5ZriG+VwUziUm($ky|XdYXZ0dykP@Fi0RD7ZXRXnOHiej=TM^B}+XaG3c)+9u6Z=<0~C53v&Q>{#UdQ2`MRH zga3piEZiWrmONCQ;rRKgR-W>F)Icz81Ku=5>~Z*+IL@9E-}T7T^>M?Vdg}93+u>W1 z^DL7b^srW+gUf*+KIG4lB%+1A1qPQqxZFk1GLTENa}tDIq3*JSnhLg?a8t;;uv?FW zbWhv+JLp1evwK%CcpG$E0Un+A`FMlLI`gv%g|X=k_kN$So|+Y##nf3T?`t#AZyr1f zX#by3x zi;WN(w6N|86d9?;movm9uVqXQZwJLR=}4*9?cY8r`~>O|4&LtY`w$~^Gf>;?4*baA z6VzZRvIe~u>|-tWJ7EVrVK z)}dCuq}m!>*?m@<`mRh{aK|%VWZso{B8&6%Xh~l$vXBKXqJ8C42q`RH!ud5 zqC7h}N(kS449lDt=ZV94BW#XlC!8NU#s7vUAgd8y&iE62j z8h)2$P;Dz7l>=pM?dLY1tTx4qwF#FFzq=-C-F4G`)ktjoUURzh^_`e&JYD4@Y}OOl zgw-YqpX?sr@oMF+pH&Mc)kzCYZrS;(OHD)_JNte2HzN`a@Rt#Xs8iA-i+?>B0|$WK zg&;RT=jA^jPy>N}6pW=LlMJivg1K^&7Ng%yc>S9e(*{BY!PFsGN0M~*7cfmX(3^Yb zL&ik|(47BmV0;0ep=~#F&r%E|Z8{m@p(0@<9^-uqw~=L;Fs|o&o^oi^fmJ~j9KC0* z?4AfF?B2Fpia;6m>^-GJzh>iAG%L%I+!-NMC1wXf zslCjy@{dGyq_;!o1DV1s(dm{{Y?=j!4UNKyxX(umIJe%5d!d`CR3935MKf{fs}}A} zZVL$o4?(e;z(meX0NF8=+O!yd7tGReIt+a`p}dg}lL*v*ep<}wgBpQ*7oRvF` zyfQYTEz3-yb;BN#MH3`9LIA`c8EA`l+OFkIIeN!pR89(yF*^M8pX9eaNB%Wbz<*&& z8w}oKCa?v}1V6NZrv_EY&|2`-1_JVgmV*LF!6@2#Jdqt6f1~$Nrmeh=e{irRenEMbfNwK7B`Akk4QKarji4A)ku=W8cFH){H4I)lh`F2Q-+BO zBf+TKUDcd|VAQ*vOs^*;^bGR&p}m;G@D%&20+=^qFb|M-3&uZ?X9M7~2oN+1u)DMW zK?g?nzsH*HdbWWap!N70knw64kTIn%zILarjlaf{Jn8rv+0d^pM=~WPw%U>E7Hk!3 zeQiLCZe?0sO8_ohC9QU_fDk?0(iOMrU2huN8mL?S`30G9<^4IYBU)EiDO9?FeY4xH z;~!OwPul`^qG%qWc%CTr2{Cm)Ejkm6@TZBB|5@xJ~j-C0oW)7~vCtLMLS4{>2>b9EgU(M3=&0fPM5Fcf{Hw~?V`ZYPh*8Qpmr`!Lg6h>!4iJ~ z<$s2q-=`B2#2O69`6k+sffS;|uj&r2#e9dE+mK%*c@G;MJ63*SmRi#fron^PYq(|S zj2e%Z3EQIhi!iR|Kr`qg1s;uej$Bj3M=1X+6b7Gs4M4pm()mb*)$`1cO>fdo3q0ey zC363yFroShE3X4a#9^&|ll!HP_CUL%{h}^qX-oUn)K|CD&E=%U_8*AfCqUb63rO!J zoIkP$Ha*Sb>3t?UW4w7(pF^Ae&1P`aQJzvm5&cW2j=EhSJA46kcZzxmq`(7hUpT#E?{!|zD6=aFH!@(9T<7-jynL_b_Q~!k0Dxc2Rrh=9ma}Eg} zw#(r#OG@5bxCJH*)$iT!72*a^S3vN=`&{=TbtiVCrdJ{p$ldzo*@6I1-rnroMmTs6IR0JWUZ>E zISVu4!Wl|p4H0Bmz{gn4d>qH2+q-H)R%V$g2{d7gn_xY6?rxvaN!02)&l_Pe3cnPF zSCN(ZEB3U zvaEae-%usLZC7VemaQ9sG@^VU#X0hkA8Lq3K`2wdKygD@e`NZ|r56=FLPIs!B ze`lFhAENM0Yq)o*ZuUhPqP{4CzoJNTZjFqS_T#Pjm~(m$HrcYLYc$z>+B>&@vb^dy z(v%J>9Qi1vY(Mu-aU}2O($gxR_La4?F`w5d8tdINGa35LJyzSD#-CIGQbDdy$wd&h6_xKlQ7xu#~Z|0IJp+0e( z&(|leo{fDmAJ%lV0|c`Qt;TewGK7<>l9wVJ2bmZL>z=!+#gKR~sC|9^z>9ascio2N z7;_T%7F!1Lg{zOtqOUTArG3Nn-6Ul5w(!4tnYzvsq>0)PD6s|3O(n1Z-=(iq z<$q8_CX>gGQ)Mhflyqq{N+1ReW@$ZH05^(R?#we#mJ>8~w_{{G4G(G90u){Ijf?S& zcm^U~UT=Czs>eyJ+N6|(7bYbXgT&D`PiJZf;x*Kp#+@p^#^er`=iXrysIV7`pbbQv ztRY~60Zvd7%ieMoi0Ws-X-At71Q?)^jEaHT6{$iQdR_Cl+a)0e$5YAoFAj}ZAl_I( z<;cAxngiE>1AvJHwFG~YL?t7~q}Ixp)oI@~#I9Itejkc{yzD@8x~Fv5NZ+}~ePAQp zI&;qPV_`3P&e!e)V*;-fE6Y>imi0;IEvJo9<0X0SPkQq9Mu=&;Z}yyHbW4*AvBTVz z^sD5?!&@fl*hf+P&y7k}JKWt;W$b6?C+mjoS6klqz5nuL)q>>GMD_Ta4W<)p-lj=! zXRn<2jAe7YG&egIx)B{aTgsukwciZWebg!H9DK}Oy-8aDa1XterY8!GQaZlL6eo7b z(X&)iGD>66=_MuQn28q;8&N265(+7h5}7xL=ER!tZFK+2ve8I24|B4X711mp?x@z+ z!#r_W#}Cqi018T>e$#{PL;i9VX<YnU<}IL$@F5? zD`@xAi-0z|=bdCkz1@Gj0NgPv#)(EVK_!Wm&9AlHFZ!CC(G_EX0<&fhL;M)Rf z)I&c4!U&Xm@9=`%qmYlJs6RcG5`RdZevD;^SR^Fq;YT}vjptu(^r-#jGhS@V`hl+M zn#LL*H+|+E?A_oi#5b%OziN}q&^&#kM+JXc)Svni4~}Mt=4nb{3g_3PEtXaHxa=?C z_e}4U>TcGL|IXZEiXAX+$)VEk^3Y0KW*1aAF^5Y@(x?CZWQ)`KS)9N^T1O8(88~DSkzJrQbRQc*SD}mK;U~%)e_5 zJ?1)AtkoKKY2@fuUN(N>he@H!eauJqfk2NTw+w?iamBR2X3`bC#+x5I6IupG=Re4= z25tPD`(3a_(e+vF+7GMM2;UiPzv0fWQoiIVuk{_5Jw^)Z;;EkTV?Kdg zAro{Ll-Pc!E}e2lNDS7l?@RH+2T?yJB0%m@-$q|YO9_Fr#({ppw^7NxLEX9dVm|^GO;oL6bzib$wY$L{|_PoY=Qp7&MZy|{WrebqL zJ20jqTCO^2;4lJw$>^w3+W2Fv`VHiBtnTlg2mBI#Hf^x8ZoT#Va)n^W(mU>N6WynK zzYtwGIrimizwI}d@sLw)!f|AJSfyGa@3h&@8xE-v_B86v7y1|}oGLt*?x;9oJ*x%- z*uqYm>7R=k;J-RYP}dt(#RH*vQ3 zj-O2PR6jq50~-2<=^rT=LgjJ(Ulvi(g4E z1t_mRG(&Ee=YIV)aMP_-S~ZfS)`j{?@`6+><S?U$C(XldQ<;UtQ?Zx@r3K>z=bn{i zj~H2_*!Y}lsp%R71Y2)gbS6@iS#l`2Vc!52FCUK|^po{stAjf2>L*YKS4lXC%_kGv zd4aec#USllfjECUkg8Q8p)p8r22!=uk3`wt+Vh~qk=nWMc~I&Op#hdmSdhk{hLF@v zs1^X6yz9p_fO0ZTbj=>Xw93c~L2{2no-C~lK;e|504*>`i$%cg$4TOtYd&L`lDuuW z*@}yjUncQj2!h60>&ri`XXp1OlK7qDKWF~piRsPGo%PR~0$eGzm76x(aU!i>PkZg5 z0tWRV=Zz&BQZoi=D1M)wyE#%ouq`}pTvrq$WrF1eZh%r-r|&_4I17}VcFMDl4^6`Y z6wY8f*I!m$Z->b7Q!LF3zV!x_&=8Dq^IFFQPdkvhfNu zgeo5)wRT<~=eD~OehdPOAC&J~Pp0|ZuC?Ukx8Y_^`0_zXVe-kk>!EXtweOPEE^V+V zS?@plgah+AnbeL3Je2?mG7IpOZ4pWSlsG3xr<*X-B_dolC1bxc@XCQq3(}JOx`sg( z7N_vs>5S$(aTL0V6oCD?@nOqR42m$;O_)K`VQ#x%T7W^uAd7b746_8ZJJftwc3Cu+ zRamv9ELKDhv*rRt%GJLfqLATG4ND_&Sr-M=Gru~HCu+q+?&x8{U7U=@bq6BF6|`a8 z=fm>c0nJQ_MZlvL?n=jKR9(BYtjxtQS`0uzBjX9r4@@(lbzFnjz}$(C!)Xu?V3nYa zyPg1O2*O~8b{$bbd3g?L8w}Cx?Q^psfC*o7zof(7xC5&2C6ra`UeM?uLrZEeLu;3w zvoaE-FbWISARJ)u64lg9B~I+asX+Z3e9t!jErDg)ubvCoE6MbcY&utfoL!~cZ}PQl9JuT zGn*X7LGj0{@%L1^gtC7j7^cGY$0Y*7KttfF(r{5&4shBg0>@V%u?vC-l$y8*LOi7~ z0)EgV<7i!sMFBu%a4KWOGRr#{2z5!J;n5O+HE5J=0f+XqPbcqH;E1)PlW_BQKA@5Y z9$!<~7uX5#eu~HeRt0|U#vwff8PNYY!FMOYrM*B;K$gY+#`tt^hlCjF^Wl0xPD<|R zJ_UqcND0?9X-S;=;8HmYi@5qv(=Q|!z_JCl-F5K*b?St$yNDW(p$PM5$5m4}&XJq| z$$bB;ox7mGYzl`=Oz^xma0wVy0K5(HSYtbFIyp{t`j9PAcnu~a7lXR-4Rh!vng43{ zGOPN8IsisNSDIx&0wJAw;Ep;7zCac|-+t8PAo%iUaOj|tA@Dm4AR~ZdN9+PimwDHZ zDOYFzTPrG~qdtLCKOKl{re>NijVtSy4|UsaK2`g2bk+U~H`kLY0Jszv#M(^aQ8lT1a+bd)`?Z~j*z1p7#4gB9vX!haYOWlO8y;{@w3LPoh$4YAh8=xpLF1z>l@-M-hfg z!scOLdT9pA`iaPyaizmglYCtwFTc};Hz&P+5ZgvRqJDp-rC#}HO3xe2i^5M+5jnr` zLV?>qpXJ@oe(9V=0}~f^XKS0gy~w$quvl@e#&IpF{`sIX{ORfKNa~)?ZxVxUP0$6` zFie;)-e># zeYqzePD6M=X7l{A>9lUEFYl{&J`tasPsq+2$XQQ&yt;Z9e&jyQ9g?~v-EPBHrRkIs zna<~|GHfoVtc-OpzZznHaCYrfg<(Nd*<#uC%0*8jn~FZg!$D*|Qp36FzRSK?ak|vJ z^z+NeiTfwi^Vt(zKizz6rXn(J{G42$q#8GJNj>S7*N4$0CFz@ULf$>YtuJI=`Kgq0 zPE>8OK3e}YSmQpyjaGlXczr!~aVq+yvJCULoKN04evik`aFvFoVG5tr(E1RhMcnfu zg$vE8+j~A|GpfI2f0wZH{)}hHN}T>VxXjtp+P+6=aHWfGpFiav%kh48IrGZR;%oCK z*S!^vIM2Ja+umEyxs~e|+(ANiH`Fd#8RxhBTJ~Gx$l^vWQFrxbTU?SksD7Amps4_N!g=+XuT=lr?5(q? z`VVaX!kM?jE`Th6XX-p25h%T=3k(k51bE_Jd+`3^a1x(e2w$knQu5B;81b$4WGQH4 z884|6tWCYT;zA=(@5nS!xhd|OyA|g-Sk-F!(`y^aB?~ir%G!nC8bLiY`;At`QRhS?>ZKHBV|Ge-FeDH&;nf#}; zcyJDaVlkKmG^x_8HQbRdh)KBm@DWa^5>47G;L1+!*-aVsoQr+*E=((IbG9VN^{9; zF&!iIbu^TBo?U9TEj-$P8s@8&Y)R&;?}Zhro?xn9y!5s{--fOte@=FW@0aJK+@OhVqJlwKj1$&>3Uic)1t%9+3^*5AL>RKjUoksdq_$<1PE~0G6{D4k zREW4pz4C6fEyQMd^TS&X#z~|Ia{-b~Vdm=mOPj!+Urr&;jb&?JeCDs-%A%;$82vE+ zN^YRP`W{Ok%Z!Gax3=U3Qy~$475^ZranYscD(q2#i8Y4ns$AZ2BRMVE@)jO)oR6(-6b^NuuKwX;udWE`ekVjF4m zEZVGox^`t58_d=x^od0-kVA*&TOYoGs>)J&W!%<;)n>}SP<;&tEt7OK^WS@Pk}73H?n{ZwqTeV%KH6|!y|8927Y8(d1^?u=T^r~*?2}YPV*^z^Le6?le!^NZ( z&VbMMG>;MA!D#=>zs9(ah$WteM*BWS>Ly&VzNV&zsNt@5MuoVLuy4lMX=tARa4%_y$KJ%3*_GZ9WM;p9BD141_jd z2lo>Ky%Pn&rok+fB2|WmgZq^N-|_ro-tKyy$1bUh*8E%DW`xjfzC@;E!~@G%2*~s? z3@(Lhw>ftP%3FmrZRjE%Fc|~`EDh+mr-0(`0rrE5h2UJY?-14Cvh{ElP@Jj(rc0s@ zn+BJb0LPpmy$;714BYiC@?!kBxtk%tmDrYM zOCI$88|(9(?Qgr}LHcT~Gk`pZ24B@Pr@Anw-B~V&BT;R0S8iSFoZ;^OEgraFX!S1D z9B8PJ5<7NaTLE^8^Xirir2irC_OwdCGw_Ez2$T9R@}Mc6ee$4_z_I^_Jm~QMZ^?u9 zo*q=UP>D!Y~g8r_)P1_8UigFJ)+A59dc>q$rOq-sfy{zr~lr zv$GzW{oE=BA>(smNI-d~@FZpGi;=rT(GMc6TFQuvlCM%%#4jDS5$XSyrJTE}p+dz@ zVD?X{Wc|X&ToNR5-m^yAYx?cvj2U}!?b*PxMgPeU$}1aQ<=qzxGHSHk=BbUb&BI&2 z!R>-E%m?GxW%0NUs|Q~|K!^PeUbMgS`=6cwnN1c@W|MnVI7k@Ye58kA`#|suz5`&N zk6KtuP=vP+TeORK2yb&+s+_fOj70!+nMUKOF9rBK0JHjCw8xL3y6`xqsLA9Vi1YRv zrVd~J>-HjwK%JY0rZ_PxnQo~lp*ea@7Q3p45$q;l)@av3y3MnBUP9-CmaFOsO0fch zqCwr>aKldeSW87}vI1WD>BEF# z@wYt?2N5l-1vKoh=LNpm+ghN8Vsma1T(KTMDgnrxO~K8-`W-;NjKzZ@OX8_CWoht` zr>^U*CORYez=_Jzm10&mL9 zFhkPM^H@8D-tJYkt}7-t0)_i$yv1(0l*xa=ZO6s_OffuG%=PxmMY<2WZ(Nhd>~_B2r5zlzm*VPZ;Ls_U;vM^V=lPV7sy@GYGj3oVo+y7)n4Ei6?P$Hn z0{nYWecWq0oc2Ell}Iy$mfJqXhh~&Tivf1B!%QH0CS$V>sPyUW8ed7! z#NvIu!c_fb%gnB!@b|>0koSq!7%z$k(c9+1)6>q!6DU6r?v&H6pZu_y-EhxhRxu$H zP##Lm$kdxfCu3-@A7pSRhv_DU>r#JVuEkg;S%>Axl|U z%7bKSXhS~+4e+ZsRURYTkc->mYNd8U0RE%=nmdy87jwv&4g{zN$escE1L6U!3(+ zmqU4x>Cx_LF}sT-7l<43!!2R&4;D%3f?9Z8zFw1+J>+IWsX62%1^IU!%cW^P7DAzxBlyvilS z*18E7bxnHWFl{-0ehR;&-1RZ-+1j%IEZTFfJZ3!UvMC;HU3Rc)>8xAa@@ z&`~reeLCc<;mY{nNH}}cZ*7$CAquE;*AwTB%^d1cLCfu?+k#@N?P2gLid%CSj=bM_icb`aOHbZd#Y8KbkTO{PZTvxLB z87Z>pulBNagD4KO@O!EG&ZOK#E%(svMUiGN{>TVheU(?dMPki5AZ4)AFYleCQ|M1W zAd8@Z+j^jzb&`(ppvJ9_hW%_LW{?OiiX|E}Fp;OAFyY=6PSCNY2hPZIh_RC>dv7=h zvEW9C9Ifra)C!i~&2&WFD>H`}qI@7;RIu%y_ZKALBx>Aa_dLK>w0EBH{(0X2dEPjmze2ireS@!&kOz3UNPb`z*uc?mB+5adO;3GCej`R9A9<_lf|zMGIPONW_t z!SE}Rp}AzSPAXxU66yF0L}8Y+>3B!pFiT0mouG_qbt3bRcUjNAz)dhP11f0G!9%kH z9rYA82685$$}kiS#f~72AT_xBa1_5e9p;1{=BzrJ=mAe^HQ599D5~9-LkOvO@CpJJ z#{oi0D+YYAv*Xp!F8=yn;0qnm%zHnwnmUXW3e(CenMO$I-<>6?WSY?}%00JzOS{&H zIQq?kOk2oBawg+NnbHuBLvKHIcD-YsIbGBt?wPlp`Sbcv#MDf@q;k$0XSI?b<;A>| zxfpV-)!}CEA4i9}{g;O#mKc?Lmv>Hz*{!=zQl(~Xk5ZD=TPgPXmFcroI7G||hvj-k zuDNAMUN7YJE0ey=R*@n#G;h%rGZZmazSjI=p2TDpwK5o$%@CKo~An@cNc@_uL0IQ@h{3C1% zybD2U6~Yf*Ms&gr4b}WR0%}>glF9UI>{FybLj@Wl8DQsNsHA<}+lP!iAPFlG%dk1L2O&9NJ1P**zz>6WIburK9P*z@+jEex+Xn-aZ~Xe+RD1d zs@+4LoUbhB{x#zh!)%VEJoS;gyv{p=xOS)fjXcNqOs+*K4)Ls%X%o+p=6tUR>Z|WP z!wY|E>QodXuUB5o(JG6{`kZt`)j4b~^ZnHmt1D4c;aga(qkXDc48#1=TO!1@qrY+6 zU&WhO?pB`p>GsWiu4gTb+ICLK?O0CF4u1Q=POi$rjwMhWpatphK?s(K*zOcc3oZn+ z>w-$N>^?d$W)9Xl5Oh&NjT0lo#K;cs3Ax?n?5}0%nR?S1H!`JIl0PZwAoz6wgqbH!S!o9CuODTfCWfsw22N<+elKVUs|igi($UgqLxfvta^dh?cAZ z7ffPsFbRb*5ubO+OsO^|pESkJ*g>VpL4xZmy^sy+~4^80g$#taCsf7ZDF zT)f2oOfi(bhVtJjO_0?FmKO-y2~uJH2W9NvLb>NaDEGl3!HHhv%dt~D@M8Zxn zL`cZ!2{<|{I6CHG;v&1sP>yp$;NlfObL*C8WY3|cLL&1?<`}uORBZrTuJ3y~llsxY%pxmJLZ895L%->{}KkYipB8QH} z=b?Cc{~y}m0ep}D(FQQ~_qIr=4L;$6G)@y@PS(7mcLymJ`Dca+)FVnci(D_o7o^|v zt_J%nE;2hmSM5~7F|Mq9!@19VC*^9VdjRjOc6}J>fL5YeNwi7!{i=QRzQwU7rR6no zu8R^<7WWBOT#U&x%5DBGg{Vhr{|{~WKX?v1Q8CJSo-FTFje`n2Mozog%^kfWS34LV zNcDlL^Wx%>O0U%Wv0u;4i{YuOW2<--qXz<0yh*O#TQVdxqwIAN|IH-(KO4mVCxZkR z=`ovd9$i|Ww#YH}C2OLKMD-ij-V!DoTT2z9&OoAu2}YgyGF(!AYr&NqV{*GYio}J8 zQz-aC=IzZC18JYijRX#!hrtNaW5HNWva*4ojs_|3Kd&y;Nagy1V_?vD=-@xMR0K|(CiYB zNFy&6(8gBN!o$**KD0j-a5XofPfq#x?wAg%Q%+oB{4d0KjExFw<6XSvEYaiC_|Tv5 z1gUe|rD@97S7}S%*!KxyhZ8vyKD}18og#kJ^$br2&k22V_%1#LZy-4tT7_;ho@8rz zPa;!eBr6vFd&@Vd1JBVYhjgn><+$9Ru$nH__G4!dJfXj&%oz25Z|YZDp=LpH3!u}o z0EKHF7ji|t0pf2tn7|Gyoi7^_k1>d1Q2r>aIRH$pMgVXAy&e<|9A3dCK-B25#=#dk z>kUoCU2z6FmyBpk85QtvwxuB)h!X7O3AFf^IC~=gafm=^KA&y?fE5ybhcG8}C*n|u zP(&(oNC`(qrykt>$@bTii!oQo%O^O}b*|7GI?6;G<%{S*OLSyPuIpaC+Btan`@=N1 zBUqnHO`BTxX6&lCerJC5esKt)0kVD;5Mv=v4L>$+@Vk%NNVBvvH3~fa)JC6b5Mop0 zLRWcep30z3r}#Ii&VpKb+h%*+VDQ$8nx_-bNwRKGYv(7(DUmNtpn6GF0IFpGpt-2m z8Hl`mx2b-qC-nMGF*IgXo0hs7MYl_pCI^3dh^l`G*N`f%y$}|C$nuO1eem%lZ8y!! zw&i*}QKT2nnus5IeBpJRz#SafaZ@47<81gSgQQI#xK(3~oeYbZ{G+)^&+i`#3q6fE zDAdEQ7KyEd>1Et3SN-s7R-#Nr{Ur7$$&uE=>!)YaEbYz?>|_)~<2x|@H}21Rj{J)5 z6xx~ZR9_oJ-X#dCBuBo>>D}z;dt)t5)z3S8f z_a{g-U?l?7S2q6@cg}`VZQr#~04WBZ!s!f}Rsn+V^_WXefa(?fKtXW|ZGTNPMhPXp zep?A9#C-j*ij1}-HlfiQW%L4H4zwo`YQ$E_V=ZL_-TW9i;XOmC%Ll$c&*+>B=Dy3!|*zbgHL+B~DbtGO(x@QzHSNKWG_;b@l$?ugEDU+j-d z>zbF&s|H)47txs_61j8w7$FDwQ=Lt8=r{Rl!=m@e$VQ_p*$Jn{tMBBuq$#UeyETz4 zURf4Sk{D-QJbbGoX=p(d9b2_=k{408Q6ad{UiS2wTCMh?lJe43Y-ZXOv{s?d{VCCN z)o;rNIVZ|6ZjG(h6css%11U7c5%iChHY!BEy$QbM%~>Vi%6~J_GFpUiZe{Uk)jQQX zWs4-WJ8E%(4fhvelba^9UpDUb8QV<8ChaJWygXUmwmCH6>))=L+=eLSSX?g}G(ul{(J6g|(@>MT`nxkj&S zyzQgztqL?0vqxl2bIM$+7$=L9RU5)q0t(Vom^Gz$ngLPgTd{uq_wdM_8LQ2L#Rb2) z7X)@op7)XZ6C^Vt7p(7{7s+YhFym$RK=!r7&fi+Qnd&PNJMKFwB=~D;q}8z8QZ*SD z^l~cd+sN?X%qnWBa;NU>$I2a>*dd1EhCn>Ih(8toUKaQ?26ynM#m zTgv-UMD^@te2VYHino3smQk=Zyj{jbwOzSdhZbJjtfZ2?&inD^Hm$0#@lYXR#H}6@V7vV>1D9^ZOCT|<*( z?AWQhcxE$yX0D=nabv4}J6W(aC6K@r(7)3fudx}3D_aP}bd*wB^}T6+X8D>0%dr~w z`6-f3zblHp%!a(eq2qX|2xP$Mid!b6(^fjy=8Y zG2j~F2cNU$o`dMqr@^yu*G`5(?^@LlXZQ4U!eb;mibFvhX{BLX*amz zs?ZcXRt*g`CP>B{3Xm;6hy{5}L%EmT5QD!=7T5tXSC+{&Rrv?D>IX|?!htQ3j2OGB zuA@frjIuRan6d7Nj!N^*ueTq#CPl~EnRXK1-(fW29p&o}Z8PZRkyz0c`Z5@w zvf>*rXX{?Vo@MN6xG_QHxwS=mKE_>bccF)D$&cVgyzk|JY{;OEy~@6O=35-X&Uw$n zM%+LT*+ni+fEn*1AmJjgVo={fMP650RlOhC#_lTatM4f0{MUELezR<|85pFDXo z^tJLO?dhHqk7EM))b6XaumpZ9COUo0SLu^;^u#rhG#b&IcI3IMED?kJuhcJire8l? zVN^8SQ{$#+aN`m6>5EUyosU^()Sp>xw^g(*C`Eqygf_U*&z@J&MSXhsP8bX7>spT; zOLgcwiTJl5((O>?q*~NOez8y{ujDJ)3)GviH#1R1ZuyCi*EFu4UXIeT%e93dPG*Kr5x%;v_SbX<0fgJt=|WH$h{*>>phphD>`hP@V9F( zww&gh_dou02>oMJX7e#N+-+%QhxIb;%VtTAQiB0zN*>!OqP7;jhbJgkQ3K}^hdkPS z=~mEnZ&TCBnq6ayxJ~KJoGG4>7qQWu(^^DcbPwCQQv}#f?+!BBa`C*pl^4Y?8+d_U ze`4@NqQ&N&bl8SN>Mg2s(*zE0_&{{n^}~wRPc6{lrFmq&>Wh(U=i=Fei}B*LUjBB$ zURgF;lN=ASgZb}Dd}kfdtiL{IV;IIrVPU*U{DUtbWQ(slh%qs6HRw}(Wx?@*1=(pn zO>2+5+8FeYGkx^7MATYUHtpt}+t=HjOzFFS9UqWfAgLfVm9SyveVOwcz+$3uB6(c9 z-<^MLCF!K%gAB?Nn5wcII5j3WU_T~j#<)N^b0$oMKU*VZe1-!{;%m4{XNbkk$lb-L95XN0Qs~$9RyEhaDf%%^gF6QO(uH=?^#f03^a2un5w4Fs%f|2_ zRhhzBVHX9>cw}x{zP*Rf>AsNw{zikPs437HfMF>fh;UDFyiCgUF1m#osijU_qE0sK z6c|wTl$R^BL3RuhYq{0xP;TtU6!uf?uA;V^{;OstM?i-|YcNUNmI@nBbu1{KfK2jd#%)tME=lf^GS>&q1e&an0G>~f z<<9iL7ESBvYEE}KJqRQ~l+&^i)$=`F_o9Aq3kBWB&W_t*6Rs#IDNSUt)cEfV=+V!SY90{TC#Vf1?IS5GXxY zom;jjc4&rRN;%N>=9ouZ8~GQZvIM{MUm$)5UBBdjL+35Ym?BCm;(jLlK2h3j@v#si#c<_0atB&{fhw`l++Rq}}1w%nRP!PWbz@ zrk9*G_7hPdnFBEkeE=^x=mXgH-=G33iom-Lsndyj#A0gz74rb7$z%h(|4(5~M6U3AyMKpR zYcgRrd@?%S;ydjXeODO+Ja!0A9&PX@bk5d?$c}Y+ z8CMBi^sBBdoE?|WcufBF;u7hOWv|rESn`3Hj5!#IUQm6&cjfH=PS+|qc=~UN?9S3Y zI#M_3h$sn$ZV4L3e?=PY=ux~__PWV`2*h?r1zz{Z z)9GMkm)Z)8B#7%U|sN7V?V#Olt9 zKt!-;luX&Rp#Mx0=SPj~v0)vArs#LkS^J?Wa9v^Wk|D8#BT3`nrerMeC~&X#z*!y) z?vayt1~yDg32P#nhcl7z!DYaAyf7INC1-?8TLKF?F45&ApVoD8cM^fA4=`(dWL)=hJX^5CYXqI&UJ($`?7u4X zzli(tcqsS3?-_%!FUgi|WG(v=CfitwPDtfQ2W8iyDdS|xI(A7EiKuAb7tXv{fou3pnzX0Gd+@A}z4?@v3|CEIiHd1-3{T2z0W z+n**-REU3~k)R?E>{5igEq{;BxqfSUOlmarCyHsrW~ zOi*-t+`B_-lII}>s;*@WrMgfEbW_UB0s*Me&5Ko_@}dfhG}WY(S~jaV00<#h+!6jT&qK^9lpg z%)>!dTco8JTz&uxrB;SOlYtpd0Ze7?!=F$F=581#=4utqX%`N>eiyywrw54Bb6)Z? zT+&66M;$(?PmqNJG|2~L2zwe4Wf8LRY6<$q1et|?!Q92a1l7PNtV14Ib&G9-s&v1` z^o>I8<4d;{5kxgzV|>nbUst1-Hd2Ic{T1pO4P5z&)kv5IwBZWSmtTM23i}6akRL!A zhP*g8u^+3A+Qi(%1G8eu>HlOjeQo6v;)qU#FYRb1?xyazN_HN z36;CT+aQAe>3B2bFcT9bGs5D*ilsCIIF3BtBK3Xer@SkhiWALMH2-fE=1Owx(a zrqvwErHi3|B{ZJi!q<}yo53ni0UKbQP!@sd?kr{O08Gd<*YLH1n0kNBp5rIT{j2iY z+Z$`gmbI7!1pDX*2LdRImH;(QRPrH}e+hJA8Mthj0Dl#{;Cr;w7Jl?rBp@Qdwu=m@ z(bAd93{+5wEe>fG^j9B+1Mh7bupJ_dh!%62-B2blN(g2Fl5eNP{EYJsH6tE#H*`WO zC)D>CkvdMZGp4N3=8MgKI`9#odpA8D7%Ed9*m15o>Hu!wt=%B|XE;o1$vamGsuAUu z)IF?`%$#PX?8B1TJaKQ^7cE_wMN1c*W$7B`LV*dg}G*tbuf*J2aaIQTnntf%HqJ2oBo3}$dOs3J zh8#HNE>MMu_}~3gVqeFaHaB>32+9#2534#D{?I^r!|#NCio|n6j|m_GxbPz1LQiFV zxGyn#3*iAMOu8=}7cTBy!3q=LVAuWChEr;Uxh5iEorEyS$kOdQ$7(l0=~ z%c`O^X9!vC2>uop9AI-Mn?j>+?x1K+UWZgKYw@~LWjJchb3v@GW_ zdj3}M#`x#*`4{u?b^E^Fe6+G0caXj?I;Tz?Qk^aOnwv7l=!~B$^>>N7zL)r<+o<>Y zmfriNExJuoJC{rY|bylsUbyV43 z;hkQh(vMIT;rsZmQxRo^tyby}TQ-Hk4BLv~cy)%9u6||0z>|8O?lw!aM?4oc zr6_=`eyA#8;7_yKEgpkI2TIwfshmlqyZ#4VgP(ER`3gT{;^6WJRA1#|F3;T*tlRJsy!&pv*2L$XF|k` zl+uK9+$yy6M=wRRR@6hGkcO}ReZQT)BzR-+lSb{|a|_G)9!~|=US8WNX;u8(|F}X{ z6YZ&g?=;!`ADZEYrs<5+y5@}%;fLtqsV;MlBbjfK;zy5R$IZuGE#5h=r?1{m(%3O3 zlJP^`VO)IF=PPU*WZhlk5bRsLbTQn!@s)hDD{}UAwj)9k!WUM%(GZ1bMcxApwFWH# z+2aS2ujvH<3Z$^4bP#3*E8dj(B#y**|YIR?sGa@|M02EvFGtKAM{!UFl8`w8kJkdDpp z3W5h9e42eovIzk1S%h+tB`OQ!t2PhjyulY@w~7q8sO@mW2Sju{QkfFYru}9`3yPB@ zoUjWI&c*?b zuX)Wdba);DdN~Z6A(M&%wdM9fO~dC-FnlW|n3UNOWrDFlC!>(kh=?5Z7wCNhP!|*! zEJSy$fvB(TSbNM$_*)UKF^9iBxt;)dM#L3_n*W;@mpp_s&!J)vaR$h`GrAUa7)?Xn zWHtikipvRg74BQZ{2Ox4LH4tS4vTYU473|B);afMWX_5pO4m371;z-g^o4WZ`9IPb&UAnxz{M+!E)|Lslygqk0Qe{IYP~Y{*{9TcQ5RE#hujEu9Y(PHA{pd2a61sMXkkE10aJ3vAVWYIuWE);4 z5LguMEgLeMgMN^)1pQ!Rt;*|62ck6Sh*t`4j|r#m;|tiCS|*Xe&;qgVi7wMTg{(?L z&bEcf@ZWLg58|1j1;Dy5pdw&kQ(<*D!TfJyFFGZjJT!BUq7WAIQw@nae~%Ujl38scKYn<}8$Q+%3Sx~#>0D4CsJ0^x1`K1}|Ufcl+jhXPnoGae+f0S{oQ ztm$QO1u=}@t^zMDK@S0OSlmZYBMMgya0o7HU*EN)nAO^Yr;Xbl0z?j@I0SfMiM^ zQD@1yQW$zCp>Y_;XMO~zrC{E?Jas`Ja8qEA&onP6W%~LtX=S%j-PR=xH+SCDv#u5T zau_=urtJzs26iSPsBa#+xjf2K3eH3xEnB1p+8UE&+By>&X#rRlry(q9)6W1`!#1rU z)d?_&xG8T9y@|FU#oeg-_Okz2YjFL|{oJhEKvG(c)<$K)3NHbv+7;Q*5a5@Ass)ov z2*_Zp9ihg2N3bSbA&r0&i-m;iFGm$18=LDrdL7({kY8;uyxfHIOy-W_NbO*}gw2r; z*BY~l1ya1x81DED*{N{878Z#+vZdgK1g)7v3zyi3utWbmtzr8S3IkZDcoM(5=k&xONIi)CS=wI8W zeH^st0YC1jYg~1>^}g`)$Ekfre#Gqe+q)!p{F7Vp$Hxtp_fGtAlymx`9{&%>wZJ*kQG19=7Q?(P?Rl9;g`4_1TPvHSk|hB z?81G_?I8RJOM%GlT{&iadgx_1D_8z{WmUWhE}j=)x8ntn`UKA~$F=-z3Zj;=l^Yl= zgy=36@ei6MlXjT=-(41brENgny z!?Zj%_G@d0W$^9XV|f=n-wVZx29O#xZsgDXk!!8oG){Na;|;ZQ@fPb+ z)y!Mp@i{Sy)SSW&I>o@MrHhUxu1@wqyyOP@dJ-!{%Tj`vclKQr%?TIL=6x@Nxa zG9yn1GW}vv=pyH!;?3boqRi_@OOsboUwOLl*@*_g49Bo4ueFt*YUDIV{Hi z=3bh2ubNL~@zjeCpWqs;_-PFxPyon&2&oOG9M zP||QcDhUbnHG2f@6&#fuT@PSFwd%FTSrrjoxvr1f#p z9XX^=u!6wEBNi64B`TkCrF?*hqIoEd9f)LJ1gV!5W2sx@Nu6J)f0sk47HX>J2nq@y z^mdcGL^G9qmKMU=SXIj~s#iIA#GwPdv7oLEDdvvd82M=80pLS#7yV75m~bO&$T~+} z(>Y<_A5cNQh#b$ws%hc~n4LRETIi?MOk7q^QpuHs)x5~`nPMA|txm`xatc2lxLrAFDbrP~<;=+?@J4@uLI|^d8zK?>Ur*{=h;^?THWV}z6%VxDR7dSyv)M-YQU98IXC6Iu z33l!A70H^<+Z0}tP=4C}z}?>Qm%4sc-QCOnm{o}g|2y&R+r2N|EVPJ5SI+!sy_xzc zj@@|sCpKUzK&5=q6ITm%)cQ?MqKDhYfq!MczbAD%%7F%y6|*x}yJTS@ToG>9Xq+1J>!SJf4;5 z+R^~K|DmWc)sv`azR!f07b+W~%`q6$y!kxLdlAiOQRyeP%*BRG@-mJ&RtifWB&0g( zC%1Pr)Z#!o<1Tw#!mt1vXJim0$bQ?K5W;_DIt=HztYt^zqgotKpq_7_PfluCdpG$M zff{b+ez}{L}gChppd`|Np;oUdRjyu$J9G{JYQ>7Cq=wFFn`Ey*A80`Dpxhvy_@c%O*> z>~Sk?u5Gku)1$Lfhvj=zW}db07Fc*-I;b_eX?In{l)LZ8AxC1Qb#rajyyScGXlA+d zfamC45mKOTO!+)?P-5+5zf=INxYc`9y5~vx z&T+9Syw>~s;eTPKhyvmhpZ^H$j&H?J)#+MPZP%+iZ>jmo{JklLwd?Gkn(HMYi1-h; zB>lx};QrUen`X&|T?H?+M8wnXbg8))J;oB=iN&7J8eB(xS<*bej6i(}cBW|6N)1ojULJSWhb;JZ&hi9eghgLaFhjvSF_$V;MpH3En`a}B=Ik9H#E z^-xcc@BBx*@Qnq0>{1aqpIar?y-d^Irk%PvEkx-w{g963aFIX zK+iYIf#!q{BVf-Fyc>~c3}dxPcP7qhCtVd)zq~3F0qMVpD2X zPiE+A&T{7{hy=!C%T!82v`Q{%YE}zTkCMxj%2XzPBkAimfFl`C&Sy%I$o8Uhw$~7r z-bzTlubABasG+t5Dt>SwYLt4;O`$+NOxf=xA=a?djMt}PYj74MDAFuKKejipeqXPF z1!*NzRg0}t38s?&)eIkp%l}DYJEXI{pbUAe2NdcZN@DB{B6}pa*X)OaQ!ly>wAzVr zXW9!n&9A&!7gAQ;aAIP^$iPYS*WWCfXB)`{mo`Yeev#7f>EYDMCxN$3>*J1m-#Jvn zBf`kgT>iPj-K+vL^F$=~KtTM3zJ^`A)vw-#k1Sjn-xSW9-$v6D>4*^Q3Imq?MtdY50bk zzcq$^8fLul`}cR$UwK18`A=Ss879VU?tK%NtHhYRxIV%`F~Za%)gkziWMo1iw@ zFH8M(^vYAT5GXMwi3>`caJGFuwIZA5v@Y56Qpty&bcdsx`F)k{S&2ig)#!VY^a=4v zXS?$3brQ;^g3h`-09763Oq6sJ8JLRNMVcL>&Xeq>eXUMeSw+xD(Iq6@4;}mG5j@$& zhp~^G{)`=R#Y1VyFZR>12B%_M7Wj}d_TF`Tl$Sp@WWe+G-)w!wc>8X@*kZ9_^e~4}1`=BE8|MI(p!I^(%4R<=M4@dhT!jl;BI;=FL}ei{}T5C*_})T(|E_ zgi9|w{-?`iN?3T@*-_Cr;@8K~#iM`ra-D2lqer}5wNv`A5`DE<{DJ0^{Gl7o!|tT~ zs0g>Q5SKnUe(Y`7tNCnsoj=7rj~nzPRX48;S?~*SI1;N>ciQ`~PB_0OA?^yD^u6t< zY|muB?(eBOn~&anz}2(LKl((K=k-UEWh*>)3RgV)Bg9&^XX4$+K7|So%Zl2-kftO1 zum?`wOVkOK^o$lmt{1M_XzJH$Txg;dr}krCx$^T}(lL}vMfY~ztH1O#Zsttz$GE88 z8xhamYkTW-z16RXRESWL`C4&Gq5Vv|(ZNaSeTOu%f+BjKIG?us>|cpE<>L#5!A+n7o#COCQ5tC24COf4o>>4ZXg$DQ5OO4h^-Otu(>gvGIVi}Js zUZm+f%l>@i?mU`y)3Ylddw08CS4@4Eh-}Y_y3>}2b*xf#WFF`HGn(vZr!5S#YDVK5 zozK51H!m%^7d@l_r(d-?U%dIc<`UA{u&rHIMw7%95xX1L(t{kze{?`=Up5`OJo08t z;?0Y0QTZc5`wI=s%QlIuA9k{OY5uJkk3Iiz^T)!sSBL*P^Jx-Pabyk0ytH<--3(b2 z!P|qj7fQPSu{$t7XcGHK`P|iurMuFp`Ma{bZ21y#l_hE`RJCzo79hkKm(aTj;cMNz z5>JqAu&d)lsjrgC9spYDL@9%W>p`_xbvD=fa0h<+y7EOYs>}uvFMs^#0lO%tG7WP6 zZ!6P45NnM|^1h~q+B^I$R-yr;iDlp39g_%by4fk4?Ioy483q_l?fyqPmGap%K?~Bz z{VPETJ^<1c#AO1wqLTkzkr@_S7vp2upV0R81E&m{8+JY0waj_N-uNWaz_zKYThF=- z4}OSRMxdQ*U+KHqm^=8#MDFLID#fnL*nI$0V&;bXUdjRlWV%cygGHQ3)$i_fN*c7Hqnw)Xy2 zyxP~3d+y_RHCqo|VszGDj;R#;wl?$5MLc$BAKv5e_-yNBVK|3AEoA{Jg!TRIe4hD* zdHI z<5%FT7-WF)7qjgOydi8WPmR$$e~B;Fv-Q>OH*&t&0c#{~*h*QX{@%Hdr%Gx2;HMr@k=iO1>eM?A&ZJte?eD<}S*8lkBS$;2_?m zvE4wx^VmgQpS9gD)k19CkJjY}JTTMV`r~@UkBHOL?6_cbE$SlJs}7<1P+Pf5Q015^ zCd3C1vMgDLKmh9h4}Fy?Sv{J-A18h1bU&XMmxLm^Sjntotz=Z*ED&Y4^PdC(aC(Y?H|ZU4)CoAT2!Yww;mnr!K+$PK3t z-`FK|CXCWtoN0WWmvXMrN3T*hO!uv=aOas|M%LY(jkn%R#6{|5xWDwNDQ@(s@hZXx zM_uWkaqnplZ}Z5wdvHeiFs4S$V&`^${a)M4cVKg9?Mbn5!RQvacJpog)OiDH)cg?bMElqduUO+WoyT2h|1)v_WK3;&BEz_N z&7k1++LCZvU5S#^;7E~EpEK`{mEfoRj4XQp5o_>TR z5k-2sbLN%IT!j45CoYAUYG20byKgrClM&(mRHiLw#d-Xkww(B$s`5()@7s<%mmjgn z*iwJ`vcxr;%VEb)F_OJUjojg<*UD@)uXC4aH5@wn+{L0d1^QjqKGv~k>rjWE?V9%! zo3_@UeyX}=uK2F(%aX58gQhK$gLaE}z6zR-QwlTI5FR;Me0dT?t0kL14)==f?`J!c z2Uf7H%XgXCxoO(KcZ-b$iLp8f$ecr#u{{`D9Z?2MYhyC##)#IBlw?3OdnAYs377)} z6?plB6#%hfE(!SJRYjfxhS9^w$|=c}x$ty=2+G@t&BR9GGI(89Eht8i?h{-3L&{+)vP4Tu!JwI5%PH^L_A~IdGXYFa!CR z!6U3Y1?^eyTwjqVJaTwPDe1GwxHX;jDfF@Q}FeutggC|n8;tFaHm}sMr zzu`MA=$;Al8pI%qLmh*gmlznZeegFNtf)9J7q5kms4C5IMY3rQ#MO$F+{l52CAS3mz$!v4 zLWnURDXxYX?~w>jWNrP0+6xAMFBF+!uQ{uhbDB4>(g36L)5NkAMnDJW-vQJ+8Nmt2lS@4ccw`a?7QhO|^=Zodcyyd}# z*tMsJEjptXe2p@fDX(-2sQtZ7m!^RaG9_ugX8hqo_l#;0+|FbFq{(vQ*^c^$F{ZKi z29zehkAF=(6rpyOcMnbcW9+*0l12rMA8nKosqYren@7fQujvl>tepcNEy{1uj`j{1 z&8?0fdS39mBlqyVdFMk_Mb%kn9*m1b&kmT=^dg>UC`*sa*wo01YQ`T;8@RNx+!vqZ zFtF8PwZ`H0#)0{Q?kbvxpNaCe6C@j{o`um-tD%JrduUT;YMaK-D7B5u=)V2x*+Jj^ z@q#!bpQTdU`;@K8=fNe>Drk~YLOwT>%FZ$BnlV5+0^#mIe- zyZmfvIhVwv2ASGD*&KFz1g6BuIG><=#!Q$B#1e?8(B zaq!6+qi>(B-dJuLdr4 z1T_*{h8o|lYt}h3|Kg!umI7Dv%_9n}cl(83H{O$M-go5NH9t@PtWz|KQMilHC}k6a z=^+7<)DD{!F*~sj_=I(|BfA%*%jcy;E~K*;^R^tyOT2omU)Yi7REgs$;}=gZ<={S^ zI>arILCKj=a4iT^)wGR7+1I(fe$mI5svqx@>)$Nz^Ao>o?dMxEI%OB)aO2d=Fk<@4um!W2INJ|u z9wigp49^ezUG|iSE;o2xCdJ=znA10;#gPNQd7_#e5-tnZgF-g6|`$JMX2WMh@xdMMK=x9vcJo33B*@G|k0 znyXjLiR59fqMJiep%Sh}>7rX6hFT{J=Wc{j6Z_WZmh%Fez5r|S~OTE zY7};nOuYDF-;->>%J994B2Mg12^z9irC9DFRY!_VmbR|>va*eqd1(~oCbHb+J=s_QN5+@3!=o@+j@Zyr|7dGidZw(2So)KY#ZSyBMpgo3$fs%r0pVo zfTDt54D7TY1)Y^uqy$)yL?DD4aV4cmR@S@*L*b|M0Dd``bmX_N7U089bsUX8*vM8SR=8$cP&z`?MMkYSPDFIzWoiAMLtxg zsHe&6p7XjAw6gVqO|D&q-WfSC_KF1cDuan{I*eX-b3ZRqDMMh|^_z05POZweRSBNG z>K2GUEQ*hAQV!+h-7QGJJ*sLy0!}XWq_ATITyPZuXpT+UrC4}ny7R3Rh2M|LQnL`R z;F{iqJp@prS^jZkGM90DEmA1IAe?`TJ72Xt)S;j=<$y5s<4%O}=a%ewkppsoA0ae* zpOBD`iG(XFAH%cux48ysQh!QX{cA7LUET6U!O`1rJ#&^f9=Y!*s!w=68Z=_78sA1C z=C9dxUE^Ta)y}r)sAmaD7P}s8?uapKeTUL5lY8|0jsn4`6(?c?Ypz~asA`RqRvb6d zoxOt9`*vZ!QrQ%23#6%Zh$&$)KrUc__jg$*$Nnst0aN^oL)~9v7s(ThS7B$)HCr~Q zNGqq`QS$D^ZcdKXd(!roq}}`I4E2*K4kOBn6vqw8vA0Xvnm)+BTEJ+oKum!r3@tLe z>;uFa=7H8lb|kM~PGQ7d>SMxS9zH<`R~vLmR+>mLg`A`So&&8j00XqF;pS}@nM&FQ z3sS3n=%?yb2bVwOE3MQw&`9oJ^8KPOiwJ?lbIoKrMrzb+OV#IcNUO?nAc`{4ih`Wy49`%OnK46{x(j;sHyGfNulaMhoh z04LxCmq#u5Bm2O!#|oh5XZ-;3|H>Pzb1WA5F(B7bt`(+CjJ=EfLkV456NcI>xWpq+ z*RV_u!wTc)*kqQ5^utVYS~-OBL#wlPrw`9hCwAEk$EO5N?30*Z>hSfU7J0?SUwFkf zcn*ueyW?tFxmA&3OGxw@WiDSXYYtdse_{H6%#<!H~U)TZGe5Ag$zEfTByYx!oaR1m(`OQ0-6xE=?&I@?#N zuNGYrkm<)s1PFo@CJ_I{g%C;&Kf!eSBCg)m@CUN|IL86@$rj}I|2tZVTnA49$1!Xn zB=Tg*Q$Qs?*tI6_GkORKC?T?w{^YYt- zwj9yLkDMtU-FxqL!PL0ISKWwr*uO6gM^EJ^9F2)+@44=E=acs6*t)pBBCqi;)%Tl{ z<{BT&wenwDV73HS+mexZX#Sr@VsRBN3N344d9gw%j8KLkwTwU+d(?O^uGyKpg*=f2 zz$h&qV&ByXY$gM0rw#n#(#hrw8Z)zH$%5gCG8{94I)cnTpC$(N-5`!U#f$yY<4k5@QDfmB`6(WWJ*8QismsBiM`EPerSMl z|FuQ%3F{ys6F}kdyM`Ou8TIxzmH0z{bV?m39Y2;RUmJREf7OQ#!RDVfYDA~$O@`O5 zlu)>;Q6p(tR1xi2revhPTjT!G^KC~iOpWDLi+-=yT6oZdue>$M)yuGq`{!$^TaQ{? zkJ4OOyvpH1PCnLg*l$eQozIQXrMTt4(xqjr_+i!%iJ^ZAjum52ANXz|Sw=M&6_7BE zyDz!HMV@+=tXe6piG3ytSTzvr^u7nfc7pw{=RkZ>ocxb-poo*QdyX}dP?ZMh&SyJXz0ymQtvGvmbiRd)-P1!@E&Wu4wOwxT6y zax1I(eb}E9Lj3aUgv7{gaN$EHWJ+eqghVG_*tA^pB#*IBfTH7r@l|}nui^?V;*x)(bL(Is0}Cc*2Dnd2=X$Jzl} z>CZ@2#74nGPh)lX99&j_q@L64p-8WW$YMW&z<#tC`Ov`y8r+>`wUVD(hSghuqYC0! z!$xFI$s4(PLqx>H+uBEhUCks?jeMoc{Jq%T2i{mh#s+!#_)cub7}k#tnAX~H#pYpvt0i{!g8rI*K(tGV!fw+byf(Snq-*!(hY zl>2zrj#h&Q$KI^Gb0T75N6jm0;O|R{uV$^F#K8K8DWbJCA&aamGeD`$QTBZ-_yFr`-xSk*E@>jp}Z(Ea?1!f9@b+C#1EL z89Wr83wkmE5M5c#qgt88qn+~mE%|Koyh!Avh9w~pmV?tAx?6gy0Gaf!zwl;Omh z^QW<2q!NS0%)g*a{6@vOF&^n!9{jxadxyRMke9!gaA^d$EA-%Itx_(D3xAKO+)ur9 z?&;Hu&KG`^4!<)$(AU%-we#(io7(U1xx6p#tn^_vt%>-p0FY7U=TNK3)}fSmhSIcg)=);zwCu=Aho#<8kaXy-$N@r}hubPVM+~ zJ&^@P78zIyzp#yhMhVF*$($?Z9MvsVU+QD!Tm=X9m*sb|7g?A^`KkKysiJ znh_^l5;YwY;GC9txpLJzaz z>hTNs{-gNMpMKO_u-@aeWmDDBi!YKdOdV)`n>y2f_+fOl&+At^`cB6_#ztG^b%ZBv znv_%eJepqj;>d*u{gP8O3Vv=N^$KUl9_Q#U5TM9USpQ6o58%sB3k4S4MX8Yq%hG*6 z+AtIdtTo%W#0(ZQgH(n=f^%6C<)q#5cNj#XOhH3ILmSvHfsoQ#>i9i5fwY}aPZ%P< z(0hm~T`EfCpg3yMqvn$`K!te`lpzH$1T1b5;{VJ%(Z4XyT+#nG=D7j4(88z6#(_oB zR*E`7{XzvT%hBNr9OW)sR}vx$4BuDNT!FfPdO2IjbA_L;AweccI(=R&V3ioMOqiT# ziG_QZuzpgDZ&pYGQy+{59qG$iLb#$^43`nRpJHgbv=hQDS2!A^^C8y7LYolW86iYS zoa>jgUn+38V(IQ2WG4K6Bwmkbsb#Ax>g>YeND$R2j@!|}QW=5&)9~6PU&29L?B5WO zr@x5whtO$Qkwo0B02cG&kcvqp@OS+kePEnL0LoH&I7wXFN`Wepc(|9VY;Os@d`%%? z4Q|pKXD-;%!EIdW z>O(gLI65D)iIHI8U$ix_JFt#$WCL&4<)vbqA3TQLSE&FT$LD!dOX6xsI0$Q1wjgmq zkSCsgY&LzJF4wN*R8jGes`lbkhY@~7{N`|4kRsp|KLCmx{#c?#g0qx$cp~jue4;yqX8bxYA)K&M=-Yp*hxao?F-lwK?yusa>MLKO z#-xAjAN7~<7!0kjQbc=w+j{6~oH);(k9vP;Y?3e0tu`o8p5-rDm3^GB@z#ZeiuNcN5ogs1-{cAyqX=m4H)I&-`mg$23ndj!;D&}v!og}{8 z`Qh?+iK&`^wCLWleLq_KiIv;@66M-zh_kTWk%1y{>{;QE=>}zetLGqSkFgAAKF4bx zqm`q82A7_ZzCCy!wS|O4OFlmKpp<^_AE?s}7BxO8y334eB^H-F4sYVdoArl-O*Lpu;CzM zd_ZN$5CLKtO^YNdizUGJbux0`kE+ezlxLMwkc7)L$bzSiSk!02(&;D`-Kxu_86euQ z2?-AVjlA1+jyxcAph3rR#1fJxMR9n+zap`rHh`1y@?3KrjsN&8*yfCmPH;Wb$jaY= zOgD4wu*4K;+{n-Pz!7akGIT~H!>eR75ZL#WGRg_z3VH31z?>rbTrj}YraRYz%*i1C z1!%}G4EIh6t5Wa)GPgtnv5-TESEla=Lc;k)`zNnCSON%a#v^!Xf-MU%XCW`t)WQ!$ z17Iz}JG{CGFs3#E>RK1_(;HC+j<-O7xxgFLt71XgqD@F4pp1S^`Gks4!~l+vOB7uc za_5^?Ley`VpR5eMguXF>G3)x}G$;rBu3vfx;f8r{nmcN91Ol;P`uZ1ay6Ikgp`OGN zy97?FNgP5N&g+N8y%VuUM0ycwMHO1SS0KRCwtEGT&R4FJYj8axEfxN3Slp9G)Tg*N z=t^p04KYDM3Rv5nAbP?hIo&(JOAkK6)MWBaWmtl}7b*vnTfRPbHcK@a>IoqT<*aUV zBRC+@i1oWdo6t@Gzmik0A@Zj+L`;15jhk-cHCaYfFQcsrU!ujZrZ*UO<|p3hZbUG= z2ZG^;y-c6Wb1XES4ykld%}zD%fg+%{aC&Y3#6aMYs~Go_%@V@ujR~4>*N+M1E7o~> z9KKZ9vMMnp%k<7)%XNO)2z|WtQ3hM*vbIcwjesY*B-2cdDX~!dn%@x3k5njcehf3I z3qE_NUK^wj+Bg{MpvAO9R;!7)w++7-9h*;(%~+6O%n`JQ3hiV>PJTH1W*aZHwl?9| z?^pKw@J#j_id}RZIEA*;y2FQw)>l1FrYJioMt!=vbJOL@=b>c8?7TPy7{5S{>a1qU zQk~HoZV5T-rYe-)Mmy^!VU%Ad9*1g0IkXL&++h}z10~lK_x2}~V^bx5%EBSK$c}eF> zNo6;f!P$@fLv_~Z6)U(X?Qj3~uJU1#9O*Sy2j7==eJB`8r^ldlKZ@GCzoM5~qG8<@ zOOa0{-_NLZja%nCQIuWh@wWS!1SKcoD$VJUS52Yx_*?QtFXy`7;x7I8dQs@c%l&0w z)g9^;IZ>?8_!dG@kikWqm*~y%JY#lNhh+^egycEs-|oAf_p+_!(c3Lqu8s^>Ln#lc zokygUT+k;SdHgBQ%WaGPo0 z$H%A-YFA>HOgtYi{b~q%r1y>@39%G81|MauF+~s3DXb1C51e{3@p%w}Bl6N+rI=aRy)&+C1#79dq1igjIlq^x;>qqZ=QkpxI7HpVsZEa^G&5t&HecfdE}{w z_-Ukg4#(xJIl2$-XwafVim0WCmvm3(4f+1@E_EI1L=t0`yiO!&r>vaNE`ST(^13C(Oh`&j& z1qM)PCm2BIa2iR^%oQLDo;79wm(4^=1NuNL0G8ym|HT~z$jl?$&2?=t;AITCv__PP zXD6?vM5ORRDTx{IFeNy+c%Uk+fqwW^$Hz{`l|C5LX>D;-hZ=a>=b((NTf0~?F zWXt8hC$`+zKBSbzqq}2W!!fS?+?t+b$p8V&qAbVJgLug(%_M$mYDQ9*CE-is10f+? zg-*_{#Ii-{OzPhR<KkLR+5KA<6=zW*-&2fJ&pe8RNyI2c*Pep-vlB2j^>$lLRD$ zrFkJN7ePTlSZ*2H@`ikd93ZW_FjQPC6a-x*ergmyU4~2@*#ntaVQlT}91;eDbqJmM&?(8@^ zf7x2#(UvGJ?lWE)wZDy=`t&j4+?~y54%;|KtrGDZjQ#3D{AXBX{7FpS8`a_gKY@<% zSF|S`_A7K-_6}XQ=%{txQ4}9d)25x6Y7^}?vL4*6xPw$RHd^Uzp8CL)-ODmg3P%Oj zZzs;~Sgv>*5nFbmgfUF05XigPAmql!olg*YF6dW{d1=pQ1R9ncnL!mQs5uaqjMVvK zJ_iws8sW77v%wLHTz?UY!0W!3HIgELY7kctyDtRtYX;lQ4zx;w0e}()^<2u#d!ZB% z#pt+1W$blIXzORX4W#4fB}zk0ASDSU*af}k$Ea8N`d)22>M)XnBgT$?p~~|2zdD)h zFruS~*Au4&xkx_NiBQNc&M+g!R;nIoZQgk9UlXpPac5X?^q}Jv<0ZoJ8%xIiH2zop z&SmTN1TF&f4j2P73Q4N(eLD@K+_JkNy1~i}1oCvbm&ug{g#veOVl4brS<2*H_9i*C>lBZT;t z;71cKIU2a#)lWn$$-z(v)WV4!3W}t7B4!wQoI6r%YKcek++AwjM0dh+F0@H(E;D8| zK_(zbS}^D1MGqO7TQ-lkp}M_YzdX1hB=owJK1F7Dx`yikT&RMkImCzqOrAn>hS+T` zJU8DoS!T#ZtcUM?UrCeLmwkjuw-RsMmk`iTe6E;DJlTgsx($J^yHMevy;*=C&>U@s zx`w=i4-@hK@gi5S4(=nYcP=KPAy89UeWRi-@$VzHgUA;!{z&F3qL>~5)Di?h0D1o< zP6kb5Jp%O|8E3e|4W$mTGxp#tKM!^(Q@BI-*E_iXV%>pe`oQWPu1xa+W_8S!X<^)~ zxm$7e334gl>KZYCasYg@##&b)wl1ED=;Eaz&MqY>1k^bnA_mN$M}J0CZsF`FcdXw! z7>*Z}VkRo!*X7OIhYkp~?e^6c62iMy@M>W|zv5=)NZLDqVA+e60*HJn$dw;K(X#J` z<@6;C(c!`f65y_XL)+i8djX4fc9&KNu#3)Hi+c-T7{Rnx21 z#*m*~ZeHhd+N3(HYwQ43TjxjJOZV$h&eKP_3&!=Pua9qO&^|w>Q=_$MU3(en#$#=b zH2 zIa%=ZHO@Tp)dL&njnDRsa1VdI^!CctzANKrBfV!-M>8~kB=@e0Z{PmlUGxAdv}C3 z7@_ex)P14Na%ccEVsK^myPBAbT{iniEG(zCj_zo}kQ79lpRfITOrrCi(vu(BYeurC zOKEno8uQT$3f5cVaw)e~o%P9&8nhluyx*d|aa^c8Z&1G6BLCf-=vnIC>8o?cWzV+$ z7%Q98B2L=A9sEIAxzokulhO2v@za^@Q)#+uq6_x<<6apsXB@Gp{Em0pb9!V^HFNYAF%jEUVmHh?$W-MuQNkDUs&W z_+2D9_#<1rQla^D3T*rbIBS5P=_gE=@;Q%SHEeG!cODVgLd%Er+m(;^@ti7FR>Ujq zNRB-)0=et`j^&zQd3`Q|nK#{{KnZ|XtiSqTf0P-0d4jmBT(X3$yZL)iV@7Q;k2 z3+oamX+0fS+zD|wE5uyMjut^o%*}~rNTt4~;$X^*Ca=>KTCLH_6@WsQSryPM0GlO+ zQ8l<64=jd6&yP-bxOGDCcO~k^I|nulHs&Rzvknr10#(0e;N54T<0E*)qow>!TBX0(nK5Di-Zz(x)eLs4zjt5iSux zSR0}{R^#yd<#&szRto9}uk3l9q;i@(@upry<0x`6lVGR^s2mzyP8Oug+y_2oiZ|#; zYb1M)6zdc5yTzBNCBkkI2G$VQ?D;X&&pYMoebQ)OYim9nYz@8_cQX=-LH$Dl|RTG_^PfLwS$(wgW+jW zG4(9QiZ*!HEwf``*{!>|E%f*^4@&& zfEA44w!&4ndctCe@%iIzM;@%32U3XeRsoJ=DuVK}0&0B4S}a^4M?pC7cF_9tAG~Ef z$q?J|qPh%HTWwwz^On6UW$Y(}bLGXJlq?-mkXs@`3UIUn5pF-KP8>n|vA|O-DO15! z#GWMvBO=gkc=dk&DFU6vu+EY1Zo$h5B8d?32$5Nj!FR~PT7UN5 z&yFm?%3`!B5w8Qtdg3uvgT>RKt=swiPJZPQkhZl<9f4&6$)1&8s0Kb6Dz1@5fmT|Z3pum_cM*!Ld++(+veVo{ zBvT&k0rLlWZ;bC8_Y8`BePYM;_~&wzv*-#EUV2jDUg^~I#111#!LdQ<*qolIlB+Wc zv2&x^D2*ALvw^p%+2b+n4s78VP2JISJ~0g6M1qXEh~NIi!>qY_3FFRj-y`P_*-8W~ z7|t}GZ~pMLFF#X?K>ddLMrI8RQ>sGXs9D(8I$X;^l4$_Ij$fsj0_19l06LF|BG(EL zcdc7*ls06WgAp7QX4ASh2IPfEIE!>!Ns71`*#L-K3ut$rs}Cb8oq`6@NaI|ya?uQL zHf^1*o6)gv`-UIuG*Qz$JH()9HtLd<2knvoXzg@gaO(0Z)4pqep~k{=hiyErPc%CD z?$~UmLx&T0WuX!$1c*cB=!E}lZ)eF_IFOjC&@xaBaRclZhPBuvKh9jbhSQ zce^+}1(8FM4k9as#EBpa$M^-~-c9c3o0W!=&or{kCEf-i_u+@!kUtX4)qR_^F+nIt zp6_AGPiY+J$sIIZon4OBUW^?)4Q*vEBfkjTj%KDm3Gv0)S&(Q>Ro|lC9n-2+F;&Q> zF)f1Mz|AzClyg_*vXIOC+BYLNd$`7bbK1SC!FPOtawhreo7~{Z&1ZUi1*9gucl@JA zSur*@!nou+7^mtGZjISnq#eR=5viC2Q_<%B_{^1zH>QK9_6rXt&D!!GowT#+&N56s zqeKm!%0TH(I@kR3xn;^=j7?g$a8`;tx1TQV-75{gY9tI*fEh8{Y5*ofS029tv-AgC z*LLu3$PdL0xSwx6J=~zv)RdItnRe+mI+LY4vF0WRL)Q;yR}qW1LO3#|(vcK@Y#_kq zyN8&~;A#&DFHQJhmv5ft(2)r7$jwM5e2WdS-xt8X0G@=}t^dQI?EFVebKTr7!^72mVRujMD1UqK|@Ah`>f;^puaK&1Vu1tKeL5;>8U>_kHsdm z{_{dBVW`8>dhI%Cf}0i2^KNge9g}L2^5t1lmCgRC<;KL#02870Rn11aUcRlCyWtZ; ztHEnpjB5A|5K6U6RtMmXOp?7sPSK<+NHXadZhh;7Dg$8Fnf2hEickPU?O6*!S0l07 zHg5KEwNuP{P@F|BMYXsG2m5Fym#N@oWe%5yY`022#fPhwUmYh-y_HaQo?Dzgo04#p zi|KCquW7}-i}YeiFfzcr`;Uh46=lk5=F2H>gHTFzLCtmuDHmWX$v{33*fSGo%ThNM zC&J$kAs2%Mp!eSWO3&BotJkSSWqT!|u32$58{225`=5sv*~7q~ByjIW)-YSb3LXVT ztN=yk@j&loYO;`Vcvb!dUhH9l=SI7YvOG1eiK!{~_!H0XBpg_lw_HP*zjA#K&li=y zjIZ_`96LT-D{Q?`KW|Z-i|Xr_4_#KQ{B+7U@P0{CPi$vb!kI%8G6h$L0L9_Kpe#U_$4YIel9BzSyKaZGyl~lKN2pzA} ztrX0ZZ)*#V90zOhpb9P+r}N{OkrzGm7SXsw&6eU3Xr;jzj@xf5*I6wHy|>v9?^NT=0fYo zaU^iuH>DYwE23a-+k1X<--T88=Y%1Zk&L0MTK-pJ(d{i%ZgGE@umnM2;bYjWT4BwG0i$w zd7mGrx=OT*yx?Z{7y`yQqhi7Zq1e2!z)!^|Lh`F+iAgH`8b12_L!3|$vwcqEDwHPNKoE2Z@5Ap@X+yqTV z1R*fH|M;*(NP5B+l2~+5pKCF$TL)VIGY2Rb^b3xkx&icC#LCgYOnTJ>K70}Rn`?qH zwWVsXrnxWQKL@tYR>ewyzo3zxp9pO|ah0Bb{da(FRXe*2=YpbpdJI6-x|tP#$BDb_ z?eWLjqYk$=3s%<1C@@HG3H@6=#s#PV<|=JLQg?z3iw2d~2@XW9Ur?aHm9i6e^rwVG z6kL>$ny83`Gz?MvhG6w$W{#9j-V9jxx2P)k^UD7Zd*2xq)v`1?LzD~>B`Zo622l`+ z>OmxfC_&N;D4^sFk|Zk^=*C;pe?;cCAWB`r8OklVk=b>KiQ2<8E-Ai((@TNrTMNahC5-)A}s|IPW&A=v+5 zGqc~MBEg~!PoOx&tdtzYith zWA6a79EBUDm-TTjoJzy9I=|0)0E!6?(|}W3fJ>5?9`+JE;|Fr50q{W^Gy4~5E8zy- z3w7eeXZ8|`V=LO?+i? zIJUaT=wdJwJ9FUycY7Z>&9{5?p-1B?ctqA24770gF$d6U_cEdiO{fs9Ucv6*q3-|Ub4NchlWyKXL<+n!w8vMz;$GL zKQ-_bW_ARBco%XV88}7UEc7e3J1G1GkR{N3eqT=ecmL`<(qVtekzk%TCqppL6Jz~t zo@XI&+K=}YFVK1mp+oIG|LsHVsD|wMGy4q?UJY{w1RNj;JRw}pPz_Y8X^lvnrQDNn z6!312x#@k;!b;%ql690nt+ErAUmiI4rl;S3lgtA zWHm?*0zy#X59Pd5S~UC3|KHvj?Hcy^zZ-qrI{bJO*^?xat1z%s;(&L~$ofp#9eEOpTF0V;6nW|};)nXG=!YfNq7 z!^s9dPwp5_*$;e5uw~+W0NZV*j?F$ZjrEUxj^jmjQ0G6FM)Ut^aCDKvBVxIc4% z$_3Di!a{)^(gf)6_`v5l5M$7g!74CO2<**%lZK81L8mD8FD72X4cR!9KkPo0FA)?x zM+S5;=K!ik@C}_#JO-M+KJi!3w*pVp;2x6e16YKhQh|$N5yAqi{3pV1YXs-{~a4y5VRTj+hz_`Ek?%Iz%vdE&^YNWpE!XI9UCLB3+37vL6Dy zm=g@Y-H30)b?12w<_9`nPw)wcng98C47_wOXnHlU{@-B*(+`MtpuvOypAC>d0LXOp zJ#dvR2bc(sYj$uRL=Fdj0RYq`KnfS}Ww-|n?`9Hm?0AEyA1)7y067uh1s}-$B=pAv zoJq8Tr;xKsJ8(~MMu`Ar@&mtB4{;LPLj*t+v;tr4`zBI=X)$2u1Oa;pGsGi-UWJeW zHsitZ{|-TOcW{viL7e}GBYd*d2Z;vkRt6lo2a09Cj|7~<{&kU?5bj8pAS7^h$gc#v z0yaiO0w*D&hf@HGuYivhAVmjId;#_k&w#(lUlREgl1R%s=R>j);27Tx6IpVGt$)TH z(Byxu@lTLLs`jSL0b{z+VY5S9;$R945d!#d6?Tdk21kN=ATUiCcvA<*WniYjYdQrW z&x2HnNE0s*S8M$L*Fg1_MS5H!#TLQQD#2;t(hz zByiiXC@2Rg6GBD@un>n3FcL9ASYXnC{wdH>)j-K4z_$i`2)N3JenUL^g@J$t->L|2 z6DJeC;h!KZe@wAJOV);;h55mGVF`euI0(t^@sQbCq9^}s4~ce^JJi70!WQ2=5q5X5 z*vftVD=Qcbzx+l(PrH9;nrsZp#vGvH0tp9Zji7@hT)q$hFL2xPZ={0mh;ZSz#IAx; z5gc)L=l&nJK! z(At2{al(ZU6Y&2*_PGK3AL7eDjrCw1jqOua1c7%`!{pUs!?r8@gK)gEihY};d-|}hk%K3kz zL0-VpVeeAjg|LF&6b-s^1lVkcbR1ro9}zul4|EjTz=@S4eG^RL-rzkD;%#2>@=PrA6iYI^&{B!>?9VAjBfWYySk$<>yKsJeP?1S9>Gou6Y@EeQl zpXC0RSfCmMW#cc9ASFL)uISSUgqH{1=V^H)JBAHvMQ ze=z_cOMrs@3m^#hOdI$&UINt_0X{Y~_3=4vEK=C7BFyJ}r*G0A8>WFVZul&Pd z=3fAyocoeVi4ULzmCXqz0KW%xK!Rxq!#|*+>4bYqd`BY*9~LB_A@RqffyA94^1PsqptPfebm;%dU)A0N z!9vA=CXf)of$1I}RB_;R+#gbA}FcC3>!s7!M0VUKI?EA?9-~%;; zGQ__QW44CghpG^2TL%gT-xn#^4+sES{)F~_DZsy9`@k_6!abhAKW5b63E{$r+}uwm z-hT$Z;CB1>cxMo3ApOwEpX2-DdO+65zY+k6=O7|Le>nuZ3dAG=LJ9B3SF9i?C*&3C z0O_Pkgty@MQD%SP1%Qc@LP7hWI8Qn-AApJwpzv>elOrd*0{y43A>0#HLYZpuuklLU zduyQk;B9OsB9XfOt$R+v;rsRSrzPB7h>t5Q`ZvdJhk0&r4mI3?9SJ%PA%a#{eA^_{ ziBQ0qwX9@YW@{!deI&2V63tnP3!lCU))bNew_lo-fv=C#Kf8nHJ)sF5pyKz@vTG0( z(C7wMj({**?F;h(b`swu5DeSJgZ>gM7z~;T?O)XU9U-X`1Ov{Mf$k`u_y&kuMra!c za{krQi1z*k-2oWV{eFbV5rTo-H`aqOe;XWbBcMAt7-;O1fM2m37$TJmY_nNpk;=Qz z^uWN$KImb>%ST_4P84sZZ^epljK7rG!1Hf^uSJGe>X+LrHNrj7Z&^VN{zQ@4)q0s5KX6^_>q68 zqPl4SqNU4)=Z7BT6#YFUK5P`^wzq2NQBrlJahepcexmXkOQxxvu(Uurh35+tDAhxG zgQf(<(FWZ6wP#JQgZllt)Txa9&2GT3qkwUSFv3zDw74PIZefAEtP{Gh2kW`7<3UEH z1IG^_5X=7Ax`OV1xmLrsZNR+!9mW-EpX^9wsw@JKdtzf`m;QhXe5uE$qEJ;-2KeoSj3aSVi zR}W&_uOb-U5fYkH#Ihu0y}T5u&~nDrFJN%Y>kRZ`c?rW7#^u~?hVZCl-_UHiQ-gD$n}hm^$G=v>pTZ$iCjlN{>Lg3|VHbXr z9QfvJl_AxYr24Q+!)%QLQMpKO8WZaRmVl*!RRT#?jXpXQ+8Y0neN4Km2w?d zc8_L%flbMMo00{R&4 zVI=jhx}AGr52R-K=af&Il*HlMmFp_+H~KZqZnT+$O2uy_5SEaS@H+ftOjummh&VL zCAZFh#f^r1g5Co8djTgezHm8wIUwEo4=tED8G-`MgrHAAI>#T~+V6g{49dOJ(0OJn zSjFKOhlAe_aF~$R`B!id5<&dt8?;vj?fxGarAWOX{2&KI2m_esXyYk?#{EAJL_-}a zbamq4{*4mA9l~`MN+&2jkg9!f$k`vkVdW4A^^LxZz<`pCjWgZul2rOpCFpg$$BWcw%qu)r@Hs6RY6SW6 z6B>Rr6AG-QM($co02|`?hpi#gP>I1{4fY;^mSF^b92jiC9suF0hv))h33LK6k|Ow> zJFyY$W}Qt!KOOM3W>nofG)JI={3=`vjI0;`M01FZfCc^t&!IoE0E>czOOB9+Ut=^O z%7XQRS-Cu%7nTGjG6i6wGP^%?LQy3J;cl=>kPf|jK;@=H6Ht*J!Q&#RAVdgQ0MOs` zK!Z3%+6sr_G)V%m1EVjs65%zX&-mA%F$M=)0}G1}K^BT|URVmqninVw;X0-44=>=) z21z27_cxaD1D_5I1-Pe4@(H#B@&n+IG#-#8qIyCWa9ey9tw6(tS|>r44ro2U^hc8W zcsS@VJ*1%=18*N_S)@}0Y($qJr~uFmO#u)eC?%xQKoR=*Kxv^9%LkZ4`#0ew;g+^;_>jHWruR1?@h|9aY~*`%t{IL{XLK|-Pt*}BlVfeF9)fM)s5&*3jr z-mSZF+poKqI!TIL&d}m+#4CKzB3c;Z@OxsdI&|DvXh^jDr?N+<60iAmxm@AhH+I%{ zi!0tJx`|SaF>&@5p9J|FZ^)dADr&#$i@fbTKFJ|ib zwxCMEHlP%=|74&$M}qn0AM!#ZNGJxJ6)J`jH4)vyhq$|^iQ%mpH~etCR8Ak-8u{LI z1Q`zk0nY%btgGm+Au(y{q5|3|9kRyzo6^o}^z<$v|_HA-)Vt{F68mB!Rg7 zu7I$<%?q9#m?BW@cPqrxPyq<)Ak-b~w-E@zuUjhodU6$pCcRqXtkmSC)`+v=VkhqU z(2w)=Ui+?29Ox3CDzY~HX6X`{SF_{hNdwIxKJn>X+>OA{JDWeXy#<<-xj)lh?0wkb z)^A=6)AN?@*xQJcSu}kvUSZqN{)xrWsD=0rA0LI?IDcg<@g4C#q3YPCpCPj0DGGi% zX2Y_a<5GT))7<)}7c|8_%HMgH%I;Vy>^S{Y5fBMlmk!L97z?L?8Yb~Wcs^JuPXjIH z9A30Rive=^4FDbxTaSC-;k+PJ*#K1jy**-ju+j=m zbO`1r2U-%zUl0W7m2X2RfblA#7>yo&st#f}QN<+F$T~=}g1$Ylf(b+(!t7P!cV&l2 zfKjZB3DO@Mt};i?T?!M!S$!l5jmxWNfKHys%DEgi969t_oH3@KTh3*|aD>H*pDMb9 zwDb!tVa=e~2>`mY0C7-f zxPSc~1@T>|7hK~R`PXaXaba}#C@F(@54~_Bxz(xr^4{s`zZlLl5vfws>;Cmu6d8gS zd8$RX<|%c^y|}!arw>zUDk(h?Rz5_=rQT?B>GXXX)b~lumT3W|u)U0~W57CXC(dWi zbFQOKS@&wEu2xgsxyG6FlV4DDeTt9e?DlMy&^wmRb*nJ~;K3vC;0AcGG=|#R)8H-& z5cbCIPJslWg<2gGI#dSotRWW;urrvB|(MVsU9tLw|?n}?Mc*Ag_ft2#GgTjMFT=V-t}x*g%= zyukIb^t6{9Y4+Ms*~d~*uLtX&hh#qP87?f3GzIhMom5yeU0hn=5@u=8t0`bJDZIw6 z(M>k^_Z^$u6LdVH(-x*nPLfd+_I^u*g+p2UV#5b%F_PZv(!-u7 z==OxS`DD|GKTV3Z?ZV;VnQU%*qq#x;nA zn%qTuav;SC@RSv#nn!5s@+9he+5KGO0Y4!Y?-_tJ11JqtKtL>b(;htV1P@dIi!p%3 ziqGWi0z|#BsdVv8G*!nMvrU%Jqb&k-+A$rUotx`sYk9;cGFlaf86NG0j14~;*4E(m z@NF0yUSu#A0(rj#gv7>%&x!#22C4wv32$uG?IpBdAxO{z37H_F`!Yy42@`ZAkpbRl1c{n}v=opCNUrh* zZ^?j!lOVwuBowQ07db+S{NC8KFRmbyKIkdH=Lq3D3SO}U_$J4O8=MEH9?NYb*OwoM z$A>5?dSf@r0jT*y00t521HfWHwp6j<;@|uuy9B(~Gaid=^3$2KSH+n51%&y8?Uk-t ztS)>T_I&N-WwCDBTvr?xV4PcK(zC;3rkfKAzPWL*$-ch*sa<*%D6BQ6JtIA!aLT+DJ#b_$vYk?!~K2Fy+|4nAo+6aSO zUHA$Mco$rx9d0=k^+Wbd-O91O-X}b({wpbNVsbj<8^ej`Y)nOV1KOOx;90r4HUp3diJK(Y;C+@K^t-?YY?Z ziXM@aw|TZr;$BR#$z{JIGoFq9YVL*UZy$YdaY1)6x#v|v+TXjtgZ5Sj)!d6`w=$S= z4}a|9z`36}2Shw#43%3f(Oj1rF9N76e!0c5UHRi>N+!E09d7-#MF&G`<(6`rqRIKm zoI?&m{z6{w*5iie>vPFsKJ{l)?_Q`7UY8%g&ShdcTEM?9x!5~o-Z1&z`yF}C+wEts zFD`gne7oMbcHeW_WLvSJJeYjC%qM7ay0Wr{#{HzGb5AK>!yPh_QS%3b_Y>+yc4~;P zb_5Qc7`e@`C%#y-5I?grFl7DnhaBr|&C)RA`gxUB+BU}Q>>o=e)n>%GKjeL|w#cXL z%bYdD-v-|nJRtHvzf-BOu0H;ZMedSc=~1uD97Xw(f*++4>s%>{d|vrtxP)YsW+&DWD(UFczRIZ|^ws zl-s(bsxh$x=9@y>i~FkLbwQQ281yETih8)@g^M2ztczBeM!M^*NepzkWZlwg289$P zeO1~sWhacCEAP#}T`^KDNuDS>zn~^DOT(4QhxJR#oawDw4gcoogSFhc zQ`S+<_m#DmyexVY)imF^#Z6vvY_VYFNY@NK*Lcu|e6g=nH`{XYcrv3$yS>F^i0w36 zW+kJX*<>`;_rDjnQ41>UBE23BX9eWmzI?z1By#I3t2x!gT1T!3Q}~ce#EiV=md4^= z&VxfN&mQd7P}LI$HBB#H_Av^#y(>TA(^-hD3a;ub9LgBc`bfOY^;O2}#io}(8WU`$ zwt<=)%ysoM#rnHG(_8l#e^dEL&NpDa`)BHMb$h`@8F_ug+Ct+yn(xOP4)>pT{d&5j zbYdJgRNm0WSyw6PRf&~ge$wJycHdZ~mF9Z+`@(Z39Jt<9;V$l?!9+Q^z~i@*+|P1* zY|k;#_3bHjdk&&j)dy{7Yj6h9WCiBuSa3U?Cn6RLk-5w2Kwedj)0Ky{fJ*z!Q1}QG z)7PNfDnTBWrd{%mwzu&;8FH2Qz4(_>#H4%H$+P8DzMw8ca zzs>)&=<+T^c6mnuq_N}4)4EoM&2%Rhz;MeOkkJV9NXc|dZQ@z=%29W(&6=El zJ>_U@(Y5>t*|oe@lQo$EK9YjowZRw9aWmT4igU{!eqL9QAkA znTR`dcGZLDSz`|Q>-icvd79eZSZMkf;Jx5A&cnQ&pAA>_}_n z#5-haU=x`*eGJw2lO8ngr_<}|q#x{H)4oc_NaCFRCH>}xXnu$TkN>W6xQ&!2a=1Yn zegQh!s{F>f8TiCnilp4Zxc}@$c>Qg*;TPdL zIc3AS{mv|jgs024$2HQ-*v1TNd00`awd!z{c54Aqg>vgI12>0l@J@Ua3HKGLE6UCc z&K6;`3MvclC#b*YKD#yfimfEv;PWz3k-rxyp8Gt33(nb?UY+S;$m6YjSahL2lp6+bu(zKem&H@ky< zvS;r24&}YdVfA|)F7Mz6M5{xa+aoonxh@(5jnu|V=;fb`K2=D5htN%;HHJN*^}%{^ z((bN`3QpgMk@imo+=~y~D%ex4MOHtppMUn?9K&+lgoV4;+^}sB=9|dc^^uJ>+lx+` zG!_GAJxda{PD_}cX?G;S2!1~-cRsC8k!)E`dnVVbgA^xGdKMbs;UH@s!BxW=a}jBu zBPI76!{zyXqY^3)Z$~A#ldW}^eA6=@>Ta+m&F#i?P&>R^@#P(1y*CqXE-OZ-6Xxdj)sQ>xm9<1iVPgO+#l8hiMo8n6HoWScpk5iIhf z@O5L9*W3}yT1kU9p@T2Z=<}`L!+OtM0h6R{+mT)d*W@njbPZL*DBtV*UD%l5jXlQq z(PcSJl&LzM%5TO{F^X$aO?A=pk3n6(Kn)x`BR5*~&EgSusz3PrEr^+4q6 z-*jZ=FWemh-mE`gs5{-g$Kb2sT72B=MTGzHguxsBtlMwBZaHzZ4a^6>iQu92*RK#= zpC3^P=82G*ea;bX*gptx;*PFANCtj<#WKb^WUesi=~ro3&hLCeRHonG=f=oSov~6FGVK;T( z@(zkl`;*Lj&2u!+&SA|arEPKx& zOV?U3ESEy%sGGV`GjKYLDrm-5^Hck^NY~okK8wCF)Y>c4CA6&#q(`2|fn}$6_RnwM z-M|Dq2-GtJaXNXY1Fo+-P;Uk ztQ(*g-f75zb7v`Ve=Qu6a?Z}4R-hO(?lP8-5o$bBy_-x623DQ~pk_VCo~g#Q6=jln zMQm2_t*8I!Inr;O#&uo1p@a`X}G-p(uWSm=14b6Yq%S@K(RLD2js zOcI&m73Hnip_^}}HWa*~c89PcUOw*M(ksSpWoqt{uc&W_fB7jpHg?$H?^lz>Q==Nr z15@3sBV?cBGYg03xhu@&l-I7fNOjlYrp%wNlge**?uyQP2UfPnPs#gu9k01ft|tfS zE1rN`dtiQzxi%@IXcr*ZP`5e{W=@ziIo#HE02p<4gMm^_2cQ%og<3G%wZt>>;`|s& z)7@x`u!eH#6Fs!ce_)aQ)F;Bq0X+k>zybDUziMCpf?^|IFnD8S&&PUaN+*cr5F_g3 zF?pLH?ss~%pVZGT9Jjj?G<3q?jXM{O?EO8h!*>>kgiZ&gixs835X*ZI!ExE^!N!4#G*la-=L zbe023<`bb96_1?;rtVn#PL`=S)_U4V zmeG&DSa|ZSRkwzie}nPYv7qyg5|(etRx1N5sWzT@YP4N0sp5Jx@XF*;m+1@Fo$Z^2 zVRrXrpD1Il#FiwzwxyE&RvC7pxk`2C=3;MZRL9mcv}87gwa7i1D>?Bl+O3J}>N`kZ z{@q7@r_Thu*%6gURD838XhCnY%o}d8#+J)WW}9zner9KRJ9rl>o}ZOxB)>eh{7h7= z)V-}asf8o+GOLQ zg{OyoE}n^xbf5X2VIGD{98=JB=)sbVe}8=PvY2YAz2$h(kCneLT=_gM^UQ_(?e6hi z)roiGej33*;Kje@jj{HYDF&H4$hD|}$KedCR8sFefOzcfk$oV7mU9n?3fTvOs1Q-@ zi%JRw*6d4RlcHY9Tcdk<3VMPkj-C3zd-NEC1&dzPt(WgR->GK?`-Z8-efq?H{n+&@ zdZw!P-o6UE6m>+5%Y-|}8v_MhKK*<)Y*ZaptK-nL|K zZ$GQ=Sa7cPN2q>$ftu0x+vVSG>7!VUqU`R}`9DJ+p^;TYN!s`6>U&zpV)a^#p*E^ z^+()HBG<>RQ~Ko8J~e-fa}1}HEvn|sO{M*RVHJmOxPJCJ|g!TuWJiJ~lN|G&{q)FFWV`J1)!B(z0t@A{Hr; zD}}RL{C4A)imhrrY!aT|wDWLet9pUq;t1ROaOZU7T$J6Lu+N&0R_xeJ8G71jGD`Vo z>?2DZwnA1UR+;;DooYAPWgCkWpQ26K1KgBwbrR|;mcWG!&TVc*_lujZdC%g(;2v5PD> ze&rRWh>&FKNd0G~ zy}qKJ$2Fw(xDtxJIWJL4u?lENZMh=OrWBW6N0}W9cO}v@F35?=JJ;HC)jw;d`1-5$ z{`;h>^wkm8?^k>?Iixt`FOth1Mf81TS4VS1_EdZucIV7RcL!@ZD>z2xsV<(|t~u)< zl*(YO$k9#CFZH>GpI@VF@wwxD<+8LB8fCVO`~$5as%tv6FO$*`(;n2L4NWqqQJs|! z5gQ!zjgMb_|Do7xmn>my@brgbkDT^bXe8~0bFO+EW| zuhf#UU2Ixpawm>*-gb_>RAgIcb{U)AIQW2~?+wcFgx!t0?;6;u#yM4-RiVs@}vht{XX$*4yuA1Nm742H=;;{iMirBeGgc&hYWpp3*@U1h`#wvT{htDO4nD(Q2PT)NH z!saSMcHV#9Ifsg6_b{pzyM+x2G3`aWGOiYc?et4*1}dEU>LMO>-tZQ6t(qmhK6@~_ zlPx5!kvHo!8S0h9ArX+o8624eS{It^*&y|?s1qGphnga+J@v6kfp2*hJdc`Zec&;d z(MM7pzO+}9s@-*V`a4}~Q)zS*Rm7S=QXM0I(jCDmwAY50*OJwo(s^CBFVAB0N8 zv^}DTZ5t(Cmg)d>ZM+F-o)E^_yrS@IKEs-aOGHdZQ&V&Nlr4MPXlLklL&}sI87&H37@PPD<{t^td`);OB(-CxX) z%cFS)V}4^@el3Ar{hVa?ZEd?MM-F?MZn12shZymYs+BTSqv+aIPm50*XD6>>KR%DC zz&vmCO-@a*Z*2-X60N!PV2u+MNr82%GSmgzvSnL9x+|)E{dulorG`1Fxm-7-UiV-q zF63b+^m%Ih?Ksvndf7c}mDir;p-2aWpYv2;QX9jV+%kgOFW3|siL{4&wnAqzUNWw8 zP)l%I6VNDY0vK(9OzniI786kz=_)QXFDfOQze6_%qJm|p2Kw~ZoMxMIBE}2SM>8#^ zuN)CCzltph5ehdqz)A)h397pc`Pb;g2Rp{+hAeaECf%^R{OMM!Hq|*t*C?m&qxR7V z7JFe#tZaO2 z=`V;J_V$jA{TYAmlkos zlpKa<#RV`0BDfmBRVv2U+X}QmQdQ4(3(!rRx9Ay6NU`t~RGgpxJ3Y90u$cJEdPVmt zirOPjILTr%Q4xEGVw9U_baRcOuNTYPw_6?}v6qn)i?-)jwW%$##qv>Xxr{n`)D}r! zqLr1kO1i$>X`%k}`c~45e$@LTcKo2z;}k&;N^{7^&J6~>m6y$uzZFlFteIC38HJuz zbf%Wf$V$D1>p{lmX2B8db%JeT+1k@N*=TFfhPIA3y~k= }5z>}C)W)MXz$R{ zyZzFKTmyS!CBF9k*vv7lZ$HD8Wm~I&PGL*yr_=LMEB@eHbLpH_Kv8s?wcTWmKITWX z<~X_RV{!dwF(moA z5_IJXk*rl}p4zo9`_4pC`TWqgq6Aj`IcSvLwxt@e9l%M9qemk#H zj9{%+Tbo3!8MrMUZZwIWD-3BKR0(`L$b&fPR~~w8Tx>P@7Si|x?v`aTot7HgWYbiU z7B-C|X@E1s^usVrwpd7>Cpaz@1SIKbLcW@`M0YMr7*21nW&QM}*1w}bCIXThCM|!2 zgMmovXO698UNHNrRxPd6CB=pB2DrY9kHXlo1_|V=#8?kW`=w& z4#=EB>V_xk>Rb1D31zFGJMWN){I|a>?#c0oslb4Gjsy+_B2|RLpJla8)s%*?r zkizKJnEKqs4B2B~2wSa2*DT(Rww6B;u*c)3fH`x~*e5`MbYjQUA#2R>`lrRX(ZI9T z;CCe$xtd(-EGc)oMUpT21n?0p?`qMuZk1Pz%$KDv@6OR`>{dCH4zg|56eu%yop}GC z+tg@r%_uZQx1@--Jw_P!wU+&^gL7z#ohx-HR!AY-Q`j!6=iBb)*m~XI6G|U(#516x znCd59EGm3wSl^DIalO$Il46sUYrkh(t99Frm@A{jkmsz*gaWtn?rAe*N>Y@C?_(aj za!Ma`?W%C(3A+T2@O1@h|N&}J%=Q! zlj{j$y6P#y&F|#jma&|lYSg+}&;=r8EsXpD&L{QDk;`-Gqav2{Md`I&G#lOn^^{51 zP>W@CeVnSF^q)Pv9#9a*IC)LK*wZ$-Tb{)&btkiSq@?lr_N>LSZ}VV6ExS^(0r`Y| zm3`|(7wx!;%?}K7?I!Gtmysf>GcHwH3?k^;KhIHb|2kub(V5 zdV_v_Cn@|Ywue~?Q>!o9YE<7W$2NL(E+aVlY{P24UPo^9E_5%GyFnZ*rsn?@kdM3ARG(!U~$aY8a}(4kSSNp|m2c+g4a zr~%bR-}3cse)5zK$4j+O29raCF!9988`<;|rix_6+6kLG^^|?Z%acc}O9yRQC+92^ z^*;OEXe};uEV#BoKBPa^QQTuip_erK5K-9kusWf(H=9;+G)qM?`(ql`38+!!Q3E&G z)>1wV3@5lu4xH=ajIdjh9wlwWloxqEIaj~_k_XuN)@UV?UT$o}}|>6&g*a zkS=ZANrh(%0KfZ2o>o!O|XV?p!!`%xQJ#e1`sk&DfK zIxCNMdT!h1h-ei@dCs)VzyTuk zu||O%*n>%AYD({+mwL3ai4Gyt)-p*J-Poe<`bT6t8K_)8C~b|7AsH_vqj3ta-CkKo zOyzGSbZUYjmYL1`7OP#A9x5p|E6ysu>y4WMenwAAql@--L*i7n4o^B}(>gW1?l_vN za%VLZt?%t&jr=~Sl+>cWt0mgHTz{mS({b7KOV7NE+u!o420PtCt>cKO_$bhWNr9;u z5~!_=!geNxJQWU;h~mv{ZVCO5j^{sZY%5T=ZW)Uw@|_`TGQbiu7hOQ*WbB3MV?&^R zON4o%}A)#Lw@9c z^GPfXuG)Dqqu6%TRg>IS8*AA}*0cJ6QL3-#d7m6g`?Qp_I+n+tV_7|*iA{l%&b&yb z%9YI;5lxPL4Z54!5LMQ_O6u|=<8jj}hSd$r%t-kNi=G;6LXakQ?eHa0GzA483-%gC ztXNfDM*ruV9cC~45Xl){rfbzsgAQ>4Epm+tOaACsidMDP59X5v$=lC=h*=a#F%-8PLo`S<^z<1f&oBvO z$=?q>i?YtoVBT1UT9c{o?worrS&GZlmocaKWr40@l&64QeAvJ!o7laZ2@?Lsj}N=v ztxQEscWLy3X{AFZm_+H-z8sa-0dv{u{D2371NYB|`#-s6QOb)*lbwHZr9!bMaxaWQ za#lY)NwYmptWY=wYeutWRD0<(?r;-R3)1q{V17uPf6L+3OOJVEs(0fMy^KXJM?JBP z6tU3(1)92ZzHt~+Mt-if7ea}1SkT-Z6FMp|ULCqS)vje#6EjC9wIe-?rZI{Fc9-i? zwXocc34A^$A_s$z{@%i~sl>?$X9=!dY_P_$4ovVP{6D0 zK!%`V;mX+pRYj9Tw4)S`H5$bjFnFrS!cs$OoQ@^Hvt_32n%fTXsK`!uo3^l>*Qs#9 z_I1a5e#wd_e-iILrL&)K2b>6qIUecX#J|k)O7)fIPBn(&IZuujwm3>c+&&hq$1xzz zxH?Ev4g_NIR->2*ShG9Yd@f~^uE?R&YK**33iX}}_wEKxP5LCDQ^!Wzu5nLMY1X?; zqSrOQ?pcUx)4a4!{&tm3lhKV%u4jmi2bj-4MtzH3 z^quY3UM;(Lm_GockuZ`RkC>LinY7QR10lLuJ2pQJq_--n@QM#NSacH8PS|b(*k`h@ zuNIrvzTEN#5cfk=yKffeW5DvsTql^UjDk|xZ{>+a@RWDXrXZ&I!77ys7=@nMKG~TC zbuOj_kZ2{iW#$^KN{ga8H8sF*AOM*;*H)#$qMRvMl=C@@>U1|N$2ndG3u}s~r8Oj2 z8n~H~j~M`6Z3xJO=PPXZR0_rAx?CxLguz&l%jZ!@d;m{{=c zSMa+W{LXCqxLXCfk{tDf?MhJnMc4}5d_7ReG68@w04O;5akmkaKnP6vrNN7@ji2sB zfu%u!B;Wzy4Zm^RP87(=;Dp95SYs)RDvR6U0(I>F2r&5!s?#35(z(0d_;&n(hadWb zf;}dB9BXrvG!q-*S4}38iel_~+auUG`FEJTKI+X?Xz1!%vg%hWP@mD;jm|{hVlLur zX0hYeL3Qh6$(f7#B5nr63gZeXXY#J}Oc(_+JlpiJs{L9VLwYGGGkY)#t#>ZYazh20 zr*u@G-8zkmh}~n1d?7Ks+;^`%t9y>SaBTF&F- zNQk*PFm~NoicT%TVmjVLQoYz5sqoa1biz_bq*Ux_TMN;$lr`CeJ*`%b-_7M#pp8m2 zpUH9?q-t$iY5|)2mO%I0d~e-arj}dHE3e%YDO#IcT#=DnZQaJ$GdIPh&3y*aYghQ2 zJ~uZPKDUsOMSUC|0peo{WG}&lLv0PLPt9B{nd1$I2K?dB8FIH#B1=`9;?2i--&bX- zg=a4_B-sV56_&+(`@oXm5MrN}ijL=y;zQ-0#=Q=aNA;n-)oab{-J}I@g<%5;=+MTp zzEvN_)jJtJil_(djhj5K0U4+VjH_04ug|?6wed`feWw2SgcP*cr?sm32(t%vRrdD$ zBadkRx!vL)N!V^VqD|Otfh_)pNPvOaDp4mdaGQy6rsv>qk33FmQCDyDsQx_e8#tbB zr$jADUN1!k{8Zu50;?9tL*Id29Pos2N$;bzKq7Tuz*Y=62&9MQoxe+Qk2(D`2wxj5 z)Sx1R^x8&)l zp-{@~OE<|`Q0Ik1>D5qHA=LXub;RJ1HQq;BEfEN&mT(szlsKC7XcOIU=Y#u@2f{gm zfB*ztR9kJBliBO->}|@@C7a9 zqy%huEt+x~B!u8cZzA~G)QUTQq+asLET>j#ZtqtNJY%6E7Lcs{*d!;x@osLvx7D-O z4>GKrXZg~as=rr_Rd-c=k=@elUaf8r;4pD|Z|-KfCMjms*0X43ly<#fJbY09xa3k> z>0EBYa*O79=F@`m(3)XEdK|EXHBi>{%#3(AzCOG1U_$N2yC$z$7CO${wk z!?mIm4b_S)CDD2Mkm2j5Jn4sy9m~oiN1SFfH8((<`AKpqwI0iHsFCbgLLxic9m+h) z+v()&3jS6}J=97`vEEfg+M%&!+a9^0Vyrm5A-*)DtBkrtgY}Osh8i8OpZZc*4YZ`U#y5sHP}DvM$p_$>>q?tcJ$WducQ_5+Y6)uU|Jb z5()aZY7+aSnkIoO+K{6zBgT~-(gY-E(;xq@Gc7hrN8ak0tE$wsqj&a z+I1kegLugW=DYiDzlbbUct>R)!Yu~L^8=K6sP6vM79#G7e4*tYQ7A0Kc(y zvFE5L7@o{1Z+vK0S{F!UV;g&p3vH#Qj?h`vU`e<5Pw(jp;*{mJXPk*^XQp~CR6S7cm{OHu)b34i;6S}Dr^r|%>N#%~nkZm&@29GE zeiUL}#s*1TYqfxjLuTk?mkVGkHgZRe3OXd{Xi=5x8(Iuj%b^}dlh)W1SmlJ=ZtIJO z1|NLuqgq~RAINWKQ>Pi<{cu*cN1`T`p)nxnT&1VE;}z%muks;&zuFAJH#j$M(Z2*H zVn>6(M9m;DQQxy8f)sc|C5Vf1pVZZebU?7g+&BDXL~l+W`^0=Bk@EJl7&l(!sdRGM z z*B8%8KM+hyq3lFkH7S*lOrgUD3IXHBfhmbbA@|=#9eJh~aiI$}^tbCw_8Z9(2FSD$ zl;?o;7qAHH^mK9MW@lkNnMA|DEcF zG3;9~*eRRK3(0?V*8v@r&lUI>g!8oG?PK|vN}A7k=xiXHmBPJj+OJf^Kn+xcjXCrF zrVHQh|5H1JKneWT+T9rRkV+{wsV3qfa4*`|82ymye5~GZ zy%_oFXq4h721K#pF%U7c@M!55N=-4+A1n{eZ1>%u@8cvHQQ|)Ye9?~G;I*^*J6Y@@ z{0i~o*zk}0eG%|WAjNCeLUR0IL>A{@LPQpbXL{D~!%BBG#mF^jZ&0@?(CDM3N)&Ev zEdl@bvpyvGOdxE$b$zt47zm=kDd#fGS<+^5S7nZgTSiO^1R_?By+|u*>;N3(qdcfJ zX<4k&(}<(QBKq7Sv+fhlBPF9dN01>337RfcbDcERPO}F1Aie6Kz!JYhDxeo zb+Rrs7dl}_m=-QsO2`VAASBQ!Sef_ICu#3CreS$MU18L=w6z{RB3csM zJ$y)nuQ`u+!wf_UQ=m-;9*-ZIFg;dqlkw3HNlaGKLK;%0uR?WF{ve>^)70*mO=@h5BDvI^`mBi8`^8Y2`ShzDfLk1d-D`|x^pR`~ z2$DWUqGL#vKkCtPO3r1I6NKjkGrwqKc`bbO4bE>!5PgHzD+!!)Ut zImiEE?=7IBYTLh2h9RXvx`q%?I;0yEkW`S6kOrkYhVGD1TG{{uB&E9>1QbSkD3t+3 zbOxl(9^!d?-uM5#=ljS#c_i@K{s2k55%pu2ue?=`%)xbM0vZT3P49Rm#=HR!+z>Hj-`Td?3&yH?Q zTse?YAj7$ebiqGfxlQyNKhLAancpo1Z{xx5rdr%O8yB}3+2WHoj54d_;WfzjVJegU ziyGfkTm7qpcTxh|XWzGGLA?`i=53N3TAnP4Nom7R8m(qg{?T&yR_6pxdZ?W!Oa~xv zA8NTKW7)TcX`y1p@4 z`o+%_d9NJ@8}`@OZU%E6AP@m|rGXOM#d?>!Iez2$H$K9>_tl5yk*&|jHD5dWTsJSR zF`mQ;DoLjW+J%aoK)alKBQLLU*xw#W6mFSNUiV+6W3s2pxTiFY6P{A%$!`z}+c(3k z66Q%FUazj(Xye&p6Nopar5}0VCKhTmTUGVb%)94gUBk(O)g_~2K5|%l%_9$|$A2kJ z#DvH_-?p*CInt?~PQ$|tZv&@?ZbkNfWU0-=47c@;YgwOH?E;sJJ{(82_cf2%hE-)V z;zLRG2Xm4(N(rQ>kXIeAd+?iV%NuG>XOtVcO+|M|cC_Q{&H|VG8Yd@uJ3ii z^UmS=2Xb$Y5^l$VqtWqSRFUr^WiDmgS`^$s)pH`|VI-%62h0P&{(!m$fOd6G&w&zB z?=Hui(C9F#F1Q0VsSFpc)RE1_awb#bPtEA zC7~Q>;yOVIaG-eWRS=$s$>%` zV!4o&0izHsAj75)7Eq6e_jO6;Z~0?zmLDNd4mTJgAuEb57Kv>C`3v1rV+^`7$94gR ziV%g7Ryg=B@x?5hNuT(Tn)CEuK#nI*LV&BD(v#PK!2Rm(vu6(@yP577ZW_%aNX=^- z35lUYg`o#0N}yttK?~w_MDG^20_wk{pwDRrS_rJQqbm6YLA3I~J<k%r*S;%EKo%jpb}J61`m4SxO@?mSMv(v^e8q6twhAWj zt9>O8CDH9_M0qc+ZisuVitwHKdS(ozNGE@tUey+74^;T!Tdxxnb;RoStj zELa11m#jAgl_nX$mA?ud6{vt8{E-L;c+#^&9nE}1^!PBlK$w|X5NBY9zx)EMhSvpz zYs)bt+rw!6 z>kkq3Z#f)2-ZIke_jpK&`hK&9C_;og4F%8k`e{xxeZVLp4(@^+Ge82V25c=sm*hbj zGBNZRcmB#uuL>m3|EHl@_52}DbA+D}@YAGZTYn@aI{^wVpLPo1#E^gaz4-a>A?QKy zCzT@NVg~EsTCRg0kfP6^ALK7>+!SPOf@zsN&0-5|AIsBHUCcNGGeQbo6d~1&sYf`V zKh*9^=bz8HzXn?IbK#@{e25yQXfS}{O7{y950SwyLZD(y|+t}VPoe#T4!kHmdyZe3^ zd5L^E{o8WOz{;CnqeM!5E;+!t`N%(@t>h9VHJI$2ynkl z7b|Wh3Hy5ddD-v32H6a9{LewILQ^+jkjHyTx>^iZK2D&YuTVep(>lECoM06E0eKL9bWfX-^jl@QywX&)zWJq4!GrPor=i z3_!QF_&reG7y2_6W9KddP+R`>a=A7JS1o0U&4>{oR(8)j_04M)QUjA}ZEf=GLIg5NS<4rF_B^H!LB z48in_c*r{*_LpTzpHQD`q;5=HTB1qk8zYS_cMIvk)=05?Vz(yNNJ~OmZel2%K6&L4 z;R)ZpgH$om-XHnHv4>D>UB3XL`;{Kx1^4ztHfk3O4(4Wo3@cf~zL!$3I@|RJf_xuO zt;HdxKisW%3QDWBHPFH?)gNMP|FFWk=gxP@Y5#I~B7(hu zdpl(;#YcNAV@`zUmz6Y_dtbsK>0a&y8~oe&3&)qg$t@f)hx0-K{kUjybGA^;ao|Zj z=QU>!LNOttstggeRjex<@~dYT0;_}*_yjch*KtA1IM0SG;G>jkrw$GFV&<#&3EMvJ zTfUPqmYR0maHYl~>t>b2-vZ&P+@QYU%8NJNf8!E1b*?h5Pa|H~9m%@*#b>BDaxeO2 zI9;>VTXQ;tuf9mMG1_=2@o?4YSK+&-tuxy`M(?MPltM>C1FhW-A8(qr=Xlx@apY#O z5O_OJE{}w@zu28I7g86b+Dy%vBfZ|wORwQh%|ejJLeZNWjgvCM8Q#w$7HeO;Lhk(j ztC9Z4@zw=4!7{RSWNb5ewnIm*9m~XS|Nb?clwF&rZg#J+jTQb)D*jRUC^M z*O_8IdM(b8@n|e}qG)8Z^;y=+pH?Ip$)$j@q;GZSs;D{ISndXjXRskP94nZ8 zitbE%$C^@j;JU7Vg47sW_Os;d#!|-mb=K{MPmkk`qk!NSctgV~`@>{o~el@qR1aA7)d8AB(DljX^E2gb~@%+V#&jcn8NYFpcbyWZ} z3gJ1U9DmGxhI|Pt*un*L;YS5#{_kyyHtPd~1W2|E%rrWM`yZ?uL~}mpe(0J0=Q;2x z-vYjyL!X17FFfVO|5NupUwi*U>)J(Qz;l{o!;da%&KeHLqf1VTLckn$ksN=}wSQQz z{`wx^0%sB!T1o5M>>?&EZL1EreJ%>%$Bil9@70SWk00s6{~ zaryxNdKEB0e{*Aue?9weZJcJbMECL~+RP6eKxVliAaU7Ql6G`1K7YUuuMSXIR$$&P z2rBRqD+G7}4$O<(g@4dpg6i{g1*Z>!&W9d>aTjQV9rzym>>Twlt^e?AJ3Uh(t*F{AGQ6;5^{uo<-k(_vuc0B8y3E`8i@kszR;D>IN<_L7RX!F=;Werhf{S1KH#z+h$pDg=p}Se}xXMV8J6#bxdP1wn4UDYA4MnI-e!mr>L#Y)o8@}(!j0|9gF07> zmD%bVi|MH0tUJV43Pr75ZK$?2=Ejz1oJyndxVAWlDRTA1UnMzV6z*xCQ?V-=Z=1{?Ke!VDz?8d;$@7!0qgj@o-}DiX@Va`q~S=i5(O7 zSj9JKi6)7Mx1J0>zOU&n@xwulkH7G)ljjh>N{~$dYM%>xJ;VMmdj^|}HgMKhjgMq) z=&sL~>7?1$$qni%eEj;VX&>D3+?{byu4_L$4*N{I4z*|b>)#A~&D4E&M|Ja=m6qSN z-61$Cz3Om&PJAnEd0s}1Po)LBH|NKLwVbvbcL@*Y_1si#xYJfWfFeth=asXX%NHKZ${BFsdSQ5iB?Eb>BO>RFS60(3=aK=p(e!M)NtH#%R zZ^JDoHXueqZs+ia@gXb_$RtshPbhY<#+2@aoRg95MMO73rVzzYvpg6-YT&VL>s;FN zq2oGKlIX#PZ2Bl%Is>UA6m>$sC1DjiH@v*Zc2}WycVMT9{)q`+(OvqlH2l4Ln!t_r z-iwc@ZGYB4hydl{$*h_gw)3bzI?nOqWm>>Uv$r|5c!)$YWbE)gXpvZC~;?rKM zZ`%5=!^F39`{BcTMbKWJw(h)I`1P%+x*^lSs898y);n8`oIj5A8+P}C-p+c}eV*&D zZGz49)efCZ&3iTOr8`Imx77}9FJzM}AC&$&3~#yr4U^ICiUHS)r(gS4`+$ilJWO7= z_ffIeSEA1Hz({ylZ@YKld@#Q7mdo(uw+DY)PmIbm@kacix{_o z8~iCYE}q>G&jr9+o{TepGlwj zIrO>F3HK7@+=oR%`k&FgdOdV&b5umMKmk=C_w1K;GGB5`s?JaDc>jgYAd(H9Psdbz zBRNL_+xHyGnp=-@N2Bh%){7dA+zzkpEN**1^j#=`DaVm)wo3oeuTCk#_7mJ{u*D% zgRMtb=e83cB=ooqUy3syj}3ezD3k~leN0pK3t?zEv<>5ot$3NI$e3+^?D z&{XVVJEqJ~l*G$e4JBdrGn_ipCT_pZO5=8vO9-kX zdr7}nQf1L@qQK^nTFt=6*Z2BFaWxv6LAovLV2(NC#2p9P7J?Cp>bASZ(_3A(^hJ`< z0^Z^AKLrSB7Qx^d;>M=#uoMG;K$5L8h`ir`~BC@lJo_NmWa^0Urmk!$*dePo9M_iiK+)T(ZCbl> z29skBcqV7Bwih#WKtw$-WEl+0CX*Xh*d-psd=U?}^rwcIU1`0UgWGJTKYx;@&&#(P zHOaLrfV0#yk^ssrXe;91(lZrdJt1zv zPl$l-*fA~}$i98GyIx>JokN^4wKf*puQfbW7@ZJu#V>YOmlp^y*>xhJfeuS9aGBTp z5PY*0kkEMlU03ivmw7M2v_vmWzMaUf*J9RF`UOO0>1t3fjZ(8LM*2*+O3ujI!K|QjsZaoS6SXYS zJIQVwipFc^GvwLMG`lisViM-;a`JRDQ(@nu`+YbU?>2}06tcOxSR=L*4^VJQYpio%m z_+4Pt@#APT_+Z|gqd624A6}>LoPH)^pXHf^^JxE-6sf>hEo_a3q5`||IZ9v#flFgU z)O=XX$9eJnIZT7wUO;)x$8!k*#Pe)cxBAOQVe|1#{7lbfP|B8N+Y4jFh~y{Mxz8bL zs5e%QF0?_UEet`7(!9Z&CoA*n2>;I1ZLFU0(W<9ffh@*2#aD|wRRp|M(k6vJsrBq8 zu6acZe0q$-`#jN6y=|Jh@_M`36K4V7cEAnPC0H^`Z#2NNep&J6f>K6K77Bl>nf~13 zHg%xjeqDCM3n|s(?{`yZjX|2`!P9V?<-{FH+2vOUxP(*sC5f$bed$X}DpeZ$zZsXk z;_8_RH{Zoyoy*X&+c+E)+!X$FXDMD(0dS@}B-dg_`MoWjDT}Bquk?JfJiG%|8g!*v>oBDeCmgH7m;A$GseSm;Z5$H9A6lp% zSvvxpcC({vcTX;xxp*G|nh?5M8so!!;R*mesF?ZI)$#G757+3qE9@=T>Dc+&dpdw8 zM=QC1LwY;@flB1W`VXkYy8kxtXh3T7E%0s9NHN>zZUoCNhgVB5y)A8A9LdReA|Uo> z3izqI8w9e%08x;30*G!Y9XEYo!i+C*Irp@E$LPuOi+PC2R%eip;+tSjo z#n2CCUowua`eu4qy~|(yc%rAk@3M7rKHwr_^=Rxm&SEgaxP*l+x2y5d>J86(k)I&s zxwlIHZNS_AG2qnyX`wg$_bv1%?Y9&aE=LuMh*aHCH{kUL`GjT{+$AOFWh;NLcmNnf zF0ioZ&)DY%60{jv{Zc6Ca`#SaEq_uuzOaYVjDX=;@|3 zl*j6^+hegDl9v@D%~uA3cjfzbFju`<`>|R2cR;I1mi|bVeo%s)VJtf}_Ib4kUy$b* zFL8(bWvoTqRXO%#3^kb=oai|U^E!+;DOW7?mcFpE{gdoaosk{B9sse3COc>T0pRk# ztzec$95_*TpOMy(3WLMh*??^NdjRDgQoj@;a9Qa?aSAJ*q>iU>Pz`*bE7xmYGqa80 z1*!OKdU^~^1u?hb6;~XMT>bo#373}q(ur^JUiSjuYrS>(^p*aE8H#n(X7@Y1%yYvn zcK1{l0~-F&s-AWmqi1J%w9+{0Y5Fsz2=&R-0(C4=eE?8aD`^ITkrk^9VpC zICC>e7;()0#vEI@818_HMs=_PY41+_cI_U!s3jw}gZc?ZyCV1=3>F`GHr(fH%EhrOHwijV;Vb-e*tw=URHT{u*kmPR~;hJ)BrOtBlS0{%yA_kRf+|Eo>M z(>7}b&}~kKqT5tg>Xt|b7|P9aQvbgS8~>~6{|DVgLsChsY3Swm$^eB~qX6MEB#$kB zHZy3Ja|$Vs^1&gk!4U>`Lb(pGl8?v+h%JEM$@T*f>oJUu`+Y-gt~3LA{g`@Z-mm}k zJ4#jM7{f}tE22awNW~IPi7H)!s$qE{OBd=yM7x`vb9cjUG{?_wzKDG_2J0~^QlZt8 zQC8DC&npKaxOhm+R9!o9S;#-uIsZFT;D`~kY@99A+|#{!(rlqhWijTF%B?&+u}o%! z`fq92b)?gb*d8oz^RXF%D(HQ!(0F!phq~sE;}5~lwf%i$)!?0Zo%zUX$CWdCGxMv@ zf)K_G)O}W^9+@vpnV=(Ou4I9n{VT+^fc!xRuK?jKsVZ^oZ0BW+a<9V>5 zLF{j*$$noBafcj1WFQiP1(pB?K>_%$UzNs_;1H28u!zXv(jz`ytrwdIE`5eC?T7=I zRfq|WEd-~36@wPymTU-N3F9}nOEHM~e9!2f(X>6w9^QjM40?Jg0+uff!=bQxkL|WO zo`X4$we_u{r#7&Pk~bwI5hm={PUM0|wN{uA0|E#Mx+)G)ReMJ1V(Ww+lI!7|(|J1) zL|%c>w^5EXP0-3Bn`WAu+T|UuDe}zMqaKWInuw~F;|{k_IJQzv1zwrnw0_lDa(f*k zJjI;1p|y!g2@+b{e{1ya1~gCdQJxR+GOa03blzmMt&o1ISr8 z5#U<3O@^G?xPb|?GFOD*mk<)F>3CDngTu)Ic`sRFCKRFf%9mRTtVEZ(IoRHojBj%S zu2eYNsDD5%cssk=7JCWFJTNOM(bC$PIi#EeUo*V=8_IWvI%9$mpNHO|@lI$I@h5XMinv)J1^ksH z{5)<440nYn;Qo7yuG#6Q9lKE!%_vSOnnlcgQB4xXQd}5b;qENiA-SOm$4_P2m)@K9jrGONyxb|h#_WRz_{P{{6BF*`0 zo7tDg14!R8y$ToCk9@z>qLF&7p4Le#FRY|eGPDrd0S`IB<5{LmhX?7BTCG0rF#?8U zMo;hhCMLsM@kf(C=9(^bH0QFt#XSfsA%~`xFl~}l`d3m^-h#SYr_I|*Fb(|%yhNv~ z>u=@Kk`}T|OBz0nl>aS}8i=Xd8c}(++08NGisKr4ZDa&VThvC{f)c))lLg%5WmMgtXtw2k0JE73}T7LaDgz&4#UB*pZ)Q% z_4{J8Df4fEG#brxc2Z70Ov7rI>!qE`Qlk3y9oszz>YbZ0ubg8+Y)uUrit=yU0zTF&sF4rDJ79Z8gEZ-JZYJM;PjLo&n>tPR}i7Xwm6k}&~+Z|0djl&bWh-p zE9f8}QsG3Ia~X%Fg|ZM1)Yze&UKbnr4~MqpUC1&P1t5^9hM%1U@FYH%sUQi!!KjDf zn2IhL1~|OYQA)3XGScFJm-HWTGJpr_>^KEW4`8DsD>AeC+>OyO8xs~lWx*%mu&HQ{ zXvZQx?l)-T1JE2=@P7^bqqP8yb1mTf?G%WDV+e8p%>q8TMGS2V^F1t^1yH4&66~`) zSX4kr8Y9c&l4?MB91uW*aT-8F00hod|D@6Z1aL}|Kp)E&6y!j&R?dGz1k3EW`GK9 z0K((%LPxWL&70?yYtLrx6s#3QathW$V;6KUYDu`O{-GoJm3Y=I={0nbV)8{DbD)fhv>g^w-J3~>gk(#f}Bqcyw(cAY~U z9sU?1p-?`<4;qh`18*b=ne*W7px)vs?t{ zw19O)RY_F&E~zm$k{abL(dkr+Oh)z{d+9Ikv^s=F|a4N|YXdFlJNbLX7 z;GC_03C`J*XMo73$Af^C=OPs$| zM+u@)y`7;RS~xkcwe+&SNru?$Z9i_Rmfhs^ zvm)XCZWG+M;O&3OxUm#F81eehfyA>dKQ~_q6u-N<>d}x{RQ#| zkUJ3oy2f)1g{?XAh=2t}srfDzmjwmZOpGOAK@n(fi7-jHfFfi$sPO1tF(RT>St1y? zpPEDoC?*nVI>&PX)>_%CUBr|;?EGEr_2tB3<5a@Wq;GzvK2Xs4`# zy9BzTvagYRL;1!aK$5bEJO+)V-3v?1*_NCYKKW25<SVr~#q)uJl;+Tq@q)J0hWf!hH4T(;-s`hWp)zZaI( zKNx-$S6CMx4L6nrYB|eNi%3}4T0iS&iB_j!cCIaHXT|l1=aO>{{9y0i=lp@JZ4y`5 z<0^3FNhbE~Uo6@6R7p8$q;F)cTU~lsajUd-Xlh%O(7nH`tn-VdaaZ;!L!~j|#_eCB zE9SOQK!{SL5i7c8v=I6}LpM{Dyw&T)oojQt(f29E0?NT$pzQzT<(mHmF9$%R?%1iI zYk-;$f@?v-31So+r8oenHhLV5M}ajWEanR9&cfb0ps5dMd5kvu zG+|h3#DBF(#G{|48I_U0QDew8DARDwC(zLvD{G5knPq+N=;Ze_p>Cbb+Is*X@JSoC zo2G7J$2#D7kU$_k8n*BVkkoewd#K+TznNe3xI*Ha{63&a?1vN<)fT^lD<)eW|xK%jyBDT1=h=?zU*vSY68yMllgR=F5>Is8G z!T`0nC7*{BU<`QQ<%hBQ*#_Of`&U(wbGA3Wfctk8he25|a5af?I1s&B8u11@c1~%c zcsSH`5G+!^n}b)+Y40xON9tZSw(be2 zb)}J>jO<)2w2iXR&Y;C#k}ZzrT~c4RxGL06e6oXc!w6ynjYe71pfJ4~K|pmN=4nz~ z&Q%*N!ilVI_iuu`A1n~t-4;K!#3nTSeTbh=m90S8Iz36sh&#n%JYFxcbbEZ5ud+BC z5ePIJu9nkY*qpUM6t?7U6EWO$SrW)IdcZj{DZ{Y6R@GwB(CQPtbCUSbtq3W*arL>+ zxGL?zfjdp}4>Lre-2D9+f#!_xqv;7B&t-wF)lQ39_2Mks@odRQ^Vt>`_|bsLQtEac zz)&kCS{7MA<{5T#>@s8Y&9PDnkua?>ytwCI04pAZjTF#2dH>WpFDxy#*p^0+@V8|} z5c~)TepmrsIPeF-i|)bc#p5q1tWfZOae~XgopgeFSvrxXzQl1=m+8lae398Ztb#mx)pX`yr6U>p0}tS zpCB1z97f^v2TwHTMm}UCrM31>D6>npZ}@*OYhy-U&o0`QmZ5r_?%LC0qg!q8L5?^<9vY_!b^IZO{QE8X8g0MIhJ{oQP3AdL~5B`7F>SFW&<4M=-fg^o97Y_|0VayRd9w;~73X#ylilQ8GYu{>f6>yN7bilaL--!T1aSW=4hd0dGY zEkNi=fc513hsg#G{OZ&|y@mt7Dj#(X5Qw>;w8?V>cSrjN+8~&exF5)MkivgOJD|-S zgMhi?a_>$V)Y>F_wk$j{(xj*FdS?`37XP;MjmKACmS5&puQGSAi8xd9&cv)mYEvh&Sp&nts_sROgoJ(WIr29aoPhAJz!L?kf-L+A&W^BaxRqjrF$&ItDk)%E zuy9pAWgie<@6$2Vl*j>4##1sMkSe9F1mram>%o;JFaJ&^V2|K&biz_6AR{24Ag3pA z8vp$2B_t+6)G}Q|9`i5FjQqkiLxl;y1L-6KxCcH5!zi&SS zBy&;Hxw}yzCO6_(U%aCDCLGL|!RCEOHoCT8O`MW0H#jXDks)GVGb5z;Dy4XDgIWB` z#OtSRoWDhF7y3+*DYH(@4ch&!vZ3^P6Hl;1eOC1k?rl|gOcYMFq#Yo%>lcXMo}{f^ z`tA8;SYdr(rEcyWv@d8<#q zTfnK7s^bwOUzo&!abB%loJD(LgvE!JF;{i(%FF4S_OvC__kX-OG9h+ADq%ZLcczs; zC^l$M54`3w^ZaAE9m%A8$Gdlt>f8Go^FA$CF;$SJ;Xz>|7psZqEFo0H67w=O4Nv$I zD?6()HTz|Guyq$-Sk5KLmFFP4uB|X8E{vWZSkDCl(^cK!@_|ex01x{uEr-1Dx`2fQR<^C?0-4P zwi5SG4n+!vQy|VGD)tM|StGdR6t$SnadGDh68CBtYm6a*=)HUUxQ7H^vFKUW<#9Nc z5rjj{`1eO(!i}h+uX`Va8@ zoLW-s^;XxQ&1UN5!yTy<{{TEob3BBLJfHy3VUda)751+_5I z2nz+}w!45GThJ9_R9;|*I6|3Tsh!BagJpc>n-n^xAZz+SABTOpbcV5M@wNh{z8WmO<-j6l=0_Y2B!JoO!?JgUVg;j<#ca-d8gKuw7_wY!vQz$foOEX5s!sK z{@Y{7-nsuzdQwwW?>0vF&O~%zrtm}E*Sgt5nxv~L-Fnqm<0eh&#vH3BZ#uq&+;h68 zM{&Kre=-&Ba7MrK0D`3))6x^ncyFpVi8^n$FZ0$za$knmDc7 z6+}osK-iiw>7qfLhYQtTbJ+=Cj#}DpN!=HHdZZ-hB$t$piYk=2+cW%L$obR5RX=+( zuH`iiTCw%0!g{@)VXv+RmF~bF4GJ=jSr&Wz&7uPv=5J%Xp00ZB9Yv8H==W?pTG7lK z9f(VBE6|DwcaE8GEu&!Sal3VXRy0r9Y-SIEM&vrjX0I);t*|$Zs&tM8-0m4(5t(fg zA{=(Gnaxo~@LFz{!p-XZ{Pe3CFQz|Dj>r1;<(^)1BZp7Sp}gaDGSM#ku*lMJrvu#z&)%R`&090- zsVxrc&r;!^N4O5t7ip2!ITGzRJoC!$!_}lzP98``NJ#wFmf&BLbu##{AA5v33M2Wt zKXj}%y6&Bxr)yS~qiD3w`!m3XKQg1Io7^Ec+!(fg$sa2TehW%#F!Sr@f}PJ2-GSJ= zOK&}M`R=ULSBg)Mc2OjfB>Q{kgVnU&TZqS3B_nE z*|h*d=GxX}3h%J6jM-gT*{{-r^LdrJ2&atofG)e-(W=n|Z?%YB7u2%zXnyZ{Zt$9E z^=I1oZky5l$eEnrt0|HQF^0oZ3q0h z3P}>jY+hIX;-D=o2i zRUl}z?D3&2;}={*->{YBBQa*pe32){RL^0((JSdTs=q_#ZN-yTa*XEdgFnuaDb1YlgVSP)ze z9|kq8%Umb8ukhG$@5rS9al0D8!|13+sH$ETqKwp6ez_Phl#wH`Jqvvz#lL+t?=w=5 z3fk6kx|TN3Z~u6QjaQER`gVq1sY$U-NGV;EPte_IuZWKoE2I(Y_l8N6o1t4A!M{*MnnTOZWT}j-QN&+ zHyCaqxn8(aFp?!^hG{h--03;uX}%!X%4_G61*mo=Rj(h#T+zWTgu+B0HMea!^iVu) zR-V?roNO*rYAirl`-S2cA7j*h=p22KhwY>LA{!*Wm=bo3QM=eB2!R|5^COUU$ncHn z6FjM;a-3yhnbtzQL6zy75ov5C+>53|GV~TRWJt$Mq%tSDy7>s6fd8{mfu_!*LCHr4 z20|KBjUF@`37J(U+ntg7$08eEErQ7UF9JwEi_ER6Wnul!`xy&SU(NFFz4dyUyQr;$ zIZFDpbP?l?^m1C@yt3i`VD`|k(HB*rcZ0rmPb$n?dVK@1&Pj=GFC z^^ofbI5_^G5fV~NLmcf2rWv`UQc^IGMEKL_lo4j86*I0Ljx>7 zF=&So=4FgZ;GG>)17jG&6+;oY7g{MeKmIB$*nzgGX@^lxXk@lf@wh_*pUIbmcIHCr zh0w~zU_~9aHM8mhlZb@Qp0fNZ)3g52IsqV8K?q>2Cf3f(GDb&8X?DQnGG*OF^@J5{ zqd|gwx!(|VtE}QWtI2cw(Gp476g!U*82jEjnG1Z($a>q0C@eW1F$mJy@ zOVhwHC`tV)@8c2a*-_C0LcdYHaigXWve8v9(nprLk(`H4%Oc}fencYg{OEu1l6yr3 z;UI1!`PFB3{1~jVzp$~lbaE2QzZc=M_>A^tws?O_|bo@A;Ztr8~*e9*D^9Qq9_7ks>hr{UVi;Iw_5*J1(Vi ziOAb~mcKO>+)JJ84mb7Yo2@QLrJuUW&b$7U@cr%+m+IZ6L2p8{yN3Sc!G_9l-4x3& z#ii?Br84_KZ(R#HDJNK(Qopt1TXA$*>-MdC?K_0k`5a{?_pXHwrary}KeTyyXD`Q; z?*6UhfyLp~7nOU4epf*mWn5{U#+yHUA6$8j$!BKtCg$#qHxXjfX>PS|?%WL+d>6GX z$5y&x5_dP{VKS=50ltrHb)EV)?op>k^qT6OnXW%me&xpE!KnH#x5uCFC^1Yae|uCV zme#O%!`q;#F>8|1$BFM(8|6J!nVpjKadmMo?$=`Twy1U@WA(B{A*UBD;va%2Q4hI^ z+V*GEz&lAuh;&u6$mJ;ngsAiFCfS~^$^*(N;Xuv@m;IceB23P$u@0W;JZn(}0JOH;?1jiZ>Wr)I=*j{Lgd%yU}JMR)4&7I&bw>0uRP}*eXHIn#; z5>hM2`%yLhb`@$#)1`r8r?O%klq0TntAnDak=bn)E1!S+S7P8J>7Zwxgt}(fnz?iqC?r{iU zi(AB=SCh`G)bbZ!u-;i^+K+@1wN}pOMoKxl+ATqAPT2i|Hjpo+;-z~zd`L&iyK}?D zrsti0ATu`UR;pT4^15?t8g##Za@rzmde8{P3a(RnlYpA``re*<$27n@Lyv?L;XSoT zU!%>rPXkA(CiJOqrJ1#TM5!h0csAm{YrOw3(Sa%X-r}m-c>Lb(_Dg+nWWgj|()*dk zxRdnHa0zdy^k(uTh~&4zw-{rFbjc+~Z#$FkF$ZMpQs08QBssh~S2wqO-)$PF2W;6P z%vL@os`D?)crzb<#YeGeiC_KI`#`Ind4~#$!Q}t>C}!~ZOHkJoqR@AQ7MLO=Gw>4l zX+ya8Q#aF%mNBM;B$x}FWEA+pi`^|1=@m|37W~?eVvLUwv~*JqT+PvgC#;(7(TD^F zrH|@cnm^PtUY7Oknm)FDvVQV$PGNp1?}qHhyab;akMD!6Y94O=Z^u={N3Z+vnYr+H zP`lJAxz%JJw}iJ=W-QMjFXgxn3ez*$mvuO7j(*Ra*}8l$ zF5efd`3%`F?DMHCUoM-a3x46rW2E#X=2SkIm5AC$j;{O?!Zq!9P>F5YZi85Gz4NXh zTYPz00p%7xt6=o}*r*l-OM*9gi%PR<>v%VHjD)JM5)H)8%p@(>dpkExjf8Jc`|ZpH zDD1nobB5)$#a1W?`bHn|t{*6v=rHW}A1YwGg<>j1ey>neFrE%lTq^MWp?D)K4jzu2 z5cg=36LxI3IBqMEa9Xf4QK&uH{H_1jcTUw`D=n-Tf4&IrO9^ddYh@{N^GrQgycW#u!(? zXQqVsYC^hj|f{Z_`<37rq_;U@GpZ{pY8$}Xt=?gV8un-jGscb?$07n-+f zjW4T3eeP?H*&r2(Y)Uzj&9l(%E|tR3?DUev*6gK}#2s#nY{VMwGTu~{ex)+z*ff?G z?lQgmoj)*~Y6)=^MzuT*Qc!nOg3`9w^7q!_;*_?<<6xI|jkZ!%Uz(z0_<42YyZ@M- zxWDSy!yJG6vGuy@W*q83HhbO?86JB^S?A!;BqEY~Nz9M%ev>cI(N>cgFi}?H8_;aZ z!ha{#v~mPHJemqH+0ZPD-m9-L+i=}>3rgQnUp~@U%EVV-vPsD=vqftCC~}eL{mOx} z+5T8f0lFgrW#&V9j|BxR$cAid65r~mUm*J7n{#NEfCyi4TJVsA+v*_q|!fZo$vGyEGS$7$28#a`{jpOFDCUXu#DwA_kd6|WrJ#W?Qai<{ae z_P@jrqtGLCC|k$M@xQO*Hv5S$ldV6V=UDMNOV7K5bx?V`V09_V}d_EEqN%-Gg| zP0nLX7$fMU9LgMQHPu0zRJY;g)kvHuNvB7hRK2mZ>%$UQYmfM11aOU7W ze6;XBdvS+ThJJBM3;TNK!pyMS_}2m|-|-{`-W~jd8w|ggM+xi3jYbK@J0*ZmC_muS zuC~JFj%y>h#nPv1l@H6SLni3>L^OVrZ{-Rfj#oD<82?*T^z@wDTXh8)*P1~EnH^9g zHB<1FFIP;CrV8fw@{WYe4vojS#b@r0UaR|Yz3k;IDr3{2AE;jS-3Us`bkMj?VRAq+ z%2_w-1-vZg=V`kl>4BGl>^$x75>OghUv7Kxt-zTLiC&LMCbgiQcXs5`63QY>F4dfH z%f~SsOEt)uI-j^0j^z!cl<&=fn4(1sTGpp=8L$brtVd+SZS~JspT@4ks@$T##H=lS z(IDe(^Vq!MdwVVBaN?G`3PTNbirpaj2Xd2{V=NV$1bgfjyRx1M=7c`ELH2FVC%n(D zuh3VjR1+5m@I2#py$$U=ITqFKdRzPRKA=gU9m#)2ap(c4HtLAs9>Tw%0>F7Y0CqS9 z)ktsyYTQ4UWVrP*Tht<2+4x;Ob-(+Y8$%{*`jXCHJ;!g@P>gFSWjx?zduC(Fg&H)m z&79S&A2!hqPB!`0CqP^L5r+EpzL$A>egU~37(80lJmWIz@b=DY6P_fa(mP(2O-?-8 z&4CA>YdZ5B%yI9&`CJpIzp;wpP?*!eJoM5k|2p*q^V-d|4I&TDx4N0Quy>%oU+fbH zZPNX5I2}!WO#v47D#O|Zn1wb;ry(_kClwZzt3(-_4j7IMALFwy2q3$;cm(VYcc!r_ zKcplRa6oAYCmz!(nlD)s>zb2U2T8(;q6Uz>8Z`+77O{HUBdpU8E1z*0UNf>5;YrY_ zqDj}%FD)k&GLUi4vhGc9tL(?=?zq&K*VA5;5-8Ru+RJ5Z8|H}IFfV>RkN8#YVI3&i zHj*N9cN)90Lt};S$?yVRqhgH|f&LBAd8|fFZ%bi>V3sU3GK_~?yXJEMtcj#E=l^2u zO~9dS-~VAklC>0LSBe(PSQ^G4rKrc!YRfvtnz0UpBxNmRDcP4wmI@6DV`s86)-Vi0 z3>ix)lJH)Go~P&g`+eX4@qdr^b{Mz0@B6y1pyq5FxDKB}G=jC{YsqG=!{AgQh z+xI!C=(TWc}By4y|e#M)oYH_~ejV(P2zW=6C7vzX}i z<>0Otel={$j5%K&lKGfDH`pecZ?s0Px$Fa>u=yK1?rdQWC(f#{`x5S|oEdj+1U0X& zrMWC224%8*zBo^+@Vtq5Y%wXrNfWR0ecmI$OzdRgJ^h&TgLV0j9jKOyP5CFN;>MBu zcwg%esmN2-n(R~-V=#q~*4E3goQ6&hN5h;8>sJZl@M3@N*>(4uMQme&l8@`aFr{eo zeTD5e=!VW!QjPZvoh`Q7CmE_Ez|?xw@v@O{;;7Z9y-m*bHN`RQrn^syuRX`5TIZjP zMrnsVX_w(J(6rM#)nvdYGZe^3YI|*+9LI)GuY_E)#td+jYj55>nu!xvUg|baNR)Is zO=V8}`4oLI)VekQE(yNwR~>8Eg5A82=KFvXRW8ck&7(8*jf>|j>{|?aHOi)^I#4QG z{v^L;fpyjj?uj+G0MgBR^q{RMVuK#uMa!evNgXaYc56TX zIvwjXd4+QuN0ZlGNSemtEVC-L-{*&F_r8qpxJk10h>^M?W}<6IN-A$Iu0WufzpvKp zGdNpJU@_2KZs9Y~EAC^$-bddRpfVaiW~QUWc%y`U+3+!ew?#Ir|=$}y!3%GmV zgX^UyIgHIlGqH-4aNAas4l6Dt{rvjNYmxnx9`i%?)01& zr%6|jtZQ`}7B+7F=s4Xxs01Sv4A%uz7wi4xZfkw?^^0f{uTk>m4MSwDqkVk3pum@N zrH`llUO?K{u0n_iNw$to6@71EPxFI39#wJQB$RwxdR0P~%2)_KVh1vO{`_xLu^ z?}+cJTg9gZ3AH}@K=iyGp_a^gFk?aWwB1DNk1E49Vc0FA+Leg;qlOWw0>{}p z)J|wAX{Fj7$S}J}`2?rtJ`NX1bBVF)O+2L7fwXH-A>)c(TlM-}fM7?OTM=E|l#)DO z`k`WFtQjQj5bDebA0+kJQz*Hp7$>T=V1a**wR1uakTf7z9^aPv+{0{$Y_Ld*Ivy5G z=~{JH6@rx&HHTf1)^$TDUYBhdz7{d%=`T*chz|!P&}TvPmst-SD^Z7xd_UCqD1NV3 zcUs=TwNVu$V7Y77Ml2nmohvN_psv{bP-)Y5%MFFzo~kyCSZ*`xOY0{VIE8g}M4C=g ziBpsKv9#9*GE^}tj+Cqun)tQ4MKuu>JFns#F4$+XI2$5LwF6^cVu8zZJ37RJ!2Sgp zv1{Pa2N+rm`~*Mi2RvxQ!7>|J&7d zSX=!`!jEb6b%4w-wbJvDJEsK($G^-T5A8ehqaHe=U35yysg?Iv=U+d&-A}^}q<_$( zK9wmI|MWz}4@3%#kj~dn+mZdi#}~snLTc=qo{=ka?RTxC>&FM~Q91_^Fbzl=(nbr2 z&D_<}YXj44O9wJc;}qw}=jxBeKQBrZcn+DI-$U>v4Sbky8P+_7Z%U1-1JEy{z&Bpk$jkE01N9I$V+WC0}y{QpqLTNro1Q|l`PmQVCUt$ zKg8E|p&fItD~)gr;}@uP9;HOq*?ZH`0c2^y@VxC;WmuDiD3DJ(d09%UC}=BB3tEwj1`wyt8Xd# zB7E~NOj(gY86(ZSa%*xHRR%MyZV%YU9|W%)utz8w%C^*3*ipofY2uq!=E#;vZ@W_s z!I<9FL{NrMP=@#3BMO_>!P=c{Y=AF6gpxBm&#B2k2W_IEDx<=U zVVA~J69lLO?u|qx9(hulVPgR@auSf+!?;6M@KT1N4O@b3e^Q0zT04RqhhDww2t>a0!Bnq<8N@zT9}a}PbYWfz>bzPJ1_dfv=7gqi zpG6x&HvTDO@-OKEO!&bJ@?&iuj)lxn)N7%Wi}+zUxgaAl)1(3M#oYYYVYEk;c-`V{ zhG3-Ksy^0E2pFAf5UhczlNanjNNTuX`A4J3Q)52g5LZa=kQoS*T*&0!+#-w!umw7^ zbEXwmv1?kggGHkut`d{Zph-9^NcdUMQY6hZ!b(oB?a-hyti8ZR$amU^Bz2qj_HKz2 zed05dS1$T*aD`A_Cc2Yq#c787n~^?Umc9Siy|} z0+L?Oyy--_O8ppOmy-oaMLvR(Cx=rjxaWGMos||=gK%9Ht6^Psl8w#?MN`PUyE$b3 zs%O+N-U!&-(N>H-J2ydd0f62RG^=GkaE161uSLvDx~C75J|l(ch>5oPMjg?QaL@DK z<8^iZzE$k&+p&~IYLOsf&gcWGg1bY_M0W6KJuuQnvMpv@A$In+13G%tOVcYTVY<~@?YLURV*}+1Bn~C!^Y|mY{OmxF$aUSyMi2mzb|Ny9Oelr ze^F_%44B<(+1t(6tEn@6tnf#`|~2hCAX=Ng|0#Bp<9Na{iVB1%2bkkEq}KJ|A> z5X{PP(?$;ijqZ)1Enl3Q%U95gOAEAoFoCdlu5Q=q9 zwu(LZ^FC$KILu43s~~%GD%E?rE38Xe5hy_3=2)tIvQX=<(M?b7#h)KpX>ac+d912; znbrsaP^zgE8^SlU5TV6FAZ7v!;QwM6ZUDiixk*~w9C?7Z1(_9g@a9;crdWORtJ1xJ z@VYG9@*0-75iHZw=w3+hWUFg5u#WHQY5E#`3$)0-FR>#1^~m|nb=>@Bq=%`bvj zn1`e}q^iUa7y|w0b3TDWCi4S6$Wm=Ij~Zus5xj1ZYAEzN{RuDyaVTcN*2nGh=uZQ; z8zYsL&tZMdk2a2Yp&z4Wtf)Rugk~oOV2$%i2CAWzt#plQUev$z%8Oj3oqya;Gl)<- z`2n+Jx~TZG;u41X9CgKqN;GP;RW5EVs1|&k+&?nu)Cg0;))us_Qhic|!dqM@uasf+ z1;de^$1OXOXN^AilkgjnlgI2SpAj%}!H2M}YC;-T^4haS>Dbp}1M2nDMPGxVzkaD+ zNdShN(~MSF;)(O#98$!BOG4qtZhEQB8s%lz!OMzqQ=oeTTuflKKV;NRxA>nPKF` zrNviP-J>k;n8uLkh4z`H4Y zl_~)2&HPDC-{o7!fp=p8+_trw*!c+Hwwb3=0;;=wJ@Dd+x6RETunTw+fu1r8h36ie ze^KP6A2H>`8?v;4&AslV#)(MI&X~)Lgs_JkYtQ2cUV+^Dp{%_j4c2uv092mO6WsZQ-a{ zhHIoU;-u!n+8rQ5miR&Bo_=!VWo#&7qg?0Ll+wx zDr_k*WrNDh)Y+kyV*SX>n@*GyaGucyVyb_jfZCG(NzD#z50fn^HQUegwzDIpWzJh- zuskyFK#1MIP@2~`rTDKteB># zx>P_@O6m(tB0o^uDM-qN#$|*-A?L#TC0*b&FBL+`N3&!W&N+&*QSv~ycz(GE@fy)L zTHqI%Ip@+bJ3gQlDdZvfolcE&;i!RZS^P!dkC(80O0}OGnF?7dKBl>}x0-+%Ft(3& zsuWy%Ux0`_=ojBXkdL??L3GuHq&*w4EXOV5%MqgGz#nIo^EH5FIWUk028DevXSKqjiahM$7>|d0<6*mGA znSVicM%JF3x&2TaNJZZRvTMf(P$llV0G_hhuaA8sh`y}_^w+#Oyx=c@JLmQ))db|& zU3UUb#-yOyvzG+tLEe($Cm7NQK0a!nLQ>5@=RX(LwIp{(69^p1)}3HB1?Q1%C7$vE zqPl7~lzMW?mEeodfTYTNMIjU|WLxypS~0bw)0nq)1u;uh(@zq`-+4nQ$+?g@`~}E7 z-v3Z~Xex#`_@VO2J3O#kaa9Hp?uk4!D^yl=Gm_l{9f$(Pt@!4?14HWxtoBSCDOE~nh&sS(F_7v4e4rKJmQ0yAd%@h%Z-IP- zTPeKx9KT#~h9=NU&kYxeHTEMWbpeLmc1M9-JLw}abyEpeQ*f>^EN^^i@%ERnuGKpS zLwwCG+7Vs1^2b7!_Jh90rhgD`1LXdeP{)|GaUX_2lVajTSl3ENgFszs?9eebuf#Qd zFf3aE^|dSjoTVZEcJ_`Eec8{Fg%2pWqG?;_0r*wf&lSL(cry_PT$p7Rf|;@lJ0%Ab zYSn9eTc86;k#0QULV>HS=>V(uUJ;B+4{x-yBZiJ?rXqrolzgyabT1W|L6i;a@)IAm zp_J_MJqrY#$&fa#wfgz&6aOj75dC_gFfgDVvl}A1So(j6)l{Yg;M9FpF|8y7VXw{`Eym@2wmSPU%^DFbngXId8YVcU9 z(DexH@msxV{i6jFkq6amy^7s)o7@m9F(@x!Cr&^8;7fCc-2qyRpbDX-IN%4Kv_Ev{H-NB;6%l-5{SXsJ{)uTsPn`@ zye$QN(qp9f2W#=ca{D2+Ml7Ol`q41_SE198V5@sQ@q-(BPzIa-Uf20YRlh+*T4q16 zu+aWI&>VlUvYKB^ukMPRa|c5=j7zB3Gu8f47J)hAU(R5FdqK8k@>e@i*D%7};Z6w# zOcjt->+L(R{z1Sc0^{OSWp)%S3rJ$Y;(Z{^P=g)-4cuBoq1MgLG{vi6$Z{4!Y~8r; z_51@Codf+Pn5rLveYseoDl<~>J<^Ofq+H=wXa`|o5;)?d4y8D$!#>^DXv8K|r3In- zY3drN7nNpji5n@XP@!;LXD<#{Nwwfq?fCBECqOTrr+MrVo$l(+9JFH%@yIIF;hsTIE4fYxQIs=j#+VmKWs|L4ozP)9di=mqn z#EV();j)y+oCJ|viKjtCS^ELx`BU8D5^M(y&R|&EI7RU%z($)jb@w&E&hU%lkx9rD z4;OD%M;sH_zwfd6fUZ8aAc3Wu`H?mV8UwTqjiEG#490&LGH$okvebwkVC<5C9~JIS z`SiU0g-kMrS>=JFWyV*_tLJVHW;}V3z7w|qu<8s%H4+8tYyqTtqc^!H!bY9~(~p zAp@$})yw#IaM>UGnLzl!#BtsrzlaB5S6-}Y_@AVd~AujxQsto18-#O)@6+8t~iR6o%ZecnbUK# z%WCi%;cdLG?@-tB`EZA#jc^CYUoa;;ldj-el~%jP;!u$o;<~iFlaCZPY_V zxPwynzF2=oMM$b&6#Yl03Rvz|`Ml5c{ebgFIo+^`kZ3o-=(e4b?QaVepGrz_tfKuH z7~BqW{5$JcM5q3PM@WUXlgbaYc#q*;ImSJfwmV@bjN{g#ghv8(RUFns>ZF@GY;47s zmye1LakOl-v+dOR7H_AX=pEwUgef#6c@%PMTiX`o^^vYm>NhRv08WS4e$a;Lpbfd0 ztrGaT1YU?A0AElppZZ;-{Q&B3E8>NR&dLxVPO+1@uzLYOkmj*9rsztR7H4Z4%KRp8;>ZGgcw@${6qe1MDrYPXFOfJ30$OUDPz2FQ(oONbRB0GX;`~cgev6$ot07fvn zn?_(12?8jGRv@~+VQg;4Z1IT)i%i(iF+KOc3p}qOK{T z7$gNY>vnS5_%e{Vx3&%*IhGt1d=JS&1B)4%QD45EQ+hz%uTP!9(srJiMyUmuGZjTH zI`h$PP);E4$}v&55`|m)%|?@19x=?^oAHg*vQQGJlL~!$=XWd9>@SE@wEx|52gzKt z9s5BHhx&t#G_uB?X6#q-c5ijQ ziInHf`H^4j)1vq}j`h5xyb_lGUJ;AamG7JJOJ^)%n@|BKB?fK1nBEP$DZH1CYE-IG zHo9NRma?la)OrqUoo1cAA7&?dVAIZx*xBnOR7AC2U_@aHkya&Sgz@~cmOziLU=Q1+ z#&1%gyLB$dol&)j^xM%<8iEACAy5U6$&=!qQmbzl*LeFPZZgsHr@+jDLsk}uU zbDi!OsP`@NNUMJUIsF^IBZId6lJICMs|Fid>8r}=Sy9=8q}m+)T6);_drfi(A1dnYXzpU(!G8GkZ_WNsB>Ev0)E0eVL-)gn(+;E8M{RhGl z2MtZ;WHhJE3Ij?0DOwi$^sMObhZF#2W8G!8;4upmi?^xwH5A9%UY2tAUw(J&rbyuP zp=mWitWft8Z)stMu{%Mok6)<25?qxER$2W3`)qudP4sRe%X6f&F%1}I6tl(i8!gzT zCII_4ITg?-VNsr)Tmg+DvPPvS0F8qAt#p1;Cxb$gR#<60b0lElqT7YGO*)wJu<8s7 z4Cj^cC8gz`L-(Zf3M;#Q^y2hVTEWYTzKqO4eSXb-jP+T$d%bgd-Mh-iud#>kCaK1| zP}}%==S=WOmyFK0{ciRo-&FyuvYfVh<5KW#JH>a?m1cj{1`a!AsfUa~1 z)3XJ}Byx)bUplj< z$O+>K-k*X>-I5&wwWOJ&$cifNpH)idSR2IvQ5~5{#htC9bZ)jztb{3w446|pez*=v zG)0*Zd7?~}h2bcAvTgBr%+OseJq@ms#8YQWCy$(WZM}FO*;3o>8KRUWnENXwRBJx> z2-ffExR`KWMaYw8QT#2zN$CsHLRzG~k2GYw&NSTp%nYI#75qfum)GeY6=|M4P4mA0 zkanzS3<^8aFurK zc?MW7ww0Xbz8nKMHg~7#@B6b}&W6Ji`^dQ> z=C0DP2BwM!c8{9Zbh8rar(ZjM&dJ1mS-gIL;eJT-LD{i5lud(_B12RPjMdq1ZLx`| zaJ+8?Ftxz}&V(u0>pnFeBma?UMx^py<1Yxr_Uy%L-C~DWeqL4CSPQYkhEuTc=>2Pv zCNtlM<`(OgW-s3ubg3xpQNJ;Csp1`?qDV%}!KMF)DIafl?9oLF{*>k&Hr7ehV z?>*{l4GnQ3g6W!wj`X$wR4+Eo6pR-y0OoF482P8lVw3)Oj7gxD9@_y|^}B*;IM<6c zVu~zLDaVD0 z>df_?244E%B4c6d^6B+@oXhgfyh1r(oMtt|-t{MFG;y@kToSc7X+gR>TV94C+eQD%vzv%1$u$COS0%D|o9|uIY z&ls_^UifMAq2yKiaKSbm0|T;c!^fE95UqKBVYm>Cyxjg?jJH10WYk3go-pcj?*{*~ zdrYkI(Sl72qId^D4=H`j`3wzwi( zZJvvaF#d=E`DYwZ_Xk?eJP2p`g>gDLam%v}`>uV%E7VAqm`b~M%v+=AW%|FJP?W_r zi&eIs-zDg-m0o!1o_79^PXE@an!Hu@>hC-6Rq1SHIV;N*JT_6Orhfjt^jrSgO7=a@ zd3C!?kB?{ULX?hk@9Wx6z7e?j@d1Md5BaCgmnO4XF-6W#7e#BPcwjKH`-u0a_aM@u zwN^}maL*)NKp3Cx{pl<)IX?TM$QCN@;-;nTDN$N_PXtP;pepWOpzr(o5!S;JMb=Pp z@2dbEV~CdCJx+a*e^-ULsQEuZH?+}75hINUj5at4as<7~O-oznIej7QvdPGh4+F7cgVxPU0s2rVRsNalVG+KM<%%1(V zEwXwFn-%eMM~41ztZBYk%9d|-^eOPoiVytD(&)5-F`PQrxh{R^H6z(m`qVmd%Un&~ z0#q0e)ua9lx?6Y1{tMmSx_^+~{-^y>+i|S^kA{l=UpH2Y>WC;_DhpfjhGj6l%T+A=e0W%F++#$zT)KZfBN)Oh^CU{$Qk#i-gN&s z!@DZzB6oYxi|vBaxiMUz3*YsP4i7T>hh5m0vYmMbTUzGtSwW4D@NC{~xB9|-9c={- ze^2hq%qqlPI2U_t->AjUlou{vVd*Jqlod6~i|+_Z9a?0lLyZA%|6$3KI5}BDXHhtg zmT^&&s1|;0Ufsxt5A;?1gs3=f;o20JVl$P8Ihy=9j}mCp=)KgAXp`)&6|^JM_lA179YUsQMAhaZKn78$&_e@&pfYYY^9et)|wNdrsHB= z7VipX6P{KCgnp6~j??zOKA+i5Cq7}R=q_~l=F_|B4f zrs6L<0W5YR#l%`*rlM74>MQ?j&2sl@G*MdeyC6kX%wz)hOFTcm4K;swnP;|^ryDM~ zxydKx9l4YR#V+}GMRvovX9?mX%`24di-7FvF_|u|**NY|#@rEJm2DnpApK_mp;|e} zE^R(x=hzjrbMlKOKz~pA*oqPvwkA7ktYp<;7k)@530}~JaIxr@l zXbr%`iXy`m*3?a-5oeb93g}p9e)}T^Jol0QKtR9O@%SK3U1ktb;>=472!NhM;~JfL zhUYf6;1R|@@&1(1`Rgt^>!2{+L-5W=y0U9~LjepTFxJ<;G*uGBvLiu!-&%!h>0|C= zD|@w!0zdV-4uILlda)ADD6*l7dzR9#8m70G4glIxQ&C|&i}xq<@tA8h6LEjcLGH}o z9uhTg`g_tz7MOM40Fc8=^3b-RLRMow$do$C7_Gj02Lbofc6)9Oq-p2B2h!kl^AZ5g z^t|kbg6-bTlHxH{vYwq$;YEWVPE>ihZwC__f ztOhBsLY?&GyPsEyMUh^#=MYd)7|KU#8n@c~hNC7i}dWt ztkt6kFm*#il$4HSDoNRWSzc#U`N|%FtT$1tha{Lzac?CJ2J`>HP6fW%KK@{*0<)3^ zqG`nK;U$PKouQ4x{Q!PfPd^)=ZXWnHeC{#B%LjB;(TS##0C2_fXY{1tV$(2y(=`To zl?u_d>U8qlB+i@Y96&maRA=kH8mKkTC=7SxAlnKP#Nz87yy7Hr3YsRk{0{(V|bxIqAIti17MQTeKBfJ5b-^Mnj^>}V)YzueEO3R;dF9qOOV{(sqqW}-PDZn!6 z+#}Rfbo)zouM6+bOb}rhDXL0C-1w4CtKJ0>0Sn_CS+R9g9r62hI z1^9wbhc4$KvTc@ZPh#HF$qj%1n!IHd=OPiCpvy@-k-aM)vHlhbe03&kNA(8ROMCKL zto8-kyri@oq;5uP<`Yzx@xpbM;n!srI=^)_8J3RTxp3ODe_W3E(w2?$=h{tSIqRq| z9V^Sq!o}K!8ju2i6uXdW*~wL=4x!ALxk2k21&DaRZk=alV`nL@9WaM&6&E<4!BTxv=JiKZpp-l}Gn9jV?x327AqQ zQ1Nzlp9}d|Y`;l=_x_;jew01aN&TI5PWgz1hz#H@@8Arv0bmzZy2?H~9a<0)rvb3qHOr=+B^F$T?>rZic|4vF)vG zmdL##_DEFb{fC2lSZ>&IbYWw+(}8^lD8A$xIV!bos&viz4x&6hwouX}mUMV2 z_lSsGnD!lgUufTnQIoif!?GfBZ#hh2nkV#}t#jNywEbnGcjdJ>wO2Oo2Il8`@l>?} zcGRGRwxVwOY>hvpR)JA|1j`SX=pK>B$#}fd7sfC?-Cu6i1(k!AIlff==!4 zQ^;Dlui9H0bLDOF%t%4@{V&3}_$FVwDFjH%C zv+W-GL3+$vtk{c$J%15#Lf5hr2eM_`Q@F}KMKP^|IReLMe+%5{Nr^DTRj~3Zi{JnE6sn^`hR=nPR%lo{}&wM zt?c~I>L^JE`y1nde9X*SF^qHAVlgNQOliUeOnn+y&Ut;5FOz|4&7X(}_+z zrw^K|`zM$OG{6>fFWn*-BmDnSPh04e|MNYdJipi8Z2wQ$fYONrh)b|GNg&bHN!$I+ zGW=&6Oe$dheVZzGOO$A(1#|G1xBt=&(IjL`_G$j+08J6V0TTGV5Vo8CqUglCWIDOE zvU_A{4SVWE=eb6nd2HsL!PDRES8n_&B&g~vpHG|nw8y19?le}yf9{GfM{9e@K2EKd9_+l47T@4);FhSGW zo@M(zv`>VTAIAh`0Fa}rsSNTv~K~I^b5M1OzQOKLHRd8IyZJl(_@)T=?}BA z?a~0Qem?zuIvsH1AL$+2VUF8FrlkismQn10uZPQ5CK|+(?Mo*DA_rz0ZCQEf4-HbJ zdN2^hErHwC;{QSkPMa$MwI#AsW4pI=O#7tYsa1L<+S{1ME7cpM820!ob2AVCEPEJ0 z_~D41+jHIfZ(Q~QpveFlWUJ=?XuZGFIY~?B_lg%y8Q#!(25@-OKl*Lf;&y>q6)`5T zc6?m)j3_M|pyG4rG`6w`lm`f(24!t2A0P z+Hr*Tevrm*tM*?zxBtG~0;IB4rQ15-@V_sOErW=wJuPYVyA|kmL}zP10w^f0ytMP* z)&QLTy#z=*|6L(8-s){0>M^>Vb*P;cKN*mNKRO7|Lv0`0w6guba8O(B2;FuSg7Jjj z|31XJ&$2ZLWTpRmE&2aT-c9#jmcPIev~YHkmOIdbv|jHzpg8|`<|DTAPNz@H{QrSO z`~Ri@+v2<}(tn;u(_Pxi&3hnEN_1lXk(*yTx!IbY*Cx=c8Gz6`5e;5>?zS~D7t#mu-iEqgtNFeMNn1Rdf6wUg@8FGTC3q_GI$9@vGJV z1C6+=#ECIXHSD#WYs8Jzp1R$ku~59DDHs{h4fmgwY!~#jD1FDUu@D`4sqh z<1EbRB=o01FoO|4rhns(D+B`}AA8-iF>(Rd_i&*!YjT>R#M~> zGVPo)37Jt^;m=xs_hiX3`F?dq8~o~;fPKQUVi$DIB@rifcw=5(d2WF-eAXkyoLuNp1icN zaY9GWB)_^pA`(xSk_Z`-`eh~~Q>BZepYrZ3ld{#prMVoWN;!k86E%Ss%4R9=P7+1*krzc!fXT4)3N{~G@uGSES2m2 zM%KK>HUIIQmxm|3>BCcJYpf{BxC~v9+C%H>x(U=rE6j@1Uen70sgaZ76)_>}U5h*@ z-m-?Q(b^fXdwrGi(`}}&`;bu-0?<&-* zQ1jkF1XYi;EMQFZ8Zf)3uS|`pAz}x}HBgbF0*@!`!`6tO-GxWbc*POonqew|2_JFH z)B`i^D%iH0xmOKf8s!Vh6^wOXr{Sj)|e z(?1FK3t5IBVx6ki36Ysif+*!UR>6=v_uBVODRnFRvTI2~T01MPJd);gagkFS7iROd zagBlvWmE;7ej5!Z79;*&PiKDJO7xY;L)4K)9Ly{TmdORVP@N%3#TC85sdf$xkzttz zN0gQWj2V|1BFlsGKWd~UFaCC(%IL`R^3<<9Pe`O2E;38xBPWUlT}8Nj373==^;E39 zrT0b=gO2Jc6B|g&`x|fWld})UrG_{puebTVvR&Gbc42UnW?##2Fw_5}cCg^>dnRdW z)H@>b9e$J%b^7*AAeJ@cYd4EUYwx^&Z^FN<)l=q^kP_@y%*5JLx!RKhCAkUHSu3C5HBL^dOtLIm zylk|UpoaFH5nECgV|k9gso_GjWDkA?1Hn{xLy5z2;c(NFAg*TA`Y>ud8V?+naiGq}2O?7Bs*?5Osq0uIDx%N)J| z0*3(ifbrCgT+b+hER@d&czn;4E2gRsw%Lg6^@LH}^GrTMKYvbIs^~MLLO-jyLL3eD z;dehx6M;vR(NFQ;HJWyLOvpKTs-G^eycO9yhk>k1LHjw(;uqL^{7mHC=hWxpl_CmM zD9{EulBuDGqzrg)t=;qd>|oMtSiZ48#;mJMOHk7v)%H$f)*PzSPaR^M-H)GonpPy# zaH|TLu-yONG|FSXTu?JvTdZH~eW66{&vW_k&h{``D^in9D!lw{A8;H;@C2yW52lLwb_Ov1MDozrU7%# z0xMqjy^%?{YB=7GV;$@>xBzuftFejYDhA>IrX-QsZk2;EUU9(!7HEr;Z*quG9okFC-S+c zBDjf#csm|mVFzkyyy-UY>Ut0It(Lx$!{^#Ld{m zA$x!9NQNV<#1UIzKO7n|lO%NtbJ(fq9k`Or3G#P)NL9GCN!U0G_0r2DZpMfQ5%FE+ zTSBhh5Y-o*VwP?>8e8v)xz#{IR_^7CdXm(5P%d)#4huFDStk9#*Uss)T9Rc#zVCxY_*X%Qq%0%p>ajNa({piLAZo}sp#?k%(`q!;J%cOyddd|S0uY2HR zTi`?#5bT#q&jiCr3!o57_?|bun84MbdmP0}zf;A&R3xA}E zfrR@aih`Sk)}kw;Ete5}Hx!$Cb&9I_LQ0L|J((M3eXMnQ#%6&Be0uk6(blu`f!O@_`b2oauUR)2qoss8?|8%8Qg4tnOG>F>gqwf-I25v`Dn zrah)#_t)WxrHdIoco$inwY5tDPkMt_(w7L14RU9VB;&Lr^cv-cKr1Hg0Vpl}4}~&= z^*1UQmmNc&iPYep2J1UNoY*szejis~=of|*w6p9JUt;jBnO@}Cs4)-2oiD6>0MDA? zMCTKtMFx06k+%b$)ZSO{Npy_hmRwfdgNx!W%XgPn&(O_{8Qtq63q>D6aahJHv%&A0 zIYLIdr}zoc&Mzgyt!wA@X1O5af2~k>cf-_W2Ti49%w<;{mi+?WMSbE(rW_qeWie6Z( zfGLn(W9nLZXWprfk1-+>6$BOS{@nR9g&7KHjh>AuM1c?j82%Oe(7Y|k1BNO(Hng0q~6r*tSI5?@nrGYvOJr{9csow;*w7Tyj00~Ne#I3YMyBwJyXF_`<0F$#G{{Oob3T3%=9muzj;+vdUEvQsR@inYq`9u5hnTRINadoD;A z6)n3B*KxQNlb~jf3yDf=3mcJc$)iUH3SuaeN(Kgqh>fHK%4AuT^aY%L$jq-WWjhRA zMl~tK!T)60fzL`)t?d}B(~>X%$nVbU6h|dG0N;wN64;NfLMpH5(aI1iAlRV9N!=H< zbUXBlSACb;Fc$b7Uc%Rac1^Sw`I^m60&RQUQV2UGV4XHQo8(D-^1;Es1aysho@v8H zE+vpG6iLJf8>M!0qy!pQae7I|v=Kjy?3I%3XnmLe*rym6*1vGP+__#pFlHx=Z-AMf zmFbFWfGSKhU*P&aI|_S5(Hl06cB77--8Pg}4k#-VY##-ae9-Ut8H2VOG<{aOC>4pOq$r+WRV^XwV7;h3pAHyZI^ zG-p_u(<#0_Jb;V7vhskC^BR4izj7Y>S=vFczY_HE#it(3{yabL*Hx0-zMdzn-FR-^ z<=M~#v~RLu94&c^ZTGfEP9A^y;s0!un)-^?01)DeAG_kHZ-;TEsNL! zg*R%j;zk$+^Y=G*^StIW z%C)sDNV-@5KmSR{;|n?SQj)W!@IstJVUsgn6Lx9jo|$;K%?cA1PjHS)ZME?g2_4=K zai-5}H?h%BBt@F2w=S;J<0gcPk3ier85B5X3eYZK(OgxFb@vj;jwIO62R zP{L?zfNGMZP+LO(hSes8;n#3%XJwk@+WuUVPmsWB=Ka(;#@BXJ>B`k8v2;Z3=nF>Q z6SZnOxGZ7{)?<3;D9dsHK}j5p36I)Jw~-B-!@tM4?Ou)}a7h@U>?C7S=b-OdhRKXW z6zHJf=WkLZA(pB2-JxYN++}J+?`O`1z{yAjHt1ZVFI zE1zxH&+18&RR^%cuFG1yR!dKvL;gaq$fn~X#5KpPFqxKGq zfgyyL0v+(LuTWe+d__ICb%+BsD%^dT_pCV2&+-po(%}KJ%r>=6mFsIp`xB0gcHqU# zKYvuG^l}IY>8;|h**>7O(=%j|g0ymObQPYt8}B9ku(7ZP^iq9&!OByLIl6~XW_`fP z(->^gHtp)?OR5xAcYS_eMcW3p)u1&7=4Envbj zP^O02*A8Vkry#+QNz2wV$%*DOquMC`mfyTefMfpJY?vU_VZHvEMJiwRgiURx!n zL*ZRhl_xgW5Bo=QzIp46jXK*$x3ihid1*&GY;%xEoCHtPURyGDTL z%)ye?Sb}%HKyb2>gZXGxqNTiRAZ2>~phlqBk{QlnI`+Qv6VTEgBb6}Hp%`D$X(BWN zwTtQ@@4}=Za<6_qs-{X2bIl18mWaBU+<{=5V1l)_`GxjLc|bf%67etl{B9y9P4g$MX7}k{zzyxEk{H+IYHuX?ypGH~T$?43wYKm*~tpPC3K`UjBk zFNqx+{uh*qlTtLtqxr?)6_gg2kCM7i)S)KkR zj2(xr|L{t3(939&W|_R7y2N-=w%=;XE3~3ifw4o-a`yxiTgS^T z1&z&n^=c-&*38bX1R%Y`Z=GF9L{h6W3x)hl#I*;GZVCn1+(Z6#A>F^UaxHNeF?OHeYua}}R zT5$y1DTZXg6Y@N&M))tBA~&1A1&0*%(HjZ$2BMZaO0hD_-!Jt45O*c;P_6HOP-&%| zHrm}v%wo1nwA?oBw^h+(8B3O77?RMg4Mibq%Wb+zV3mk^P4sjv1tXp};N7X|_+gk;yamDdjhjD$&fR_U}Bd zPfAhBOQm};pG#Q8KE3(0#A?ZF%B^U6gyNoP^V!nP6dC%|YZg&CTMr%D<@(y_>4>Ol z>qyUr)vxz3n)G2%UCJO%(;1nVe`H_Qa690;yn__dzOdg-`YOBW_lkz}r1jfI#^{f$ z^vwG*>Tv>Hij6znQapNOiT=_Nq0I#QAW&-GmOoM=->jCD@jOc$*_t#TMCYUqeQNUF z)PIRIg}gFqr1P6id2%vGWWv_ruUq~}&4OMDJmztd zp?{{%+V0fJV-F&sPk8a^&4LPWXI!L@vAM3uPj5Cog#DHNn|mZ%(`QSI_PK2` z(Q0`6Ab0G8-;GtSaV9{^rUTB=4e<2@)u}7=q)FMYTJTUUcpi_k^RfBP&`CG^Ip0Gs z%*I8++$bf%BCBfuuyN7hR(~vYE7|Vg@lj#zN9*Q$CqHU#_~@?O@oy{dFfDaPc8pb;8hxBo9v3OdoVBJ9mfZmbQ;I(lg7AIN6D}Nu+JxW z?4dFsXGYsSTdKFlZIfKnl$9r5x4O>?DjA(Rx5XViJnPuO-lRQ)nQ~D?eBM$dtZ?08 z;rCTT4(7b2+_z=u^GAi#nNvs827+=x3- zq=B0UA6-2O_vb-y_Eunkg^BH(bOi5Asuhv1t!?l}2`!jnng=o1ZZNa_C(L*eVG7%d zI}3(fFT@!j_hBj1PtL5crKu&?y0o zz=YZ`WP%Mg$(4ve#zT%j+z2l&{e!n60CyI*cwfYRNp@3lLdHay-{0$h;a3H z=Fz&-~k z1oGk-pJ9R{LMYEg>mtJ-ezr?4M+o4%gZaY}WZ#yid|fufNp8-CpaAn>bE5uA_Bp%M zhw@{A+19i_>z!4Z?z5TmhMgR{Y18V)Skw30XDmOuRBO$(k8O^%&RLfjhk8*>kUNet5s4p%49B&Zp&?baff-NO({;Ju+eO_iYLcqzgPMO_3VJMZ$_1k z-nzB535&hbJ?W}`Wo(NZ8@fh&2C&&SvfaO<4L3AYLz<< zE-qf_rGdWd*^d5o-+-hn!2mAU75{d@OSjl>oZE}lDysIu7t6loW`Trpadkd`*z7;s z*RXhgTDf0r%C$n{DS?XSxn%`Gi~tb401>>(3k3gxq^$=Eu|nXw`fRv9#Y?yL-&TjU zYX67c6 z(#qdu#m|WgOuoY2;FUgw{lS{$m0lBMj!Uumt-(6@P-)?Bu4{JBIU2-X=`*65bN_GW z(I)TmD=XKJSn?yJ;>M|plX=$RQs}Q)21Si-%SPy1JJ{tIm^u z(o{dvzB(~Haj@&h18n_@S@9<4Mr+8jCdD|e)6MQK=;Y)~iF7=LfL=ocNdqmrXh(5U<+eOUepXP-9XO=+pw_dVH# zsU#$e4nY>}#zO|kA-PlTrEBH=I%uP2TKSGdyhn>KS%El7Dk^0eb64s6c^;?P?ry8= zEi~R0d)~;`nHp+l&(bJr9hx0ciKlEi_0m{l8@syTBIN6VAyv#vA$|}GZ0T=~AP)5SE=pb8L9KdO+fY_?5;knX6%BrHFVyWNx)5P6dR?LkHvq@Mm zOGz;+ufWpbO6!K0lux_ksil@vN_DENUQBrcIYmDqU48DST+_Zoqh<25iLs%|tA0(F zHHw!Fx!|2`xXUvyz(!U52%)4v;doP?dtBnP1nG+3i*HeU|8)r``DlKR-Sx^%qMnd( z&reUw`w01&qe`vZvYH?J{EC8|QmvZ?seI;yM!H@Ns$6)^d7*LaElUsEN3GSPgCmsA zkJP{7JY37H%{eQPv(3j~y>)R*;pppmgR>1?B78rX**Dc)RID!fN~%BcYDPv>qO!Mb zbI5z?8>>9$E@=%|Ufxia@LXx@p>G;%!cMIm?b$4G`bENQU)z7O4@@0L9}%MO@OW^|)%#~#W|ZDO(K5KS zZEd_(sn%1MadOe>#A5Bd!TYw&Gpj6Z{Of$n{H&)xHz~R#SE`q!>|0>zFh9ll$?m75 z)y(+0hVxzrr~Z6j>{paU(M@jDOpHdq*Vd(;=8ChTKV@fGmm0L!=-|3`iWzGtp_=Hn`_VbLwJ=9x|9Uask zS}Rprnjd@lF4$&M){gxibrVwk4#<=m4X#}MXk?<5*1?j9I=suad`9Wkdi#`Dd!1;d zChjdNyUn*}C_YiIw>=Zesb5?X-cbF>?xS>t(GUH>tx1hjQ;LVg%h$d241Yb*wK`#j zmR6(*F-0?)>_I8GJ&DE0tHiH#X1bn<&1>|#6O+GL-l-&yiZ6Z?9WBo)s4@Sl(qN+2 zTHH81;dO9QUen`8zF+I3tJ>V5_1zs@m1^}|Ufr}IO#X?!lT2%7aEg3El|6C3n{`Ix zq%q@@bK5P2&)&NNuz)r%o3*PsYo=(<+UwN$@39 z=5egeoa9u@6W`E|vg=nQWEcFJQZ;RLQviqlMZJEvZrX0U!IjT{jL@63)P2W|VYVK6 z56y<&tIo+>djEN?n`(?YMOHttpiwcrD&h6p8E>Mgu|~V2l=Pk^7{#)Z6O2M~k5|2} zb+jDnUUMqfCaXH+zpeH`_A}HXW$&5nj#^WPbG}#fz%VNiA5uEHa!}m(^l7?U-?l0{ zgvT3D@)3jRs#K(bk zmz>uJk5-7XtsHgv#zTdM7>By*yWXz(R<}q?_q}nHYxZ-VT=xnWKfccE#q7_it?5e7 zk8fNVyh>~9_1T=VnaYMYXDM0-ZC*W%9v<58B=1oeXN$rHwoa;c!+OWiR#uf`#fqlw zF`Q^U55p_ploLH`(w&~~zT^>>?XX3`L_aq=B*;ki5Pk+!NQr0f&Z}CadfMa<$5G*X zza+n{HVC})+1_0DslqYycjQmDmQA(?BAo~2Ms8rQr%S8fyKNj8zdvjH`^3NoBa_L$ zGg2HajATEwvg2B&JbI$Jo7Ut=h|i37v@-k9Jlbk+Nj7P%t<#tW|B3ZiCl$V~Ii$Pn zcFo(LJDq4+1^G%p9bdKRX5M?1)uTMx-(k3G`>1E-OW1op>&C1Zg|FyNLE_e{=2)jyq|p6_q|(n zxlTe;-nCHnqI_oPR|O-_wwH6%$@JE(kM zZM1*=yY7wpjT&!SOUD*FR1-Lj8ZWYTd79@u8tr+{#8zuEi@GOLrJM zSf!gxs$Z2*sPfXogx2IPvv&6R%gT*9_s>L6tMrLPnlY6Lr5bZpjcW5(1$>kHIjd=Q zYo3c&qlvd(fMZ2lHTmbbCa30$MaLYCqaRAU>a#u+f4$&*(9!s`-mz(Ud#P7#OY)9f zq{h5E{#v{*Bvomap?7+b32|`R_CsHW~Lk6+b-8@+BxWTf`bD3nnKbNj#;UAig=d%(8^*_} zrs^&aiB72l$BEg}X^x~dn|Ec8EV2FVyz8l5G}*hh_nb#F4B?ZU_oCEGDfSbxayj%}Di2wkhuYb0z$F_xY*JuS>el_w(X@ZA{&!_&NXcvPHX`Z?ZyV-K+E5d_S(7 zTFGucu*V3Rmk^}Or}Qc|&*Q+9#LbSxwDN+e&6?ip*ca2);y<#UL{6huY(|>>YG|j! zD?RpEls{FTU+Sfs6Ro}4<78N=&I=d6Qu{~Fv<*X<)vt8T%d748saGzqs{QvtX!U{V z>Kl`HXSe8;JAQuF`drpVLC)Gejr8B?`lg?An#OCcZTj0*(Ro+d0qSl8*&88iYQ}!A zBgZSWW>(4QC)~Dn8Q+%OIQ&=J^*WpHt{0p~Ry8gzaK7NIV{Q_q$|_j1Pt!5Cb(o?} zKxLG7RghxJmv^#+N{a}&Qg?gBiV)9gPKH)Mwf>|GxBArwGHR-(wU8<|$JJ`Z=9XsG z8wGFhc;KQ??3>7L9ar}%*Pc*uWnuk|46TxPvBWlu=ydoKYf@TLRN?UR{-RjubcK_y zYkX;{uiEF^!k$y1(lou;B*a0Y?sCy2#fX*01nK;OzllStcR9{&3|TZ@G1B+_)%qu& zr!H_h;6A!FqU4!t{s!IW(KlO4{58J3n_ylSXdIFhN54KLD4tw-EIQz$|qSi4bmU86} z?&m%_UG>4mX}C*LZ3^e>rJywVT4q&H{GFn6k@?v!at*NzgF=~z(*!H&e5L=UH?2?k zZ%;hA;q{SX-_-xCD@*V(EAtHHW3SdsD_=@0`;~jaq}A4CsAsHVcv8#D@U;6urX^=W z?gnhHaD3%5XhX~{<8K;fcZ@7TGs=G2=1FQrO{@HD;-&j&&4qcwV3R?Kux4u09;= z5c8wRW0&Pa&(QDh8zPEvX*PFtfRZAsEq{5`?U;O_=1w>SG?Su z-QzUgHn|vkrSIRcF>MvQkuvcDakWa~$6riu-5kH|^L)#`Z4P%`@0ISXvqgJ$T=Uhs z1FKbHIW92h{iWcioi^LoS6ypXT6uC-_Ih^X!pdaG%qNcTp@vY8^VZG!PyYUh)Vev0 z^RF{ElGDn^WL3?M(@1ul=ux>TxU%tY7z=;d7-F{Y`2ot=hiy^C(z)L@2cL9$rRAvZ z@+`;kGqdEBQ$cpAX1!JOO+D|B0zbx^^;!uY7-p2(rt9#Cfn$yDQ-;EO4U&SKl|=u()mU7@FUefrsh7b-WSvW}6$S@Hfxpz2fa>OapMn^(U_gPiktLPEIa zto)D7*(2oJG@|#$yMO-AK`mb5%h2W3Z|~oH7^{%Ir~dew=n%K4ra(`JqaU(7|D9i) zQ+~io=SibWv7C1P=E;?T)%z`|9ujm9cVuwq*aCT2JROX%J;^5Hxgy@}rrMK0pG$2o zQkOXXQkLDLll1kRC*EcET_?QD_rf~~#N5`UPE%ao1!vsfX~cMXe}8gTvU-z2)4GIb zE_kgF*}VO(u5XJyeBsUbtUK>+=UptX-H5#62qg74Lk?{PTt;)%tSPrYYgGE*M+* zE(>-pE~l-ZtQ1!`H=g491qPitiO}l#F)lzR2Oz_%>(F0lZUY}$TN`Qi*gfEpLi}m` zjfAY;fNks^p8x4Up5w-?Tc=9@cY4I76CbqC|8elX&r(ft;gB(M%4?>qwHULx?KiTa zw)HA)n+1bI^b{Dyu*aqV*U8yT=MI%64|V(hM+GR3Z+4A z4Rwzy3!Sp*iB78Si?Vmge{h_%ZqWwKgcVUWrh08oh9<|x7)w9*U+F(ha>Rv{15suH z59aJI)@gY|d7H9orON`ZAX8Fd8>eJx#Neo_li3TdX|?=AdAs{y;e49UDuRa3Y}&+o zkuQzseZMhrgr$n~uGuk}xos8Z%x!WyJYWUKFPrFuNLRek3uUW#vc@rO#%~x8kKkk_6^XgUpnajE}b+bK;#%%IAJtzK6 zj`B$h1L^22qpdc^QqN_aSXv$1Ebo-nQL#p&q=(?5$JD0!7 zhay`}DUVFCr|M{&snLwuwInR!;-+arQ6q2iuIKA zWG5JXa(EXxRcVboL466OK_OZ@%6DwW-ZX#hL*DOihL+1+s{bfIYH<9DIJ(|K2e<0< zTI1k&nO2(+nYwFZbheJJdi6TrVrg7mn(<|$1)DRTJWpxN@IQA=(`e%AiPWSpDV_Ak z#x_Qqi5XsLGBVWoRi$SMPr_8ohp+ViG0U9rLivKl>!+aI6$P_A_KJW}R|?+{jF&y% z??GN8?XpEKgnk6`I-^W)g>${0ly+3IQUCe0X;&0-x~=pED; z)o7`qGrTN#wo^gS5#x{{yB4a~FAb=AoRi*KW8JpKzG1uGg1tJ{weyTtZ=B_9q<1;^ z+Br*3_UN5X@NKK5dcUMAE-9=Tl~;Ox#1a1s%X2Dfe^uRTVR}zHG&LhdEZ!cq2 zeIdUH`f+i>xpkjSVvdG!{?;Mre4HLV!61hr<@VIcsR-y3BIXdLOCmKb4;UN+PN48lFyqZZjYtv}L)vlalb;ISO&yosT+l`j9bxsBx*?aZzDUMs!2G@0SpHiHS z@{H%_{ciT#J;^VmDec3a;0xz0k2=;%>Bu@*{O%k6*m~dbx~f?HBisJG`iZQBqRYrdoDFX7v21 zsH4Xh4y%1lJ|8;Dfc1D$VOy*6=A73y&yP1uh?!PYy(4_1YmtJQ`5^PP1ygHDBa{MO zriM+*+nLrrV{(a}FM>l64 zxsA&iUNH7%TJ+6zx+^WbiyVIVXI>9%E1vM&rgH;eF<|^U4jzW}HgPIeg*Z?X!(z7b-1OWjjN46q@0>rw3t%-_S@o#pDQ@i7uePPe)fko{0SOv@Va!0W@6a0I5$e-4*!C+I32i z^K#pBb~59B?F^su&Macv9x0|w?YS7E&rVTyACAIHzg6Inm)A^*YOO84R=;qEf7#sC zN8m$(UK8RcUy0KuhBzq=GdforH2Z9gj6vv=njKjiT}ul|Au$(+ns3g2&xlxXzNzrx z9n;$R7qcJUxyiXg$sQazcG8wMa+7!d)au%R{EAUgT33`Eyu+eH_5BU2#y$HzuIX5X zRUCyksW_!o50?pTyGH&aI8v zZ2lL|9)Ed;{7QMXw93^h$~SlWy$wBMynfc3yi3Y6XFk8QO8Q*c+5?fh)k>82kA7tu zO=N5t6m+$rAZt-WVBHbLhWECKmG2!~+H7MB&ikeZT}m^2k^J=kBykqNi$h&~nRuP99AS*KRJ)};}S?fn%Wa^%V(&bijPBaasrs5Efa zm>5m6yYt)J+_0<4x4o;w44P->L^F+5R_;>2z@XZMJE;;Bo^QxMK-#l%yy2Ap8srH1 z_48cwj@*m>nYP;XfK1U4gWy`uwNl!-r(=?h+&`zkd-|7FoRZI!k%^I{A(1C7PBJbgsU=U>3lZZ4L7a`=>C@EXXJbl$RQ^YvuL(E7yaK>@(_GYKG9vevE@?gb@_Y$>CVYawu|!eD3rNxrIF-6F6LaV zS&kRpWtw@+Z2jiXgP!i*J8PS7;rTPAM)j8s?3$jm8D?aSzPkMx&oqj@F81ACRuwa@ zRKL7I=aTundXDF~x)03@UvAyd!1*$^R6n^<@6xUfiYGOStPFMs&eB$D*yD1NWlJJt zSFF)ivXqgoc1Sh~k^i&gx!-$gQQ8!@AvtS*6@Ai-xMEY z$C{J8#C@ZdabY?~=B4|X+%qkawX(?@XBjiA4<7$69iTO7Bqe@pq7Ow$lCtZE-mNJk z^Q@Dy23v%F&V5y~IB753da&-4e#qDTDjS`*=X@DSFkWBe5I^ZVbeGjq!q9a$3l`jcw)mxBiEOphU9 zTYkt9FNFT(s1y|TSLs}Ti__9O)Pvr<<|wVp{K-fyRycB*2Ori&l=hdikLS^YN?=`cqS67k+;AAZOuStGDMb zE!Ue!IkIcb+iNPHJ`nNpL(kda<(222Og4y=k2slWarURQ#n{uy24h09RZA*;OT0B4 zwH&h=T7nxEeWBloH}hxiQ>aae{p#_d^31a2M0vNK ztv-OWOetNs*Iy!~^}~{s@8?LKss#P_e0m2PTnZl0{Ut64hu zH_f+i)x48g|Jo+IzOPI6*6_RaA@)DJuUbD1zJ5P^J#I_0%p={xdl6>gG_iP?|C%o#* zq_^6ElN$$DY-&53Xc){qEj`T9Wnu6!Pn%5t?HrY5*1w(?XT#?Pw})EqEmJ>kI%>U& z7WogCacRu#tb1vU2y^eIy_VnpxV^4*hxsn)8=ln)Z-)fxW;s*s%f8LFSN*mu%>F~h zg=hx7Y1*fo=k&at%ckt8N%=JE#)|cs!5)*}wZWCD9q#YHpL-Zy|Di0Z<*iGg?)ryc z*lhZb)!P;3lP95*@T);ZgW z?5roOIpl9e`>DTvXE)O7cb!*+1;R~_<|l@o>*^PHncbkXUK5)*@&%gd#(}Ft-PHNs>Q#ZL@&Fpl;(H6Cs%b%5V zE7J~-`qPy)!r5Tix*|!#UG92>`m`M@OLG1QjctxI+5GlEY{Zc2pvbSszTPa*i%`*V zxV)kIeB`c_-IJTF!qPKrgCfVJSWR|fR^N!6bgZQ`*iy|tKX01h!<1k3=0z{}K5)(Y z)7Rf*N`Y~8Sfo=*vAlWZ)1~JEDm4t_-SzPGNqOpq)1+S1=~`HXM6O9unB26-;!V;w z4PW^xg8kb(L&FevcXQQjTgS|Ol|$#GI^X-V@I>8{fATlIo2z&7js0gb#|^h!-ZDu0 zv+TB9{6hmS4y6G zbMxtSDfnBp(dTo-pGEl1Zf~pJyh#W-b8iKmW~&vMsXa4D$vjC$>J&b_**Ga{!O4ic zf=zpOT`%LvZ?7NKbaOVFlDK!|=|xwpHl+9|*(Vt9-5c(FH{ATfr~IcWTdt=**rX_1 z@MOw_TLcCBK^u=I=coSdes7$TJ2(5Crg_-I)I6r)7x#P77mt0dkT;i)x2!%EdFxn-YLI`4wxOH*B4YjH zyt5L87ZRJ2N)D`7-tsM@?9pbqTP^-xCt3{SG*;$Kn=>Zm!o%d0ZSHi)xp#R^hBe1X zk9A|Fn9Dm^R=Y)VkY65?oQ$hOBd;BEHc4n9U!77vC4Q6oxE<9sN6u_YHEA9+XN=Pn zj(kY^AA2{&URmBIU%JV+DeL5eUk>YkFTS`oWYhNFtAS0Z_iNhz449x183c;qg$(o8o&IG>y{IWGfxcHF2$+Mu%9@2L8<)Osi*D* zd0&bl*x+QA1stXt6LCL(;p8FqVr6=0G`EP-0W%T!D6B{zhHqb~?6D#9Kw9Kk*Ok?e8 zt5jmxYhR?aPRYv1I5zZZjny|2S;{}Cyt?{faymV>^hNsDT7O4+vBPQK((L)Fj7`z2 zNvf_dgHlTN9W?U&r9~>;&aW*AG$ggH`hW$Tw9wI zUIKY{kAU%{_%V4B(|E?S^LnT)wQ2NP6eWtedl1Q14vrI1^&fmFnCriJ| z`=_Ml`H0(lO6l)1h`T9LjM^fn`T%uOz@~ZSW87bA5_29fZR(%xrtAu4US}QN)@XEZ zL)*0c<%!R4+P-+?<91Q!bhS~C;!HnJ>Zi!UR%;&@f0cJCwR2H+UR^?k+9$uccEX(ukxr=q@^@g9wXs+EK=y~p< z=DUjrpRX;E@gH#_<9pif!GGWz>i@lOMgPlpZXObJ*w!{^q{QN`_8r8J@sn7L*w>bA zXl7;1Vwf&x?zB}gGQhQ8FtpIOwPi52E!(_|duD5A1pgV9`eqgetbGgufl5=O;c0jh zk)TGUk%$x;g+ZcYKp+z6cszwh1{fl6!(S4EM8E(*qR`0%IuTDGk?=Gch(pAyF-U5n z5IFXh3~O@}{(*rU4Ov#kW+n_H0|tSL#}g?8HM$ysM5WW!=oBh}K_d1Uk4UCbNE9Lw zPglbO9*saIP#8o~pYaHIG6k@RbON19B@jtuDxN{@Ga3=J#nTB0AdQ5lP$3ft3^jb8 z@kmq>iJ%44;Rw1}X)Qz){I$ zDj1KUhShjXd#07$02LEE3rmKXF~dOLmdPNh(bUukWIByZq(i3SX=v2xK)f zk<5Sx2BQtz(ZFy}3s8wo5}<8hK)rZQr!(k%fi$x+GGj9h?HI;(Mr=fA=qF>&K14PHGdrX{Htj2hCDcR?`WEK>;kPxj zGJ*WpH#B6zNT83(AcFJ{ftyvmiUJmA?n6@$MZ;h!)1sj;mhAo;XJAZ>pxBz~vzbN% zkY5Ox0msY&`es&LN)D#%>J33wWXKvW|V$W$_o#Gr6pPpAu_I3P@=PaGJAV#kG211AUc+rbS(R3lM| z;DhS$?O;<-1Q1r45FZ_d4!$86SJTNP#2ur?Aah+3*klp`)CZ&YE)`Yz3W@;2uAm4otO`P<2YysCS&c}f5=b!S0hcOt zH?ZfR2q5eViU7l`AUH#4RB*NvD0pxL(xGnW`#iB_AWTg3g3|}}dd0%59T6&ZH9X9< zz(dG&boNoZUU9HW1U&{k_=)f|7>dIq1G6S18iU4ll43Ks2q5eh7XgM$h{+@evW%EMDM8mvjdR|`cu`QQYAre1PTTL+A*%LwZC@g z9l1Yt=pD76c0i`2Ne~zSBTy(6G-yic3?jEC=(izyhwhgp1^`y<6gno|#iC)zBABz{ zFkvPEy2dbJ4t*H{1e8!9qyfTOxN!%lO(zx!la6B1`k-SkaU_^E>;e&FoX!@O6*_LvfI;2^I0?pL5GMvRkfi>?lAusg$q#h_yAjth$_ z0<&M)B?1>icG+4pAymT9)Eoi@=r90*kOSzdL*IY`5m0y{-#Lf@u)|v{B9aev9ft-C zlM&GX_C?tNo?IA+D8mj_2Y6ZmqD;x)*oII*=s3}!kU)?igNV(A)ghY*us&tOKm;sy z2nVqwFgXFS4&ZnoAt9Q0H4=p4U~<->0qcvV;Pru6PZ-rf1xSH7B&r$&qN?>#HW6Tb zk!?U`oy=frmJO+QOvYl6gg^T2D?8NSeNP&~4UzajBYkUrEZ+c3650xbLX73GBVuX_P@`fgc#u&BOk)wD%P_ zeOAAB$bPBcJ1hqExk1^T#T|x*B$)DmS$kNlLO>R+Kq-X+f$=F8LM4L72;x(b=`g$+ z^o?LD5@uNk02M~^RESzZRw9t0U4zvPgno;vMupIESmi*az|fOUhlroPVe(e7fWLu^ zhjmF1NDB*Xpa+XYkK?hfcjDTU3kWV2aFQdHi5eIb<~^a#?H3@FB02@|$RsuJ>cV^w zO!716eHRdxc0m&WwHr*Olc6W9Mxhb03Ro5EnRP`axh8M8whGCL@n&WB#9^>)Y5R5 zLGaglF+{D)!6X`L)V|3%aBF>x*(@tNBbGqSK8C6Z(bCj`0WLZilJs$D4cAh%;yYK%4j61lcaq!j6JbzHHu&G5c8+sI3`ahjIEKdJXgs1TPA8W#GOL;c$*0y6(P!teqTO%O-7o!^jru2JX*xXlp^stB*lSDo6 zV-}?c4Ae3pl~@n_m}KY$1CtC$=@+h3aK$m@)trV$b=|gWWpOL_|&XQ9cofsO9VEyNLk9Bpw<-?9#!@ z@&;T$(U_=Z?9Aa_gFi4C5CtA;**Y>~U_=uShg~w{HHf`M4Pmldhj5}0QOnnv3*gA< z?X`uNm9HU()Iohg+5BxJ0NQ5I!a3KSeS%Efrni-q;~2xz_V}S ziG)NgpxDY47j2#3UXnL@e?N`yoZVTn{yDhsSV0r=UAk@4)B2 zw^76dH)@IdfZfMz8+h3}eV?KtMlgws4idF>JK>NO<-G;T^ie`lkfm^ctom@vtQ z1`xG$9c4yb=m~1XE-#|(y=p>@$Iao+*fLR3V5l*>c;N?LMp5x^m}Ert^4Pu=9&QMW zh$7juoI(R|%=|qJ9NS+EEaEl8JFGqFbhi8m^;zE@EvMCZ+#(fFf3i^-V$% ztGfCIC?YH#b%u0biqo3|pzn|(R-#}CnrUBxVcYxi3)CW_z`~RzDDW_7YUgMT?_ur4 zB*M#wV97CjwE*UaAyyrE2QvTb3aB;4fQd)?p`hT8XAI0XrYmcs8K+Fa0g@rBqS?f_O=S9pQ82#i@N-FleD*` zHT@H`H&kqL@)id4zIX+@j01|;F`N-M+Q%ek7gSM8O;FnsH6n&ewl_bVh*(C{^7a86 zlZF4+_#O5Z?^Q)@6JbmdcE)6j|2gh}4fVTt!UiDLv%~SntrG^yo~TiK&Uqn^kO--I z=I_Ca)9w)x@ewA}SY13qA^_Vf63#mMiZ08knVXFC|LlA@NXO511~yY?^%_wNTM+{> zE%mKU;r#((==fg%6hj5QS>&@JoiYi3D@HiQo{a@m=+fh^`4^d*cnSfT+YQ%~)Mh3? zXbo={7V%m*M7yqZA`ppc_J+0$D+7Iok)ss@JWe9@=#jcNbb*4)dn*F+REo+v0S0SR z^t5ai%MK+h&!c9>vO-C3$Fzlan!pD$5X-;|M$vP2Hb@w>ZfD{ypA-~vKbyKv;8jB% zKT(*z!$pNb4g-BQ+ld5Xba)TLO>Q%ras%wfT@qRI0*He z+bx8uwQbKUcDKwDAs`ZHXlTH#nNVe&39Qo6H)61?EF3ZNIk3S^&=*GJwI#^PGL$Aq zG%_}0S{R|Ex9>XxHVdGX2N4I#IPc3@M*4R882FIMCzRw!uqq^lzWzY$gc6%;QuL(? zL_rCR*aq5Vgc{W&AwVy-+7O^c`6L)1uTDWBxviD8E%)oXND>D6R_08!Wz!HkAht}l zy%~Hj2yGQC6Dz_+Hl@rt!TubilC-77Z=YuXyJtl`^wcJQPl_7p3r5Ivd{?DXw8 zw#fI5?exv8cr6JEHeMr(B9D*F=sLG81EsD%X8hiKz9NLD*8cHu(IXXyDh+$!7 zWsapuM`VU~_8FR*Sr{=8W|UcH2%pJhGML83$b0KC@UfW|`gSlugMr144R55g({JzI zpfG|Rb055|sw}uUy(e{|Ie!)}9O|CitQzi*1CuRC3e6$kRTt zV~eHOwKJhCZEPmDuWJdzVto@Rb{0%aq|{<45<&>bdn)-TeG>t40r^xzzc6_1MgK6` zO@Xzo>S_-ZHn3&dGhix^H$jGi9%X@MQbHL}8p@W37 zG}!6ens<($Q7O@t1x1!1UrsgZOaui7JUCWJ8-~SROe+}F8pDIDZ@^;fBiVw99SjZM zI0pb&+|PmL5Gy~lZfqzGn9}5ZDiw>8{DO*w#n=p9$c9NQYnZ)9MlINs!OmgL0#8^U z*g!m9SCboNfWfQRH!iN2ePjhuk%tkJ`7twa1;ow;ve}w);FUiR1A(PL^@)iqV|Pxm zO+goTomlEF9#vG8Sr-lzHB*N>up^oYWkqXf$?ab=8PI?lpyz=uIX9FBnS4O)KtpDi zI;ICuC}qjALO#}vQYsj_vzZ1geKuMj2=orE(E2`@R`w{xK>X+^N!$IZ+_f)Wl!iS~Apm{Yi zLq05rAr)gY3$!_nxP>iI4`BJ!@Um75GefkFuXZN%rIqiR1-CeA_cqtKoqcQucgQRt zr`V#)_0{&(!OJjVvp8HgwV0yb%{2_Kze7_mb>ZWC#Ko%Abzg|59eATL^dgMfrw{|S+uFey&GIrxYk%|G! z&W>ftvF`NIh)t#sEIs)AP}!~rKC$8nx%^P2Bg7*%jh=jqs1oXlQG9AWyCl&i*fX!# zG<)z!qDrp^KCx+WjnV@nsswvu7N2T2?&_-NC9XZX^Py7?nQ!RMi=qy;WkPfW+CjP?F$(Ms4>Wfm zhXhGr7!rzN#+Ek!>vAZ4Ql05?T@qYZme{=O6H|L6HmV%PMBGdNN30q`F)XMA6XHRW zMrTL~+7voD#NrEvlZYkm%S^X$NRik?gc-z?UQ2E`CYY6{bi3nRWHP<*pfqnEX8U^z z;}R($H}1SAE>tph)*GnEF_i%%G_1pkj}jh2Wl_3c5xqMGFl^)-EWEiLvBv5XQ+s%c zK-{L-gs{-^2g#l8HB|OOB0YrTTg8gi6BDY`da!|*Cl1LYz7-fOn8ak88F8m1#LCzc z6Dk>dV#FXMlp+p93RviiTDHY~;>=A1mBY%%d+Y><_^7n&+qf8g{44kCm|{oh=%^6$ zbjaH^E*P7xU3tZ1Ca=DB&_`ZQAyyGg#E3q;;S8gzM5wgskyDH=NQw|HYk^GWcSJj* zO0650*axl~2O8Pf%sz-F#3WuatPFwy+^DRDouQ|gX|am*7-@}4!0r*lViW7mf<7fo zjeNIAV^ryMos>jT*7#2V!`zC+Tel|G0^K-J35I3Kp#Uuk4fwC%Y4>P~l^-j8dn9&e z_%>{!{_`$~Q5*YTX?NJmXDj#sRS z`o+d`&7-nOcV96owr-wmu?qDZIfp8-o>|4F*y(Q&EafO3Z+-*cy<;af$-Z;;vThiK zv>jt0{(67Go~T%XMKPnwn+^b>5H|EF3o)WfwU@9oRJ=%B5b9YaL1NVCLNQ@tO~DOy z>KaFeibo*s47F_C7Js8ksc&5T1;nUj>%PDom2lnJP^T!kG96V~f()or=~&E;DhW)~ z7}{-aWGPAsc+rL!6vV*Lb-BJ+f%-s>+9>TS;xP!({>A{YEIov=iscbpb}yEgzvv!S zCZVP65|xN7bnlFV6e*7gKC$w3;YBma(i4N&RJcQaY?B=PaDtBEx=0Hk_`(dRgz6Jh z=St=F4#NITOZRW<^pbS^Y`<@1YUZ%N^M1$A_WM0WH5zhkA@l%(cA@ubVF4Z>WZf#P zutao4Uh&i6e(%KXH;ibTVUnnystK-d?mg>KNF)f}d_GP9zbQA9$?>KDExg?n{~EaWmjxrO#Wvgx$K`G5R72 z(t_Dq!m3}WH()hi$8vb=^7Mw(x7_Rv4ZB<-pMMc`P2c41e`?)2CCd%=ZV{-FI(}lv zZkVYwG85{$a4%U~m~~8O4+LJ{IzzpR*98t!hM4#h>CALko3N+ifN|{A#bmF!k5O0rgMG_KsDDno#Yw_n}E@6cT|-pg=E^NT<x`xL6ay5-eCQ!*l z3XMvK&wX%PuYobEu#N;g1^&?x?TC2zG7_Fnf)sgH?JWKS&}QiiB6qROQb!;yw!tG9f@~Jn>zRHN$ zq?ZP_KVpi2?T@59?FE|1{($w+K=xPV{{dusbAzdc>yKIf-x3sVY(zD0{mrO$C9$O0 zAEWXLuHg8uzZ(1x5e555CR9_CO`RRSj-T!Qi$7OvA$RHG7@O*^+T6*|@e_xWNX6kK z1L1fbj(@ko2IpsZM@b#Hb`W+exV9ONUT_SC*sgY#2x4fMgW;T(7+2J!B2 z)6e45+=TnhaIcW}TM}q-vjFb_?>CML=d1Yl<^bIe_-)JoJrsUJnvw+GZ;3`YcYwVN zuD^$43+!hAkB;0&Hn@&p*Wz$eli`Ta{{y=&&|HAs0?z;B(McYK-^YM%1YAqtGIRN* zl=%0_a2-io3-*73ZZ!Y8Kkq0d3D?&^dUQAfw$uq!67a zz`h!2_QDadw+9@lJQ_(o_}u_}?XpjUdn(91WP^KT{&6zzD7gr(=>rXpDI39SG@YDMS*XrT-GuU?noevy| zuuH)CT{vEW{Rr&vY)c_}8N&H!I9GuCHt?Ip!;y65S)-hJL38SVP_!x$Oh*h;X1HOLK&0hYe*XBV7~+R%7NA$&Zoh7F6>#%UlwpYlK$8i_3qVr^=X2rs2k^kD34X9OYpL9LXp+PJrV*xDR%e zc*^HZhHLe(p8|TIl}zGYmw-A`!VZ4FfTJZGRbe*^4P0-r{ilYfF&x&Q0Bo=Qdl>R$yb%3RgbubMZ3XP{pvgb&XSvPmpO{tC)} zXFfFq=tG3);W=FF0Li93x`bo&K3%;To;160RL@&#ypCU{j*L-9;&|eUu zAI+mj>W!}WU;lahC(xG)(R1w%q}}M}X^n?AVlcnI*I`d+50FiQTdoD=*B`$b>WaZf zh47(1>zUr+T0$Jq=L*q7Tg0VLNB#=p&xqX*c|T-<5d8!$Ikzq9N*}qW_&U(L3eiLT zjBH5T)Rlf0I{@?@!tX10=!?{=ut|b$UFio0Z-?hmnqPl)&_kWd-Jss$(sS*B$UyjX z4W1!s;pdTC4nQ6XpT48M`V@KE9_aafunv4^hmlP}5BV!-pYNn)&{huR_q#gix#b4v z8N&3$m0O^`AG%M-KHTR7^i33|Z;NYI0eXIar2~H&LI+#7`eaeo$TA#mn4A#40*@S5 ziyQ^zKkvE{>g-|0LiCC}dZZ8DmA?E-W--vW_gmWQ=jl9pq%H1Bf1z#A??C^b5dI84 z{c~aZ^S1JkU&En2!Xat55IXJj0?KT`HHVb^Uap zZ|~!@z$%^j+=!L4@rk>>}tLH{Cu1?7nu`Wx6xc9jr56`=$B zYaxFH>D6m3p^lOD6QZXfbg*@+e_C(KE(iJwA$mHG90z5kEB?Hv+g{*sqiI6)P-h^U z)OO^rpgg08ZP*C(r-bO$5jx0UXxqBdr~T~*ZRcp={MA6{Kwk^wuOR-RR}LA_UFG@) z;8wdm7a?>&&n?%2^d*T?_W=DtA$c^pDFjhp;y}vfKFO z@&#%Q4ktHT2%p<7f_=J`PxV{RCIP*r5WP-s^4J)@gj;eCgy?tj=n>y$SNp$xx??vC z`3DQp@8Z*Q``v>1%gQY~1@!BM=yiGY-R-l#Lh2~c2f+Qwu(#Vsk4G=bJqY6KFK8YP z^yP2^sdL)tK}KZb`pUYL=gFCWWa4m>ka`Sh3)|@#2sLco%1^Sj4AiZY9EIfH!=>)w z`3;R3@&xFg3eoHH=(|6^ikZVHpy~)AdTzY{&kG&l6V&&pve$W_-zG$F$d`xPFA$_x zSfY>w^dUm@Mm&1lKIAA!|KFO5X+SUBA7k?9B}#?qbIuiCg{~e&2;Z1b4|&rS|13!f z?oDwKqK9XQyLGdFRDsh2pnoAmZ^|R@E{~l0ASnM+CkWA-@#(wC6S?8+A3(obh<-1R zzI%CS+p%dB(1*f(s3$tgk2xQ|TYYXA`_2OB>xJ;)8h2~2-#Y5^;rqyg!L6kwL~qF> z?_NJxxt#hELC}r-8mh-&c*F{eWJ$|G?(cbNe-d^qXA{y5Vq&!sB;a9=!zQWmkCu z6-=H3{ShJgVa&?ixONhh|D92aE6^7S(R0T|!0{U45~L5zce@O3MG{;_>hSjZ#2%r8 z`lp*b<@>EZ06pLT*+I`O_rTCio|#FR{y<+YBoFtw1^RCCjQV*h5chv{od>uS#S(=# z+)GwKKtK_ZEC_-qBJq+X2nd3rNDcxbQF6{n3AGPclKG|H`RNl&eT*_SNF`_o!uL{tx|wLNYVVicxL@^jr*nBf`4BO_V~&1JlX0( zVfa4>{137GqW7oyKNsK+v;Ln`>*l}xTW$Y=e=5Ld z3?<3$->%$zjy0@Mi2#43q4SxoTGxNQYpdqN?-$^Y^7x@vLH@s&ZdwYTYk;x&^)TAw zhd&MS&sE4#A`~i|CE$OI#}8c&@)sU|>__;ze|dz>_dnM1lj7;If7(az7X|!}^Z5Fl zJF&m{b5Cmv|1Wmyn(q4_&ub)nP1?;*$80(4@D_gX{ZHnoBy7gb<^ObY5Vj%<1My6> zeA922|80jhhv5Gn;8P<;{+4$6Ws2ryEh}0tz@O~VLuTH){5z}fU@jG19NbyyrgJF34Z|SfiKW z2mgL~!SZhm@+*%2Zd)jHdzC;u(>;EezjYJi8J;CG^>+KT0RKggug@6O&Y$bx%yIGdc+MO8B zCrf+%4nO$*r>QgiXA1Ji9DOqq3Y84r&&>AxM@k3zq2*iC;CBe*$Haku6PFwRq|}=i z!Vi8vGS~B;9M2b*8*$HKF2Dc6@$ma0DqB351G1l`K4+!V{A%w z4Dc6QzPS&DAG@D9lUkrL{NQ@K#PT<(b^Sknygz^ImI|(K%poHirCt8FD|RzSOE(O} zv(%%9lKfZx;v}(_UJ~Fh^Z4c&garSiKkmT#TsnBaYOWLHKk5FYaQ>o<(VfBf-xzZv zX5Dh*-(I-y2k;jJ;#q0qsj1fG=brfCWAJqyjI#Oluu44QStRZ9YZmiN(=`&h5aTnog%#^Z-8sdfF=s`&Zcp-|Z- z?0%HZkLOkK5OW=H`8C%5v;)3(N6M)WVO|+sZlZSzF6~7Kmq^<(vCB*Z;NZ z-(TS|rn~_@_kczi`^5N*{*V{jUBUNzU-Rf8u04tI49Qpi9r$mvyF8oU-wooi-lj;q z@qE5?Mln9F4}QL5>Ynj^I>`UI%&y(=y9MGmbq|+ZB>JCp-GcYw?+)-ci$^@Ht%>~a zX8coz$Kq}b@VAIZJVT`2`2Q(b{9X861N^PxAxZVWtiV>*&AWF6_-}gju&z-F{tH&^ z)-)8lCm7FL|HU8B`9b{O^Cb3kY<~W!DM^^Ql;}Tu`7@c}2lKO2y#L2{4&Y`oHgv-ZkO3Wp@oWKc2I^mL%QJG<_s9^-%fMKs;Z1^kn~ko;sQb z{x$5o*?j+Bd;BoDPK^JBUt87UqmF6;|KE6g^9*f5f1he}S5^39u|LS>`{x=i2^W@j z^K)g;`>cmm4hH;xCmv$fU6)^MR2HsxRd2v{J)7_Udy!fH+e^Fr0|$?A?Wr2P-($^? zgvnhZf8e@amEgb5?tW~(|DVLeziK4Ge|V8@y{csn#D7sdL~EY-vGKkAivrc)2k-YT ziHHBL(r)}6MwWdPe(?R1U&VuO);X7d?dQhSRyF(VT`d0JEx(Iemw$2aKa71^@bkN? zmaonw^7}`{@6K+m;eLMp5s!FQNxS~Hm74P;{7s4ZG0%&_)U(iu{K4N=Vx6iUVRvaZ z>pxsnJY<`c>%ZsKImA-^A$F&+`TXL%mTZu6`ClE=v$oaMueEGGzm#~0_9gK9uKRZi zv`c~bSyPQvmd1Z4Nvf2_f&~O@RwpA$>zsX&hqD~b>lx@ z^as}48iD(HJ%eu~DV|?Lzp_@=4Ah&RiI+rbNxS~b)NRTdQ!{WsAFe1K>*pS6mp`Ld znIiCi2;|4a0abO9z`xRZ>PtNODf<96zrXdwqaMt8T>m$`bCsH{#WgAP9-Gf^DK>nQ zSC`-Oqvga?>w`c%tvtS-(@%^i`z=o}Uuts?Wd1hr{p;E-`AW+5Uw!qLP2n>qL%N3g z{5IktHKbhrkmvQha_zw1Bi`r4#4kSy{5{RyVC}1|`I?{2_uoN0#Pq}UzyI`h=0u&^ zf&O;#=z0!5(SN(&YJUws@P3QFw;~BAUEfQuystfcT@Tl@`SCm5yYp;*JR^CHcoSA1@y9w~==FIUktuBm9;D{zUQcf1|X^ zKlIZn`c!W=yHBzC`I#gh{?kjl{G9Xek^jKwDdB12;Xg&%cPc*tcb zm*28|v5xTDv72=w77uHZMBm3qH$eXPVL!^|=YN&;Ur(*; z|DBR+#)d)-1JA36S9^SYKO~X=>DJF!M;f*Y#IwfZhs`?e`p*;T#(ZfQ`1?rT!;z%^ zDedxW&KrIPe(?IZK|KDxyHDEX58c}q9}fiHAJ+GDjMS8N`5$b_J^}tvc5CeY{A>}A z>yg&T1b(`66(4~=CD7m3#Y47Bx&HrsvH*S`IL_{dY`*_D#6ucMx%}eCM^9yU5q4)~ z^ZDjFLA@o#^ZoQftYM7;&pYY65Jt2nCHNot-OJ3SMtj-4gU$DE))D-l4DxF(sl@`? zI0yT`b@)Gs2fu{08-KcPJ*nNso!I>soA3Xkc<@bLUH%I*Gm^W;8c)qBpZ}ZK$UG^R z|3sc%O+%q3QFf~)eZHCJU^DMs{%za0=Yro1+c}4C>I|Gz4~uu)MgE(tz;@8#U*$Ct zJ}m9}zcBKLQt*Eb)WbjG!7nZC^0(LAMEyLd^X6sq zCJ8r}cKPc|eZacY^t}K-yLj*mpc44=x*k5u*I#a7_hL3bo;>0q8pi~F@flD2!S2BO zdlBVUlEjZ*8%O-jH2<2g`Tn`5FtT3SjsLk4x6TcP9?HP(I&3~apLj@XDVIOA#ghBs z2dqkJMY)1^%qScop^d$?MP8PuCa%|LXw1nDw8u{tV7LX)9kxya&71 z5I>%dmj8rWx4)G?RB5&tgW^6Y#@G5HsVwF4pKFxb5dO#XHwPx)e|Pbar1AP|&ZY-= z6yuIS{5?H-Xrfxz|D|v9JqUj!yER6>|K7ZoERu5h-}lh>^d3IN?lo*azmIsxcqx~E z_nmLv$4B)Q1M&0~4{0Uk@(<+d+YbI5cC${z{Pz=&`wi|D68XQboA4w2^8x=)i-&wC z<@$eZwASF3l>_{N;&J^oU-_Z#V*H`v zAzJqm_w+`|6>~e=h=M!rp~~oPThFsFJDj_{@d7Y zclgS$B+36<3u-K+Oakx!M|3X9At~2?>u*zA!Vi33L%&sEBxyY#HY9UC_&czE$mYjC z!=oqXXT#{Oo#9`@e!<}{vixRh-FTi^FrRZiUZ352*?j+8ql`3_cKP$)fARzPa|8Kb z>d`}{j$HoxC4XuKpE(fD3CH)ZwMC*h6Z~J^r|&Met`+dVT0DeYC-RH!UrKITFAVU_ zbp`6bL4L2vt=qu=mHk!O{CHjy4;dik#(&4?lJD`A?FIq=+r>jpNV)uNukJk!e-XR2 z`Tn`*GLq!K%EYWs!v8Da|4oms?^h@K-bF^*X^IUz`-w5YUy;F^51M%Dh2+ifd6mAS3T_Vg|JLK{cPSG6M@k)JZg=P&;G63N>%SQX zH=ZjS*L@B@@cE$kJsLTX< zZjq4@QZE0G{U4;ke9&u-O(@4vlx2(_EYfB4Q$zl1_v^Rrtu>GOMwha~y`b71jT;P=8d zoz3U#{y>uCf7_8ZVfgz3`Ly?A$?wk$nWQ@CmNURN>jM7GJa+T>LDAZk;Ril{kB&0Y z{Gau(_MI#Lfd6K|zged^249K!dHLKq_I1A@!1wQeOk8d}^Zq=}wZD7E0DrQLXHn4q zjNv=!Q}@8V@?S02oy6K>bRZu7W;K$;pV4V#5%{`Z zY3=ai`Ou>$-@kQP_wPj>GcO+Sf70>~sdeMe@aNmq^HYP_{WqKM|CD%0()v(r>VY2c zkFp+WPWk+^hW&r;w=X=rg8S{B*|2}*@L7Y6G?RAY+1vL7wb(Q8{$x~hQ<8K)S#OYr zrsu1uV{A5_ltsLjJS^qs!wqiTO!cEFO|{eObFYtay$D_|&)&YBAA&x#4%Q zr{}2vpL-}H4@kT5Je+o!>qSp$G_1AHkKex^9v$R=GJNWKepV>(JXOjv@sRmauK!XS zDqn(M1^=}$`Tm!Shb)wG`6r82!Pbj4*E~z)^QjRdHKkqtk(55HC%q;G`n$%XC)el8 zvsff1b@VO^7lH|YsV{iWje|CVs z+49rWy8hq0P^K9C{Q>?Kk00^tZG!BQHA5@0mh}FU{AYmU=YOlm&*iV@F@BHQi*oUE zafNlP!{6rdGpDL0o*2J<<<*PeH^zS+Ouql^;_)YXR%w@CXGNx6@J9svoBJg0Kf4C` zq@MWuY!C4Hn?REFacS3oxeR)4qt8zP{+r?vkC~S)e@ELF*xxts{6orHh91gRR;|m= zx91nufWGC}Enj|rcZkPZ#yzE7exX7s#M8G%AfBD#Irq=8d`{Uo`3d;_1N_|{Kiprf z>;F=}-JHAc(g6Q$kDu$SAiv12)+gW}X8&n6KYrcMA>r$#UH>=#{m2*aud(}AHlM#& zJihlDmUj7Vep-;9M`ub0;yEZDGD8Z!`Mgw|UpKYB4t{IS-3OEJ|6TDAQ>U)~e&gQb zTHWuZfPZt}#v_uKb&$(H&}43R_(#}Xn9cXE{!2`qy8H_DUu10h{S)x7!XQq^l8lgY`Q;DJY6<^dVr_)U z_y4hY#IsA<<=@zQ4(mz(b^-sK(}+0_{PD6&CiZ&1D*V~_55w^Ne`@*b)Vln#J+_vI ze}LT_6XUaH7}+81@_TnXs(Ail_ZBwa|8eoi&kAWb{&1F0sLuhx_3(4?kl|7;zx<%f zE#Ws)UoiRpnRAlVp3*M=z|Y;O&jDOC!g(?IeCC`aOMhvXpQ%FTX7E?T?}*9gpA?VZ z1xi}~cR&5$Yw$ULSX`ff%FsiZh&9oF{pZ$FZv)c@;@4V%q?D3&<7tp%HP^?1)I;bO zHsAjlUQ1?5!HQ>{9ns2vQo*OF55B*i#Nv6PdDpSs75y7}q(&3BpM|HN*Ni~0Xf zJl4Z0(k_2Nfh*Mb;K2J!Dd)u_o{G{gzw_6NsJFrO0`XiBkKcXDF75WWTS~jV@X53J z?KZ!^-;0M-k%Avv|L<=N6K^)vYUKs@R{lKQ-~%O5>? zEZ6HHw-OKQZp{DB;vq|=-1s~Gexx4!mI414#Y0$I6ZwC3(DT?sblz-ie*BsXNXl_( zH=c{<_4nqGoy1cXlh3~-9?vtF<6Qpqe>YHDLxTPN)#FE~+eH5@>mJC$+Y-!~a0N`h z|KB`*N~Iuw+tH%E;MWcG_jiwPe%CS~{;WGMQM*I?1o(e={Mh>yv3l+|V@Lz|O9K4M z9zVP-7|)T*6EeX6Ji!0c^1CGQm(MxE$FPC-D^mXYFaPUC9AhmQRvWw4SU*2kJboli zty>TEH$TAIIcz{6p1;K-$7bHR z0>9@^SN`R)lMnl=Y<~RLJidNMHPL^U$z_JX4?O>wf)7b}m9!iGi?{BkMurF8-%b?` zNm(oH@|(@>Hy!@^#Q0Og;&DBFPuk_bezH$-_*!4Pvf21kQ^X^lG-;RL?8h3|M&u3n zw*kdI?~dJHHLm~m?eGJicciA1U;G=5|5$xa@7HYr{K?q1VD#fjZ-~6{p%#8@y~_UH z0IrWC)UVELKA)O3lEgnhs%}Rf?ahk+$__uH<@ZqQ`hR417uJ!Hthpg#iuunZ9{E(= zCgi8d4GWlGBj>RD6E;7d%;K>gmXUVznKp6_V?UBP6IKj9pEbis()!$FR1dBfBb9#D zjL*N$qo>@Z*7g75JkNVNNk&vIWmw#kE9CKa3e_rwMVb&ElpWXjx z$67pw9GUle{QTTx=%Lv2{IUEm?J$VC8PgkIx_9yUH+y{ZOhiI|n;!jRIDD?NVdim+ zZ{h}<>!e!`PdxDI6!@P8;=jfEH`g(jKW)XyuG}gGK7UWm|6lP8y?YDsjBSB^1DhXz zf&bz!?Oe7ee61(1JN(=Ji+`d_-Z$XCiTz!NU+};9OK0sI0sm+0uQ~ie|HaRJ=wb3d zj`N%EBKq+cwtRD)bn~<9p&a5r9LQ&p|Kg`jn)(9#!25xzMgNO`sp{{W;qSt}lg*E( z*njcYRvW{*IqnMfl@7o7fANcckiQR)?UV_`uUe8M_4jz5fy}w_fzMAm;g|X^e*Lzcsm%## z@T)oe(*MO@eEzwN@Sle7+&`q=`Jeo=3qFFsE|5=iUEwSJt8|=OKi?JnTRMk>99YW#-1j z{=}nm`|;c-9#TaL|FP@)_!rhKhW`q?Z)5ZM8fzq)biY03YA5FH#4iK!P_sso{MYO; zg}E^)aKD;rcpTABN4fpY@cWgP@Y9I@226fDT7M-;{5Q6h{1krgKs>4qiE1jrfBEPH z`Ja#fw=wzt)5JrP{6Doy?+;JfAMkIFOXgQ9F%ln>E(Q2CJol;H)Vlp0RVdw~-1-y^ z@N0Vf$hjcD&0mYi_2fs0{{w7({Ixv(^%}aF1?fSpJO*+PR$|uBg z3X|{u0mBYON(T8;Z|zMjPB|YKuSOm}d^O15(LdjZ{A-as>{l*)|BXGqdFDMKo(FH- z#h6c3KHhTpO+0?Y^uvw+wUamFYpS_nb@&f@{N(vQ@#$ZPU!SpswZ{7KJZAlq>%{yN z|G82=_@@K?ZRPPpgDpRH|C2iD4eouOxeotBu=)O5TR!JD{$uPLuQ>b;mT&q&K4bi&{eQ#PGZ(O{Z@&Ld z9zXVdx>)@e=sAL1PRkR>kLndM@0lfx@AV%J_z^zWituI#zJLB6FkHpK0dbBqX{}S;K({DGP-c@zId%iq2jgcRZ87HvD zF(Lks7ZmRcza8iP&Ebo`tPXL*&iTRstYJ2vf5M|D`>%LIwfyi`LD!t}`CnLmlK+cqvR{CI zn)tIieCCjm5z=mdhkm-6{7lams0WTWlH@<%YU(|C0X~nPXw~&F6n-*#F1>_-}LgjENC54_yDX z9_X_T{>Op%zqkCP_^Um;C?+H|-YqjBz9#c0c|<3_HF)$JVz2m#;j^ z$7rd6{QTwdQ?{rjpD}*kw6}7?zn6IKz~uYC;_;(g3lsU5n?Cg`d|eNRv01)CGs1Nw zvEBxS#w#A>Ap@Jww+CdHV)?OnZmL&L@q9!)TDyIIdhuA#UzK*_zvIVfolt02CU$F0 z^Z7T52cL5%^7p;Jvn%`>_}_rZ=iekA(oV{)x2`u&#>cF{-$&`ni-(U1(k{PX_k+Yg z>*d7!r>iI)d}=*0o-6}@p9B9mHqAjlo?7BD=5I^8{*T->^Gm+!%vxps_VD@CtdYvn zF2DV^uiOeh@OfN1)?kVG%qM}r@=O`(`6b3S+!%uIpKG#_Bhs$_?cHm2g1-*_U`#&0 zkzt3z1=PCyJijhs9=^o-WWHPO^K~xCCMlPntyxw2HamA9pNxr-m!(~P%UqYw!EZo3 z*JJYiKW5nfXMRnYlVu$IF~p-W_4$umev<#%m70^g*&74#wEi#u2fn??+@7uVa)jf* zt>q{AZ=Pccb7Kx`bi|pD>DpO-()#e(*quhgl6LdC zd~es$@DGwt?rUQC>1^2l=kv{`NSeU&~MOUnS)&>TMq92>;;lpSJuY|4%G9#=ZFbo3L|_9*d{H z*UofDshzmxn_b>bQFU;e8fJ;wOX zzZ|HCq5tLo*y<&f`Dw6n0sa`vC)ULI@@S@X)Wd@I1AMLtMtTSN4ePGW%wxQ4=70P7@qBIhN!RxcBkp2uEu=op zwaw>WvHYa|Ry;ZLFnscDz7y^9|F--zwQhfpEz{pS3psE2PE3}czK(eOOC;*t@MHG_ z-M4SzUTNX+#QvtQD<0Q(Q%CrZ@y|_b$bH5lYC60Kg73eb*z7AL?eb^reV4UnQ4MTA zVejzi zjK5ht@~JUN;2*we9rJu~;P-aYzabtnRm$z}-RTOIh97v|GX0z4A$z1;{+Z$>sGr3L z1LM0(JS3^!K3l8zPxOp_8ULqGS&%zePH-{1Um4}8Wr%o-HqH#Y45GhS`F zji1e9R?)KHtB- z`}vQJ*U-~l{)9gx5YIE#e=D_aelBi2^c8&dH=pBwn&s<0FoD0aR9Zzo>LZutSwlab zWgb6$8_SQyGvvAk2jEwt{##)4`71qsC@KDE_x1F58S7Q#VTZrU^38p&J71oiRlhR) z6@mV~V)-@Hy8I(IA7%|+c81-mF+ZL)yp|-@!{&DTuJP9MO#%O}di3P^+v4*>jQw)1 z*`b_lzJGuHNgCfw9Up+V++59y!w*kT72RmT#C2 z|9f_yVe{kpfY%b;gCzKGIQ37~j1@W9eS*#Be<&W3CgsNS#L;2QxfQHgA?8?&uemAl zJXP&k4`+LFilj47M#+J$Os|EZkzY=OOF`n?OS$|L3tnezUl|(kpGiE#tP?JO{|(c)cD}Na{7k~```5Kb zqQ4On{8#+^t#a_09}((0#=l8CVq7Hc`k(#$72;VPc)vH}&Emo5J|U6c{JW*p>}q_Q z-z4(xhGgH+4G7wRX+2fPa%0sAk@~{L;T%WuB}F{2qQr zvyQ-jEa?A>2FvN^tAX#oXEbrcCC`cZ@6n*sSorvhjKk!|pC%qc-xA}$=egI{!G{}} ziOJ`i>lV0GkiS0T`_%5Md*QQA#P}V>W1m?k=x?kZ_RP4v3_kPKOex=gH_Oki*5wzg zwqYVaTYD$tOI^qO_q6;^EI)R=Xws(pr|^3O^1ssKC(rl7dmnB9e`SEb%JP%ud*hOY z4#H;+M|#2W<6mw0CQt78mKt%GT&&B2y}!fv?;p*mh<`_! z&$os`8yVZkB22#j!Qvs$NxA*q^~osa-o_{3Z^Y#Dby7(!DVINH-HD~}UxdE}lg}R` z9@0+Agz6kFoi9WOfne%O>(2&gSq{uaeKC+<3B_9@_yvHDrGK&-XuBJnBru|C4&4zcQvDaHV?-(&f%;7k~vbY|HUs=V_Y{=L*}>j zeg9^j5U^b@M73zy%kkUrbn zQXbpSY`%a0`j}MD{i{~%4xjlQNpbkBM@FVeyZ$G2uSo4|nGc`3i247>u>a3|$+z=o z>S4>ffqMAF<3}#4b^U+Rt^&E(5_sM+)2ALkoHSo{wb=D1j{@W;cUR%~{ncwpdnwm{ zy3GU0&DKW)@%$qm;@=O%=1b21~%Ewx;bwBZFO|$&W zqDfwqa`{gTNE-;BK7^e0C$n8YV}EbP;(78p{e8FXcH&{oV*dU0q%Hdr{2%>Uf3Ix| ztmm0k+Ye`SJVf&;3FEp;k>Z!GAk3pPB9YkR1PlYG2}F+b;qB za`{CbmU8oRb!qj9e9b*VfA7QO$Fo8_q@k3{zr5}?#%6nV_y;lhd|Us~B>ydPjFSI? zfp}KQZzz=RdTG~x*RI>E!Y_;eLzsO3uZYLK9MUd-|KU9Q;B)o5clhgsAu{e<1;6W9FcbUW489V75%2(_EY&p3Z0_4dX+#8U#3 z9}nxPB+^;h_5c3E54DA_weV{;pTB|E60PwG{Aa#SR}cPPeCZnC^EKBHQzHrdj_sd= z^Jd`v-prdkzFD&q_?<_5%DVYx;O~*l=I=CeR!hgZ@jqI1T`u^?Ik(nKKOWsnAj$s6 zcm3g69v!X?|0o2X|CXV9J`()bUpbMr=dHl^A2Zwa-25g%0zakRG3M%9=kdST@&AtJ zKlb}PvHDqb@7;g%wn5L1Q_9U}pDu4shmUXbEVZAX6XGEn^8|jkAD58(oq^{iGJhc+k|yQ)|Lu($jML7* z=d+o~mn7Uk+T~Z=c;F2_cB_f)Lri`=7sO*9Ye6Fa#MLSN;6H&wsLAq%8j{sX&jWgXwG`k~)3|K_?2=Gv3UANA_YobZ_+;gOj9crJ;D z^pCI+)sw3UH@g%&3=!6?NdJB|2pyT@shO5f419S&EQX{1%Ul*$c{u`;+ zorcf-OXMqupH)2Mh?MJpfByO8|DD_655na8&n6!G(n-7glEaHe;SVJq=0S|l^-N;k zBTV4$ePKgs_=n)DW_i`U)6X5 zzs|u7Z^Q2r7~kCD@q0>1`Rw}T4Rzpe$M_kW@1JXqB=WSh8_)13v%Sur?im99^NL4| z1yBk6Moa!+{oL0Q+eu8m|C_{PUwUcRf1}1@u`Ze}B#r9eJywYQX<3;^CvcwClfUiN_DZ=Xx7a48H&T;=xbK|L3QFxf%)` zD1`AIHlNQmUt;D^g8yQDOHuy^W?-8F!RHqcn|+T-yYYNKvnaKGki11YVe7;^7V0F_J#EK-AV92hT!w>HuV3qo?o}UXbJeNxsl2azmmsK z_P_tpr+(mPL3?oS)ec|BN|LS@rLyXK8t2m|BY$wslcY(`0Kq4x<%}~N`2!R=I6VDz4L#fPJgZEn8m{#ZBXR+p^ z@P3O=(>}^}*u&}WqixT2#NxTMvfH{#$M}bOu_-PjeJ7NzwI2w9wI20j`%sAIfEuEN zKN14(LJem7f(^-75v5$56asHURb@NFhGbMN3)?!&q;VBiO(B{3poGo*2kSnqGus6= zB=e0ZV(|VR0jqDnvi-=0WND5P{#hs#x=y*#*#9B~*0p&6+iybrYtjg+Kbzu0t{;ff ze7GzG{uZTue+qHm)duw@+h0QbloZ!9{eGYMO)}w|QBQ%V(jwWjqjbJ2LSVQi|Ee=2 z`8N0B}S8j5n-%2v?!SXVXYSI79gO_>nrRT}QZE2C4(oh^9DI^pM-CP1y z5BoO;hHh4^Rs!4czj>|2HlH`Ej<9>*e+`B56$aDa$W63JKGnYPEe3}2X>9QyDemE0 zQt_Ra%_cbi)9l{`R$U4_BB;>%?2(BzBF*^`5=r1GKw1UUiJz_XkS;7&l2J`FRU|Rs%zo)6x3B zQ7QYosLJtrs@xJx4$Ws=ysVAG;ZQQ4F_ENgL(RvZ>IA8wdAJz- zoq?emmudTeHE)p`Z=hIDBCQP!)$B@34Ca5%@Uqqms1Dd$c=lRq#cyZv@3h3=egB%v zyz(#zT!0p-{SFGgYE)8N>+US@Z3c$wd`3&`DNkFh@uhZBCV9B7=HCGL1ubTN7+lE1 z_iKHaja};wa{u2b`5R(j=>Dy=)KZH2fz(r8>k$82273SRlbFqKez2?lttfKs{r)Wc zufU35{XpvXMX4rp85pWByYP)3ZXo}g!MQ!GXZH0hv-wSEUN$_0(s&*4?0Tj%#5Gej zEO}rdiuo3{>t`e7S^W29r8HKe6e^qH1>}@{LmgSV;lL@ z;*PX!!Jl}z`8U`(Hu8msAD#@Z06t}LaoYCalOBGATW6BSRm9;z*N#z@lkEQ%U3&-C%K-9sMs?(f0-!7Z_mp+(vk zKt0CsoJZ1Lbv+RKR0Bipf3M%s<+5s14A9p(Y_1TxFVg_ zqp*9==W%OT35-43Pgee-NJTMU%zOO8TF1cA3$#cV=3=NV_Lqggf1%o9|3QdSqi>pz zk!1#ko?xvK4&P(3@}qhl<>9WX2XNG`2VJRUb3AiS(sd(>e+y{1NaFK_bNvV-~0VK-kM--7GHBh(mN{(e)wJk zL%r2M`sRI}#Zo>QEPgT1-uGQv#?m}f!ppwcSacCZiectC&{NA?eeJi-8XCLsE<=go%;7PFfbv=9k9kk>q zZ0FCw5@39X>wES=I&Lnwk%tFfqs10}z+&b5S@10$9$c1|W4!kRxfFc`=Gbt4Yac}0 zIG&He2f%kZ_=@cCTYLN=>JQ@|CI^xs>rgs>Hv>aMRNu1OdL7!v+Q)kKp{r;W&jgEq zvG&Ox9;W%lIm~;Syd0+EvuUPywt&V@y!y`&tyw+mY z26MiR7VoCb2mhSKCq10f+hX+(yLrZnmm_m|IQ)}mAE|uHp2=d3i*OE$yIO4Pccki3 zcCEw6$i*mdN;d;TBULZ5SF!lG#c3A*Zn3SeQQ1A5LQW*3N}+W8?FNQMkz3=xqs5)9 zU3GuJ<{i<`=deLG5a&0A#D$0*_E28KpyJi+ES5_mcKI%^Mm_R)$%{Jj=a z+XnBqxShoXEFNa@dlt{OSapDm-i*@uY=1@{v$&zG*ndRH{-}YWF&RB`R=fG)kS4+NsC{jtpXMg8LR%t zey4$UHzQ)7jexQXLeZ|^U z55y8(ZSgy_&i(y(t&4TBzh&+6PhX;MdU(P?TCROjTkjK|0XxS}pzr2*2 zdB#C_2kHTiUrCEh%8cS1<~Is?IhokC9!9&;B9k@m+k)+Un6v~uA8f~aN^Wp1@NrsX z@`%07|Sp@lRQ5JH2`eq>+_eutd-$rvhrBuIMhAhhYSq8@H#F2y#N1< z{Tz5XxP@n*K9#mA_+g9Rr6r#5BOZRSJuUvCn=PJ2ON~XhTD*sr*vvDayqu8>RTBF@ zo_$6dE%j#Jv*qQ?v#5`me>9RA6F3)h%lzgOcoyTLxnh3f3p_{m9`Nk}v(K}00XRyF zyz~urY~gkuo|li-{|z->&egaTk6-WRwFZ-qln5;{zYK~#MLsYvG*A4>VATyWZ!(J7 zG|!Oma#2Rk^$N#ll9lf>lRN$IxD@tF=I_To0Gz?YOEfMW+1JbB+_d-)_w?}Mjn@8@ zhhNT4+Y);p4=>qDtNiq~c47%p1L3|F(|`Rhz;HhgFJ-Jk%HJT1Ik#D_p0=3S_5PIk zO(k9~Ro#-0$Xd_7tRSuC3w@9*)AhX&c%XryWz@3fUwE*^nrGxZthz*&?M0Qv-#PytUHxOsQZjpZ1>8^pa2AU@(8_+D zhgZFcug&0W9$tBp_B1%Fhga;zPP{2v2as2bfQdIHhlkfFo~7U$JiMB`>%EYa>>hsY zc4AZg-00!;_|@O8DY-nnwgq@QIH!j<*8q=aoA2RG%HJ;Rc|5$aIX31~c%Fy19N={& z?D&*yi=y5D-)vxL+YaoEWeRGe&caka-Wjl!>^aaR)XzC5ARq+y8_G{ zm+ZI|MW4-gmU#KrNYp~`Oj=|oV`bLIxdw)Ik`Jv1DLM|>$y%cKo6Iu^!vCNc@08nU zkzLhM_hBcel3l7Bau{AD1b!JMe_;bdyFQ~W1ir=MziAc!Vh`_TybM3D#gEd;-x7<* z(B2)N@4GdBut)#3_A|8dpWDN4M`;Vh`EO%0_5#*khnDlF6!h?(H#nE_t2mH%7%zQ3 zkW$#d(A&&&t(__IgB;L!{sJ!U;r)BToHK8A|e(1Bt;4N`{ zJQZ9M{#&%j@%vGY9J}g}S_MKW<>? z^flVe;LkmLW+QDC@Cl1A(hdiI;o-AS(T)e7wD>pL0^m~~{xT=+WbkQ=7tm@Bobm8i z8E6ZD&st0_^!`}*ON%)+w3sz`hljt`JShO)X>kKuIFVfzD-ToR_4G|!u>U_idHId} zJsh`x`!?rt>iL}V;Qz+0_=?NBHC~m$^Q~R&0FKwXj9gT|81IP25&1>^ z_kRONI5)~!KQ5{ctH<;G%XzT+PfbcLWkOX1&oeOeYYE!*;EW!=qkjfqE|e4R@0wS&;_?0d9N3BXkEP(*9G`_2 zxm*Rc3Cy}Jx%>;t{~vO^{PQQ&(YU|As9U$bu6%)gFvn-5MgFE%-1_-@37C9Gs7uM! zyeQ{-b@e{5`HrWo*fkH7&vFKauI<+Oz#0eSAI)=e9=XxL(A6`ve}a_<!6Hi$0b%h>^^(SmT2fNq7HLyE4QUDzPyeVwfK^G57^cQUj_P>Kk=n>Uf>$rdO z+}^}EPSw28co(6CZ~jlsu(;jamutKWd-imym-u*xO_{{UJDjTg#m76G?nCfP9DmR| zKE3j>Dehn8>WpVNqw*YIFTxpa1;^KmaAwBByLoNS6<;~4fHpw`s?tsP5{G?rV}0h zDYWB1tNL3VySE;MvsDAjzt%zc*=C|0ezq;(`2Auy+Xb-rU1;HF$Ct~`-VW^Wv(Er4 zADw8$--~wqXa5HL78&qwB8Z}623v}I&(3ic>&H*3@v}{ zEgntl_{*^b?D#X!b8tP6Jnr#x5^IRHA=1WT#U+307yfhZKs)|&D!-1uTz7-zuPrV7 zTpDNgMQl8|7J;XL@ri_Q)cm|1+{)sIXvf6+d*i3z`1?!Y+`2xMCZ4vm9G^Ro|J;g` zF^n2B_RG9*;?0vAOibSM?V)gOG)gAnN_zD#S&$4(oEps~hti{u5)gQ$L|CVg%`rr{3E3VG)$6KuH zH}w}C=i&Ts(;fh8KgXNrRUQD_dc5T-d&ya3tY^Pp1Xj716h0pJwqPTGoc>9E+c)ReZB8R-KjS_!m7~klHlI+jt5p4-djup7CE$ zaf@&BRZ#KA?@z)7&w%q`XU#{#g|vPUk9me)SaIOP`#v1FEtr@iHl9Mif;AqG(84cV z7F`ppxP^0}<=^(Fa6hp8KPNMGt%vg8z+#QF{Ll4pk>a!y;`Lf&9XS4eSGdS8;K^}& zQH{IeX-JFzVmjZUxV_k|VE;Qw*o*3VGMe)(qy-nRj@I!uAH`KSPQHqF0INUCX~lmV zt?|Q$!C#@Bdbm9mEPD%?`53Y&TK;YSZ@(WbJ2i@gZ|{oUjej=b5+%^id@Z5684&MJ z$uQVCUrDWd&izKoLSW@n^A>+4&!d|<$FB$9hkd2Yyv?ukYy6ZK;Yw)Dr&SiKe)GkT zzk^zL*P}bW13U4Q$^=&b=F#G>^fC0c`0=Ih0xyi?Qmmih^l^Nr>LY%?7cSEr9RGYT zTxKcwkvP9>e{d_-Kf8XERXx@QPo>3mnXBk~z;J}iet^E6{%y7RZ(8h8U01Q+RR%5l zHjAlM_j-8OGoC#vzv6E}JL_|~Cg2L3Z;;IV+tRzq;55|wbSM!DVQ-)doXBH3laD|U)PsjNcW`mvU zQw7x*b0J0Zfb&<>ymIXKZ34?al2&%&4ZRchS4s0)=N~0=C|o%U+Bv?G=BJLg^QZFt zVCVQM8efNBMfoGflrgmUt8xbI@TP~pB5`_CtvllgO&d)p1mG@Gx@%1@krXcv1=UYU%gG}LSWU2@TaKhtS=cn z+@KpcBbZJ0hJ8`%!CE(Y*@$C991~TZg>_!)BO3K^YUuSV^TE;7Sy~T5Ahk|o?xap0wCFAwiv^w}5 z?AbhfGsQ(8qj@cU%G#Aj&i4>w8Crn-28)${#w?n{!_76G4}vw$@SBf9H)8(WWwH3q z^{z!h@SX7Uc=i??tM?b9H(5;o^{ij?W)D9?-}E4acK#l1_XD^lc3Tha>5IGmw%2@j=5Kpy&D@Vvp~YYOooF3@uZKHm zo+*F2ZeZ`A`O+jlJ{^eN#8W|L><7_|ZM34r7lh;dj_ttC{bonaJLh`caSPa4k2-z} zE{DIWwD|9&x^?cSI#I6)xHXt-n)m;3;BN;z*QZYM=dACY;kxdQ$yx>hH-`V9nRXv>gBB z26O@N5<&0>XvQ-7vWI(Uek*<*hrNf^NyV=`3ag$J|Kk=j-%R`(7hd+HmQDPcr^0(t zioY$0m%YoNo%nm}d`|qmRew(Wy%o1pKjwKshu|e3{niPk;4C>(LjUy?-@Yjo*5UXV4Y^zh?1fT8*E^mGcc~ zhgScoDT9gC^uND{`~OU<{y**E0jdM_f3U@SXcZqdh=lb&+|2ogdU)Vv}?VLINqe;)cB*r}&s4Fk9z_?~$E3{(70Ji`tq;^EoBj{o7z4SgOS z)jZPq&!ZLpF%J)ap7ufT+a4Z~pH}s#Ji{OUrNydS@Q6id$Nz{&!FA&CjZj_O7suxL zMd$O{k@$A|JMtX3Ip^C=i~o_YpdJ4sJA&(A-$jdkR3-E-u{cZ9FJAKJN+9w5L|}yEu|%%u`AI< z!OJYxd{R9x_wcwBNVDVq#?J>k{wI6`j(=Y?JW2i9ALmc*40f&`lQ)7-#O+g5e}_2V ztF*Y9`WU(|Sam3@c&M4^IuAd?TrlI!F-Z6s*2_>y?BWSuLl*)c@$j_UXw_ex7yC47 z$Mjd%Ibr6K>F*|si9^p;MOCM~e69}aez2}%ynLQ`!>@zi^YCMS$LY_^ z@4)rr{8_A5dcQLIF)jRAtI^K=$}G*lIdT3={lQK=FR9*j{O7bBKRY|xIevBxuyg!u z`E%|!X8!}em*daSa{QdT(N4T`9s)c0pR)+;96x6_So1-7)A5(l&iXc2(RW-d|mydR3^cm7DQb3Zvx^JO&0Yuq?~!4h;q)}MzhK11vDZ^0h0`lst2{Dq3wiAVoG z#T~zefp`}xUT1t4t_mEldFI#`6$LwgpDp|Wto~l4<@}3uop#=jTtuyy`KWn?eQ^p} z^?J!-)uWTo#Vx`4;`v$3+U(ZH;u&Bkp2b_iPJb7F2Ik%^g&IM^OYT8C^|hoq*r}H# zlfmRR>RK|7FdGQt^0S-V1j6zce>EEpA`Rx!n8frQ^WP z`IasdAOESe_+R=BntY|C^YF49v<1NFEiOm96nvk>pVG#^4-#HZZG`;atj6DR)uZ$N z>WaKz=kJRZb-_=@<6WV3`epnx#z=T&3$){Z@K^0aJN#ENgQv&& zujo3=H7cbtE&SD0(9Zq9>M>x4zvf0TIZ6=^{u-_0b>seCjev(ccqDiU{HnC@*A_%O z@vQ9vcKols0v-on^Bn%V5opK%I`!wVxc~LHftCL>TKMbtq8jkYp~;g!*;OxTZ0z!D>{-%%7PChr^40igvc`aD^ z(K-QtOB1xi-!c>I@VDL$R)1^L!r!Xvz7x;38^8{K8?lGDhI_xS!=t55!A?H6&jvf= z^*ZxK&+4S84)Omc_W{v<@qF(pj;(kc?@_#rX-XT9zo!qab3e8xuwLxhD!z04@c{p7 zBHnvz0BalyaXzgh#J9H-x-eMRE#XOM*_&Cc>x;1ZExYc6#DCD@Uuk7mKd|ppJ;>hJ zV$D0*8(GZSX7B?RkF>a<#V^z9_y!j5xAyuLU$B^a8YH~`I<)w@ZVH!0JNF~|P5JNsDIA*9JmkctltM71s8Vs!vp-q0sG#7UDr*=|3R%cj=zI< z2J9Lam;ZDCYkha^T5p{7`rrxK$=_gF@^|o>#REP3uGRGf0sKcIe*eY*E+4=x0=Rzw&kW!# z0sKh-|B;9f-3WH>9}e9GR((&TWqb~`Ko0J!EZ3d6Aa<9Ib