This commit is contained in:
zhangyz 2024-11-01 17:37:09 +08:00
parent 652b4c1d1a
commit ad82dfc61b
249 changed files with 106624 additions and 0 deletions

View File

@ -0,0 +1,22 @@
*.asv
*.m~
*.mex*
*.o
*.obj
*.dll
*.so
*.dylib
*.a
*.lib
*.exe
*.map
*.rsp
*.tmw
*.mat
sil/
interface/_coder_*_info.*
coderassumptions/
target/
build/
debug/
*.slxc

View File

@ -0,0 +1,14 @@
SRC=$(wildcard *.c)
OBJ=$(SRC:.c=.o)
SHARE_LIB_NAME=DPI_Component.so
all: $(SRC) $(SHARE_LIB_NAME)
@echo "### Successfully generated all binary outputs."
$(SHARE_LIB_NAME): $(OBJ)
gcc -shared -lm $(OBJ) -o $@
.c.o:
gcc -c -fPIC -Wall -pedantic -Wno-long-long -fwrapv -O0 $< -o $@

Binary file not shown.

View File

@ -0,0 +1,27 @@
BasedOnStyle: LLVM
Language: Cpp
IndentWidth: 2
ColumnLimit: 80
AllowShortBlocksOnASingleLine: false
AllowShortCaseLabelsOnASingleLine: false
AllowShortFunctionsOnASingleLine: None
AllowShortIfStatementsOnASingleLine: false
AllowShortLoopsOnASingleLine: false
MaxEmptyLinesToKeep: 1
NamespaceIndentation: None
CommentPragmas: '^(Return Type|Arguments)\s*'
DeriveLineEnding : true
BreakBeforeBraces: Custom
BraceWrapping:
AfterClass: false
AfterControlStatement: false
AfterEnum: true
AfterFunction: true
AfterNamespace: false
AfterStruct: false
AfterUnion: false
AfterExternBlock: false
BeforeCatch: false
BeforeElse: false
SplitEmptyFunction: true
SplitEmptyRecord: true

Binary file not shown.

View File

@ -0,0 +1,385 @@
Command: vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all \
-debug_region+cell+encrypt -f files.f -l com.log -fsdb +define+FSDB
Chronologic VCS (TM)
Version O-2018.09-SP2_Full64 -- Mon Oct 28 23:57:53 2024
Copyright (c) 1991-2018 by Synopsys Inc.
ALL RIGHTS RESERVED
This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv'.
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv'.
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing included file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh'.
Back to file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'.
Parsing design file '/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv'
Parsing design file './tb.sv'
Parsing design file './hilbert_fir_dpi_pkg.sv'
Parsing design file './hilbert_fir_dpi.sv'
Top Level Modules:
uvm_custom_install_recording
uvm_custom_install_verdi_recording
tb
No TimeScale specified
Starting vcs inline pass...
9 modules and 0 UDP read.
recompiling package vcs_paramclassrepository
recompiling package _vcs_DPI_package
recompiling package uvm_pkg
recompiling package _vcs_msglog
recompiling module uvm_custom_install_recording
recompiling module uvm_custom_install_verdi_recording
recompiling module tb
recompiling package hilbert_fir_dpi_pkg
recompiling module hilbert_fir_dpi
All of 9 modules done
make[1]: Entering directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \
-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
g++ -w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include \
-c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
if [ -x ../simv ]; then chmod -x ../simv; fi
g++ -o ../simv -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ \
-Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir -rdynamic -Wl,-rpath=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib \
-L/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib uvm_dpi.o uvm_verdi_dpi.o objs/amcQw_d.o \
_64535_archive_1.so SIM_l.o rmapats_mop.o rmapats.o rmar.o rmar_nd.o rmar_llvm_0_1.o \
rmar_llvm_0_0.o -lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs \
-lvcsnew -lsimprofile -luclinative /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o \
-Wl,-whole-archive -lvcsucli -Wl,-no-whole-archive ./../simv.daidir/vc_hdrs.o \
_vcs_pli_stub_.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o \
/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm \
-lpthread -ldl
../simv up to date
make[1]: Leaving directory `/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc' \
CPU time: 6.767 seconds to compile + .302 seconds to elab + .861 seconds to link

View File

@ -0,0 +1,116 @@
# Makefile generated by VCS to build your model
# This file may be modified; VCS will not overwrite it unless -Mupdate is used
# define default verilog source directory
VSRC=..
# Override TARGET_ARCH
TARGET_ARCH=
# Choose name of executable
PRODUCTBASE=$(VSRC)/simv
PRODUCT=$(PRODUCTBASE)
# Product timestamp file. If product is newer than this one,
# we will also re-link the product.
PRODUCT_TIMESTAMP=product_timestamp
# Path to runtime library
DEPLIBS=
VCSUCLI=-lvcsucli
RUNTIME=-lvcsnew -lsimprofile -luclinative /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o $(DEPLIBS)
VCS_SAVE_RESTORE_OBJ=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o
# Select your favorite compiler
# Linux:
VCS_CC=gcc
# Internal CC for gen_c flow:
CC_CG=gcc
# User overrode default CC:
VCS_CC=gcc
# Loader
LD=g++
# Strip Flags for target product
STRIPFLAGS=
PRE_LDFLAGS= # Loader Flags
LDFLAGS= -rdynamic -Wl,-rpath=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib -L/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib
# Picarchive Flags
PICLDFLAGS=-Wl,-rpath-link=./ -Wl,-rpath='$$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$$ORIGIN'/simv.daidir//scsim.db.dir
# C run time startup
CRT0=
# C run time startup
CRTN=
# Machine specific libraries
SYSLIBS=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl -lc -lm -lpthread -ldl
# Default defines
SHELL=/bin/sh
VCSTMPSPECARG=
VCSTMPSPECENV=
# NOTE: if you have little space in $TMPDIR, but plenty in /foo,
#and you are using gcc, uncomment the next line
#VCSTMPSPECENV=SNPS_VCS_TMPDIR=/foo
TMPSPECARG=$(VCSTMPSPECARG)
TMPSPECENV=$(VCSTMPSPECENV)
CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG)
# C flags for compilation
CFLAGS=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include
CFLAGS_O0=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O0 -fno-strict-aliasing
CFLAGS_CG=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O -fno-strict-aliasing
LD_PARTIAL_LOADER=ld
# Partial linking
LD_PARTIAL=$(LD_PARTIAL_LOADER) -r -o
ASFLAGS=
LIBS=-lzerosoft_rt_stubs -lvirsim -lerrorinf -lsnpsmalloc -lvfs
# Note: if make gives you errors about include, either get gmake, or
# replace the following line with the contents of the file filelist,
# EACH TIME IT CHANGES
# included file defines OBJS, and is automatically generated by vcs
include filelist
OBJS=$(VLOG_OBJS) $(SYSC_OBJS) $(VHDL_OBJS)
product : $(PRODUCT_TIMESTAMP)
@echo $(PRODUCT) up to date
objects : $(OBJS) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS)
clean :
rm -f $(VCS_OBJS) $(CU_OBJS)
clobber : clean
rm -f $(PRODUCT) $(PRODUCT_TIMESTAMP)
picclean :
rm -f _csrc*.so pre_vcsobj_*.so share_vcsobj_*.so
@rm -f $(PRODUCT).daidir/_[0-9]*_archive_*.so 2>/dev/null
product_clean_order :
@$(MAKE) -f Makefile --no-print-directory picclean
@$(MAKE) -f Makefile --no-print-directory product_order
product_order : $(PRODUCT)
$(PRODUCT_TIMESTAMP) : product_clean_order
-if [ -x $(PRODUCT) ]; then chmod -x $(PRODUCT); fi
$(LD) $(CRT0) -o $(PRODUCT) $(PRE_LDFLAGS) $(STRIPFLAGS) $(PCLDFLAGS) $(PICLDFLAGS) $(LDFLAGS) $(OBJS) $(LIBS) $(RUNTIME) -Wl,-whole-archive $(VCSUCLI) -Wl,-no-whole-archive $(LINK_TB) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(VCS_SAVE_RESTORE_OBJ) $(SYSLIBS) $(CRTN)
@rm -f csrc[0-9]*.o
@touch $(PRODUCT_TIMESTAMP)
@-if [ -d ./objs ]; then find ./objs -type d -empty -delete; fi
$(PRODUCT) : $(LD_VERSION_CHECK) $(OBJS) $(DOTLIBS) $(DPI_STUB_OBJS) $(PLI_STUB_OBJS) $(CMODLIB) /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsnew.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsimprofile.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libuclinative.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_tls.o /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvcsucli.so $(VCS_SAVE_RESTORE_OBJ)
@touch $(PRODUCT)

View File

@ -0,0 +1,47 @@
# Makefile generated by VCS to build rmapats.so for your model
VSRC=..
# Override TARGET_ARCH
TARGET_ARCH=
# Select your favorite compiler
# Linux:
VCS_CC=gcc
# Internal CC for gen_c flow:
CC_CG=gcc
# User overrode default CC:
VCS_CC=gcc
# Loader
LD=g++
# Loader Flags
LDFLAGS=
# Default defines
SHELL=/bin/sh
VCSTMPSPECARG=
VCSTMPSPECENV=
# NOTE: if you have little space in $TMPDIR, but plenty in /foo,
#and you are using gcc, uncomment the next line
#VCSTMPSPECENV=SNPS_VCS_TMPDIR=/foo
TMPSPECARG=$(VCSTMPSPECARG)
TMPSPECENV=$(VCSTMPSPECENV)
CC=$(TMPSPECENV) $(VCS_CC) $(TMPSPECARG)
# C flags for compilation
CFLAGS=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fasynchronous-unwind-tables
CFLAGS_CG=-w -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -O -fno-strict-aliasing
ASFLAGS=
LIBS=
include filelist.hsopt
rmapats.so: $(HSOPT_OBJS)
@$(VCS_CC) $(LDFLAGS) $(LIBS) -shared -o ./../simv.daidir/rmapats.so $(HSOPT_OBJS)

View File

@ -0,0 +1,964 @@
#ifndef _GNU_SOURCE
#define _GNU_SOURCE
#endif
#include <stdio.h>
#include <dlfcn.h>
#ifdef __cplusplus
extern "C" {
#endif
extern void* VCS_dlsymLookup(const char *);
extern void vcsMsgReportNoSource1(const char *, const char*);
/* PLI routine: $fsdbDumpvars:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvars
#define __VCS_PLI_STUB_novas_call_fsdbDumpvars
extern void novas_call_fsdbDumpvars(int data, int reason);
#pragma weak novas_call_fsdbDumpvars
void novas_call_fsdbDumpvars(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvars");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvars");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvars");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvars)(int data, int reason) = novas_call_fsdbDumpvars;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvars */
/* PLI routine: $fsdbDumpvars:misc */
#ifndef __VCS_PLI_STUB_novas_misc
#define __VCS_PLI_STUB_novas_misc
extern void novas_misc(int data, int reason, int iparam );
#pragma weak novas_misc
void novas_misc(int data, int reason, int iparam )
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason, int iparam ) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) dlsym(RTLD_NEXT, "novas_misc");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason, int iparam )) VCS_dlsymLookup("novas_misc");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason, iparam );
}
}
void (*__vcs_pli_dummy_reference_novas_misc)(int data, int reason, int iparam ) = novas_misc;
#endif /* __VCS_PLI_STUB_novas_misc */
/* PLI routine: $fsdbDumpvarsByFile:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile
#define __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile
extern void novas_call_fsdbDumpvarsByFile(int data, int reason);
#pragma weak novas_call_fsdbDumpvarsByFile
void novas_call_fsdbDumpvarsByFile(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpvarsByFile");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpvarsByFile");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpvarsByFile");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpvarsByFile)(int data, int reason) = novas_call_fsdbDumpvarsByFile;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpvarsByFile */
/* PLI routine: $fsdbAddRuntimeSignal:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal
#define __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal
extern void novas_call_fsdbAddRuntimeSignal(int data, int reason);
#pragma weak novas_call_fsdbAddRuntimeSignal
void novas_call_fsdbAddRuntimeSignal(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbAddRuntimeSignal");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbAddRuntimeSignal");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbAddRuntimeSignal");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbAddRuntimeSignal)(int data, int reason) = novas_call_fsdbAddRuntimeSignal;
#endif /* __VCS_PLI_STUB_novas_call_fsdbAddRuntimeSignal */
/* PLI routine: $sps_create_transaction_stream:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_create_transaction_stream
#define __VCS_PLI_STUB_novas_call_sps_create_transaction_stream
extern void novas_call_sps_create_transaction_stream(int data, int reason);
#pragma weak novas_call_sps_create_transaction_stream
void novas_call_sps_create_transaction_stream(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_create_transaction_stream");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_create_transaction_stream");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_create_transaction_stream");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_create_transaction_stream)(int data, int reason) = novas_call_sps_create_transaction_stream;
#endif /* __VCS_PLI_STUB_novas_call_sps_create_transaction_stream */
/* PLI routine: $sps_begin_transaction:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_begin_transaction
#define __VCS_PLI_STUB_novas_call_sps_begin_transaction
extern void novas_call_sps_begin_transaction(int data, int reason);
#pragma weak novas_call_sps_begin_transaction
void novas_call_sps_begin_transaction(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_begin_transaction");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_begin_transaction");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_begin_transaction");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_begin_transaction)(int data, int reason) = novas_call_sps_begin_transaction;
#endif /* __VCS_PLI_STUB_novas_call_sps_begin_transaction */
/* PLI routine: $sps_end_transaction:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_end_transaction
#define __VCS_PLI_STUB_novas_call_sps_end_transaction
extern void novas_call_sps_end_transaction(int data, int reason);
#pragma weak novas_call_sps_end_transaction
void novas_call_sps_end_transaction(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_end_transaction");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_end_transaction");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_end_transaction");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_end_transaction)(int data, int reason) = novas_call_sps_end_transaction;
#endif /* __VCS_PLI_STUB_novas_call_sps_end_transaction */
/* PLI routine: $sps_free_transaction:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_free_transaction
#define __VCS_PLI_STUB_novas_call_sps_free_transaction
extern void novas_call_sps_free_transaction(int data, int reason);
#pragma weak novas_call_sps_free_transaction
void novas_call_sps_free_transaction(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_free_transaction");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_free_transaction");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_free_transaction");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_free_transaction)(int data, int reason) = novas_call_sps_free_transaction;
#endif /* __VCS_PLI_STUB_novas_call_sps_free_transaction */
/* PLI routine: $sps_add_attribute:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_add_attribute
#define __VCS_PLI_STUB_novas_call_sps_add_attribute
extern void novas_call_sps_add_attribute(int data, int reason);
#pragma weak novas_call_sps_add_attribute
void novas_call_sps_add_attribute(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_attribute");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_attribute");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_attribute");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_add_attribute)(int data, int reason) = novas_call_sps_add_attribute;
#endif /* __VCS_PLI_STUB_novas_call_sps_add_attribute */
/* PLI routine: $sps_update_label:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_update_label
#define __VCS_PLI_STUB_novas_call_sps_update_label
extern void novas_call_sps_update_label(int data, int reason);
#pragma weak novas_call_sps_update_label
void novas_call_sps_update_label(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_update_label");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_update_label");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_update_label");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_update_label)(int data, int reason) = novas_call_sps_update_label;
#endif /* __VCS_PLI_STUB_novas_call_sps_update_label */
/* PLI routine: $sps_add_relation:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_add_relation
#define __VCS_PLI_STUB_novas_call_sps_add_relation
extern void novas_call_sps_add_relation(int data, int reason);
#pragma weak novas_call_sps_add_relation
void novas_call_sps_add_relation(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_add_relation");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_add_relation");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_add_relation");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_add_relation)(int data, int reason) = novas_call_sps_add_relation;
#endif /* __VCS_PLI_STUB_novas_call_sps_add_relation */
/* PLI routine: $fsdbWhatif:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbWhatif
#define __VCS_PLI_STUB_novas_call_fsdbWhatif
extern void novas_call_fsdbWhatif(int data, int reason);
#pragma weak novas_call_fsdbWhatif
void novas_call_fsdbWhatif(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbWhatif");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbWhatif");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbWhatif");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbWhatif)(int data, int reason) = novas_call_fsdbWhatif;
#endif /* __VCS_PLI_STUB_novas_call_fsdbWhatif */
/* PLI routine: $paa_init:call */
#ifndef __VCS_PLI_STUB_novas_call_paa_init
#define __VCS_PLI_STUB_novas_call_paa_init
extern void novas_call_paa_init(int data, int reason);
#pragma weak novas_call_paa_init
void novas_call_paa_init(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_init");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_init");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_init");
}
}
void (*__vcs_pli_dummy_reference_novas_call_paa_init)(int data, int reason) = novas_call_paa_init;
#endif /* __VCS_PLI_STUB_novas_call_paa_init */
/* PLI routine: $paa_sync:call */
#ifndef __VCS_PLI_STUB_novas_call_paa_sync
#define __VCS_PLI_STUB_novas_call_paa_sync
extern void novas_call_paa_sync(int data, int reason);
#pragma weak novas_call_paa_sync
void novas_call_paa_sync(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_paa_sync");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_paa_sync");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_paa_sync");
}
}
void (*__vcs_pli_dummy_reference_novas_call_paa_sync)(int data, int reason) = novas_call_paa_sync;
#endif /* __VCS_PLI_STUB_novas_call_paa_sync */
/* PLI routine: $fsdbDumpClassMethod:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod
#define __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod
extern void novas_call_fsdbDumpClassMethod(int data, int reason);
#pragma weak novas_call_fsdbDumpClassMethod
void novas_call_fsdbDumpClassMethod(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassMethod");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassMethod");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassMethod");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassMethod)(int data, int reason) = novas_call_fsdbDumpClassMethod;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassMethod */
/* PLI routine: $fsdbSuppressClassMethod:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod
#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod
extern void novas_call_fsdbSuppressClassMethod(int data, int reason);
#pragma weak novas_call_fsdbSuppressClassMethod
void novas_call_fsdbSuppressClassMethod(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassMethod");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassMethod");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassMethod");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassMethod)(int data, int reason) = novas_call_fsdbSuppressClassMethod;
#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassMethod */
/* PLI routine: $fsdbSuppressClassProp:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp
#define __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp
extern void novas_call_fsdbSuppressClassProp(int data, int reason);
#pragma weak novas_call_fsdbSuppressClassProp
void novas_call_fsdbSuppressClassProp(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbSuppressClassProp");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbSuppressClassProp");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbSuppressClassProp");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbSuppressClassProp)(int data, int reason) = novas_call_fsdbSuppressClassProp;
#endif /* __VCS_PLI_STUB_novas_call_fsdbSuppressClassProp */
/* PLI routine: $fsdbDumpMDAByFile:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile
#define __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile
extern void novas_call_fsdbDumpMDAByFile(int data, int reason);
#pragma weak novas_call_fsdbDumpMDAByFile
void novas_call_fsdbDumpMDAByFile(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpMDAByFile");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpMDAByFile");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpMDAByFile");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpMDAByFile)(int data, int reason) = novas_call_fsdbDumpMDAByFile;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpMDAByFile */
/* PLI routine: $fsdbTrans_create_stream_begin:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin
#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin
extern void novas_call_fsdbEvent_create_stream_begin(int data, int reason);
#pragma weak novas_call_fsdbEvent_create_stream_begin
void novas_call_fsdbEvent_create_stream_begin(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_begin");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_begin");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_begin");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_begin)(int data, int reason) = novas_call_fsdbEvent_create_stream_begin;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_begin */
/* PLI routine: $fsdbTrans_define_attribute:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute
#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute
extern void novas_call_fsdbEvent_add_stream_attribute(int data, int reason);
#pragma weak novas_call_fsdbEvent_add_stream_attribute
void novas_call_fsdbEvent_add_stream_attribute(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_stream_attribute");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_stream_attribute");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_stream_attribute");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_stream_attribute)(int data, int reason) = novas_call_fsdbEvent_add_stream_attribute;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_stream_attribute */
/* PLI routine: $fsdbTrans_create_stream_end:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end
#define __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end
extern void novas_call_fsdbEvent_create_stream_end(int data, int reason);
#pragma weak novas_call_fsdbEvent_create_stream_end
void novas_call_fsdbEvent_create_stream_end(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_create_stream_end");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_create_stream_end");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_create_stream_end");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_create_stream_end)(int data, int reason) = novas_call_fsdbEvent_create_stream_end;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_create_stream_end */
/* PLI routine: $fsdbTrans_begin:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_begin
#define __VCS_PLI_STUB_novas_call_fsdbEvent_begin
extern void novas_call_fsdbEvent_begin(int data, int reason);
#pragma weak novas_call_fsdbEvent_begin
void novas_call_fsdbEvent_begin(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_begin");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_begin");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_begin");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_begin)(int data, int reason) = novas_call_fsdbEvent_begin;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_begin */
/* PLI routine: $fsdbTrans_set_label:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_set_label
#define __VCS_PLI_STUB_novas_call_fsdbEvent_set_label
extern void novas_call_fsdbEvent_set_label(int data, int reason);
#pragma weak novas_call_fsdbEvent_set_label
void novas_call_fsdbEvent_set_label(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_set_label");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_set_label");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_set_label");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_set_label)(int data, int reason) = novas_call_fsdbEvent_set_label;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_set_label */
/* PLI routine: $fsdbTrans_add_attribute:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute
#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute
extern void novas_call_fsdbEvent_add_attribute(int data, int reason);
#pragma weak novas_call_fsdbEvent_add_attribute
void novas_call_fsdbEvent_add_attribute(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_attribute");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_attribute");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_attribute");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_attribute)(int data, int reason) = novas_call_fsdbEvent_add_attribute;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_attribute */
/* PLI routine: $fsdbTrans_add_tag:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag
#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag
extern void novas_call_fsdbEvent_add_tag(int data, int reason);
#pragma weak novas_call_fsdbEvent_add_tag
void novas_call_fsdbEvent_add_tag(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_tag");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_tag");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_tag");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_tag)(int data, int reason) = novas_call_fsdbEvent_add_tag;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_tag */
/* PLI routine: $fsdbTrans_end:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_end
#define __VCS_PLI_STUB_novas_call_fsdbEvent_end
extern void novas_call_fsdbEvent_end(int data, int reason);
#pragma weak novas_call_fsdbEvent_end
void novas_call_fsdbEvent_end(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_end");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_end");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_end");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_end)(int data, int reason) = novas_call_fsdbEvent_end;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_end */
/* PLI routine: $fsdbTrans_add_relation:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation
#define __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation
extern void novas_call_fsdbEvent_add_relation(int data, int reason);
#pragma weak novas_call_fsdbEvent_add_relation
void novas_call_fsdbEvent_add_relation(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_add_relation");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_add_relation");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_add_relation");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_add_relation)(int data, int reason) = novas_call_fsdbEvent_add_relation;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_add_relation */
/* PLI routine: $fsdbTrans_get_error_code:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code
#define __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code
extern void novas_call_fsdbEvent_get_error_code(int data, int reason);
#pragma weak novas_call_fsdbEvent_get_error_code
void novas_call_fsdbEvent_get_error_code(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbEvent_get_error_code");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbEvent_get_error_code");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbEvent_get_error_code");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbEvent_get_error_code)(int data, int reason) = novas_call_fsdbEvent_get_error_code;
#endif /* __VCS_PLI_STUB_novas_call_fsdbEvent_get_error_code */
/* PLI routine: $fsdbTrans_add_stream_attribute:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute
#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute
extern void novas_call_fsdbTrans_add_stream_attribute(int data, int reason);
#pragma weak novas_call_fsdbTrans_add_stream_attribute
void novas_call_fsdbTrans_add_stream_attribute(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_stream_attribute");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_stream_attribute");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_stream_attribute");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_stream_attribute)(int data, int reason) = novas_call_fsdbTrans_add_stream_attribute;
#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_stream_attribute */
/* PLI routine: $fsdbTrans_add_scope_attribute:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute
#define __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute
extern void novas_call_fsdbTrans_add_scope_attribute(int data, int reason);
#pragma weak novas_call_fsdbTrans_add_scope_attribute
void novas_call_fsdbTrans_add_scope_attribute(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbTrans_add_scope_attribute");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbTrans_add_scope_attribute");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbTrans_add_scope_attribute");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbTrans_add_scope_attribute)(int data, int reason) = novas_call_fsdbTrans_add_scope_attribute;
#endif /* __VCS_PLI_STUB_novas_call_fsdbTrans_add_scope_attribute */
/* PLI routine: $sps_interactive:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_interactive
#define __VCS_PLI_STUB_novas_call_sps_interactive
extern void novas_call_sps_interactive(int data, int reason);
#pragma weak novas_call_sps_interactive
void novas_call_sps_interactive(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_interactive");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_interactive");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_interactive");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_interactive)(int data, int reason) = novas_call_sps_interactive;
#endif /* __VCS_PLI_STUB_novas_call_sps_interactive */
/* PLI routine: $sps_test:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_test
#define __VCS_PLI_STUB_novas_call_sps_test
extern void novas_call_sps_test(int data, int reason);
#pragma weak novas_call_sps_test
void novas_call_sps_test(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_test");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_test");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_test");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_test)(int data, int reason) = novas_call_sps_test;
#endif /* __VCS_PLI_STUB_novas_call_sps_test */
/* PLI routine: $fsdbDumpClassObject:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObject
#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObject
extern void novas_call_fsdbDumpClassObject(int data, int reason);
#pragma weak novas_call_fsdbDumpClassObject
void novas_call_fsdbDumpClassObject(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObject");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObject");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObject");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObject)(int data, int reason) = novas_call_fsdbDumpClassObject;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObject */
/* PLI routine: $fsdbDumpClassObjectByFile:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile
#define __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile
extern void novas_call_fsdbDumpClassObjectByFile(int data, int reason);
#pragma weak novas_call_fsdbDumpClassObjectByFile
void novas_call_fsdbDumpClassObjectByFile(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpClassObjectByFile");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpClassObjectByFile");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpClassObjectByFile");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpClassObjectByFile)(int data, int reason) = novas_call_fsdbDumpClassObjectByFile;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpClassObjectByFile */
/* PLI routine: $ridbDump:call */
#ifndef __VCS_PLI_STUB_novas_call_ridbDump
#define __VCS_PLI_STUB_novas_call_ridbDump
extern void novas_call_ridbDump(int data, int reason);
#pragma weak novas_call_ridbDump
void novas_call_ridbDump(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_ridbDump");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_ridbDump");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_ridbDump");
}
}
void (*__vcs_pli_dummy_reference_novas_call_ridbDump)(int data, int reason) = novas_call_ridbDump;
#endif /* __VCS_PLI_STUB_novas_call_ridbDump */
/* PLI routine: $sps_flush_file:call */
#ifndef __VCS_PLI_STUB_novas_call_sps_flush_file
#define __VCS_PLI_STUB_novas_call_sps_flush_file
extern void novas_call_sps_flush_file(int data, int reason);
#pragma weak novas_call_sps_flush_file
void novas_call_sps_flush_file(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_sps_flush_file");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_sps_flush_file");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_sps_flush_file");
}
}
void (*__vcs_pli_dummy_reference_novas_call_sps_flush_file)(int data, int reason) = novas_call_sps_flush_file;
#endif /* __VCS_PLI_STUB_novas_call_sps_flush_file */
/* PLI routine: $fsdbDumpSingle:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpSingle
#define __VCS_PLI_STUB_novas_call_fsdbDumpSingle
extern void novas_call_fsdbDumpSingle(int data, int reason);
#pragma weak novas_call_fsdbDumpSingle
void novas_call_fsdbDumpSingle(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpSingle");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpSingle");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpSingle");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpSingle)(int data, int reason) = novas_call_fsdbDumpSingle;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpSingle */
/* PLI routine: $fsdbDumpIO:call */
#ifndef __VCS_PLI_STUB_novas_call_fsdbDumpIO
#define __VCS_PLI_STUB_novas_call_fsdbDumpIO
extern void novas_call_fsdbDumpIO(int data, int reason);
#pragma weak novas_call_fsdbDumpIO
void novas_call_fsdbDumpIO(int data, int reason)
{
static int _vcs_pli_stub_initialized_ = 0;
static void (*_vcs_pli_fp_)(int data, int reason) = NULL;
if (!_vcs_pli_stub_initialized_) {
_vcs_pli_stub_initialized_ = 1;
_vcs_pli_fp_ = (void (*)(int data, int reason)) dlsym(RTLD_NEXT, "novas_call_fsdbDumpIO");
if (_vcs_pli_fp_ == NULL) {
_vcs_pli_fp_ = (void (*)(int data, int reason)) VCS_dlsymLookup("novas_call_fsdbDumpIO");
}
}
if (_vcs_pli_fp_) {
_vcs_pli_fp_(data, reason);
} else {
vcsMsgReportNoSource1("PLI-DIFNF", "novas_call_fsdbDumpIO");
}
}
void (*__vcs_pli_dummy_reference_novas_call_fsdbDumpIO)(int data, int reason) = novas_call_fsdbDumpIO;
#endif /* __VCS_PLI_STUB_novas_call_fsdbDumpIO */
#ifdef __cplusplus
}
#endif

View File

@ -0,0 +1,12 @@
hEeZs_d.o
uM9F1_d.o
eQdaR_d.o
reYIK_d.o
zr7M1_d.o
zQBzV_d.o
nhiwz_d.o
EbjT3_d.o
g7hgQ_d.o
RFT57_d.o
fhaHS_d.o
amcQwB.o

Binary file not shown.

View File

@ -0,0 +1,422 @@
{
"perf": [
{
"stat": [
"main",
"entry",
0.020707130432128906,
0.039099000000000002,
0.036090999999999998,
216488,
216488,
0.0,
0.0,
1730131073.195446,
11745225862042164
],
"sub": [
{
"stat": [
"doParsingAndDesignResolution",
"entry",
0.15212321281433105,
0.044595000000000003,
0.046533999999999999,
276604,
277404,
0.0,
0.0,
1730131073.3268621,
11745226203685144
],
"sub": []
},
{
"stat": [
"doParsingAndDesignResolution",
"exit",
1.0939841270446777,
0.96159300000000003,
0.070749000000000006,
317052,
317700,
0.0,
0.0,
1730131074.268723,
11745228652625968
],
"sub": []
},
{
"stat": [
"doPostDesignResolutionToVir2Vcs",
"entry",
1.1145992279052734,
0.98216300000000001,
0.070794999999999997,
317052,
317700,
0.0,
0.0,
1730131074.2893381,
11745228706154144
],
"sub": [
{
"stat": [
"doUptoVir2VcsNoSepCleanup",
"entry",
1.5995831489562988,
1.4648889999999999,
0.072844999999999993,
318276,
318280,
0.0,
0.0,
1730131074.774322,
11745229967166934
],
"sub": []
},
{
"stat": [
"doUptoVir2VcsNoSepCleanup",
"exit",
3.2459311485290527,
3.0216460000000001,
0.110803,
326388,
341816,
0.011849999999999999,
0.038986,
1730131076.42067,
11745234247658520
],
"sub": []
},
{
"stat": [
"doRadify_vir2vcsAll",
"entry",
3.2460072040557861,
3.0217200000000002,
0.110806,
326388,
341816,
0.011849999999999999,
0.038986,
1730131076.4207461,
11745234247780974
],
"sub": []
},
{
"stat": [
"doRadify_vir2vcsAll",
"exit",
3.4042630195617676,
3.1709719999999999,
0.11981,
347888,
347888,
0.011849999999999999,
0.038986,
1730131076.5790019,
11745234659423574
],
"sub": []
}
]
},
{
"stat": [
"doPostDesignResolutionToVir2Vcs",
"exit",
3.4043512344360352,
3.1710579999999999,
0.119813,
347888,
347888,
0.011849999999999999,
0.038986,
1730131076.5790901,
11745234659472496
],
"sub": []
},
{
"stat": [
"doGAToPass2",
"entry",
3.4043660163879395,
3.1710720000000001,
0.119813,
347888,
347888,
0.011849999999999999,
0.038986,
1730131076.5791049,
11745234659505566
],
"sub": [
{
"stat": [
"DoPass2",
"entry",
3.4561002254486084,
3.1891539999999998,
0.12181400000000001,
340880,
347888,
0.022261,
0.060856,
1730131076.6308391,
11745234794108230
],
"sub": []
},
{
"stat": [
"DoPass2",
"exit",
6.7180230617523193,
6.3723450000000001,
0.20042199999999999,
352340,
352368,
0.022261,
0.060856,
1730131079.8927619,
11745243275107548
],
"sub": []
}
]
},
{
"stat": [
"doGAToPass2",
"exit",
6.7252471446990967,
6.3771279999999999,
0.202845,
352340,
352368,
0.022261,
0.060856,
1730131079.899986,
11745243293890332
],
"sub": []
}
]
},
{
"stat": [
"main",
"exit",
6.7265701293945312,
6.3784410000000005,
0.20285500000000001,
352332,
352368,
0.022261,
0.060856,
1730131079.901309,
11745243297312992
],
"sub": []
}
],
"cycles_program_begin": 11745225861787568,
"incremental": "on",
"SIMBData": {
"out": "amcQwB.o",
"bytes": 433114,
"archive": "archive.0/_64535_archive_1.a",
"text": 0
},
"PrevCompiledModules": {},
"MlibObjs": {},
"stat": {
"realTime": 6.7266380786895752,
"ru_self_cgstart": {
"ru_utime_sec": 3.1892330000000002,
"ru_stime_sec": 0.12181699999999999,
"ru_nivcsw": 57,
"ru_nvcsw": 57,
"ru_maxrss_kb": 146584,
"ru_minflt": 54218,
"ru_majflt": 0
},
"ru_childs_cgstart": {
"ru_utime_sec": 0.022261,
"ru_stime_sec": 0.060856,
"ru_nivcsw": 22,
"ru_nvcsw": 23,
"ru_maxrss_kb": 84332,
"ru_minflt": 10649,
"ru_majflt": 0
},
"nQuads": 135677,
"cpu_cycles_cgstart": 11745234794244292,
"outputSizePerQuad": 117.14855133884151,
"ru_self_end": {
"ru_utime_sec": 6.3784869999999998,
"ru_stime_sec": 0.20285600000000001,
"ru_nivcsw": 70,
"ru_nvcsw": 57,
"ru_maxrss_kb": 157516,
"ru_minflt": 70890,
"ru_majflt": 0
},
"nMops": 419480,
"quadSpeed": 42541.923597179783,
"totalObjSize": 15894364,
"ru_childs_end": {
"ru_utime_sec": 0.022261,
"ru_stime_sec": 0.060856,
"ru_nivcsw": 22,
"ru_nvcsw": 23,
"ru_maxrss_kb": 84332,
"ru_minflt": 10649,
"ru_majflt": 0
},
"cpu_cycles_end": 11745243297408728,
"cpu_cycles_total": 17435621160,
"mopSpeed": 131529.19146609207,
"mop/quad": 3.0917546820758126,
"Frontend(%)": 50.173729695341855,
"CodeGen(%)": 49.826270304658145,
"peak_mem_kb": 352368
},
"CurCompileModules": [
"...MASTER...",
"...MASTER...",
"vcs_paramclassrepository",
"vcs_paramclassrepository",
"_vcs_DPI_package",
"_vcs_DPI_package",
"_vcs_unit__3504648197",
"_vcs_unit__3504648197",
"std",
"std",
"uvm_pkg",
"uvm_pkg",
"_vcs_msglog",
"_vcs_msglog",
"uvm_custom_install_recording",
"uvm_custom_install_recording",
"uvm_custom_install_verdi_recording",
"uvm_custom_install_verdi_recording",
"tb",
"tb",
"hilbert_fir_dpi_pkg",
"hilbert_fir_dpi_pkg",
"hilbert_fir_dpi",
"hilbert_fir_dpi"
],
"NameTable": {
"hilbert_fir_dpi_pkg": [
"hilbert_fir_dpi_pkg",
"RFT57",
"module",
10
],
"_vcs_DPI_package": [
"_vcs_DPI_package",
"uM9F1",
"module",
1
],
"vcs_paramclassrepository": [
"vcs_paramclassrepository",
"hEeZs",
"module",
2
],
"uvm_custom_install_recording": [
"uvm_custom_install_recording",
"nhiwz",
"module",
7
],
"_vcs_unit__3504648197": [
"_vcs_unit__3504648197",
"eQdaR",
"module",
3
],
"std": [
"std",
"reYIK",
"module",
4
],
"uvm_pkg": [
"uvm_pkg",
"zr7M1",
"module",
5
],
"uvm_custom_install_verdi_recording": [
"uvm_custom_install_verdi_recording",
"EbjT3",
"module",
8
],
"_vcs_msglog": [
"_vcs_msglog",
"zQBzV",
"module",
6
],
"tb": [
"tb",
"g7hgQ",
"module",
9
],
"hilbert_fir_dpi": [
"hilbert_fir_dpi",
"fhaHS",
"module",
11
],
"...MASTER...": [
"SIM",
"amcQw",
"module",
12
]
},
"cpu_cycles_pass2_start": 11745234794132494,
"CompileStrategy": "fullobj",
"CurCompileUdps": {},
"CompileProcesses": [
"cgproc.64535.json"
],
"LVLData": [
"SIM"
],
"PEModules": [],
"Misc": {
"daidir": "simv.daidir",
"vcs_version": "O-2018.09-SP2_Full64",
"vcs_build_date": "Build Date = Feb 28 2019 22:34:30",
"master_pid": 64535,
"cwd": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir",
"default_output_dir": "csrc",
"VCS_HOME": "/opt/synopsys/vcs-mx/O-2018.09-SP2",
"hostname": "cryo1",
"csrc": "csrc",
"csrc_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/csrc",
"daidir_abs": "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir",
"archive_dir": "archive.0"
},
"rlimit": {
"data": -1,
"stack": -1
},
"CompileStatus": "Successful"
}

File diff suppressed because it is too large Load Diff

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1,39 @@
AR=ar
DOTLIBS=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libzerosoft_rt_stubs.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so
uvm_dpi.o : uvm_dpi.d /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
g++ $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
uvm_dpi.d : /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
@g++ -MM $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc > uvm_dpi.d
uvm_verdi_dpi.o : uvm_verdi_dpi.d /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
g++ $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
uvm_verdi_dpi.d : /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
@g++ -MM $(CFLAGS) -c /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp > uvm_verdi_dpi.d
# This file is automatically generated by VCS. Any changes you make to it
# will be overwritten the next time VCS is run
VCS_LIBEXT=
XTRN_OBJS=uvm_dpi.o uvm_verdi_dpi.o
DPI_WRAPPER_OBJS =
DPI_STUB_OBJS =
# filelist.dpi will populate DPI_WRAPPER_OBJS and DPI_STUB_OBJS
include filelist.dpi
PLI_STUB_OBJS =
include filelist.pli
include filelist.hsopt
include filelist.cu
VCS_INCR_OBJS=
AUGDIR=
AUG_LDFLAGS=
SHARED_OBJ_SO=
VLOG_OBJS=$(XTRN_OBJS) $(VCS_OBJS) $(CU_OBJS) $(VCS_ARC0) $(DPI_WRAPPER_OBJS) $(VCS_INCR_OBJS) $(SHARED_OBJ_SO) $(HSOPT_OBJS)

View File

@ -0,0 +1,33 @@
PIC_LD=ld
ARCHIVE_OBJS=
ARCHIVE_OBJS += _64535_archive_1.so
_64535_archive_1.so : archive.0/_64535_archive_1.a
@$(AR) -s $<
@$(PIC_LD) -shared -Bsymbolic -o .//../simv.daidir//_64535_archive_1.so --whole-archive $< --no-whole-archive
@rm -f $@
@ln -sf .//../simv.daidir//_64535_archive_1.so $@
O0_OBJS =
$(O0_OBJS) : %.o: %.c
$(CC_CG) $(CFLAGS_O0) -c -o $@ $<
%.o: %.c
$(CC_CG) $(CFLAGS_CG) -c -o $@ $<
CU_UDP_OBJS = \
CU_LVL_OBJS = \
SIM_l.o
MAIN_OBJS = \
objs/amcQw_d.o
CU_OBJS = $(MAIN_OBJS) $(ARCHIVE_OBJS) $(CU_UDP_OBJS) $(CU_LVL_OBJS)

View File

@ -0,0 +1,4 @@
DPI_STUB_OBJS += ./../simv.daidir/vc_hdrs.o
./../simv.daidir/vc_hdrs.o: ./../simv.daidir/vc_hdrs.c
@$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o ./../simv.daidir/vc_hdrs.o ./../simv.daidir/vc_hdrs.c
@strip -g ./../simv.daidir/vc_hdrs.o

View File

@ -0,0 +1,13 @@
rmapats_mop.o: rmapats.m
@/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/cgmop1 -tls_initexe -dump_profinf -rtloopreport -pic -gen_obj rmapats.m rmapats_mop.o; rm -f rmapats.m; touch rmapats.m; touch rmapats_mop.o
rmapats.o: rmapats.c
@$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmapats.o rmapats.c
rmapats%.o: rmapats%.c
@$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $<
rmar.o: rmar.c
@$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o rmar.o rmar.c
rmar%.o: rmar%.c
@$(CC_CG) $(CFLAGS_CG) -c -fPIC -x c -o $@ $<
include filelist.hsopt.objs

View File

@ -0,0 +1 @@
LLVM_OBJS += rmar_llvm_0_1.o rmar_llvm_0_0.o

View File

@ -0,0 +1,7 @@
HSOPT_OBJS +=rmapats_mop.o \
rmapats.o \
rmar.o rmar_nd.o
include filelist.hsopt.llvm2_0.objs
HSOPT_OBJS += $(LLVM_OBJS)

View File

@ -0,0 +1,4 @@
PLI_STUB_OBJS += _vcs_pli_stub_.o
_vcs_pli_stub_.o: _vcs_pli_stub_.c
@$(CC) -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include -fPIC -c -o _vcs_pli_stub_.o _vcs_pli_stub_.c
@strip -g _vcs_pli_stub_.o

View File

@ -0,0 +1,146 @@
typedef struct _vcs_dpi_rsrc_msg_struct rsrc_msg_struct;
struct _vcs_dpi_rsrc_msg_struct {
SV_STRING scope_name;
SV_STRING field_name;
SV_STRING type_name;
SV_STRING action;
SV_STRING accessor;
SV_STRING resource;
};
extern int uvm_hdl_check_path(/* INPUT */const char* path);
extern int uvm_hdl_deposit(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value);
extern int uvm_hdl_force(/* INPUT */const char* path, const /* INPUT */svLogicVecVal *value);
extern int uvm_hdl_release_and_read(/* INPUT */const char* path, /* INOUT */svLogicVecVal *value);
extern int uvm_hdl_release(/* INPUT */const char* path);
extern int uvm_hdl_read(/* INPUT */const char* path, /* OUTPUT */svLogicVecVal *value);
extern SV_STRING uvm_hdl_read_string(/* INPUT */const char* path);
extern int uvm_memory_load(/* INPUT */const char* nid, /* INPUT */const char* scope, /* INPUT */const char* fileName, /* INPUT */const char* radix, /* INPUT */const char* startaddr, /* INPUT */const char* endaddr, /* INPUT */const char* types);
extern SV_STRING uvm_dpi_get_next_arg_c(/* INPUT */int init);
extern SV_STRING uvm_dpi_get_tool_name_c();
extern SV_STRING uvm_dpi_get_tool_version_c();
extern void* uvm_dpi_regcomp(/* INPUT */const char* regex);
extern int uvm_dpi_regexec(/* INPUT */void* preg, /* INPUT */const char* str);
extern void uvm_dpi_regfree(/* INPUT */void* preg);
extern int uvm_re_match(/* INPUT */const char* re, /* INPUT */const char* str);
extern void uvm_dump_re_cache();
extern SV_STRING uvm_glob_to_re(/* INPUT */const char* glob);
extern int parse_rsrc_msg(/* INPUT */const char* message, /* OUTPUT */rsrc_msg_struct *_msg_fields);
extern int parse_phase_msg(/* INPUT */const char* message, /* OUTPUT */SV_STRING *domain, /* OUTPUT */SV_STRING *schedule, /* OUTPUT */SV_STRING *phase);
extern int find_substr_by_C(/* INPUT */const char* org_str, /* INPUT */const char* search_str);
extern SV_STRING verdi_dump_resource_value(/* INPUT */const char* rsrc);
extern int verdi_dump_component_interface(/* INPUT */const char* scope_name, /* INPUT */int streamId);
extern SV_STRING verdi_upper_scope(/* INPUT */const char* inst_scope_name, /* OUTPUT */void* *upper_scope_pointer);
extern void verdi_dhier_interface(/* INPUT */const char* var_name);
extern void retrieve_reg_def_class(/* INPUT */const char* var_name, /* INPUT */int _handle, /* INPUT */int is_objid_only);
extern SV_STRING retrieve_def_class(/* INPUT */const char* var_name, /* OUTPUT */int *objid);
extern int record_reg_decl_name(/* INPUT */int handle, /* INPUT */const char* parent_var_name, /* INPUT */const char* var_name, /* INPUT */const char* obj_name);
extern int check_is_sequencer();
extern SV_STRING remove_array_index(/* INPUT */const char* name_w_ary_idx, /* OUTPUT */void* *name_c_ptr);
extern void fsdbTransDPI_scope_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options);
extern void fsdbTransDPI_scope_add_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_scope_add_string_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_scope_add_real_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_scope_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */const char* scope_fullname, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options);
extern int fsdbTransDPI_create_stream_begin(/* OUTPUT */int *state, /* INPUT */const char* stream_fullname, /* INPUT */const char* description, /* INPUT */const char* options);
extern void fsdbTransDPI_define_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options);
extern void fsdbTransDPI_define_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_define_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_define_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_define_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_stream_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options);
extern void fsdbTransDPI_stream_add_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_stream_add_string_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_stream_add_real_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_stream_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_create_stream_end(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* options);
extern long long fsdbTransDPI_begin(/* OUTPUT */int *state, /* INPUT */int sid, /* INPUT */const char* trans_type, /* INPUT */const char* options);
extern void fsdbTransDPI_set_label(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* label, /* INPUT */const char* options);
extern void fsdbTransDPI_add_tag(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* tag, /* INPUT */const char* options);
extern void fsdbTransDPI_add_logicvec_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, /* INPUT */const char* options);
extern void fsdbTransDPI_add_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_add_string_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_add_real_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_add_enum_int_attribute(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */const char* options);
extern void fsdbTransDPI_add_logicvec_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, const /* INPUT */svLogicVecVal *attribute, /* INPUT */int numbit, const /* INPUT */svLogicVecVal *expected_val, /* INPUT */const char* options);
extern void fsdbTransDPI_add_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options);
extern void fsdbTransDPI_add_string_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */const char* attribute, /* INPUT */const char* expected_val, /* INPUT */const char* options);
extern void fsdbTransDPI_add_real_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */double attribute, /* INPUT */double expected_val, /* INPUT */const char* options);
extern void fsdbTransDPI_add_enum_int_attribute_with_expected_value(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* attribute_name, /* INPUT */unsigned int enum_id, /* INPUT */int attribute, /* INPUT */int expected_val, /* INPUT */const char* options);
extern void fsdbTransDPI_end(/* OUTPUT */int *state, /* INPUT */long long tid, /* INPUT */const char* options);
extern void fsdbTransDPI_add_relation(/* OUTPUT */int *state, /* INPUT */const char* rel_name, /* INPUT */long long master_tid, /* INPUT */long long slave_tid, /* INPUT */const char* options);
extern unsigned int fsdbTransDPI_get_enum_id(/* OUTPUT */int *state, /* INPUT */const char* enum_var_name);
extern SV_STRING fsdbTransDPI_get_class_str(/* OUTPUT */int *state, /* INPUT */const char* class_var_name, /* INPUT */const char* options);
extern void* DPI_hilbert_fir_initialize(/* INPUT */void* existhandle);
extern void* DPI_hilbert_fir_reset(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data);
extern void DPI_hilbert_fir(/* INPUT */void* objhandle, const /* INPUT */double *data, /* OUTPUT */double *i_data, /* OUTPUT */double *q_data);
extern void DPI_hilbert_fir_terminate(/* INPUT */void* existhandle);

View File

@ -0,0 +1,59 @@
// file = 0; split type = patterns; threshold = 100000; total count = 0.
#include <stdio.h>
#include <stdlib.h>
#include <strings.h>
#include "rmapats.h"
void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685);
void hsG_0__0_core(struct dummyq_struct * I1289, EBLK * I1283, U I685);
void hsG_0__0 (struct dummyq_struct * I1289, EBLK * I1283, U I685)
{
U I1547;
U I1548;
U I1549;
struct futq * I1550;
struct dummyq_struct * pQ = I1289;
I1547 = ((U )vcs_clocks) + I685;
I1549 = I1547 & ((1 << fHashTableSize) - 1);
I1283->I727 = (EBLK *)(-1);
I1283->I731 = I1547;
if (I1547 < (U )vcs_clocks) {
I1548 = ((U *)&vcs_clocks)[1];
sched_millenium(pQ, I1283, I1548 + 1, I1547);
}
else if ((peblkFutQ1Head != ((void *)0)) && (I685 == 1)) {
I1283->I733 = (struct eblk *)peblkFutQ1Tail;
peblkFutQ1Tail->I727 = I1283;
peblkFutQ1Tail = I1283;
}
else if ((I1550 = pQ->I1190[I1549].I745)) {
I1283->I733 = (struct eblk *)I1550->I744;
I1550->I744->I727 = (RP )I1283;
I1550->I744 = (RmaEblk *)I1283;
}
else {
sched_hsopt(pQ, I1283, I1547);
}
}
#ifdef __cplusplus
extern "C" {
#endif
void mopcProfileInit(void);
void SinitHsimPats(void);
#ifdef __cplusplus
}
#endif
#ifdef __cplusplus
extern "C" {
#endif
void rmaFunctionDummyEnd_simv_daidir(UB * pcode, scalar val);
#ifdef __cplusplus
}
#endif
#ifdef __cplusplus
extern "C" {
#endif
void SinitHsimPats(void);
#ifdef __cplusplus
}
#endif

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,13 @@
#include <stdio.h>
#include <stdlib.h>
#include "rmar0.h"
// stubs for Hil functions
#ifdef __cplusplus
extern "C" {
#endif
void __Hil__Static_Init_Func__(void) {}
#ifdef __cplusplus
}
#endif

View File

@ -0,0 +1,18 @@
#ifndef _RMAR1_H_
#define _RMAR1_H_
#ifdef __cplusplus
extern "C" {
#endif
#ifndef __DO_RMAHDR_
#include "rmar0.h"
#endif /*__DO_RMAHDR_*/
extern UP rmaFunctionRtlArray[];
#ifdef __cplusplus
}
#endif
#endif

View File

@ -0,0 +1,13 @@
#ifndef _RMAR0_H_
#define _RMAR0_H_
#ifdef __cplusplus
extern "C" {
#endif
#ifdef __cplusplus
}
#endif
#endif

View File

@ -0,0 +1,14 @@
uvm_dpi.o: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/svdpi.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_common.c \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.cc \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.c \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl_vcs.c \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vcsuser.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/mhpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vhpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.c

View File

@ -0,0 +1,8 @@
uvm_verdi_dpi.o: \
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/veriuser.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/vcs_vpi_user.h \
/opt/synopsys/vcs-mx/O-2018.09-SP2/include/sv_vpi_user.h

View File

@ -0,0 +1,91 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* main.c
*
* Code generation for function 'main'
*
*/
/*************************************************************************/
/* This automatically generated example C main file shows how to call */
/* entry-point functions that MATLAB Coder generated. You must customize */
/* this file for your application. Do not modify this file directly. */
/* Instead, make a copy of this file, modify it, and integrate it into */
/* your development environment. */
/* */
/* This file initializes entry-point function arguments to a default */
/* size and value before calling the entry-point functions. It does */
/* not store or use any values returned from the entry-point functions. */
/* If necessary, it does pre-allocate memory for returned values. */
/* You can use this file as a starting point for a main function that */
/* you can deploy in your application. */
/* */
/* After you copy the file, and before you deploy it, you must make the */
/* following changes: */
/* * For variable-size function arguments, change the example sizes to */
/* the sizes that your application requires. */
/* * Change the example values of function arguments to the values that */
/* your application requires. */
/* * If the entry-point functions return values, store these values or */
/* otherwise use them as required by your application. */
/* */
/*************************************************************************/
/* Include files */
#include "main.h"
#include "hilbert_fir.h"
/* Function Declarations */
static void argInit_1x8_real_T(double result[8]);
static double argInit_real_T(void);
/* Function Definitions */
static void argInit_1x8_real_T(double result[8])
{
int idx1;
/* Loop over the array to initialize each element. */
for (idx1 = 0; idx1 < 8; idx1++) {
/* Set the value of the array element.
Change this value to the value that the application requires. */
result[idx1] = argInit_real_T();
}
}
static double argInit_real_T(void)
{
return 0.0;
}
int main(int argc, char **argv)
{
(void)argc;
(void)argv;
/* Initialize the application.
You do not need to do this more than one time. */
hilbert_fir_initialize();
/* Invoke the entry-point functions.
You can call entry-point functions multiple times. */
main_hilbert_fir();
/* Terminate the application.
You do not need to do this more than one time. */
hilbert_fir_terminate();
return 0;
}
void main_hilbert_fir(void)
{
double dv[8];
double i_data[8];
double q_data[8];
/* Initialize function 'hilbert_fir' input arguments. */
/* Initialize function input argument 'data'. */
/* Call the entry-point 'hilbert_fir'. */
argInit_1x8_real_T(dv);
hilbert_fir(dv, i_data, q_data);
}
/* End of code generation (main.c) */

View File

@ -0,0 +1,59 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* main.h
*
* Code generation for function 'main'
*
*/
/*************************************************************************/
/* This automatically generated example C main file shows how to call */
/* entry-point functions that MATLAB Coder generated. You must customize */
/* this file for your application. Do not modify this file directly. */
/* Instead, make a copy of this file, modify it, and integrate it into */
/* your development environment. */
/* */
/* This file initializes entry-point function arguments to a default */
/* size and value before calling the entry-point functions. It does */
/* not store or use any values returned from the entry-point functions. */
/* If necessary, it does pre-allocate memory for returned values. */
/* You can use this file as a starting point for a main function that */
/* you can deploy in your application. */
/* */
/* After you copy the file, and before you deploy it, you must make the */
/* following changes: */
/* * For variable-size function arguments, change the example sizes to */
/* the sizes that your application requires. */
/* * Change the example values of function arguments to the values that */
/* your application requires. */
/* * If the entry-point functions return values, store these values or */
/* otherwise use them as required by your application. */
/* */
/*************************************************************************/
#ifndef MAIN_H
#define MAIN_H
/* Include files */
#include "rtwtypes.h"
#include <stddef.h>
#include <stdlib.h>
#ifdef __cplusplus
extern "C" {
#endif
/* Function Declarations */
extern int main(int argc, char **argv);
extern void main_hilbert_fir(void);
#ifdef __cplusplus
}
#endif
#endif
/* End of code generation (main.h) */

View File

@ -0,0 +1,4 @@
./tb.sv
./hilbert_fir_dpi_pkg.sv
./hilbert_fir_dpi.sv

View File

@ -0,0 +1,58 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* hilbert_fir.c
*
* Code generation for function 'hilbert_fir'
*
*/
/* Include files */
#include "hilbert_fir.h"
#include <math.h>
#include <string.h>
/* Function Definitions */
void hilbert_fir(const double data[8], double i_data[8], double q_data[8])
{
static const short iv[33] = {
0, -69, 0, -202, 0, -471, 0, -950, 0, -1766, 0,
-3213, 0, -6337, 0, -20648, 0, 20648, 0, 6337, 0, 3213,
0, 1766, 0, 950, 0, 471, 0, 202, 0, 69, 0};
double q_data_temp[40];
int b_k;
int k;
memcpy(&i_data[0], &data[0], 8U * sizeof(double));
memset(&q_data_temp[0], 0, 40U * sizeof(double));
for (k = 0; k < 8; k++) {
for (b_k = 0; b_k < 33; b_k++) {
int q_data_temp_tmp;
q_data_temp_tmp = k + b_k;
q_data_temp[q_data_temp_tmp] += data[k] * (double)iv[b_k];
}
}
for (k = 0; k < 8; k++) {
double d;
d = floor(q_data_temp[k + 16] / 32768.0);
q_data[k] = d;
if (d > 32767.0) {
d = 32767.0;
q_data[k] = 32767.0;
}
if (d < -32768.0) {
q_data[k] = -32768.0;
}
}
}
void hilbert_fir_initialize(void)
{
}
void hilbert_fir_terminate(void)
{
}
/* End of code generation (hilbert_fir.c) */

View File

@ -0,0 +1,8 @@
DLL {
global:
hilbert_fir;
hilbert_fir_initialize;
hilbert_fir_terminate;
local:
*;
};

View File

@ -0,0 +1,37 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* hilbert_fir.h
*
* Code generation for function 'hilbert_fir'
*
*/
#ifndef HILBERT_FIR_H
#define HILBERT_FIR_H
/* Include files */
#include "rtwtypes.h"
#include <stddef.h>
#include <stdlib.h>
#ifdef __cplusplus
extern "C" {
#endif
/* Function Declarations */
extern void hilbert_fir(const double data[8], double i_data[8],
double q_data[8]);
extern void hilbert_fir_initialize(void);
extern void hilbert_fir_terminate(void);
#ifdef __cplusplus
}
#endif
#endif
/* End of code generation (hilbert_fir.h) */

View File

@ -0,0 +1,52 @@
/*
*File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.c
*Created: 2024-10-28 23:46:29
*Generated by MATLAB 9.13 and HDL Verifier 7.0
*/
#include "hilbert_fir.h"
#include "hilbert_fir_dpi.h"
#include <string.h>
DPI_DLL_EXPORT void * DPI_hilbert_fir_initialize(void* existhandle)
{
hilbert_fir_initialize();
existhandle=NULL;
return NULL;
}
DPI_DLL_EXPORT void * DPI_hilbert_fir_reset(void* objhandle,real_T * data,real_T * i_data,real_T * q_data)
{
DPI_hilbert_fir_terminate(objhandle);
objhandle=NULL;
objhandle=DPI_hilbert_fir_initialize(NULL);
DPI_hilbert_fir(objhandle,data,i_data,q_data);
DPI_hilbert_fir_terminate(objhandle);
objhandle=NULL;
return DPI_hilbert_fir_initialize(NULL);
}
DPI_DLL_EXPORT void DPI_hilbert_fir(void* objhandle,real_T * data,real_T * i_data,real_T * q_data)
{
hilbert_fir(data,i_data,q_data);
objhandle=NULL;
}
DPI_DLL_EXPORT void DPI_hilbert_fir_terminate(void* existhandle)
{
existhandle=NULL;
hilbert_fir_terminate();
}

View File

@ -0,0 +1,34 @@
/*
*File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.h
*Created: 2024-10-28 23:46:29
*Generated by MATLAB 9.13 and HDL Verifier 7.0
*/
#ifndef RTW_HEADER_hilbert_fir_dpi_h_
#define RTW_HEADER_hilbert_fir_dpi_h_
#ifdef __cplusplus
#define DPI_LINK_DECL extern "C"
#else
#define DPI_LINK_DECL
#endif
#ifndef DPI_DLL_EXPORT
#ifdef _MSC_VER
#define DPI_DLL_EXPORT __declspec(dllexport)
#else
#define DPI_DLL_EXPORT
#endif
#endif
DPI_LINK_DECL
DPI_DLL_EXPORT void * DPI_hilbert_fir_initialize(void* existhandle);
DPI_LINK_DECL
DPI_DLL_EXPORT void * DPI_hilbert_fir_reset(void* objhandle,real_T * data,real_T * i_data,real_T * q_data);
DPI_LINK_DECL
DPI_DLL_EXPORT void DPI_hilbert_fir(void* objhandle,real_T * data,real_T * i_data,real_T * q_data);
DPI_LINK_DECL
DPI_DLL_EXPORT void DPI_hilbert_fir_terminate(void* existhandle);
#endif

View File

@ -0,0 +1,47 @@
// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv
// Created: 2024-10-28 23:46:29
// Generated by MATLAB 9.13 and HDL Verifier 7.0
//`timescale 1ns / 1ns
import hilbert_fir_dpi_pkg::*;
module hilbert_fir_dpi(
input bit clk,
input bit clk_enable,
input bit reset,
input real data [0:7],
output real i_data [0:7],
output real q_data [0:7]
);
chandle objhandle=null;
real i_data_temp [0:7];
real q_data_temp [0:7];
initial begin
objhandle=DPI_hilbert_fir_initialize(objhandle);
end
final begin
DPI_hilbert_fir_terminate(objhandle);
end
always @(posedge clk or posedge reset) begin
if(reset== 1'b1) begin
objhandle=DPI_hilbert_fir_reset(objhandle,data,i_data_temp,q_data_temp);
i_data<=i_data_temp;
q_data<=q_data_temp;
end
else if(clk_enable) begin
DPI_hilbert_fir(objhandle,data,i_data_temp,q_data_temp);
i_data<=i_data_temp;
q_data<=q_data_temp;
end
end
endmodule

View File

@ -0,0 +1,16 @@
// File: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv
// Created: 2024-10-28 23:46:29
// Generated by MATLAB 9.13 and HDL Verifier 7.0
//`timescale 1ns / 1ns
package hilbert_fir_dpi_pkg;
// Declare imported C functions
import "DPI-C" function chandle DPI_hilbert_fir_initialize(input chandle existhandle);
import "DPI-C" function chandle DPI_hilbert_fir_reset(input chandle objhandle,input real data [8],output real i_data [8],output real q_data [8]);
import "DPI-C" function void DPI_hilbert_fir(input chandle objhandle,input real data [8],output real i_data [8],output real q_data [8]);
import "DPI-C" function void DPI_hilbert_fir_terminate(input chandle existhandle);
endpackage : hilbert_fir_dpi_pkg

View File

@ -0,0 +1,354 @@
###########################################################################
## Makefile generated for component 'hilbert_fir'.
##
## Makefile : hilbert_fir_rtw.mk
## Generated on : Mon Oct 28 23:46:30 2024
## Final product: ./libhilbert_fir_dpi.so
## Product type : dynamic-library
##
###########################################################################
###########################################################################
## MACROS
###########################################################################
# Macro Descriptions:
# PRODUCT_NAME Name of the system to build
# MAKEFILE Name of this makefile
# DEF_FILE Definition file
PRODUCT_NAME = hilbert_fir
MAKEFILE = hilbert_fir_rtw.mk
MATLAB_ROOT = /opt/mathWorks/Matlab/R2022b
MATLAB_BIN = /opt/mathWorks/Matlab/R2022b/bin
MATLAB_ARCH_BIN = $(MATLAB_BIN)/glnxa64
START_DIR = /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2
TGT_FCN_LIB = ISO_C
SOLVER_OBJ =
CLASSIC_INTERFACE = 0
MODEL_HAS_DYNAMICALLY_LOADED_SFCNS =
RELATIVE_PATH_TO_ANCHOR = ../../..
DEF_FILE = $(PRODUCT_NAME).def
C_STANDARD_OPTS = -fwrapv
CPP_STANDARD_OPTS = -fwrapv
###########################################################################
## TOOLCHAIN SPECIFICATIONS
###########################################################################
# Toolchain Name: GNU gcc/g++ | gmake (64-bit Linux)
# Supported Version(s):
# ToolchainInfo Version: 2022b
# Specification Revision: 1.0
#
#-------------------------------------------
# Macros assumed to be defined elsewhere
#-------------------------------------------
# C_STANDARD_OPTS
# CPP_STANDARD_OPTS
#-----------
# MACROS
#-----------
WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wstrict-prototypes -Wnested-externs -Wpointer-arith -Wcast-align
WARN_FLAGS_MAX = $(WARN_FLAGS) -Wcast-qual -Wshadow
CPP_WARN_FLAGS = -Wall -W -Wwrite-strings -Winline -Wpointer-arith -Wcast-align
CPP_WARN_FLAGS_MAX = $(CPP_WARN_FLAGS) -Wcast-qual -Wshadow
TOOLCHAIN_SRCS =
TOOLCHAIN_INCS =
TOOLCHAIN_LIBS =
#------------------------
# BUILD TOOL COMMANDS
#------------------------
# C Compiler: GNU C Compiler
CC = gcc
# Linker: GNU Linker
LD = g++
# C++ Compiler: GNU C++ Compiler
CPP = g++
# C++ Linker: GNU C++ Linker
CPP_LD = g++
# Archiver: GNU Archiver
AR = ar
# MEX Tool: MEX Tool
MEX_PATH = $(MATLAB_ARCH_BIN)
MEX = "$(MEX_PATH)/mex"
# Download: Download
DOWNLOAD =
# Execute: Execute
EXECUTE = $(PRODUCT)
# Builder: GMAKE Utility
MAKE_PATH = %MATLAB%/bin/glnxa64
MAKE = "$(MAKE_PATH)/gmake"
#-------------------------
# Directives/Utilities
#-------------------------
CDEBUG = -g
C_OUTPUT_FLAG = -o
LDDEBUG = -g
OUTPUT_FLAG = -o
CPPDEBUG = -g
CPP_OUTPUT_FLAG = -o
CPPLDDEBUG = -g
OUTPUT_FLAG = -o
ARDEBUG =
STATICLIB_OUTPUT_FLAG =
MEX_DEBUG = -g
RM = @rm -f
ECHO = @echo
MV = @mv
RUN =
#--------------------------------------
# "Faster Runs" Build Configuration
#--------------------------------------
ARFLAGS = ruvs
CFLAGS = -c $(C_STANDARD_OPTS) -fPIC \
-O3 -fno-loop-optimize -fno-aggressive-loop-optimizations
CPPFLAGS = -c $(CPP_STANDARD_OPTS) -fPIC \
-O3 -fno-loop-optimize -fno-aggressive-loop-optimizations
CPP_LDFLAGS =
CPP_SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined
DOWNLOAD_FLAGS =
EXECUTE_FLAGS =
LDFLAGS =
MEX_CPPFLAGS =
MEX_CPPLDFLAGS =
MEX_CFLAGS =
MEX_LDFLAGS =
MAKE_FLAGS = -f $(MAKEFILE)
SHAREDLIB_LDFLAGS = -shared -Wl,--no-undefined
###########################################################################
## OUTPUT INFO
###########################################################################
PRODUCT = ./libhilbert_fir_dpi.so
PRODUCT_TYPE = "dynamic-library"
BUILD_TYPE = "Dynamic Library"
###########################################################################
## INCLUDE PATHS
###########################################################################
INCLUDES_BUILDINFO = -I$(START_DIR)/codegen/dll/hilbert_fir -I$(START_DIR) -I$(MATLAB_ROOT)/extern/include
INCLUDES = $(INCLUDES_BUILDINFO)
###########################################################################
## DEFINES
###########################################################################
DEFINES_CUSTOM =
DEFINES_STANDARD = -DMODEL=libhilbert_fir_dpi
DEFINES = $(DEFINES_CUSTOM) $(DEFINES_STANDARD)
###########################################################################
## SOURCE FILES
###########################################################################
SRCS = $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir.c $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir_dpi.c
ALL_SRCS = $(SRCS)
###########################################################################
## OBJECTS
###########################################################################
OBJS = hilbert_fir.o hilbert_fir_dpi.o
ALL_OBJS = $(OBJS)
###########################################################################
## PREBUILT OBJECT FILES
###########################################################################
PREBUILT_OBJS =
###########################################################################
## LIBRARIES
###########################################################################
LIBS =
###########################################################################
## SYSTEM LIBRARIES
###########################################################################
SYSTEM_LIBS = -lm
###########################################################################
## ADDITIONAL TOOLCHAIN FLAGS
###########################################################################
#---------------
# C Compiler
#---------------
CFLAGS_BASIC = $(DEFINES) $(INCLUDES)
CFLAGS += $(CFLAGS_BASIC)
#-----------------
# C++ Compiler
#-----------------
CPPFLAGS_BASIC = $(DEFINES) $(INCLUDES)
CPPFLAGS += $(CPPFLAGS_BASIC)
###########################################################################
## INLINED COMMANDS
###########################################################################
###########################################################################
## PHONY TARGETS
###########################################################################
.PHONY : all build clean info prebuild download execute
all : build
@echo "### Successfully generated all binary outputs."
build : prebuild $(PRODUCT)
prebuild :
download : $(PRODUCT)
execute : download
###########################################################################
## FINAL TARGET
###########################################################################
#----------------------------------------
# Create a dynamic library
#----------------------------------------
$(PRODUCT) : $(OBJS) $(PREBUILT_OBJS)
@echo "### Creating dynamic library "$(PRODUCT)" ..."
$(LD) $(SHAREDLIB_LDFLAGS) -o $(PRODUCT) $(OBJS) $(SYSTEM_LIBS) $(TOOLCHAIN_LIBS)
@echo "### Created: $(PRODUCT)"
###########################################################################
## INTERMEDIATE TARGETS
###########################################################################
#---------------------
# SOURCE-TO-OBJECT
#---------------------
%.o : %.c
$(CC) $(CFLAGS) -o "$@" "$<"
%.o : %.cpp
$(CPP) $(CPPFLAGS) -o "$@" "$<"
%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.c
$(CC) $(CFLAGS) -o "$@" "$<"
%.o : $(RELATIVE_PATH_TO_ANCHOR)/%.cpp
$(CPP) $(CPPFLAGS) -o "$@" "$<"
%.o : $(START_DIR)/codegen/dll/hilbert_fir/%.c
$(CC) $(CFLAGS) -o "$@" "$<"
%.o : $(START_DIR)/codegen/dll/hilbert_fir/%.cpp
$(CPP) $(CPPFLAGS) -o "$@" "$<"
%.o : $(START_DIR)/%.c
$(CC) $(CFLAGS) -o "$@" "$<"
%.o : $(START_DIR)/%.cpp
$(CPP) $(CPPFLAGS) -o "$@" "$<"
hilbert_fir.o : $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir.c
$(CC) $(CFLAGS) -o "$@" "$<"
hilbert_fir_dpi.o : $(START_DIR)/codegen/dll/hilbert_fir/hilbert_fir_dpi.c
$(CC) $(CFLAGS) -o "$@" "$<"
###########################################################################
## DEPENDENCIES
###########################################################################
$(ALL_OBJS) : rtw_proj.tmw $(MAKEFILE)
###########################################################################
## MISCELLANEOUS TARGETS
###########################################################################
info :
@echo "### PRODUCT = $(PRODUCT)"
@echo "### PRODUCT_TYPE = $(PRODUCT_TYPE)"
@echo "### BUILD_TYPE = $(BUILD_TYPE)"
@echo "### INCLUDES = $(INCLUDES)"
@echo "### DEFINES = $(DEFINES)"
@echo "### ALL_SRCS = $(ALL_SRCS)"
@echo "### ALL_OBJS = $(ALL_OBJS)"
@echo "### LIBS = $(LIBS)"
@echo "### MODELREF_LIBS = $(MODELREF_LIBS)"
@echo "### SYSTEM_LIBS = $(SYSTEM_LIBS)"
@echo "### TOOLCHAIN_LIBS = $(TOOLCHAIN_LIBS)"
@echo "### CFLAGS = $(CFLAGS)"
@echo "### LDFLAGS = $(LDFLAGS)"
@echo "### SHAREDLIB_LDFLAGS = $(SHAREDLIB_LDFLAGS)"
@echo "### CPPFLAGS = $(CPPFLAGS)"
@echo "### CPP_LDFLAGS = $(CPP_LDFLAGS)"
@echo "### CPP_SHAREDLIB_LDFLAGS = $(CPP_SHAREDLIB_LDFLAGS)"
@echo "### ARFLAGS = $(ARFLAGS)"
@echo "### MEX_CFLAGS = $(MEX_CFLAGS)"
@echo "### MEX_CPPFLAGS = $(MEX_CPPFLAGS)"
@echo "### MEX_LDFLAGS = $(MEX_LDFLAGS)"
@echo "### MEX_CPPLDFLAGS = $(MEX_CPPLDFLAGS)"
@echo "### DOWNLOAD_FLAGS = $(DOWNLOAD_FLAGS)"
@echo "### EXECUTE_FLAGS = $(EXECUTE_FLAGS)"
@echo "### MAKE_FLAGS = $(MAKE_FLAGS)"
clean :
$(ECHO) "### Deleting all derived files..."
$(RM) $(PRODUCT)
$(RM) $(ALL_OBJS)
$(ECHO) "### Deleted all derived files."

View File

@ -0,0 +1,19 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* hilbert_fir_types.h
*
* Code generation for function 'hilbert_fir'
*
*/
#ifndef HILBERT_FIR_TYPES_H
#define HILBERT_FIR_TYPES_H
/* Include files */
#include "rtwtypes.h"
#endif
/* End of code generation (hilbert_fir_types.h) */

View File

@ -0,0 +1,151 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* _coder_hilbert_fir_api.c
*
* Code generation for function 'hilbert_fir'
*
*/
/* Include files */
#include "_coder_hilbert_fir_api.h"
#include "_coder_hilbert_fir_mex.h"
/* Variable Definitions */
emlrtCTX emlrtRootTLSGlobal = NULL;
emlrtContext emlrtContextGlobal = {
true, /* bFirstTime */
false, /* bInitialized */
131627U, /* fVersionInfo */
NULL, /* fErrorFunction */
"hilbert_fir", /* fFunctionName */
NULL, /* fRTCallStack */
false, /* bDebugMode */
{2045744189U, 2170104910U, 2743257031U, 4284093946U}, /* fSigWrd */
NULL /* fSigMem */
};
/* Function Declarations */
static real_T (*b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u,
const emlrtMsgIdentifier *parentId))[8];
static real_T (*c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src,
const emlrtMsgIdentifier *msgId))[8];
static real_T (*emlrt_marshallIn(const emlrtStack *sp, const mxArray *data,
const char_T *identifier))[8];
static const mxArray *emlrt_marshallOut(const real_T u[8]);
/* Function Definitions */
static real_T (*b_emlrt_marshallIn(const emlrtStack *sp, const mxArray *u,
const emlrtMsgIdentifier *parentId))[8]
{
real_T(*y)[8];
y = c_emlrt_marshallIn(sp, emlrtAlias(u), parentId);
emlrtDestroyArray(&u);
return y;
}
static real_T (*c_emlrt_marshallIn(const emlrtStack *sp, const mxArray *src,
const emlrtMsgIdentifier *msgId))[8]
{
static const int32_T dims[2] = {1, 8};
real_T(*ret)[8];
emlrtCheckBuiltInR2012b((emlrtConstCTX)sp, msgId, src, "double", false, 2U,
(const void *)&dims[0]);
ret = (real_T(*)[8])emlrtMxGetData(src);
emlrtDestroyArray(&src);
return ret;
}
static real_T (*emlrt_marshallIn(const emlrtStack *sp, const mxArray *data,
const char_T *identifier))[8]
{
emlrtMsgIdentifier thisId;
real_T(*y)[8];
thisId.fIdentifier = (const char_T *)identifier;
thisId.fParent = NULL;
thisId.bParentIsCell = false;
y = b_emlrt_marshallIn(sp, emlrtAlias(data), &thisId);
emlrtDestroyArray(&data);
return y;
}
static const mxArray *emlrt_marshallOut(const real_T u[8])
{
static const int32_T iv[2] = {0, 0};
static const int32_T iv1[2] = {1, 8};
const mxArray *m;
const mxArray *y;
y = NULL;
m = emlrtCreateNumericArray(2, (const void *)&iv[0], mxDOUBLE_CLASS, mxREAL);
emlrtMxSetData((mxArray *)m, (void *)&u[0]);
emlrtSetDimensions((mxArray *)m, &iv1[0], 2);
emlrtAssign(&y, m);
return y;
}
void hilbert_fir_api(const mxArray *prhs, int32_T nlhs, const mxArray *plhs[2])
{
emlrtStack st = {
NULL, /* site */
NULL, /* tls */
NULL /* prev */
};
real_T(*data)[8];
real_T(*i_data)[8];
real_T(*q_data)[8];
st.tls = emlrtRootTLSGlobal;
i_data = (real_T(*)[8])mxMalloc(sizeof(real_T[8]));
q_data = (real_T(*)[8])mxMalloc(sizeof(real_T[8]));
/* Marshall function inputs */
data = emlrt_marshallIn(&st, emlrtAlias(prhs), "data");
/* Invoke the target function */
hilbert_fir(*data, *i_data, *q_data);
/* Marshall function outputs */
plhs[0] = emlrt_marshallOut(*i_data);
if (nlhs > 1) {
plhs[1] = emlrt_marshallOut(*q_data);
}
}
void hilbert_fir_atexit(void)
{
emlrtStack st = {
NULL, /* site */
NULL, /* tls */
NULL /* prev */
};
mexFunctionCreateRootTLS();
st.tls = emlrtRootTLSGlobal;
emlrtEnterRtStackR2012b(&st);
emlrtDestroyRootTLS(&emlrtRootTLSGlobal);
hilbert_fir_xil_terminate();
hilbert_fir_xil_shutdown();
emlrtExitTimeCleanup(&emlrtContextGlobal);
}
void hilbert_fir_initialize(void)
{
emlrtStack st = {
NULL, /* site */
NULL, /* tls */
NULL /* prev */
};
mexFunctionCreateRootTLS();
st.tls = emlrtRootTLSGlobal;
emlrtClearAllocCountR2012b(&st, false, 0U, NULL);
emlrtEnterRtStackR2012b(&st);
emlrtFirstTimeR2012b(emlrtRootTLSGlobal);
}
void hilbert_fir_terminate(void)
{
emlrtDestroyRootTLS(&emlrtRootTLSGlobal);
}
/* End of code generation (_coder_hilbert_fir_api.c) */

View File

@ -0,0 +1,48 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* _coder_hilbert_fir_api.h
*
* Code generation for function 'hilbert_fir'
*
*/
#ifndef _CODER_HILBERT_FIR_API_H
#define _CODER_HILBERT_FIR_API_H
/* Include files */
#include "emlrt.h"
#include "tmwtypes.h"
#include <string.h>
/* Variable Declarations */
extern emlrtCTX emlrtRootTLSGlobal;
extern emlrtContext emlrtContextGlobal;
#ifdef __cplusplus
extern "C" {
#endif
/* Function Declarations */
void hilbert_fir(real_T data[8], real_T i_data[8], real_T q_data[8]);
void hilbert_fir_api(const mxArray *prhs, int32_T nlhs, const mxArray *plhs[2]);
void hilbert_fir_atexit(void);
void hilbert_fir_initialize(void);
void hilbert_fir_terminate(void);
void hilbert_fir_xil_shutdown(void);
void hilbert_fir_xil_terminate(void);
#ifdef __cplusplus
}
#endif
#endif
/* End of code generation (_coder_hilbert_fir_api.h) */

View File

@ -0,0 +1,67 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* _coder_hilbert_fir_mex.c
*
* Code generation for function 'hilbert_fir'
*
*/
/* Include files */
#include "_coder_hilbert_fir_mex.h"
#include "_coder_hilbert_fir_api.h"
/* Function Definitions */
void mexFunction(int32_T nlhs, mxArray *plhs[], int32_T nrhs,
const mxArray *prhs[])
{
mexAtExit(&hilbert_fir_atexit);
/* Module initialization. */
hilbert_fir_initialize();
/* Dispatch the entry-point. */
unsafe_hilbert_fir_mexFunction(nlhs, plhs, nrhs, prhs);
/* Module termination. */
hilbert_fir_terminate();
}
emlrtCTX mexFunctionCreateRootTLS(void)
{
emlrtCreateRootTLSR2022a(&emlrtRootTLSGlobal, &emlrtContextGlobal, NULL, 1,
NULL, "US-ASCII", true);
return emlrtRootTLSGlobal;
}
void unsafe_hilbert_fir_mexFunction(int32_T nlhs, mxArray *plhs[2],
int32_T nrhs, const mxArray *prhs[1])
{
emlrtStack st = {
NULL, /* site */
NULL, /* tls */
NULL /* prev */
};
const mxArray *outputs[2];
int32_T i;
st.tls = emlrtRootTLSGlobal;
/* Check for proper number of arguments. */
if (nrhs != 1) {
emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:WrongNumberOfInputs", 5, 12, 1, 4,
11, "hilbert_fir");
}
if (nlhs > 2) {
emlrtErrMsgIdAndTxt(&st, "EMLRT:runTime:TooManyOutputArguments", 3, 4, 11,
"hilbert_fir");
}
/* Call the function. */
hilbert_fir_api(prhs[0], nlhs, outputs);
/* Copy over outputs to the caller. */
if (nlhs < 1) {
i = 1;
} else {
i = nlhs;
}
emlrtReturnArrays(i, &plhs[0], &outputs[0]);
}
/* End of code generation (_coder_hilbert_fir_mex.c) */

View File

@ -0,0 +1,38 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* _coder_hilbert_fir_mex.h
*
* Code generation for function 'hilbert_fir'
*
*/
#ifndef _CODER_HILBERT_FIR_MEX_H
#define _CODER_HILBERT_FIR_MEX_H
/* Include files */
#include "emlrt.h"
#include "mex.h"
#include "tmwtypes.h"
#ifdef __cplusplus
extern "C" {
#endif
/* Function Declarations */
MEXFUNCTION_LINKAGE void mexFunction(int32_T nlhs, mxArray *plhs[],
int32_T nrhs, const mxArray *prhs[]);
emlrtCTX mexFunctionCreateRootTLS(void);
void unsafe_hilbert_fir_mexFunction(int32_T nlhs, mxArray *plhs[2],
int32_T nrhs, const mxArray *prhs[1]);
#ifdef __cplusplus
}
#endif
#endif
/* End of code generation (_coder_hilbert_fir_mex.h) */

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,23 @@
#!/usr/bin/tclsh
proc loop_detect {args} {
set help "-help"
if { [string equal $args $help] != 1 } {
config followactivescope on
set i 0;
while {$i < $args} {
run_step
incr i
}
} else {
puts "Usage: loop_detect <no. of iteration>"
}
}
proc run_step {} {
redirect -f loop.txt -a {set x [step]};
redirect -f loop.txt -a {set y [scope]};
puts $x;
puts $y;
}

View File

@ -0,0 +1,36 @@
all_sv:com_sv sim_sv
all_ucli:com_ucli sim_ucli verdi_ucli
com_sv:
vcs -full64 -sverilog -ntb_opts uvm-1.2 +vcs+loopreport+1000 -debug_acc+all -debug_region+cell+encrypt \
-f files.f -l com.log -fsdb +define+FSDB
com_ucli:
vcs -full64 -sverilog -debug_acc+all -debug_region+cell+encrypt \
-LDFLAGS \
-rdynamic \
-P $(VERDI_HOME)/share/PLI/VCS/linux64/novas.tab \
$(VERDI_HOME)/share/PLI/VCS/linux64/pli.a \
-f files.f \
+vcs+lic+wait \
-l com.log
sim_sv:
./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log
sim_ucli:
./simv \
-ucli -i loop_detect.tcl +fsdb+autoflush \
-l sim.log
dve:
dve -full64 -vpd vcdplus.vpd &
dbg:
verdi & -sv -f files.f -ssf *.fsdb -nologe
clean:
rm -rf csrc *.log *.key *simv* *.vpd *DVE*
rm -rf verdiLog *.fsdb *.bak *.conf
file:
find -name "*.sv" > files.f

View File

@ -0,0 +1,345 @@
#######################################################################################
# log primitive debug message of FSDB dumping #
# This is for R&D to analyze when there are issues happening when FSDB dump #
#######################################################################################
ANF: vcsd_get_serial_mode_status('./simv: undefined symbol: vcsd_get_serial_mode_status')
ANF: vcsd_get_power_scope_name('./simv: undefined symbol: vcsd_get_power_scope_name')
ANF: vcsd_begin_no_value_var_info('./simv: undefined symbol: vcsd_begin_no_value_var_info')
ANF: vcsd_end_no_value_var_info('./simv: undefined symbol: vcsd_end_no_value_var_info')
ANF: vcsd_remove_xprop_merge_mode_callback('./simv: undefined symbol: vcsd_remove_xprop_merge_mode_callback')
ANF: vhpi_get_cb_info('./simv: undefined symbol: vhpi_get_cb_info')
ANF: vhpi_free_handle('./simv: undefined symbol: vhpi_free_handle')
ANF: scsd_xprop_is_enabled('./simv: undefined symbol: scsd_xprop_is_enabled')
ANF: scsd_xprop_sig_is_promoted('./simv: undefined symbol: scsd_xprop_sig_is_promoted')
ANF: scsd_xprop_int_xvalue('./simv: undefined symbol: scsd_xprop_int_xvalue')
ANF: scsd_xprop_bool_xvalue('./simv: undefined symbol: scsd_xprop_bool_xvalue')
ANF: scsd_xprop_enum_xvalue('./simv: undefined symbol: scsd_xprop_enum_xvalue')
ANF: scsd_xprop_register_merge_mode_cb('./simv: undefined symbol: scsd_xprop_register_merge_mode_cb')
ANF: scsd_xprop_delete_merge_mode_cb('./simv: undefined symbol: scsd_xprop_delete_merge_mode_cb')
ANF: scsd_xprop_get_merge_mode('./simv: undefined symbol: scsd_xprop_get_merge_mode')
ANF: scsd_thread_get_info('./simv: undefined symbol: scsd_thread_get_info')
ANF: scsd_thread_vc_init('./simv: undefined symbol: scsd_thread_vc_init')
ANF: scsd_master_set_delta_sync_cbk('./simv: undefined symbol: scsd_master_set_delta_sync_cbk')
ANF: scsd_fgp_get_fsdb_cores('./simv: undefined symbol: scsd_fgp_get_fsdb_cores')
ANF: msvEnableDumpingMode('./simv: undefined symbol: msvEnableDumpingMode')
ANF: msvGetVersion('./simv: undefined symbol: msvGetVersion')
ANF: msvGetInstProp('./simv: undefined symbol: msvGetInstProp')
ANF: msvIsSpiceEngineReady('./simv: undefined symbol: msvIsSpiceEngineReady')
ANF: msvSetAddProbeCallback('./simv: undefined symbol: msvSetAddProbeCallback')
ANF: msvGetInstHandle('./simv: undefined symbol: msvGetInstHandle')
ANF: msvGetProbeByInst('./simv: undefined symbol: msvGetProbeByInst')
ANF: msvGetSigHandle('./simv: undefined symbol: msvGetSigHandle')
ANF: msvGetProbeBySig('./simv: undefined symbol: msvGetProbeBySig')
ANF: msvGetProbeInfo('./simv: undefined symbol: msvGetProbeInfo')
ANF: msvRelease('./simv: undefined symbol: msvRelease')
ANF: msvSetVcCallbackFunc('./simv: undefined symbol: msvSetVcCallbackFunc')
ANF: msvCheckVcCallback('./simv: undefined symbol: msvCheckVcCallback')
ANF: msvAddVcCallback('./simv: undefined symbol: msvAddVcCallback')
ANF: msvRemoveVcCallback('./simv: undefined symbol: msvRemoveVcCallback')
ANF: msvGetLatestValue('./simv: undefined symbol: msvGetLatestValue')
ANF: msvSetEndofSimCallback('./simv: undefined symbol: msvSetEndofSimCallback')
ANF: msvIgnoredProbe('./simv: undefined symbol: msvIgnoredProbe')
ANF: msvGetThruNetInfo('./simv: undefined symbol: msvGetThruNetInfo')
ANF: msvFreeThruNetInfo('./simv: undefined symbol: msvFreeThruNetInfo')
ANF: PI_ace_get_output_time_unit('./simv: undefined symbol: PI_ace_get_output_time_unit')
ANF: PI_ace_sim_sync('./simv: undefined symbol: PI_ace_sim_sync')
ANF: msvGetRereadInitFile('./simv: undefined symbol: msvGetRereadInitFile')
ANF: msvSetBeforeRereadCallback('./simv: undefined symbol: msvSetBeforeRereadCallback')
ANF: msvSetAfterRereadCallback('./simv: undefined symbol: msvSetAfterRereadCallback')
ANF: msvSetForceCallback('./simv: undefined symbol: msvSetForceCallback')
ANF: msvSetReleaseCallback('./simv: undefined symbol: msvSetReleaseCallback')
ANF: msvGetForceStatus('./simv: undefined symbol: msvGetForceStatus')
ANF: vhdi_dt_get_type('./simv: undefined symbol: vhdi_dt_get_type')
ANF: vhdi_dt_get_key('./simv: undefined symbol: vhdi_dt_get_key')
ANF: vhdi_dt_get_vhdl_enum_info('./simv: undefined symbol: vhdi_dt_get_vhdl_enum_info')
ANF: vhdi_dt_get_vhdl_physical_info('./simv: undefined symbol: vhdi_dt_get_vhdl_physical_info')
ANF: vhdi_dt_get_vhdl_array_info('./simv: undefined symbol: vhdi_dt_get_vhdl_array_info')
ANF: vhdi_dt_get_vhdl_record_info('./simv: undefined symbol: vhdi_dt_get_vhdl_record_info')
ANF: vhdi_def_traverse_module('./simv: undefined symbol: vhdi_def_traverse_module')
ANF: vhdi_def_traverse_scope('./simv: undefined symbol: vhdi_def_traverse_scope')
ANF: vhdi_def_traverse_variable('./simv: undefined symbol: vhdi_def_traverse_variable')
ANF: vhdi_def_get_module_id_by_vhpi('./simv: undefined symbol: vhdi_def_get_module_id_by_vhpi')
ANF: vhdi_def_get_handle_by_module_id('./simv: undefined symbol: vhdi_def_get_handle_by_module_id')
ANF: vhdi_def_get_variable_info_by_vhpi('./simv: undefined symbol: vhdi_def_get_variable_info_by_vhpi')
ANF: vhdi_def_free('./simv: undefined symbol: vhdi_def_free')
ANF: vhdi_ist_traverse_scope('./simv: undefined symbol: vhdi_ist_traverse_scope')
ANF: vhdi_ist_traverse_variable('./simv: undefined symbol: vhdi_ist_traverse_variable')
ANF: vhdi_ist_convert_by_vhpi('./simv: undefined symbol: vhdi_ist_convert_by_vhpi')
ANF: vhdi_ist_clone('./simv: undefined symbol: vhdi_ist_clone')
ANF: vhdi_ist_free('./simv: undefined symbol: vhdi_ist_free')
ANF: vhdi_ist_hash_key('./simv: undefined symbol: vhdi_ist_hash_key')
ANF: vhdi_ist_compare('./simv: undefined symbol: vhdi_ist_compare')
ANF: vhdi_ist_get_value_addr('./simv: undefined symbol: vhdi_ist_get_value_addr')
ANF: vhdi_set_scsd_callback('./simv: undefined symbol: vhdi_set_scsd_callback')
ANF: vhdi_cbk_set_force_callback('./simv: undefined symbol: vhdi_cbk_set_force_callback')
ANF: vhdi_trigger_init_force('./simv: undefined symbol: vhdi_trigger_init_force')
ANF: vhdi_ist_check_scsd_callback('./simv: undefined symbol: vhdi_ist_check_scsd_callback')
ANF: vhdi_ist_add_scsd_callback('./simv: undefined symbol: vhdi_ist_add_scsd_callback')
ANF: vhdi_ist_remove_scsd_callback('./simv: undefined symbol: vhdi_ist_remove_scsd_callback')
ANF: vhdi_ist_get_scsd_user_data('./simv: undefined symbol: vhdi_ist_get_scsd_user_data')
ANF: vhdi_add_time_change_callback('./simv: undefined symbol: vhdi_add_time_change_callback')
ANF: vhdi_get_real_value_by_value_addr('./simv: undefined symbol: vhdi_get_real_value_by_value_addr')
ANF: vhdi_get_64_value_by_value_addr('./simv: undefined symbol: vhdi_get_64_value_by_value_addr')
ANF: vhdi_xprop_inst_is_promoted('./simv: undefined symbol: vhdi_xprop_inst_is_promoted')
ANF: vdi_ist_convert_by_vhdi('./simv: undefined symbol: vdi_ist_convert_by_vhdi')
ANF: vhdi_ist_get_module_id('./simv: undefined symbol: vhdi_ist_get_module_id')
ANF: vhdi_refine_foreign_scope_type('./simv: undefined symbol: vhdi_refine_foreign_scope_type')
ANF: vhdi_flush_callback('./simv: undefined symbol: vhdi_flush_callback')
ANF: vhdi_set_orig_name('./simv: undefined symbol: vhdi_set_orig_name')
ANF: vhdi_set_dump_pt('./simv: undefined symbol: vhdi_set_dump_pt')
ANF: vhdi_get_fsdb_option('./simv: undefined symbol: vhdi_get_fsdb_option')
ANF: vhdi_fgp_get_mode('./simv: undefined symbol: vhdi_fgp_get_mode')
VCS compile option:
option[0]: ./simv
option[1]: +vcs+loopreport
option[2]: -sv_lib
option[3]: DPI_Component
option[4]: -l
option[5]: sim.log
option[6]: /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcs1
option[7]: -Mcc=gcc
option[8]: -Mcplusplus=g++
option[9]: -Masflags=
option[10]: -Mcfl= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include
option[11]: -Mxcflags= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include
option[12]: -Mldflags= -rdynamic
option[13]: -Mout=simv
option[14]: -Mamsrun=
option[15]: -Mvcsaceobjs=
option[16]: -Mobjects= /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so
option[17]: -Mexternalobj=
option[18]: -Msaverestoreobj=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o
option[19]: -Mcrt0=
option[20]: -Mcrtn=
option[21]: -Mcsrc=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
option[22]: -Msyslibs=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl
option[23]: -l
option[24]: com.log
option[25]: +define+UVM_VERDI_VIF_RECORD
option[26]: +define+UVM_VCS_RECORD
option[27]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs
option[28]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv
option[29]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv
option[30]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi
option[31]: /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
option[32]: -full64
option[33]: -ntb_opts
option[34]: uvm-1.2
option[35]: +incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/
option[36]: +vcs+loopreport+1000
option[37]: -debug_acc+all
option[38]: +vpi
option[39]: +vcsd1
option[40]: +itf+/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab
option[41]: -debug_region+cell+encrypt
option[42]: -fsdb
option[43]: -P
option[44]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
option[45]: +define+FSDB
option[46]: -picarchive
option[47]: -debug_acc+fsdb
option[48]: -sverilog
option[49]: -gen_obj
option[50]: -f
option[51]: files.f
option[52]: -load
option[53]: /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/libnovas.so:FSDBDumpCmd
Chronologic Simulation VCS Release O-2018.09-SP2_Full64
Linux 3.10.0-1160.92.1.el7.x86_64 #1 SMP Tue Jun 20 11:48:01 UTC 2023 x86_64
CPU cores: 96
Limit information:
======================================
cputime unlimited
filesize unlimited
datasize unlimited
stacksize 8250 kbytes
coredumpsize 0 kbytes
memoryuse unlimited
vmemoryuse unlimited
descriptors 4096
memorylocked 64 kbytes
maxproc 4096
======================================
(Special)Runtime environment variables:
Runtime environment variables:
XMODIFIERS=@im=ibus
SPECTRE_DEFAULTS=-E
SHELL=/bin/csh
VTE_VERSION=5204
_=/bin/dbus-launch
OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x
SNPSLMD_LICENSE_FILE=27050@cryo1
MENTOR_HOME=/opt/mentor
DBUS_SESSION_BUS_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce
MACHTYPE=x86_64
LESSOPEN=||/usr/bin/lesspipe.sh %s
CDSROOT=/opt/cadence/IC618
FM_HOME=/opt/synopsys/fm/O-2018.06-SP1
CDS_LIC_ONLY=1
CDSDIR=/opt/cadence/IC618
PATH=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/opt/synopsys/hspice2017/hspice/N-2017.12-SP2/hspice/bin:/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME/bin:/opt/synopsys/idq/O-2018.06-SP1/linux64/iddq/bin:/opt/synopsys/txs/O-2018.06-SP1/bin:/opt/synopsys/lc/O-2018.06-SP1/bin:/opt/synopsys/starrc/O-2018.06-SP1/bin:/opt/synopsys/fm/O-2018.06-SP1/bin:/opt/synopsys/pwr/O-2018.06-SP3/bin:/opt/synopsys/pts/O-2018.06-SP1/bin:/opt/synopsys/syn/O-2018.06-SP1/bin:/opt/synopsys/verdi/Verdi_N-2017.12-SP2/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve/bin:/opt/synopsys/vcs-mx/O-2018.09-SP2/bin:/opt/synopsys/scl/2018.06/linux64/bin:/usr/lib64/qt-3.3/bin:/usr/local/bin:/bin:/usr/bin:/usr/local/sbin:/usr/sbin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin:/opt/cadence/IC618/tools/bin:/opt/cadence/IC618/tools/dfII/bin:/opt/cadence/IC618/tools/plot/bin:/opt/cadence/SPECTRE181/bin:/opt/cadence/SPECTRE181/tools/bin:/opt/cadence/INNOVUS152/bin:/opt/cadence/INNOVUS152/tools/bin:/opt/cadence/GENUS152/bin:/opt/cadence/GENUS152/tools/bin:/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/bin
LM_LICENSE_FILE=/opt/synopsys/license/license.dat
MGC_PDF_REDER=evince
MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1
CDS_ROOT=/opt/cadence/IC618
QT_GRAPHICSSYSTEM_CHECKED=1
SESSION_MANAGER=local/unix:@/tmp/.ICE-unix/4486,unix/unix:/tmp/.ICE-unix/4486
SPECTRE_HOME=/opt/cadence/SPECTRE181
XDG_RUNTIME_DIR=/run/user/1004
VENDOR=unknown
CDS_AUTO_64BIT=ALL
XDG_MENU_PREFIX=gnome-
LS_COLORS=rs=0:di=38;5;27:ln=38;5;51:mh=44;38;5;15:pi=40;38;5;11:so=38;5;13:do=38;5;5:bd=48;5;232;38;5;11:cd=48;5;232;38;5;3:or=48;5;232;38;5;9:mi=05;48;5;232;38;5;15:su=48;5;196;38;5;15:sg=48;5;11;38;5;16:ca=48;5;196;38;5;226:tw=48;5;10;38;5;16:ow=48;5;10;38;5;21:st=48;5;21;38;5;15:ex=38;5;34:*.tar=38;5;9:*.tgz=38;5;9:*.arc=38;5;9:*.arj=38;5;9:*.taz=38;5;9:*.lha=38;5;9:*.lz4=38;5;9:*.lzh=38;5;9:*.lzma=38;5;9:*.tlz=38;5;9:*.txz=38;5;9:*.tzo=38;5;9:*.t7z=38;5;9:*.zip=38;5;9:*.z=38;5;9:*.Z=38;5;9:*.dz=38;5;9:*.gz=38;5;9:*.lrz=38;5;9:*.lz=38;5;9:*.lzo=38;5;9:*.xz=38;5;9:*.bz2=38;5;9:*.bz=38;5;9:*.tbz=38;5;9:*.tbz2=38;5;9:*.tz=38;5;9:*.deb=38;5;9:*.rpm=38;5;9:*.jar=38;5;9:*.war=38;5;9:*.ear=38;5;9:*.sar=38;5;9:*.rar=38;5;9:*.alz=38;5;9:*.ace=38;5;9:*.zoo=38;5;9:*.cpio=38;5;9:*.7z=38;5;9:*.rz=38;5;9:*.cab=38;5;9:*.jpg=38;5;13:*.jpeg=38;5;13:*.gif=38;5;13:*.bmp=38;5;13:*.pbm=38;5;13:*.pgm=38;5;13:*.ppm=38;5;13:*.tga=38;5;13:*.xbm=38;5;13:*.xpm=38;5;13:*.tif=38;5;13:*.tiff=38;5;13:*.png=38;5;13:*.svg=38;5;13:*.svgz=38;5;13:*.mng=38;5;13:*.pcx=38;5;13:*.mov=38;5;13:*.mpg=38;5;13:*.mpeg=38;5;13:*.m2v=38;5;13:*.mkv=38;5;13:*.webm=38;5;13:*.ogm=38;5;13:*.mp4=38;5;13:*.m4v=38;5;13:*.mp4v=38;5;13:*.vob=38;5;13:*.qt=38;5;13:*.nuv=38;5;13:*.wmv=38;5;13:*.asf=38;5;13:*.rm=38;5;13:*.rmvb=38;5;13:*.flc=38;5;13:*.avi=38;5;13:*.fli=38;5;13:*.flv=38;5;13:*.gl=38;5;13:*.dl=38;5;13:*.xcf=38;5;13:*.xwd=38;5;13:*.yuv=38;5;13:*.cgm=38;5;13:*.emf=38;5;13:*.axv=38;5;13:*.anx=38;5;13:*.ogv=38;5;13:*.ogx=38;5;13:*.aac=38;5;45:*.au=38;5;45:*.flac=38;5;45:*.mid=38;5;45:*.midi=38;5;45:*.mka=38;5;45:*.mp3=38;5;45:*.mpc=38;5;45:*.ogg=38;5;45:*.ra=38;5;45:*.wav=38;5;45:*.axa=38;5;45:*.oga=38;5;45:*.spx=38;5;45:*.xspf=38;5;45:
MOZILLA_HOME=/usr/bin/firefox
SSH_AUTH_SOCK=/run/user/1004/keyring/ssh
DISPLAY=unix:4
MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
IMSETTINGS_INTEGRATE_DESKTOP=yes
HOME=/home/yzzhang
VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2
PWD=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
SSH_AGENT_PID=5490
CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1
VERDI_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2
MGLS_LICENSE_FILE=/opt/mentor/license/license.dat
PT_HOME=/opt/synopsys/pts/O-2018.06-SP1
SYNOPSYS=/opt/synopsys
LD_LIBRARY_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/shared/pkgs/icv/tools/calibre_client/lib/64
IDQ_HOME=/opt/synopsys/idq/O-2018.06-SP1
CDS_SPECTRE_FBENABLE=1
LOGNAME=yzzhang
INNOVUS_HOME=/opt/cadence/INNOVUS152
CDS_LIC_FILE=/opt/cadence/license/license.dat
HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2
GNOME_DESKTOP_SESSION_ID=this-is-deprecated
HOSTNAME=cryo1
GENUS_HOME=/opt/cadence/GENUS152
MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1
COLORTERM=truecolor
PWR_HOME=/opt/synopsys/pwr/O-2018.06-SP3
QT_IM_MODULE=ibus
OSTYPE=linux
SHLVL=4
GNOME_SHELL_SESSION_MODE=classic
XDG_SESSION_ID=c10
USER=yzzhang
QTLIB=/usr/lib64/qt-3.3/lib
XDG_CURRENT_DESKTOP=GNOME
VNCDESKTOP=cryo1:4 (yzzhang)
CDS=/opt/cadence/IC618
CDS_LOAD_ENV=CWD
IMSETTINGS_MODULE=none
starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1
MAKEFLAGS=
MFLAGS=
SYN_HOME=/opt/synopsys/syn/O-2018.06-SP1
MAIL=/var/spool/mail/yzzhang
SPYGLASS_HOME=/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME
CADHOME=/opt/cadence
MGC_LIB_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/lib
CDSHOME=/opt/cadence/IC618
LC_HOME=/opt/synopsys/lc/O-2018.06-SP1
CADENCE_DIR=/opt/cadence/IC618
CDS_INST_DIR=/opt/cadence/IC618
NOVAS_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2
DBUS_STARTER_BUS_TYPE=session
W3264_NO_HOST_CHECK=1
SCL_HOME=/opt/synopsys/scl/2018.06
HOSTTYPE=x86_64-linux
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51
CDS_SPECTRERF_FBENABLE=1
GNOME_TERMINAL_SERVICE=:1.160
GROUP=cryo
TXS_HOME=/opt/synopsys/txs/O-2018.06-SP1
CDS_Netlisting_Mode=Analog
QTINC=/usr/lib64/qt-3.3/include
QTDIR=/usr/lib64/qt-3.3
CDS_ENABLE_VMS=1
LANG=C
TERM=xterm-256color
CALIBRE_ENABLE_SKILL_PEXBA_MODE=1
DBUS_STARTER_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce
HOST=cryo1
MAKELEVEL=1
VCS_HEAP_EXEC=true
VCS_PATHMAP_PRELOAD_DONE=1
VCS_STACK_EXEC=true
VCS_EXEC_DONE=1
LC_ALL=C
DVE=/opt/synopsys/vcs-mx/O-2018.09-SP2/gui/dve
SPECMAN_OUTPUT_TO_TTY=1
SNPS_SIMPROFILE_INTERNAL_CONF_ARGS=skipInternalSVAPackage:1,diagOn:0,trackAllAPsinSample:0,debugProfrptInXterm:0,keepOldHsimView:0,useHsimConstrForAll:0,plotSnapshot:0,plotSimTime:0,noCheckVersion:0,enableSnapshot:0,plotScriptDir:,diagMem:0,diagMemInterval:0.01,diagMemShortPrints:3,loadSmdDiagFiles:0,noMergeHeapAct:0,totalBCSize:0,noCollectHsimMem:0,noCollectAddrMap:0,noStackTrace:0,addFuncNameInSlot:0,rawView:0,exitAtMemCnt:0,diagSignalProfile:0,pidSimv:64859
SNPS_SIMPROFILE_INTERNAL_CONF_ADDR=64859:0xcdd180
Runtime command line arguments:
argv[0]=./simv
argv[1]=+vcs+loopreport
argv[2]=-sv_lib
argv[3]=DPI_Component
argv[4]=-l
argv[5]=sim.log
281 profile - 100
CPU/Mem usage: 0.080 sys, 0.310 user, 294.99M sys, 0.00M vm
282 VC callback profile - scalar:0 vector:0
283 Mon Oct 28 23:58:07 2024
284 pliAppInit
285 Enable VD Dumper
286 FSDB_GATE is set.
287 FSDB_RTL is set.
288 Enable Parallel Dumping.
289 pliAppMiscSet: New Sim Round
290 pliEntryInit
291 LIBSSCORE=found /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/lib/LINUXAMD64/libsscore_vcs201712.so through $NOVAS_HOME setting.
292 FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018
293 (C) 1996 - 2018 by Synopsys, Inc.
294 ***********************************************************************
295 * ERROR - *
296 * The simulator version is newer than the FSDB dumper version which *
297 * may cause abnormal behavior, please contact Synopsys support for *
298 * assistance. *
299 ***********************************************************************
300 sps_call_fsdbDumpfile_main at 0 : ./tb.sv(12)
301 argv[0]: (TB.fsdb)
302 *Verdi* : Create FSDB file 'TB.fsdb'
303 sps_call_fsdbDumpvars_vd_main at 0 : ./tb.sv(13)
304 argv[0]: (0)
305 argv[1]: (handle) tb
306 *Verdi* : Begin traversing the scope (tb), layer (0).
307 *Verdi* : End of traversing.
308 pliAppHDL_DumpVarComplete traverse var: profile -
CPU/Mem usage: 0.090 sys, 0.320 user, 391.89M sys, 0.00M vm
incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
accu: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
accu incr: 0.000 sys, 0.000 user, 7.28M sys, 0.00M vm
Count usage: 8 var, 11 idcode, 5 callback
incr: 8 var, 11 idcode, 5 callback
accu: 8 var, 11 idcode, 5 callback
accu incr: 8 var, 11 idcode, 5 callback
309 VC callback profile - scalar:0 vector:0
310 Mon Oct 28 23:58:07 2024
311 pliAppHDL_DumpVarComplete: profile -
CPU/Mem usage: 0.090 sys, 0.320 user, 392.95M sys, 0.00M vm
incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm
accu: 0.000 sys, 0.000 user, 8.33M sys, 0.00M vm
accu incr: 0.000 sys, 0.000 user, 1.05M sys, 0.00M vm
Count usage: 8 var, 11 idcode, 5 callback
incr: 0 var, 0 idcode, 0 callback
accu: 8 var, 11 idcode, 5 callback
accu incr: 0 var, 0 idcode, 0 callback
312 VC callback profile - scalar:0 vector:2
313 Mon Oct 28 23:58:07 2024
314 End of simulation at 163930
315 VC callback profile - scalar:0 vector:2
316 Mon Oct 28 23:58:07 2024
317 Begin FSDB profile info:
318 FSDB Writer : bc1(32793) bcn(32781) mtf/stf(0/0)
FSDB Writer elapsed time : flush(0.007414) io wait(0.000000) theadpool wait(0.000000) target functin(0.000000)
FSDB Writer cpu time : MT Compression : 0
319 End FSDB profile info
320 Parallel profile - Flush:3 Expand:0 ProduceWait:0 ConsumerWait:0 BlockUsed:0
321 ProduceTime:0.470358439 ConsumerTime:0.000000000 Buffer:64MB
322 SimExit
323 Sim process exit

View File

@ -0,0 +1,166 @@
/*
* Academic License - for use in teaching, academic research, and meeting
* course requirements at degree granting institutions only. Not for
* government, commercial, or other organizational use.
*
* rtwtypes.h
*
* Code generation for function 'hilbert_fir'
*
*/
#ifndef RTWTYPES_H
#define RTWTYPES_H
/* Include files */
#ifndef __cplusplus
#include <stdbool.h>
#endif
#ifdef __cplusplus
extern "C" {
#endif
/*=======================================================================*
* Target hardware information
* Device type: Generic->MATLAB Host Computer
* Number of bits: char: 8 short: 16 int: 32
* long: 64 long long: 64
* native word size: 64
* Byte ordering: LittleEndian
* Signed integer division rounds to: Zero
* Shift right on a signed integer as arithmetic shift: on
*=======================================================================*/
/*=======================================================================*
* Fixed width word size data types: *
* int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers *
* uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers *
* real32_T, real64_T - 32 and 64 bit floating point numbers *
*=======================================================================*/
typedef signed char int8_T;
typedef unsigned char uint8_T;
typedef short int16_T;
typedef unsigned short uint16_T;
typedef int int32_T;
typedef unsigned int uint32_T;
typedef long int64_T;
typedef unsigned long uint64_T;
typedef float real32_T;
typedef double real64_T;
/*===========================================================================*
* Generic type definitions: real_T, time_T, boolean_T, int_T, uint_T, *
* ulong_T, ulonglong_T, char_T and byte_T. *
*===========================================================================*/
typedef double real_T;
typedef double time_T;
typedef bool boolean_T;
typedef int int_T;
typedef unsigned int uint_T;
typedef unsigned long ulong_T;
typedef unsigned long long ulonglong_T;
typedef char char_T;
typedef char_T byte_T;
/*===========================================================================*
* Complex number type definitions *
*===========================================================================*/
#define CREAL_T
typedef struct {
real32_T re;
real32_T im;
} creal32_T;
typedef struct {
real64_T re;
real64_T im;
} creal64_T;
typedef struct {
real_T re;
real_T im;
} creal_T;
typedef struct {
int8_T re;
int8_T im;
} cint8_T;
typedef struct {
uint8_T re;
uint8_T im;
} cuint8_T;
typedef struct {
int16_T re;
int16_T im;
} cint16_T;
typedef struct {
uint16_T re;
uint16_T im;
} cuint16_T;
typedef struct {
int32_T re;
int32_T im;
} cint32_T;
typedef struct {
uint32_T re;
uint32_T im;
} cuint32_T;
typedef struct {
int64_T re;
int64_T im;
} cint64_T;
typedef struct {
uint64_T re;
uint64_T im;
} cuint64_T;
/*=======================================================================*
* Min and Max: *
* int8_T, int16_T, int32_T - signed 8, 16, or 32 bit integers *
* uint8_T, uint16_T, uint32_T - unsigned 8, 16, or 32 bit integers *
*=======================================================================*/
#define MAX_int8_T ((int8_T)(127))
#define MIN_int8_T ((int8_T)(-128))
#define MAX_uint8_T ((uint8_T)(255))
#define MIN_uint8_T ((uint8_T)(0))
#define MAX_int16_T ((int16_T)(32767))
#define MIN_int16_T ((int16_T)(-32768))
#define MAX_uint16_T ((uint16_T)(65535))
#define MIN_uint16_T ((uint16_T)(0))
#define MAX_int32_T ((int32_T)(2147483647))
#define MIN_int32_T ((int32_T)(-2147483647 - 1))
#define MAX_uint32_T ((uint32_T)(0xFFFFFFFFU))
#define MIN_uint32_T ((uint32_T)(0))
#define MAX_int64_T ((int64_T)(9223372036854775807L))
#define MIN_int64_T ((int64_T)(-9223372036854775807L - 1L))
#define MAX_uint64_T ((uint64_T)(0xFFFFFFFFFFFFFFFFUL))
#define MIN_uint64_T ((uint64_T)(0UL))
/* Logical type definitions */
#if (!defined(__cplusplus)) && (!defined(__true_false_are_keywords)) && \
(!defined(__bool_true_false_are_defined))
#ifndef false
#define false 0U
#endif
#ifndef true
#define true 1U
#endif
#endif
#ifdef __cplusplus
}
#endif
#endif
/* End of code generation (rtwtypes.h) */

View File

@ -0,0 +1,58 @@
Command: /home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/./simv +vcs+loopreport -sv_lib DPI_Component -l sim.log
Chronologic VCS simulator copyright 1991-2018
Contains Synopsys proprietary information.
Compiler version O-2018.09-SP2_Full64; Runtime version O-2018.09-SP2_Full64; Oct 28 23:58 2024
UVM_INFO /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh(402) @ 0: reporter [UVM/RELNOTES]
----------------------------------------------------------------
UVM-1.2.Synopsys
(C) 2007-2014 Mentor Graphics Corporation
(C) 2007-2014 Cadence Design Systems, Inc.
(C) 2006-2014 Synopsys, Inc.
(C) 2011-2013 Cypress Semiconductor Corp.
(C) 2013-2014 NVIDIA Corporation
----------------------------------------------------------------
*********** IMPORTANT RELEASE NOTES ************
You are using a version of the UVM library that has been compiled
with `UVM_NO_DEPRECATED undefined.
See http://www.eda.org/svdb/view.php?id=3313 for more details.
You are using a version of the UVM library that has been compiled
with `UVM_OBJECT_DO_NOT_NEED_CONSTRUCTOR undefined.
See http://www.eda.org/svdb/view.php?id=3770 for more details.
(Specify +UVM_NO_RELNOTES to turn off this notice)
*Verdi* Loading libsscore_vcs201712.so
FSDB Dumper for VCS, Release Verdi_N-2017.12-SP2, Linux x86_64/64bit, 05/27/2018
(C) 1996 - 2018 by Synopsys, Inc.
***********************************************************************
* ERROR - *
* The simulator version is newer than the FSDB dumper version which *
* may cause abnormal behavior, please contact Synopsys support for *
* assistance. *
***********************************************************************
*Verdi* : Create FSDB file 'TB.fsdb'
*Verdi* : Begin traversing the scope (tb), layer (0).
*Verdi* : End of traversing.
data_reg is: 0 1 2 3 4 5 6 7
i_data_temp is :6
i_data0 is :7
i_data1 is :6
i_data2 is :5
i_data3 is :4
i_data4 is :3
i_data5 is :2
i_data6 is :1
i_data7 is :0
q_data0 is :-5
q_data1 is :0
q_data2 is :0
q_data3 is :2
q_data4 is :2
q_data5 is :2
q_data6 is :2
q_data7 is :2
V C S S i m u l a t i o n R e p o r t
Time: 163930

Binary file not shown.

View File

@ -0,0 +1,937 @@
613
0 uvm_macros.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_macros.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_macros.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_macros.svh
0 macros/uvm_version_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_version_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_version_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_version_defines.svh
0 macros/uvm_global_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_global_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_global_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_global_defines.svh
0 macros/uvm_message_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_message_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_message_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_message_defines.svh
0 macros/uvm_phase_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_phase_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_phase_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_phase_defines.svh
0 macros/uvm_object_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_object_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_object_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_object_defines.svh
0 macros/uvm_printer_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_printer_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_printer_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_printer_defines.svh
0 macros/uvm_tlm_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_tlm_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_tlm_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_tlm_defines.svh
0 tlm1/uvm_tlm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_imps.svh
0 macros/uvm_sequence_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_sequence_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_sequence_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_sequence_defines.svh
0 macros/uvm_callback_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_callback_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_callback_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_callback_defines.svh
0 macros/uvm_reg_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_reg_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_reg_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_reg_defines.svh
0 macros/uvm_deprecated_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/macros/uvm_deprecated_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/macros/uvm_deprecated_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/macros/uvm_deprecated_defines.svh
0 dpi/uvm_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_dpi.svh
0 dpi/uvm_hdl.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_hdl.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_hdl.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_hdl.svh
0 dpi/uvm_svcmd_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_svcmd_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_svcmd_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_svcmd_dpi.svh
0 dpi/uvm_regex.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dpi/uvm_regex.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dpi/uvm_regex.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_regex.svh
0 base/uvm_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_base.svh
0 base/uvm_coreservice.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_coreservice.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_coreservice.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_coreservice.svh
0 base/uvm_version.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_version.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_version.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_version.svh
0 base/uvm_object_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_object_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_object_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_object_globals.svh
0 base/uvm_misc.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_misc.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_misc.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_misc.svh
0 base/uvm_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_object.svh
0 base/uvm_pool.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_pool.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_pool.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_pool.svh
0 base/uvm_queue.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_queue.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_queue.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_queue.svh
0 base/uvm_factory.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_factory.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_factory.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_factory.svh
0 base/uvm_registry.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_registry.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_registry.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_registry.svh
0 base/uvm_spell_chkr.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_spell_chkr.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_spell_chkr.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_spell_chkr.svh
0 base/uvm_resource.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource.svh
0 base/uvm_resource_specializations.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource_specializations.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource_specializations.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource_specializations.svh
0 base/uvm_resource_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_resource_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_resource_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_resource_db.svh
0 base/uvm_config_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_config_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_config_db.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_config_db.svh
0 base/uvm_printer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_printer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_printer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_printer.svh
0 base/uvm_comparer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_comparer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_comparer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_comparer.svh
0 base/uvm_packer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_packer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_packer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_packer.svh
0 base/uvm_links.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_links.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_links.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_links.svh
0 base/uvm_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_tr_database.svh
0 base/uvm_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_tr_stream.svh
0 base/uvm_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_recorder.svh
0 base/uvm_event_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_event_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_event_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_event_callback.svh
0 base/uvm_event.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_event.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_event.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_event.svh
0 base/uvm_barrier.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_barrier.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_barrier.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_barrier.svh
0 base/uvm_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_callback.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_callback.svh
0 base/uvm_report_message.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_message.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_message.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_message.svh
0 base/uvm_report_catcher.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_catcher.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_catcher.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_catcher.svh
0 base/uvm_report_server.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_server.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_server.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_server.svh
0 base/uvm_report_handler.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_handler.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_handler.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_handler.svh
0 base/uvm_report_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_report_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_report_object.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_report_object.svh
0 base/uvm_transaction.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_transaction.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_transaction.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_transaction.svh
0 base/uvm_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_phase.svh
0 base/uvm_domain.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_domain.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_domain.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_domain.svh
0 base/uvm_bottomup_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_bottomup_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_bottomup_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_bottomup_phase.svh
0 base/uvm_topdown_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_topdown_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_topdown_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_topdown_phase.svh
0 base/uvm_task_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_task_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_task_phase.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_task_phase.svh
0 base/uvm_common_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_common_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_common_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_common_phases.svh
0 base/uvm_runtime_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_runtime_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_runtime_phases.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_runtime_phases.svh
0 base/uvm_component.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_component.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_component.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_component.svh
0 base/uvm_root.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_root.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_root.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_root.svh
0 base/uvm_objection.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_objection.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_objection.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_objection.svh
0 base/uvm_heartbeat.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_heartbeat.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_heartbeat.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_heartbeat.svh
0 base/uvm_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_globals.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_globals.svh
0 base/uvm_cmdline_processor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_cmdline_processor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_cmdline_processor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_cmdline_processor.svh
0 base/uvm_traversal.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_traversal.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_traversal.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_traversal.svh
0 dap/uvm_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_dap.svh
0 dap/uvm_set_get_dap_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_set_get_dap_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_set_get_dap_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_set_get_dap_base.svh
0 dap/uvm_simple_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_simple_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_simple_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_simple_lock_dap.svh
0 dap/uvm_get_to_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_get_to_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_get_to_lock_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_get_to_lock_dap.svh
0 dap/uvm_set_before_get_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/dap/uvm_set_before_get_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/dap/uvm_set_before_get_dap.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dap/uvm_set_before_get_dap.svh
0 tlm1/uvm_tlm.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm.svh
0 tlm1/uvm_tlm_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_ifs.svh
0 tlm1/uvm_sqr_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_sqr_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_sqr_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_sqr_ifs.svh
0 base/uvm_port_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/base/uvm_port_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/base/uvm_port_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/base/uvm_port_base.svh
0 tlm1/uvm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_imps.svh
0 tlm1/uvm_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_ports.svh
0 tlm1/uvm_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_exports.svh
0 tlm1/uvm_analysis_port.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_analysis_port.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_analysis_port.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_analysis_port.svh
0 tlm1/uvm_tlm_fifo_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_fifo_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_fifo_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_fifo_base.svh
0 tlm1/uvm_tlm_fifos.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_fifos.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_fifos.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_fifos.svh
0 tlm1/uvm_tlm_req_rsp.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_tlm_req_rsp.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_tlm_req_rsp.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_tlm_req_rsp.svh
0 tlm1/uvm_sqr_connections.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm1/uvm_sqr_connections.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm1/uvm_sqr_connections.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm1/uvm_sqr_connections.svh
0 comps/uvm_comps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_comps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_comps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_comps.svh
0 comps/uvm_pair.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_pair.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_pair.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_pair.svh
0 comps/uvm_policies.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_policies.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_policies.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_policies.svh
0 comps/uvm_in_order_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_in_order_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_in_order_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_in_order_comparator.svh
0 comps/uvm_algorithmic_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_algorithmic_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_algorithmic_comparator.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_algorithmic_comparator.svh
0 comps/uvm_random_stimulus.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_random_stimulus.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_random_stimulus.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_random_stimulus.svh
0 comps/uvm_subscriber.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_subscriber.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_subscriber.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_subscriber.svh
0 comps/uvm_monitor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_monitor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_monitor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_monitor.svh
0 comps/uvm_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_driver.svh
0 comps/uvm_push_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_push_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_push_driver.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_push_driver.svh
0 comps/uvm_scoreboard.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_scoreboard.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_scoreboard.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_scoreboard.svh
0 comps/uvm_agent.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_agent.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_agent.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_agent.svh
0 comps/uvm_env.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_env.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_env.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_env.svh
0 comps/uvm_test.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/comps/uvm_test.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/comps/uvm_test.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/comps/uvm_test.svh
0 seq/uvm_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_seq.svh
0 seq/uvm_sequence_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_item.svh
0 seq/uvm_sequencer_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_base.svh
0 seq/uvm_sequencer_analysis_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_analysis_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_analysis_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_analysis_fifo.svh
0 seq/uvm_sequencer_param_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer_param_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer_param_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer_param_base.svh
0 seq/uvm_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequencer.svh
0 seq/uvm_push_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_push_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_push_sequencer.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_push_sequencer.svh
0 seq/uvm_sequence_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_base.svh
0 seq/uvm_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence.svh
0 seq/uvm_sequence_library.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_library.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_library.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_library.svh
0 seq/uvm_sequence_builtin.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/seq/uvm_sequence_builtin.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/seq/uvm_sequence_builtin.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/seq/uvm_sequence_builtin.svh
0 tlm2/uvm_tlm2.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2.svh
0 tlm2/uvm_tlm2_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_defines.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_defines.svh
0 tlm2/uvm_tlm2_time.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_time.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_time.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_time.svh
0 tlm2/uvm_tlm2_generic_payload.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_generic_payload.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_generic_payload.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_generic_payload.svh
0 tlm2/uvm_tlm2_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_ifs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_ifs.svh
0 tlm2/uvm_tlm2_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_imps.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_imps.svh
0 tlm2/uvm_tlm2_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_ports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_ports.svh
0 tlm2/uvm_tlm2_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_exports.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_exports.svh
0 tlm2/uvm_tlm2_sockets_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_sockets_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_sockets_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_sockets_base.svh
0 tlm2/uvm_tlm2_sockets.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/tlm2/uvm_tlm2_sockets.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/tlm2/uvm_tlm2_sockets.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/tlm2/uvm_tlm2_sockets.svh
0 reg/uvm_reg_model.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_model.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_model.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_model.svh
0 reg/uvm_reg_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_item.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_item.svh
0 reg/uvm_reg_adapter.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_adapter.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_adapter.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_adapter.svh
0 reg/uvm_reg_predictor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_predictor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_predictor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_predictor.svh
0 reg/uvm_reg_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_sequence.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_sequence.svh
0 reg/uvm_reg_cbs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_cbs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_cbs.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_cbs.svh
0 reg/uvm_reg_backdoor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_backdoor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_backdoor.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_backdoor.svh
0 reg/uvm_reg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_field.svh
0 reg/uvm_vreg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_vreg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_vreg_field.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_vreg_field.svh
0 reg/uvm_reg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg.svh
0 reg/uvm_reg_indirect.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_indirect.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_indirect.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_indirect.svh
0 reg/uvm_reg_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_fifo.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_fifo.svh
0 reg/uvm_reg_file.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_file.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_file.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_file.svh
0 reg/uvm_mem_mam.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_mem_mam.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_mem_mam.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_mem_mam.svh
0 reg/uvm_vreg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_vreg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_vreg.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_vreg.svh
0 reg/uvm_mem.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_mem.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_mem.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_mem.svh
0 reg/uvm_reg_map.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_map.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_map.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_map.svh
0 reg/uvm_reg_block.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/uvm_reg_block.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/uvm_reg_block.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/uvm_reg_block.svh
0 reg/sequences/uvm_reg_hw_reset_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_hw_reset_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_hw_reset_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_hw_reset_seq.svh
0 reg/sequences/uvm_reg_bit_bash_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_bit_bash_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_bit_bash_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_bit_bash_seq.svh
0 reg/sequences/uvm_mem_walk_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_mem_walk_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_mem_walk_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_mem_walk_seq.svh
0 reg/sequences/uvm_mem_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_mem_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_mem_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_mem_access_seq.svh
0 reg/sequences/uvm_reg_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_access_seq.svh
0 reg/sequences/uvm_reg_mem_shared_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_shared_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_shared_access_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_shared_access_seq.svh
0 reg/sequences/uvm_reg_mem_built_in_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_built_in_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_built_in_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_built_in_seq.svh
0 reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
0 reg/snps_uvm_reg_bank.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/reg/snps_uvm_reg_bank.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/reg/snps_uvm_reg_bank.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/reg/snps_uvm_reg_bank.svh
0 msglog.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/msglog.svh
0 uvm_msglog_report_server.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_msglog_report_server.sv
0 uvm_vcs_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_recorder.svh
0 uvm_vcs_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_tr_database.svh
0 uvm_vcs_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_tr_stream.svh
0 uvm_vcs_record_interface.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_vcs_record_interface.sv
0 uvm_verdi_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_recorder.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_recorder.svh
0 uvm_verdi_pli_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_pli_base.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_pli_base.svh
0 uvm_verdi_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_tr_database.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_tr_database.svh
0 uvm_verdi_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_tr_stream.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_tr_stream.svh
0 uvm_verdi_reg_map_recording.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_reg_map_recording.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_reg_map_recording.sv
0 uvm_verdi_message_catcher.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_message_catcher.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_message_catcher.svh
0 uvm_verdi_factory.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_factory.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_factory.svh
0 ./dpi/uvm_verdi_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/./dpi/uvm_verdi_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/./dpi/uvm_verdi_dpi.svh
0 uvm_verdi_reg_recording.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_reg_recording.sv
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_reg_recording.sv
0 verdi_trans_recorder_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/verdi_trans_recorder_dpi.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/verdi_trans_recorder_dpi.svh
0 uvm_verdi_pli.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/systemverilog/uvm_verdi_pli.svh
0 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_verdi_pli.svh
45
+define+FSDB
+define+UVM_VCS_RECORD
+define+UVM_VERDI_VIF_RECORD
+incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/
+incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs
+incdir+/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi
+itf+/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab
+vcs+loopreport+1000
+vcsd1
+vpi
-Mamsrun=
-Masflags=
-Mcc=gcc
-Mcfl= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -O -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include
-Mcplusplus=g++
-Mcrt0=
-Mcrtn=
-Mcsrc=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
-Mexternalobj=
-Mldflags= -rdynamic
-Mobjects= /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so
-Mout=simv
-Msaverestoreobj=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcs_save_restore_new.o
-Msyslibs=/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/pli.a -ldl
-Mvcsaceobjs=
-Mxcflags= -pipe -DVCSMX -DUVM_DPI_DO_TYPE_CHECK -fPIC -I/opt/synopsys/vcs-mx/O-2018.09-SP2/include
-P
-debug_acc+all
-debug_acc+fsdb
-debug_region+cell+encrypt
-f files.f
-fsdb
-full64
-gen_obj
-l
-ntb_opts
-picarchive
-sverilog
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcs1
/opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
com.log
uvm-1.2
96
sysc_uni_pwd=/home/yzzhang/work/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
starRC_HOME=/opt/synopsys/starrc/O-2018.06-SP1
XMODIFIERS=@im=ibus
XDG_SESSION_ID=c10
XDG_RUNTIME_DIR=/run/user/1004
XDG_MENU_PREFIX=gnome-
XDG_CURRENT_DESKTOP=GNOME
W3264_NO_HOST_CHECK=1
VTE_VERSION=5204
VNCDESKTOP=cryo1:4 (yzzhang)
VMR_MODE_FLAG=64
VERDI_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2
VENDOR=unknown
VCS_UVM_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2
VCS_MX_HOME_INTERNAL=1
VCS_MODE_FLAG=64
VCS_LOG_FILE=com.log
VCS_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2
VCS_DEPTH=0
VCS_ARG_ADDED_FOR_TMP=1
VCS_ARCH=linux64
UNAME=/bin/uname
TXS_HOME=/opt/synopsys/txs/O-2018.06-SP1
TOOL_HOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64
SYN_HOME=/opt/synopsys/syn/O-2018.06-SP1
SYNOPSYS=/opt/synopsys
SSH_AUTH_SOCK=/run/user/1004/keyring/ssh
SSH_AGENT_PID=5490
SPYGLASS_HOME=/opt/synopsys/spyglass/SPYGLASS2017.12-SP2/SPYGLASS_HOME
SPECTRE_HOME=/opt/cadence/SPECTRE181
SPECTRE_DEFAULTS=-E
SESSION_MANAGER=local/unix:@/tmp/.ICE-unix/4486,unix/unix:/tmp/.ICE-unix/4486
SCRNAME=vcs
SCRIPT_NAME=vcs
SCL_HOME=/opt/synopsys/scl/2018.06
QT_IM_MODULE=ibus
QT_GRAPHICSSYSTEM_CHECKED=1
QTLIB=/usr/lib64/qt-3.3/lib
QTINC=/usr/lib64/qt-3.3/include
QTDIR=/usr/lib64/qt-3.3
PWR_HOME=/opt/synopsys/pwr/O-2018.06-SP3
PT_HOME=/opt/synopsys/pts/O-2018.06-SP1
OVA_UUM=0
OSTYPE=linux
OA_UNSUPPORTED_PLAT=linux_rhel50_gcc44x
NOVAS_HOME=/opt/synopsys/verdi/Verdi_N-2017.12-SP2
MOZILLA_HOME=/usr/bin/firefox
MGLS_LICENSE_FILE=/opt/mentor/license/license.dat
MGC_PDF_REDER=evince
MGC_LIB_PATH=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11/lib
MGC_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
MGC_CALIBRE_SAVE_ALL_RUNSET_VALUES=1
MGC_CALIBRE_REALTIME_VIRTUOSO_SAVE_MESSENGER_CELL=1
MGC_CALIBRE_REALTIME_VIRTUOSO_ENABLED=1
MFLAGS=
MENTOR_HOME=/opt/mentor
MAKELEVEL=1
MAKEFLAGS=
LESSOPEN=||/usr/bin/lesspipe.sh %s
LC_HOME=/opt/synopsys/lc/O-2018.06-SP1
LC_ALL=C
INNOVUS_HOME=/opt/cadence/INNOVUS152
IMSETTINGS_MODULE=none
IMSETTINGS_INTEGRATE_DESKTOP=yes
IDQ_HOME=/opt/synopsys/idq/O-2018.06-SP1
HSPICE_HOME=/opt/synopsys/hspice2017/hspice/N-2017.12-SP2
HOSTTYPE=x86_64-linux
GROUP=cryo
GNOME_TERMINAL_SERVICE=:1.160
GNOME_TERMINAL_SCREEN=/org/gnome/Terminal/screen/d8d8c749_3610_44ad_9871_57aae41deb51
GNOME_SHELL_SESSION_MODE=classic
GNOME_DESKTOP_SESSION_ID=this-is-deprecated
GENUS_HOME=/opt/cadence/GENUS152
FM_HOME=/opt/synopsys/fm/O-2018.06-SP1
DBUS_STARTER_BUS_TYPE=session
DBUS_STARTER_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce
DBUS_SESSION_BUS_ADDRESS=unix:abstract=/tmp/dbus-q1TPT5LC92,guid=0d5b314a51296b724f565bd566dac6ce
COLORTERM=truecolor
CDS_SPECTRE_FBENABLE=1
CDS_SPECTRERF_FBENABLE=1
CDS_ROOT=/opt/cadence/IC618
CDS_Netlisting_Mode=Analog
CDS_LOAD_ENV=CWD
CDS_LIC_ONLY=1
CDS_LIC_FILE=/opt/cadence/license/license.dat
CDS_INST_DIR=/opt/cadence/IC618
CDS_ENABLE_VMS=1
CDS_AUTO_64BIT=ALL
CDSROOT=/opt/cadence/IC618
CDSHOME=/opt/cadence/IC618
CDSDIR=/opt/cadence/IC618
CDS=/opt/cadence/IC618
CALIBRE_HOME=/opt/mentor/Calibre2019/aoj_cal_2019.3_15.11
CALIBRE_ENABLE_SKILL_PEXBA_MODE=1
CADHOME=/opt/cadence
CADENCE_DIR=/opt/cadence/IC618
0
169
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/./dpi/uvm_verdi_dpi.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh
1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh
1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh
1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh
1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh
1551426028 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh
1730131069 ./hilbert_fir_dpi.sv
1730131059 ./hilbert_fir_dpi_pkg.sv
1730017850 ./tb.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv
1730131038 files.f
1527421819 /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
1551421246 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/vcsdp_lite.tab
6
1551426029 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.cc
1551426030 /opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.cpp
1551422344 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvirsim.so
1551421792 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/liberrorinf.so
1551421768 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libsnpsmalloc.so
1551421789 /opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/libvfs.so
1730131081 simv.daidir
-1 partitionlib

View File

@ -0,0 +1,78 @@
import_DPI uvm_hdl_check_path uvm_pkg
import_DPI uvm_hdl_deposit uvm_pkg
import_DPI uvm_hdl_force uvm_pkg
import_DPI uvm_hdl_release_and_read uvm_pkg
import_DPI uvm_hdl_release uvm_pkg
import_DPI uvm_hdl_read uvm_pkg
import_DPI uvm_hdl_read_string uvm_pkg
import_DPI uvm_memory_load uvm_pkg
import_DPI uvm_dpi_get_next_arg_c uvm_pkg
import_DPI uvm_dpi_get_tool_name_c uvm_pkg
import_DPI uvm_dpi_get_tool_version_c uvm_pkg
import_DPI uvm_dpi_regcomp uvm_pkg
import_DPI uvm_dpi_regexec uvm_pkg
import_DPI uvm_dpi_regfree uvm_pkg
import_DPI uvm_re_match uvm_pkg
import_DPI uvm_dump_re_cache uvm_pkg
import_DPI uvm_glob_to_re uvm_pkg
export_DPI m__uvm_report_dpi uvm_pkg
import_DPI getenv uvm_custom_install_recording
import_DPI parse_rsrc_msg uvm_custom_install_verdi_recording
import_DPI parse_phase_msg uvm_custom_install_verdi_recording
import_DPI find_substr_by_C uvm_custom_install_verdi_recording
import_DPI verdi_dump_resource_value uvm_custom_install_verdi_recording
import_DPI verdi_dump_component_interface uvm_custom_install_verdi_recording
import_DPI verdi_upper_scope uvm_custom_install_verdi_recording
import_DPI verdi_dhier_interface uvm_custom_install_verdi_recording
import_DPI retrieve_reg_def_class uvm_custom_install_verdi_recording
import_DPI retrieve_def_class uvm_custom_install_verdi_recording
import_DPI record_reg_decl_name uvm_custom_install_verdi_recording
import_DPI check_is_sequencer uvm_custom_install_verdi_recording
import_DPI remove_array_index uvm_custom_install_verdi_recording
export_DPI pli_dhier_begin_event uvm_custom_install_verdi_recording
export_DPI pli_trans_add_class_name_attr uvm_custom_install_verdi_recording
export_DPI pli_trans_add_vif_attr uvm_custom_install_verdi_recording
export_DPI pli_dhier_set_label uvm_custom_install_verdi_recording
export_DPI pli_dhier_add_attribute uvm_custom_install_verdi_recording
export_DPI pli_dhier_add_attribute_int uvm_custom_install_verdi_recording
export_DPI pli_dhier_end_event uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_scope_add_logicvec_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_scope_add_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_scope_add_string_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_scope_add_real_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_scope_add_enum_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_create_stream_begin uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_define_logicvec_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_define_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_define_string_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_define_real_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_define_enum_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_stream_add_logicvec_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_stream_add_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_stream_add_string_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_stream_add_real_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_stream_add_enum_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_create_stream_end uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_begin uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_set_label uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_tag uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_logicvec_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_string_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_real_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_enum_int_attribute uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_logicvec_attribute_with_expected_value uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_int_attribute_with_expected_value uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_string_attribute_with_expected_value uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_real_attribute_with_expected_value uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_enum_int_attribute_with_expected_value uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_end uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_add_relation uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_get_enum_id uvm_custom_install_verdi_recording
import_DPI fsdbTransDPI_get_class_str uvm_custom_install_verdi_recording
import_DPI getenv uvm_custom_install_verdi_recording
import_DPI DPI_hilbert_fir_initialize hilbert_fir_dpi_pkg
import_DPI DPI_hilbert_fir_reset hilbert_fir_dpi_pkg
import_DPI DPI_hilbert_fir hilbert_fir_dpi_pkg
import_DPI DPI_hilbert_fir_terminate hilbert_fir_dpi_pkg
DirectC SdisableFork

View File

@ -0,0 +1,4 @@
#!/bin/sh -e
# This file is automatically generated by VCS. Any changes you make
# to it will be overwritten the next time VCS is run.
vcs '-full64' '-sverilog' '-ntb_opts' 'uvm-1.2' '+vcs+loopreport+1000' '-debug_acc+all' '-debug_region+cell+encrypt' '-f' 'files.f' '-l' 'com.log' '-fsdb' '+define+FSDB' -static_dbgen_only -daidir=$1 2>&1

View File

@ -0,0 +1,74 @@
{
"hilbert_fir_dpi_pkg": [
"hilbert_fir_dpi_pkg",
"RFT57",
"module",
10
],
"_vcs_DPI_package": [
"_vcs_DPI_package",
"uM9F1",
"module",
1
],
"vcs_paramclassrepository": [
"vcs_paramclassrepository",
"hEeZs",
"module",
2
],
"uvm_custom_install_recording": [
"uvm_custom_install_recording",
"nhiwz",
"module",
7
],
"_vcs_unit__3504648197": [
"_vcs_unit__3504648197",
"eQdaR",
"module",
3
],
"std": [
"std",
"reYIK",
"module",
4
],
"uvm_pkg": [
"uvm_pkg",
"zr7M1",
"module",
5
],
"uvm_custom_install_verdi_recording": [
"uvm_custom_install_verdi_recording",
"EbjT3",
"module",
8
],
"_vcs_msglog": [
"_vcs_msglog",
"zQBzV",
"module",
6
],
"tb": [
"tb",
"g7hgQ",
"module",
9
],
"hilbert_fir_dpi": [
"hilbert_fir_dpi",
"fhaHS",
"module",
11
],
"...MASTER...": [
"SIM",
"amcQw",
"module",
12
]
}

View File

@ -0,0 +1,4 @@
O-2018.09-SP2_Full64
Build Date = Feb 28 2019 22:34:30
RedHat
Compile Location: /data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir

View File

@ -0,0 +1,9 @@
#!/bin/sh -h
PYTHONHOME=/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/search/pyh
export PYTHONHOME
PYTHONPATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27
export PYTHONPATH
LD_LIBRARY_PATH=/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib:/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/lib/pylib27
export LD_LIBRARY_PATH
/opt/synopsys/vcs-mx/O-2018.09-SP2/linux64/bin/vcsfind_create_index.exe -z "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_sbyVvx.xml.gz" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/./idents_tapi.xml.gz" -o "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp"
\mv "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db_tmp" "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db"

View File

@ -0,0 +1,57 @@
#!/bin/sh -h
FILE_PATH="/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch"
lockfile="${FILE_PATH}"/lock
FSearch_lock_release() {
echo "" > /dev/null
}
create_fsearch_db_ctrl() {
if [ -s "${FILE_PATH}"/fsearch.stat ]; then
if [ -s "${FILE_PATH}"/fsearch.log ]; then
echo "ERROR building identifier database failed. Check ${FILE_PATH}/fsearch.log"
else
cat "${FILE_PATH}"/fsearch.stat
fi
return
fi
nohup "$1" > "${FILE_PATH}"/fsearch.log 2>&1 193>/dev/null &
MY_PID=`echo $!`
BUILDER="pid ${MY_PID} ${USER}@${hostname}"
echo "INFO Started building database for Identifiers, please wait ($BUILDER). Use VCS elab option '-debug_access+idents_db' to build the database earlier."
echo "INFO Still building database for Identifiers, please wait ($BUILDER). Use VCS elab option '-debug_access+idents_db' to build the database earlier." > "${FILE_PATH}"/fsearch.stat
return
}
dir_name=`/bin/dirname "$0"`
if [ "${dir_name}" = "." ]; then
cd $dir_name
dir_name=`/bin/pwd`
fi
if [ -d "$dir_name"/../../../../../../../../../../../../.. ]; then
cd "$dir_name"/../../../../../../../../../../../../..
fi
if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db" ]; then
if [ ! -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.db" ]; then
if [ "$#" -eq 1 ] && [ "x$1" == "x-background" ]; then
trap FSearch_lock_release EXIT
(
flock 193
create_fsearch_db_ctrl "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
exit 193
) 193> "$lockfile"
rstat=$?
if [ "${rstat}"x != "193x" ]; then
exit $rstat
fi
else
"/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/.create_fsearch_db"
if [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
fi
fi
elif [ -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat" ]; then
rm -f "/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/simv.daidir/debug_dump/fsearch/fsearch.stat"
fi
fi

View File

@ -0,0 +1,165 @@
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi.sv
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/hilbert_fir_dpi_pkg.sv
/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir/tb.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_barrier.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_bottomup_phase.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_callback.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_cmdline_processor.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_common_phases.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_comparer.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_component.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_config_db.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_coreservice.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_domain.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_event_callback.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_factory.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_globals.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_heartbeat.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_links.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_misc.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_object_globals.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_objection.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_packer.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_phase.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_pool.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_port_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_printer.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_queue.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_recorder.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_registry.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_catcher.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_handler.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_message.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_object.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_report_server.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_db.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_resource_specializations.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_root.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_runtime_phases.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_spell_chkr.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_task_phase.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_topdown_phase.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_database.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_tr_stream.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_transaction.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_traversal.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/base/uvm_version.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_agent.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_algorithmic_comparator.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_comps.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_driver.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_env.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_in_order_comparator.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_monitor.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_pair.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_policies.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_push_driver.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_random_stimulus.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_scoreboard.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_subscriber.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/comps/uvm_test.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_dap.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_get_to_lock_dap.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_before_get_dap.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_set_get_dap_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dap/uvm_simple_lock_dap.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_dpi.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_hdl.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_regex.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/dpi/uvm_svcmd_dpi.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_callback_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_deprecated_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_global_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_message_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_object_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_phase_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_printer_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_reg_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_sequence_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_tlm_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/macros/uvm_version_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_access_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_mem_walk_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_access_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_bit_bash_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_hw_reset_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_built_in_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_hdl_paths_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/sequences/uvm_reg_mem_shared_access_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/snps_uvm_reg_bank.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_mem_mam.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_adapter.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_backdoor.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_block.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_cbs.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_field.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_fifo.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_file.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_indirect.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_item.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_map.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_model.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_predictor.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_reg_sequence.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/reg/uvm_vreg_field.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_push_sequencer.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_seq.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_builtin.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_item.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequence_library.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_analysis_fifo.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/seq/uvm_sequencer_param_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_analysis_port.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_exports.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_imps.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_ports.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_connections.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_sqr_ifs.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifo_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_fifos.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_ifs.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_imps.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm1/uvm_tlm_req_rsp.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_defines.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_exports.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_generic_payload.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ifs.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_imps.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_ports.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_sockets_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/tlm2/uvm_tlm2_time.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_macros.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/uvm_pkg.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/msglog.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_custom_install_vcs_recorder.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_msglog_report_server.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_record_interface.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_recorder.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_database.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/vcs/uvm_vcs_tr_stream.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/dpi/uvm_verdi_dpi.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_custom_install_verdi_recorder.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_factory.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_message_catcher.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_pli_base.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_recorder.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_map_recording.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_reg_recording.sv
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_database.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/uvm_verdi_tr_stream.svh
/opt/synopsys/vcs-mx/O-2018.09-SP2/etc/uvm-1.2/verdi/verdi_trans_recorder_dpi.svh

View File

@ -0,0 +1,2 @@
ƒáMÛ4Ã)å$Žh¼óK…#ðE

View File

@ -0,0 +1,78 @@
pli $fsdbDumpvars novas_call_fsdbDumpvars - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpvarsES novas_call_fsdbDumpvarsES - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMDA novas_call_fsdbDumpMDA - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpSVA novas_call_fsdbDumpSVA - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpvarsByFile novas_call_fsdbDumpvarsByFile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbSuppress novas_call_fsdbSuppress - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpon novas_call_fsdbDumpon - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpoff novas_call_fsdbDumpoff - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbSwitchDumpfile novas_call_fsdbSwitchDumpfile - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpfile novas_call_fsdbDumpfile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbAutoSwitchDumpfile novas_call_fsdbAutoSwitchDumpfile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpFinish novas_call_fsdbDumpFinish - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpflush novas_call_fsdbDumpflush - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbLog novas_call_fsdbLog - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbAddRuntimeSignal novas_call_fsdbAddRuntimeSignal - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpSC novas_call_fsdbDumpSC - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpvarsToFile novas_call_fsdbDumpvarsToFile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_create_transaction_stream novas_call_sps_create_transaction_stream - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_begin_transaction novas_call_sps_begin_transaction - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_end_transaction novas_call_sps_end_transaction - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_free_transaction novas_call_sps_free_transaction - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_add_attribute novas_call_sps_add_attribute - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_update_label novas_call_sps_update_label - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_add_relation novas_call_sps_add_relation - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbWhatif novas_call_fsdbWhatif - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $paa_init novas_call_paa_init - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $paa_sync novas_call_paa_sync - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpClassMethod novas_call_fsdbDumpClassMethod - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbSuppressClassMethod novas_call_fsdbSuppressClassMethod - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbSuppressClassProp novas_call_fsdbSuppressClassProp - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMDAByFile novas_call_fsdbDumpMDAByFile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_create_stream_begin novas_call_fsdbEvent_create_stream_begin - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_define_attribute novas_call_fsdbEvent_add_stream_attribute - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_create_stream_end novas_call_fsdbEvent_create_stream_end - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_begin novas_call_fsdbEvent_begin - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_set_label novas_call_fsdbEvent_set_label - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_add_attribute novas_call_fsdbEvent_add_attribute - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_add_tag novas_call_fsdbEvent_add_tag - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_end novas_call_fsdbEvent_end - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_add_relation novas_call_fsdbEvent_add_relation - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_get_error_code novas_call_fsdbEvent_get_error_code - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_add_stream_attribute novas_call_fsdbTrans_add_stream_attribute - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbTrans_add_scope_attribute novas_call_fsdbTrans_add_scope_attribute - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_interactive novas_call_sps_interactive - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_test novas_call_sps_test - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpClassObject novas_call_fsdbDumpClassObject - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpClassObjectByFile novas_call_fsdbDumpClassObjectByFile - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $ridbDump novas_call_ridbDump - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $sps_flush_file novas_call_sps_flush_file - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpPSL novas_call_fsdbDumpPSL - novas_misc /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDisplay novas_call_fsdbDisplay - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumplimit novas_call_fsdbDumplimit - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMem novas_call_fsdbDumpMem - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMemNow novas_call_fsdbDumpMemNow - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMemInScope novas_call_fsdbDumpMemInScope - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMDANow novas_call_fsdbDumpMDANow - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMDAOnChange novas_call_fsdbDumpMDAOnChange - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMDAInScope novas_call_fsdbDumpMDAInScope - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpMemInFile novas_call_fsdbDumpMemInFile - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpPSLon novas_call_fsdbDumpPSLon - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpPSLoff novas_call_fsdbDumpPSLoff - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpSVAon novas_call_fsdbDumpSVAon - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpSVAoff novas_call_fsdbDumpSVAoff - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpStrength novas_call_fsdbDumpStrength - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpSingle novas_call_fsdbDumpSingle - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpIO novas_call_fsdbDumpIO - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbDumpPattern novas_call_fsdbDumpPattern - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $fsdbSubstituteHier novas_call_fsdbSubstituteHier - - /opt/synopsys/verdi/Verdi_N-2017.12-SP2/share/PLI/VCS/LINUX64/verdi.tab
pli $dumpports DumpPortsIeeeCALL - DumpPortsMISC
pli $lsi_dumpports DumpPortsLsiCALL - DumpPortsMISC
pli $dumpportson DumpPortsOnCALL - DumpPortsMISC
pli $dumpportsoff DumpPortsOffCALL - DumpPortsMISC
pli $dumpportsflush DumpPortsFlushCALL - DumpPortsMISC
pli $simlearn simLearnCall simLearnCheck simLearnMisc
pli $dumpportsall DumpPortsAllCALL - DumpPortsMISC
pli $dumpportslimit DumpPortsLimitCALL - DumpPortsMISC
pli $countdrivers CountDriversCALL - -
pli $vcsmemprof DMMemProfCALL DMMemProfCheck DMMemProfMISC

View File

@ -0,0 +1 @@
0

View File

@ -0,0 +1,16 @@
rc file Version 1.0
[Design]
COMPILE_PATH=/data/work/yzzhang/hdl/sv/readout_AWG_RM/hilbert_dpi_2/codegen/dll/hilbert_fir
SystemC=FALSE
UUM=FALSE
KDB=FALSE
USE_NOVAS_HOME=FALSE
COSIM=FALSE
TOP=uvm_custom_install_recording uvm_custom_install_verdi_recording tb
OPTION=-ssz -ssv -ssy
ELAB_OPTION=-ssz -ssv -ssy
[Value]
WREALX=ffff534e50535f58
WREALZ=ffff534e50535f5a

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,4 @@
#!/bin/sh -e
# This file is automatically generated by VCS. Any changes you make
# to it will be overwritten the next time VCS is run.
vcs '-full64' '-sverilog' '-ntb_opts' 'uvm-1.2' '+vcs+loopreport+1000' '-debug_acc+all' '-debug_region+cell+encrypt' '-f' 'files.f' '-l' 'com.log' '-fsdb' '+define+FSDB' 2>&1

View File

@ -0,0 +1,691 @@
hsDirType 1
fHsimDesignHasDebugNodes 31
fNSParam 1024
fLargeSizeSdfTest 0
fHsimDelayGateMbme 0
fNoMergeDelays 0
fHsimAllMtmPat 0
fHsimCertRaptMode 0
fSharedMasterElab 0
hsimLevelizeDone 1
fHsimCompressDiag 1
fHsimPowerOpt 0
fLoopReportElab 0
fHsimRtl 0
fHsimCbkOptVec 1
fHsimDynamicCcnHeur 1
fHsimPvcs 0
fHsimPvcsCcn 0
fHsimOldLdr 0
fHsimSingleDB 1
uVfsGcLimit 50
fHsimCompatSched 0
fHsimCompatOrder 0
fHsimTransUsingdoMpd32 0
fHsimDynamicElabForGates 1
fHsimDynamicElabForVectors 0
fHsimDynamicElabForVectorsAlways 0
fHsimDynamicElabForVectorsMinputs 0
fHsimDeferForceSelTillReElab 0
fHsimModByModElab 1
fSvNettRealResType 0
fHsimExprID 1
fHsimSequdpon 0
fHsimDatapinOpt 0
fHsimExprPrune 0
fHsimMimoGate 0
fHsimNewChangeCheckFrankch 1
fHsimNoSched0Front 0
fHsimNoSched0FrontForMd 1
fHsimScalReg 0
fHsimNtbVl 0
fHsimICTimeStamp 0
fHsimICDiag 0
fHsimNewCSDF 1
vcselabIncrMode 2
fHsimMPPackDelay 0
fHsimMultDriver 0
fHsimPart 0
fHsimPrlComp 0
fHsimPartTest 0
fHsimTestChangeCheck 0
fHsimTestFlatNodeOrder 0
fHsimTestNState 0
fHsimPartDebug 0
fHsimPartFlags 0
fHsimOdeSched0 0
fHsimNewRootSig 1
fHsimDisableRootSigModeOpt 0
fHsimTestRootSigModeOpt 0
fHsimIncrWriteOnce 0
fHsimUnifInterfaceFlow 1
fHsimUnifInterfaceFlowDiag 0
fHsimUnifInterfaceFlowXmrDiag 0
fHsimUnifInterfaceMultiDrvChk 1
fHsimXVirForGenerateScope 0
fHsimCongruencyIntTestI 0
fHsimCongruencySVA 0
fHsimCongruencySVADbg 0
fHsimCongruencyLatchEdgeFix 0
fHsimCongruencyFlopEdgeFix 0
fHsimCongruencyXprop 0
fHsimCongruencyXpropFix 0
fHsimCongruencyXpropDbsEdge 0
fHsimCongruencyResetRecoveryDbs 0
fHsimCongruencyClockControlDiag 0
fHsimCongruencySampleUpdate 0
fHsimCongruencyFFDbsFix 0
fHsimCongruency 0
fHsimCongruencySlave 0
fHsimCongruencyCombinedLoads 0
fHsimCongruencyFGP 0
fHsimDeraceClockDataUdp 0
fHsimDeraceClockDataLERUpdate 0
fHsimCongruencyPC 0
fHsimCongruencyPCInl 0
fHsimCongruencyPCDbg 0
fHsimCongruencyPCNoReuse 0
fHsimCongruencyDumpHier 0
fHsimCongruencyResolution 0
fHsimCongruencyEveBus 0
fHsimHcExpr 0
fHsCgOptModOpt 0
fHsCgOptSlowProp 0
fHsimCcnOpt 1
fHsimCcnOpt2 1
fHsimCcnOpt3 0
fHsimSmdMap 0
fHsimSmdDiag 0
fHsimSmdSimProf 0
fHsimSgdDiag 0
fHsimRtDiagLite 0
fHsimRtDiagLiteCevent 100
fHsimRtDiag 0
fHsimSkRtDiag 0
fHsimDDBSRtdiag 0
fHsimDbg 0
fHsimCompWithGates 0
fHsimMdbDebugOpt 0
fHsimMdbDebugOptP1 0
fHsimMdbDebugOptP2 0
fHsimMdbPruneOpt 1
fHsimMdbMemOpt 0
hsimRandValue 0
fHsimSimMemProfile 0
fHsimSimTimeProfile 0
fHsimElabMemProfile 0
fHsimElabTimeProfile 0
fHsimElabMemNodesProfile 0
fHsimElabMemAllNodesProfile 0
fHsimDisableVpdGatesProfile 0
fHsimFileProfile 0
fHsimCountProfile 0
fHsimXmrDefault 1
fHsimFuseWireAndReg 0
fHsimFuseSelfDrvLogic 0
fHsimFuseProcess 0
fHsimNoStitchDump 0
fHsimAllExtXmrs 0
fHsimAllXmrs 1
fHsimMvsimDb 0
fHsimTaskFuncXmrs 0
fHsimTaskFuncXmrsDbg 0
fHsimAllTaskFuncXmrs 0
fHsimPageArray 16383
fHsimPageControls 16383
hsDfsNodePageElems 0
hsNodePageElems 0
hsFlatNodePageElems 0
hsGateMapPageElems 0
hsGateOffsetPageElems 0
hsGateInputOffsetPageElems 0
hsDbsOffsetPageElems 0
hsMinPulseWidthPageElems 0
hsNodeUpPatternPageElems 0
hsNodeDownPatternPageElems 0
hsNodeUpOffsetPageElems 0
hsNodeEblkOffsetPageElems 0
hsNodeDownOffsetPageElems 0
hsNodeUpdateOffsetPageElems 0
hsSdfOffsetPageElems 0
fHsimPageAllLevelData 0
fHsimAggrCg 0
fHsimViWire 1
fHsimPcCbOpt 1
fHsimAmsTunneling 0
fHsimAmsTunnelingDiag 0
fHsimScUpwardXmrNoSplit 1
fHsimOrigNdbViewOnly 0
fHsimVcsInterface 1
fHsimVcsInterfaceAlias 1
fHsimSVTypesIntf 1
fUnifiedAssertCtrlDiag 0
fHsimEnable2StateScal 0
fHsimDisable2StateScalIbn 0
fHsimVcsInterfaceAliasDbg 0
fHsimVcsInterfaceDbg 0
fHsimVcsVirtIntfDbg 0
fHsimVcsAllIntfVarMem 0
fHsimCheckVIDynLoadOffsets 0
fHsimModInline 1
fHsimModInlineDbg 0
fHsimPCDrvLoadDbg 0
fHsimDrvChk 1
fHsimRtlProcessingNeeded 0
fHsimGrpByGrpElab 0
fHsimGrpByGrpElabMaster 0
fHsimNoParentSplitPC 0
fHsimNusymMode 0
fHsimOneIntfPart 0
fHsimCompressInSingleDb 2
fHsimCompressFlatDb 0
fHsimNoTime0Sched 1
fHsimMdbVectorizeInstances 0
fHsimMdbSplitGates 0
fHsimDeleteInstances 0
fHsimUserDeleteInstances 0
fHsimDeleteGdb 0
fHsimDeleteInstancesMdb 0
fHsimShortInstMap 0
fHsimMdbVectorizationDump 0
fHsimScanVectorize 0
fHsimParallelScanVectorize 0
noInstsInVectorization 0
cHsimNonReplicatedInstances 0
fHsimScanRaptor 0
fHsimConfigFileCount 0
fHsimVectorConstProp 0
fHsimPromoteParam 0
fHsimNoVecInRaptor 0
fRaptorDumpVal 0
fRaptorVecNodes 0
fRaptorVecNodes2 0
fRaptorNonVecNodes 0
fRaptorBdrNodes 0
fRaptorVecGates 0
fRaptorNonVecGates 0
fRaptorTotalNodesBeforeVect 0
fRaptorTotalGatesBeforeVect 0
fHsimCountRaptorBits 0
fHsimNewEvcd 1
fHsimNewEvcdMX 0
fHsimNewEvcdVecRoot 1
fHsimNewEvcdForce 1
fHsimNewEvcdTest 0
fHsimNewEvcdObnDrv 1
fHsimNewEvcdW 1
fHsimNewEvcdWTest 0
fHsimEvcdDbgFlags 0
fHsimNewEvcdMultiDrvFmt 1
fHsimDumpOffsetData 1
fFlopGlitchDetect 0
fHsimClkGlitch 0
fHsimGlitchDumpOnce 0
fHsimDynamicElab 1
fHsimCgVectors2Debug 0
fHsimOdeDynElab 0
fHsimOdeDynElabDiag 0
fHsimOdeSeqUdp 0
fHsimOdeSeqUdpXEdge 0
fHsimOdeSeqUdpDbg 0
fHsimOdeRmvSched0 0
fHsimAllLevelSame 0
fHsimRtlDbsList 0
fHsimPePort 0
fHsimPeXmr 0
fHsimPePortDiag 0
fHsimUdpDbs 0
fHsimRemoveDbgCaps 0
fFsdbGateOnepassTraverse 0
fHsimAllowVecGateInVpd 1
fHsimAllowAllVecGateInVpd 0
fHsimAllowUdpInVpd 1
fHsimAllowAlwaysCombInVpd 0
fHsimAllowAlwaysCombCmpDvcSimv 0
fHsimAllowAlwaysCombDbg 0
fHsimMakeAllP2SPrimary 0
fHsimMakeAllSeqPrimary 0
fHsimNoCcnDump 0
fHsimFsdbProfDiag 0
fVpdSeqGate 0
fVpdUseMaxBCode 0
fVpdHsIntVecGate 0
fVpdHsCmplxVecGate 0
fVpdHsVecGateDiags 0
fSeqGateCodePatch 0
fVpdLongFaninOpt 0
fVpdSeqLongFaninOpt 0
fVpdNoLoopDetect 0
fVpdNoSeqLoopDetect 0
fVpdOptAllowConstDriver 0
fVpdAllowCellReconstruction 0
fVpdRtlForSharedLib 0
fHsimVpdOptGate 1
fHsimVpdOptDelay 0
fHsimVpdOptMPDelay 0
fHsimCbkOptDiag 0
fHsimSK 0
fHsimSharedKernel 1
fHsimOnepass 0
fHsimStitchNew 0
fHsimParallelLevelize 0
fHsimParallelLevelizeDbg 0
fHsimSeqUdpDbsByteArray 0
fHsimCoLocate 0
fHsimSeqUdpEblkOpt 0
fHsimSeqUdpEblkOptDiag 0
fHsimGateInputAndDbsOffsetsOpt 1
fHsimUdpDynElab 0
fHsimCompressData 4
fHsimIgnoreZForDfuse 1
fHsimIgnoreDifferentCaps 0
fHandleGlitchQC 1
fGlitchDetectForAllRtlLoads 0
fHsimFuseConstDriversOpt 1
fHsimMdSchedTr 0
fHsimIgnoreReElab 0
fHsimFuseMultiDrivers 0
fHsimNoSched0Reg 0
fHsimAmsFusionEnabled 0
fHsimRtlDbs 0
fHsimWakeupId 0
fHsimPassiveIbn 0
fHsimBcOpt 1
fHsimCertitude 0
fHsimCertRapAutoTest 0
fHsimRaceDetect 0
fCheckTcCond 0
fHsimScanOptRelaxDbg 0
fHsimScanOptRelaxDbgDynamic 0
fHsimScanOptRelaxDbgDynamicPli 0
fHsimScanOptRelaxDbgDiag 0
fHsimScanOptRelaxDbgDiagHi 0
fHsimScanOptNoErrorOnPliAccess 0
fHsimScanOptTiming 0
fRelaxIbnSchedCheck 0
fHsimScanOptNoDumpCombo 0
fHsimScanOptPrintSwitchState 0
fHsimScanOptSelectiveSwitchOn 0
fHsimScanOptSingleSEPliOpt 1
fHsimScanOptDesignHasDebugAccessOnly 0
fHsimScanOptPrintPcode 0
fHsimScanDbgPerf 0
fHsimNoStitchMap 0
fHsimUnifiedModName 0
fHsimCbkMemOptDebug 0
fHsimMasterModuleOnly 0
fHsimMdbOptimizeSelects 0
fHsimMdbScalarizePorts 0
fHsimMdbOptimizeSelectsHeuristic 1
fHsimMdb1006Partition 0
fHsimVectorPgate 0
fHsimNoHs 0
fHsimXmrPartition 0
fHsimNewPartition 0
fHsimElabPart 0
fHsimElabPartThreshHoldDesign 1
fHsimPMdb 0
fHsimParitionCellInstNum 1000
fHsimParitionCellNodeNum 1000
fHsimParitionCellXMRNum 1000
fHsimNewPartCutSingleInstLimit 268435455
fHsimElabModDistNum 0
fHsimElabPartThreshHoldModule 3000000
fHsimPCPortPartition 0
fHsimPortPartition 0
fHsimDumpMdb 0
fHsimElabDiag 0
fHsimSimpCollect 0
fHsimPcodeDiag 0
fHsimFastelab 0
fHsimMacroOpt 0
fHsimSkipOpt 0
fHsimSkipOptFanoutlimit 0
fHsimSkipOptRootlimit 0
fHsimFuseDelayChains 0
fFusempchainsFanoutlimit 0
fFusempchainsDiagCount 0
fHsimCgVectorGates 0
fHsimCgVectorGates1 0
fHsimCgVectorGates2 0
fHsimCgVectorGatesNoReElab 0
fHsimCgScalarGates 0
fHsimCgScalarGatesExpr 0
fHsimCgScalarGatesLut 0
fHsimCgRtl 1
fHsimCgRtlFilter 0
fHsimCgRtlDebug 0
fHsimCgRtlSize 15
fHsimNewCgRt 0
fHsimNewCgMPRt 0
fHsimNewCgMPRetain 0
fHsimCgRtlInfra 1
fHsimGlueOpt 0
fHsimPGatePatchOpt 0
fHsimCgNoPic 0
fHsimElabModCg 0
fPossibleNullChecks 0
fHsimProcessNoSplit 1
fHsimMdbOptInSchedDelta 0
fScaleTimeValue 0
fDebugTimeScale 0
fPartCompSDF 0
fHsimNbaGate 1
fDumpDtviInfoInSC 0
fDumpSDFBasedMod 1
fHsimSdfIC 0
fOptimisticNtcSolver 0
fHsimAllMtm 0
fHsimAllMtmPat 0
fHsimSdgOptEnable 0
fHsimSVTypesRefPorts 0
fHsimGrpByGrpElabIncr 0
fHsimMarkRefereeInVcsElab 0
fHsimStreamOpFix 1
fHsimInterface 0
fHsimMxWrapOpt 0
fHsimMxTopBdryOpt 0
fHsimClasses 0
fHsimAggressiveDce 0
fHsimDceDebug 1
fHsimDceDebugUseHeuristics 1
fHsimMdbNewDebugOpt 0
fHsimMdbNewDebugOptExitOnError 1
fHsimNewDebugOptMemDiag 0
hsGlobalVerboseLevel 0
fHsimMdbVectorConstProp 1
fHsimEnableSeqUdpWrite 1
fHsimDumpMDBOnlyForSeqUdp 0
fHsimInitRegRandom 0
fHsimInitRegRandomVcs 1
fEnableNewFinalStrHash 0
fEnableNewAssert 1
fRunDbgDmma 0
fAssrtCtrlSigChk 1
fCheckSigValidity 0
fUniqPriToAstRewrite 0
fUniqPriToAstCtrl 0
fAssertcontrolUniqPriNewImpl 0
fRTLoopDectEna 1
fCmplLoopDectEna 1
fHsimMopFlow 1
fUCaseLabelCtrl 0
fUniSolRtSvaEna 1
fUniSolSvaEna 1
fXpropRtCtrlCallerOnly 0
fHsimRaptorPart 0
fHsimEnableDbsMemOpt 1
fHsimDebugDbsMemOpt 0
fHsimRenPart 0
fHsimShortElabInsts 0
fHsimXmrAllWires 0
fHsimXmrDiag 0
fHsimXmrPort 0
fHsimFalcon 1
fHsimGenForProfile 0
fCompressSDF 0
fDlpSvtbExclElab 0
fHsimGates1209 0
fHsimCgRtlNoShareSmd 0
fHsimGenForErSum 0
fVpdOpt 1
fHsimMdbCell 0
fHsimCellDebug 0
fHsimNoPeekInMdbCell 0
igetOpcodeSmdPtrLayoutId -1
igetFieldSmdPtr -1
fDebugDump 1
fHsimOrigNodeNames 0
fHsimCgVectors2VOnly 0
fHsimMdbDeltaGate 0
fHsimMdbDeltaGateAggr 0
fHsimMdbVecDeltaGate 1
fHsimVpdOptVfsDB 1
fHsimMdbPruneVpdGates 1
fHsimPcPe 0
fHsimVpdGateOnlyFlag 1
fHsimMxConnFrc 0
fHsimNewForceCbkVec 0
fHsimNewForceCbkVecDiag 0
fHsimMdbReplaceVpdHighConn 1
fHsimVpdOptSVTypes 1
fHsHasPeUpXmr 0
fHsimCompactVpdFn 1
fHsimPIP 0
fHsimRTLoopDectOrgName 1
fHsimVpdOptPC 0
fHsimFusePeXmrFo 0
fHsimXmrSched 0
fHsimNoMdg 0
fHsimVectorGates 0
fHsimRtlLite 0
fHsimMdbcgLut 0
fHsimMdbcgSelective 0
fHsimVcselabGates 0
fHsimMdbcgLevelize 0
fHsimParGateEvalMode 0
fHsimDFuseVectors 0
fHsimDFuseZero 0
fHsimDFuseOpt 1
fHsimPruneOpt 0
fHsimSeqUdpPruneWithConstInputs 0
fHsimSafeDFuse 0
fHsimVpdOptExpVec 0
fHsimVpdOptSelGate 1
fHsimVpdOptSkipFuncPorts 0
fHsimVpdOptAlways 1
fHsimVpdOptMdbCell 0
fHsimVpdOptPartialMdb 1
fHsimVpdOptPartitionGate 1
fHsimVpdOptXmr 1
fHsimVpdOptMoreLevels 1
fHsimVpdHilRtl 0
fHsimSWave 0
fHsimNoSched0InCell 1
fHsimPartialMdb 0
hsimPdbLargeOffsetThreshold 1048576
fHsimFlatCell 0
fHsimFlatCellLimit 0
fHsimRegBank 0
fHsimHmetisMaxPartSize 0
fHsimHmetisGateWt 0
fHsimHmetisUbFactor 0
fHsimHmetis 0
fHsimHmetisDiag 0
fHsimRenumGatesForMdbCell 0
fHsimHmetisMinPart 0
fHsim2stCell 0
fHsim2stCellMinSize 0
fHsimMdbcgDebug 0
fHsimMdbcgDebugLite 0
fHsimMdbcgDistrib 0
fHsimMdbcgSepmem 1
fHsimMdbcgObjDiag 0
fHsimMdbcg2stDiag 0
fHsimMdbcgRttrace 0
fHsimMdbVectorGateGroup 1
fHsimMdbProcDfuse 1
fHsimMdbHilPrune 0
fHsCgOpt 1
fHsCgOptUdp 1
fHsCgOptRtl 1
fHsCgOptDiag 0
fHsCgOptAggr 0
fHsCgOptNoZCheck 0
fHsCgOptEnableZSupport 0
fHsCgOpt4StateInfra 0
fHsCgOptDce 0
fHsCgOptUdpChkDataForWakeup 1
fHsCgOptXprop 0
fHsimMdbcgDiag 0
fHsCgMaxInputs 6
fHsCgOptFwdPass 1
fHsimHpnodes 0
fLightDump 0
fHDLCosim 0
fHDLCosimDebug 0
fHDLCosimTimeCoupled 0
fHDLCosimTimeCoupledPorts 0
HDLCosimMaxDataPerDpi 1
HDLCosimMaxCallsPerDpi 2147483647
fHDLCosimCompileDUT 0
fHDLCosimCustomCompile 0
fHDLCosimBoundaryAnalysis 0
fVpdBeforeScan 1
fHsCgOptMiSched0 0
fgcAddSched0 0
fParamClassOptRtDiag 0
fHsRegress 0
fHsBenchmark 0
fHsimCgScalarVerilogForce 1
fVcsElabToRoot 1
fHilIbnObnCallByName 0
fHsimMdbcgCellPartition 0
fHsimCompressVpdSig 0
fHsimLowPowerOpt 0
fHsimUdpOpt 1
fHsVecOneld 0
fNativeVpdDebug 0
fNewDtviFuse 0
fHsimVcsGenTLS 1
fAssertSuccDebugLevelDump 0
fHsimMinputsChangeCheck 0
fHsimClkLayout 0
fHsimIslandLayout 0
fHsimConfigSched0 0
fHsimSelectFuseAfterDfuse 0
fHsimFoldedCell 0
fHsimSWaveEmul 0
fHsimSWaveDumpMDB 0
fHsimSWaveDumpFlatData 0
fHsimRenumberAlias 0
fHsimAliasRenumbered 0
fHilCgMode 115
fHsimUnionOpt 0
fHsimFuseSGDBoundaryNodes 0
fHsimRemoveCapsVec 0
fHsimCertRaptScal 0
fHsimCertRaptMdbClock 0
fHsCgOptMux 0
fHsCgOptFrc 0
fHsCgOpt30 0
fHsLpNoCapsOpt 0
fHsCgOpt4State 1
fSkipStrChangeOnDelay 1
fHsimTcheckOpt 0
fHsCgOptMuxMClk 0
fHsCgOptMuxFrc 0
fHsCgOptNoPcb 0
fHsCgOptMin1 0
fHsCgOptUdpChk 0
fHsChkXForSlowSigProp 1
fHsimVcsParallelDbg 0
fHsimVcsParallelStrategy 0
fHsimVcsParallelOpt 0
fHsimVcsParallelSubLevel 4
fHsimParallelEblk 0
fHsimByteCodeParts 1
fFgpNovlInComp 0
fFutEventPRL 0
fFgpNbaDelay 0
fHsimDbsFlagsByteArray 0
fHsimDbsFlagsByteArrayTC 0
fHsimDbsFlagsThreadArray 0
fHsimGateEdgeEventSched 0
fHsimEgschedDynelab 0
fHsimUdpClkDynelab 0
fUdpLayoutOnClk 0
fHsimDiagClk 1
fDbsPreCheck 0
fHsimSched0Analysis 0
fHsimMultiDriverSched0 0
fHsimLargeIbnSched 0
fFgpHierarchical 0
fFgpHierAllElabModAsRoot 0
fFgpHierPCElabModAsRoot 0
fFgpAdjustDataLevelOfLatch 1
fHsimUdpXedgeEval 0
fFgpRaceCheck 0
fFgpUnifyClk 0
fFgpSmallClkTree 0
fFgpSmallRtlClkTree 4
fFgpNoRtlUnlink 0
fFgpNoRtlAuxLevel 0
fFgpNumPartitions 8
fFgpMultiSocketCompile 0
fFgpDataDepOn 0
fFgpDDIgnore 0
fFgpTbCbOn 0
fFgpTbEvOn 1
fFgpTbNoVSA 0
fFgpTbEvXmr 0
fFgpTbEvCgCall 1
fFgpDisabledLevel 512
fFgpSched0User 0
fFgpNoSdDelayedNbas 1
fFgpTimingFlags 0
fFgpSched0Level 0
fHsimFgpMultiClock 0
fFgpScanOptFix 0
fFgpSched0UdpData 0
fFgpLoadBalance0CompileTime 1
fFgpDepositDiag 0
fFgpEvtDiag.diagOn 0
fFgpEvtDiag.printAllNodes 0
fFgpMangleDiagLog 0
fFgpMultiExclDiag 0
fFgpSingleExclReason 0
fHsDoFaninFanoutSanity 0
fHsFgpNonDbsOva 1
fFgpParallelTask 1
fFgpIbnSched 0
fFgpIbnSchedOpt 0
fFgpIbnSchedThreshold 0
fFgpIbnSchedDyn 0
fFgpMpStateByte 0
fFgpTcStateByte 0
fHsimVirtIntfDynLoadSched 0
fFgpNoRtimeFgp 0
fHsFgpGlSched0 0
fFgpExclReason 0
fHsimIslandByIslandElab 0
fHsimIslandByIslandFlat 151652416
fHsimIslandByIslandFlat1 4
fHsimVpdIBIF 0
fHsimXmrIBIF 0
fHsimReportTime 0
fHsimElabJ 0
hf_fHsimElabJ 0
fHsimElabJOpt 0
fHsimSchedMinput 0
fHsimSchedSeqPrim 0
fHsimSchedSelectFanout 0
fHsimSchedSelectFanoutDebug 0
fSpecifyInDesign 0
fFgpDynamicReadOn 0
fHsCgOptAllUc 0
fHsimXmrRepl 0
fZoix 0
fHsimDfuseNewOpt 0
fHsimBfuseNewOpt 0
fFgpXmrSched 0
fHsimClearClkCaps 0
fHsimDiagClkConfig 0
fHsimDiagClkConfigDebug 0
fHsimDiagClkConfigDumpAll 0
fHsDiagClkConfigPara 0
fHsimDiagClkConfigAn 0
fHsimCanDumpClkConfig 0
fFgpInitRout 0
fFgpIgnoreExclSD 0
fHsCgOptNoClockFusing 0
fHsClkWheelLimit 50000
fHsimPCSharedLibSpecified 0
fHsFgpSchedCgUcLoads 1
fHsCgOptNewSelCheck 1
fFgpReportUnsafeFuncs 0
fHsCgOptUncPrlThreshold 4
fHsSVNettypePerfOpt 0
fHsimLowPowerRetAnalysisInChild 0
fRetainWithDelayedSig 0
fHsimChargeDecay 0

File diff suppressed because it is too large Load Diff

Some files were not shown because too many files have changed in this diff Show More